From d87af806aace82efd723a03cbec42f9b68bfd39f Mon Sep 17 00:00:00 2001 From: Penguin Date: Thu, 31 Oct 2019 15:59:19 -0500 Subject: [PATCH] added actual project files --- .vs/D21_ADC_with_DMA/v14/.atsuo | Bin 0 -> 37376 bytes D21_ADC_with_DMA.atsln | 22 + .../D21_ADC_with_DMA.componentinfo.xml | 4 + D21_ADC_with_DMA/D21_ADC_with_DMA.cproj | 1337 +++ D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.bin | Bin 0 -> 14812 bytes D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.eep | 0 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.elf | Bin 0 -> 1145848 bytes D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.hex | 928 ++ D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.lss | 9180 +++++++++++++++++ D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.map | 6932 +++++++++++++ D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.srec | 928 ++ D21_ADC_with_DMA/Debug/Makefile | 459 + D21_ADC_with_DMA/Debug/makedep.mk | 50 + .../utils/interrupt/interrupt_sam_nvic.d | 341 + .../utils/interrupt/interrupt_sam_nvic.o | Bin 0 -> 754580 bytes .../services/delay/sam0/systick_counter.d | 353 + .../services/delay/sam0/systick_counter.o | Bin 0 -> 757716 bytes .../boards/samd21_xplained_pro/board_init.d | 352 + .../boards/samd21_xplained_pro/board_init.o | Bin 0 -> 782404 bytes .../ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d | 364 + .../ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o | Bin 0 -> 790960 bytes .../Debug/src/ASF/sam0/drivers/dma/dma.d | 365 + .../Debug/src/ASF/sam0/drivers/dma/dma.o | Bin 0 -> 787812 bytes .../Debug/src/ASF/sam0/drivers/port/port.d | 342 + .../Debug/src/ASF/sam0/drivers/port/port.o | Bin 0 -> 759680 bytes .../src/ASF/sam0/drivers/sercom/sercom.d | 369 + .../src/ASF/sam0/drivers/sercom/sercom.o | Bin 0 -> 783664 bytes .../sam0/drivers/sercom/sercom_interrupt.d | 373 + .../sam0/drivers/sercom/sercom_interrupt.o | Bin 0 -> 777812 bytes .../src/ASF/sam0/drivers/sercom/usart/usart.d | 383 + .../src/ASF/sam0/drivers/sercom/usart/usart.o | Bin 0 -> 800696 bytes .../drivers/sercom/usart/usart_interrupt.d | 383 + .../drivers/sercom/usart/usart_interrupt.o | Bin 0 -> 784824 bytes .../clock/clock_samd21_r21_da_ha1/clock.d | 364 + .../clock/clock_samd21_r21_da_ha1/clock.o | Bin 0 -> 800352 bytes .../clock/clock_samd21_r21_da_ha1/gclk.d | 353 + .../clock/clock_samd21_r21_da_ha1/gclk.o | Bin 0 -> 774760 bytes .../system/interrupt/system_interrupt.d | 344 + .../system/interrupt/system_interrupt.o | Bin 0 -> 762032 bytes .../ASF/sam0/drivers/system/pinmux/pinmux.d | 341 + .../ASF/sam0/drivers/system/pinmux/pinmux.o | Bin 0 -> 762480 bytes .../src/ASF/sam0/drivers/system/system.d | 357 + .../src/ASF/sam0/drivers/system/system.o | Bin 0 -> 755764 bytes .../cmsis/samd21/source/gcc/startup_samd21.d | 219 + .../cmsis/samd21/source/gcc/startup_samd21.o | Bin 0 -> 651600 bytes .../utils/cmsis/samd21/source/system_samd21.d | 219 + .../utils/cmsis/samd21/source/system_samd21.o | Bin 0 -> 624860 bytes .../Debug/src/ASF/sam0/utils/stdio/read.d | 336 + .../Debug/src/ASF/sam0/utils/stdio/read.o | Bin 0 -> 754380 bytes .../Debug/src/ASF/sam0/utils/stdio/write.d | 336 + .../Debug/src/ASF/sam0/utils/stdio/write.o | Bin 0 -> 754556 bytes .../ASF/sam0/utils/syscalls/gcc/syscalls.d | 99 + .../ASF/sam0/utils/syscalls/gcc/syscalls.o | Bin 0 -> 63196 bytes D21_ADC_with_DMA/Debug/src/drivers/padc.d | 428 + D21_ADC_with_DMA/Debug/src/drivers/padc.o | Bin 0 -> 808640 bytes D21_ADC_with_DMA/Debug/src/drivers/pusart.d | 428 + D21_ADC_with_DMA/Debug/src/drivers/pusart.o | Bin 0 -> 812628 bytes D21_ADC_with_DMA/Debug/src/main.d | 430 + D21_ADC_with_DMA/Debug/src/main.o | Bin 0 -> 804536 bytes D21_ADC_with_DMA/Release/D21_ADC_with_DMA.bin | Bin 0 -> 14180 bytes D21_ADC_with_DMA/Release/D21_ADC_with_DMA.eep | 0 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.elf | Bin 0 -> 148036 bytes D21_ADC_with_DMA/Release/D21_ADC_with_DMA.hex | 889 ++ D21_ADC_with_DMA/Release/D21_ADC_with_DMA.lss | 6653 ++++++++++++ D21_ADC_with_DMA/Release/D21_ADC_with_DMA.map | 1868 ++++ .../Release/D21_ADC_with_DMA.srec | 889 ++ D21_ADC_with_DMA/Release/Makefile | 459 + D21_ADC_with_DMA/Release/makedep.mk | 50 + .../utils/interrupt/interrupt_sam_nvic.d | 341 + .../utils/interrupt/interrupt_sam_nvic.o | Bin 0 -> 1740 bytes .../services/delay/sam0/systick_counter.d | 353 + .../services/delay/sam0/systick_counter.o | Bin 0 -> 2136 bytes .../boards/samd21_xplained_pro/board_init.d | 352 + .../boards/samd21_xplained_pro/board_init.o | Bin 0 -> 1364 bytes .../ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d | 364 + .../ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o | Bin 0 -> 3216 bytes .../Release/src/ASF/sam0/drivers/dma/dma.d | 365 + .../Release/src/ASF/sam0/drivers/dma/dma.o | Bin 0 -> 4788 bytes .../Release/src/ASF/sam0/drivers/port/port.d | 342 + .../Release/src/ASF/sam0/drivers/port/port.o | Bin 0 -> 1620 bytes .../src/ASF/sam0/drivers/sercom/sercom.d | 369 + .../src/ASF/sam0/drivers/sercom/sercom.o | Bin 0 -> 3680 bytes .../sam0/drivers/sercom/sercom_interrupt.d | 373 + .../sam0/drivers/sercom/sercom_interrupt.o | Bin 0 -> 3392 bytes .../src/ASF/sam0/drivers/sercom/usart/usart.d | 383 + .../src/ASF/sam0/drivers/sercom/usart/usart.o | Bin 0 -> 3576 bytes .../drivers/sercom/usart/usart_interrupt.d | 383 + .../drivers/sercom/usart/usart_interrupt.o | Bin 0 -> 3876 bytes .../clock/clock_samd21_r21_da_ha1/clock.d | 364 + .../clock/clock_samd21_r21_da_ha1/clock.o | Bin 0 -> 6080 bytes .../clock/clock_samd21_r21_da_ha1/gclk.d | 353 + .../clock/clock_samd21_r21_da_ha1/gclk.o | Bin 0 -> 5080 bytes .../system/interrupt/system_interrupt.d | 344 + .../system/interrupt/system_interrupt.o | Bin 0 -> 2184 bytes .../ASF/sam0/drivers/system/pinmux/pinmux.d | 341 + .../ASF/sam0/drivers/system/pinmux/pinmux.o | Bin 0 -> 2288 bytes .../src/ASF/sam0/drivers/system/system.d | 357 + .../src/ASF/sam0/drivers/system/system.o | Bin 0 -> 1628 bytes .../cmsis/samd21/source/gcc/startup_samd21.d | 219 + .../cmsis/samd21/source/gcc/startup_samd21.o | Bin 0 -> 3120 bytes .../utils/cmsis/samd21/source/system_samd21.d | 219 + .../utils/cmsis/samd21/source/system_samd21.o | Bin 0 -> 1304 bytes .../Release/src/ASF/sam0/utils/stdio/read.d | 336 + .../Release/src/ASF/sam0/utils/stdio/read.o | Bin 0 -> 1348 bytes .../Release/src/ASF/sam0/utils/stdio/write.d | 336 + .../Release/src/ASF/sam0/utils/stdio/write.o | Bin 0 -> 1344 bytes .../ASF/sam0/utils/syscalls/gcc/syscalls.d | 99 + .../ASF/sam0/utils/syscalls/gcc/syscalls.o | Bin 0 -> 2004 bytes D21_ADC_with_DMA/Release/src/drivers/padc.d | 428 + D21_ADC_with_DMA/Release/src/drivers/padc.o | Bin 0 -> 2920 bytes D21_ADC_with_DMA/Release/src/drivers/pusart.d | 428 + D21_ADC_with_DMA/Release/src/drivers/pusart.o | Bin 0 -> 2432 bytes D21_ADC_with_DMA/Release/src/main.d | 430 + D21_ADC_with_DMA/Release/src/main.o | Bin 0 -> 2192 bytes .../src/ASF/common/boards/board.h | 450 + .../services/serial/sam0_usart/usart_serial.h | 141 + .../src/ASF/common/services/serial/serial.h | 269 + .../src/ASF/common/utils/interrupt.h | 132 + .../utils/interrupt/interrupt_sam_nvic.c | 76 + .../utils/interrupt/interrupt_sam_nvic.h | 179 + D21_ADC_with_DMA/src/ASF/common/utils/parts.h | 1754 ++++ .../src/ASF/common2/services/delay/delay.h | 91 + .../services/delay/sam0/systick_counter.c | 86 + .../services/delay/sam0/systick_counter.h | 103 + .../boards/samd21_xplained_pro/board_init.c | 80 + .../samd21_xplained_pro/samd21_xplained_pro.h | 699 ++ .../src/ASF/sam0/drivers/adc/adc.h | 1147 ++ .../ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c | 726 ++ .../drivers/adc/adc_sam_d_r_h/adc_feature.h | 718 ++ .../src/ASF/sam0/drivers/dma/dma.c | 644 ++ .../src/ASF/sam0/drivers/dma/dma.h | 878 ++ .../src/ASF/sam0/drivers/dma/dma_crc.h | 220 + .../drivers/dma/quick_start/qs_dma_basic.h | 148 + .../src/ASF/sam0/drivers/port/port.c | 99 + .../src/ASF/sam0/drivers/port/port.h | 785 ++ .../drivers/port/quick_start/qs_port_basic.h | 98 + .../src/ASF/sam0/drivers/sercom/sercom.c | 280 + .../src/ASF/sam0/drivers/sercom/sercom.h | 108 + .../sam0/drivers/sercom/sercom_interrupt.c | 131 + .../sam0/drivers/sercom/sercom_interrupt.h | 62 + .../ASF/sam0/drivers/sercom/sercom_pinout.h | 612 ++ .../usart/quick_start/qs_usart_basic_use.h | 106 + .../quick_start_callback/qs_usart_callback.h | 120 + .../usart/quick_start_dma/qs_usart_dma_use.h | 208 + .../sercom/usart/quick_start_lin/qs_lin.h | 94 + .../src/ASF/sam0/drivers/sercom/usart/usart.c | 806 ++ .../src/ASF/sam0/drivers/sercom/usart/usart.h | 1589 +++ .../drivers/sercom/usart/usart_interrupt.c | 656 ++ .../drivers/sercom/usart/usart_interrupt.h | 167 + .../src/ASF/sam0/drivers/system/clock/clock.h | 43 + .../clock/clock_samd21_r21_da_ha1/clock.c | 1031 ++ .../clock_config_check.h | 444 + .../clock_samd21_r21_da_ha1/clock_feature.h | 1483 +++ .../clock/clock_samd21_r21_da_ha1/gclk.c | 512 + .../src/ASF/sam0/drivers/system/clock/gclk.h | 297 + .../system/interrupt/system_interrupt.c | 207 + .../system/interrupt/system_interrupt.h | 423 + .../system_interrupt_features.h | 185 + .../ASF/sam0/drivers/system/pinmux/pinmux.c | 301 + .../ASF/sam0/drivers/system/pinmux/pinmux.h | 669 ++ .../pinmux/quick_start/qs_pinmux_basic.h | 86 + .../system/power/power_sam_d_r_h/power.h | 239 + .../system/reset/reset_sam_d_r_h/reset.h | 109 + .../src/ASF/sam0/drivers/system/system.c | 101 + .../src/ASF/sam0/drivers/system/system.h | 721 ++ .../utils/cmsis/samd21/include/component/ac.h | 549 + .../cmsis/samd21/include/component/adc.h | 689 ++ .../cmsis/samd21/include/component/dac.h | 276 + .../cmsis/samd21/include/component/dmac.h | 1077 ++ .../cmsis/samd21/include/component/dsu.h | 541 + .../cmsis/samd21/include/component/eic.h | 671 ++ .../cmsis/samd21/include/component/evsys.h | 594 ++ .../include/component/evsys_variant_d.h | 594 ++ .../cmsis/samd21/include/component/gclk.h | 300 + .../cmsis/samd21/include/component/hmatrixb.h | 108 + .../cmsis/samd21/include/component/i2s.h | 629 ++ .../cmsis/samd21/include/component/mtb.h | 386 + .../cmsis/samd21/include/component/nvmctrl.h | 617 ++ .../include/component/nvmctrl_variant_d.h | 540 + .../cmsis/samd21/include/component/pac.h | 94 + .../utils/cmsis/samd21/include/component/pm.h | 545 + .../samd21/include/component/pm_variant_d.h | 549 + .../cmsis/samd21/include/component/port.h | 384 + .../cmsis/samd21/include/component/rtc.h | 1058 ++ .../cmsis/samd21/include/component/sercom.h | 1498 +++ .../cmsis/samd21/include/component/sysctrl.h | 938 ++ .../utils/cmsis/samd21/include/component/tc.h | 674 ++ .../cmsis/samd21/include/component/tcc.h | 1828 ++++ .../samd21/include/component/tcc_lighting.h | 1828 ++++ .../samd21/include/component/tcc_variant_d.h | 1816 ++++ .../cmsis/samd21/include/component/usb.h | 1795 ++++ .../cmsis/samd21/include/component/wdt.h | 293 + .../utils/cmsis/samd21/include/instance/ac.h | 77 + .../utils/cmsis/samd21/include/instance/ac1.h | 77 + .../utils/cmsis/samd21/include/instance/adc.h | 89 + .../utils/cmsis/samd21/include/instance/dac.h | 64 + .../cmsis/samd21/include/instance/dmac.h | 99 + .../utils/cmsis/samd21/include/instance/dsu.h | 89 + .../utils/cmsis/samd21/include/instance/eic.h | 68 + .../cmsis/samd21/include/instance/evsys.h | 192 + .../samd21/include/instance/evsys_variant_d.h | 202 + .../cmsis/samd21/include/instance/gclk.h | 69 + .../utils/cmsis/samd21/include/instance/i2s.h | 84 + .../utils/cmsis/samd21/include/instance/mtb.h | 93 + .../cmsis/samd21/include/instance/nvmctrl.h | 82 + .../include/instance/nvmctrl_variant_d.h | 82 + .../cmsis/samd21/include/instance/pac0.h | 49 + .../cmsis/samd21/include/instance/pac1.h | 49 + .../cmsis/samd21/include/instance/pac2.h | 49 + .../utils/cmsis/samd21/include/instance/pm.h | 79 + .../cmsis/samd21/include/instance/port.h | 126 + .../samd21/include/instance/port_variant_d.h | 126 + .../utils/cmsis/samd21/include/instance/rtc.h | 107 + .../cmsis/samd21/include/instance/sbmatrix.h | 155 + .../cmsis/samd21/include/instance/sercom0.h | 133 + .../cmsis/samd21/include/instance/sercom1.h | 133 + .../cmsis/samd21/include/instance/sercom2.h | 133 + .../cmsis/samd21/include/instance/sercom3.h | 133 + .../cmsis/samd21/include/instance/sercom4.h | 133 + .../cmsis/samd21/include/instance/sercom5.h | 133 + .../cmsis/samd21/include/instance/sysctrl.h | 111 + .../utils/cmsis/samd21/include/instance/tc3.h | 101 + .../utils/cmsis/samd21/include/instance/tc4.h | 101 + .../utils/cmsis/samd21/include/instance/tc5.h | 101 + .../utils/cmsis/samd21/include/instance/tc6.h | 101 + .../utils/cmsis/samd21/include/instance/tc7.h | 101 + .../cmsis/samd21/include/instance/tcc0.h | 122 + .../cmsis/samd21/include/instance/tcc1.h | 110 + .../cmsis/samd21/include/instance/tcc2.h | 106 + .../cmsis/samd21/include/instance/tcc3.h | 122 + .../utils/cmsis/samd21/include/instance/usb.h | 334 + .../utils/cmsis/samd21/include/instance/wdt.h | 61 + .../cmsis/samd21/include/pio/samd21e15a.h | 634 ++ .../cmsis/samd21/include/pio/samd21e15b.h | 631 ++ .../cmsis/samd21/include/pio/samd21e15bu.h | 631 ++ .../cmsis/samd21/include/pio/samd21e15l.h | 610 ++ .../cmsis/samd21/include/pio/samd21e16a.h | 634 ++ .../cmsis/samd21/include/pio/samd21e16b.h | 631 ++ .../cmsis/samd21/include/pio/samd21e16bu.h | 631 ++ .../cmsis/samd21/include/pio/samd21e16l.h | 610 ++ .../cmsis/samd21/include/pio/samd21e17a.h | 634 ++ .../cmsis/samd21/include/pio/samd21e17d.h | 700 ++ .../cmsis/samd21/include/pio/samd21e17du.h | 700 ++ .../cmsis/samd21/include/pio/samd21e17l.h | 676 ++ .../cmsis/samd21/include/pio/samd21e18a.h | 634 ++ .../cmsis/samd21/include/pio/samd21g15a.h | 908 ++ .../cmsis/samd21/include/pio/samd21g15b.h | 905 ++ .../cmsis/samd21/include/pio/samd21g15l.h | 894 ++ .../cmsis/samd21/include/pio/samd21g16a.h | 908 ++ .../cmsis/samd21/include/pio/samd21g16b.h | 905 ++ .../cmsis/samd21/include/pio/samd21g16l.h | 894 ++ .../cmsis/samd21/include/pio/samd21g17a.h | 908 ++ .../cmsis/samd21/include/pio/samd21g17au.h | 856 ++ .../cmsis/samd21/include/pio/samd21g17d.h | 1010 ++ .../cmsis/samd21/include/pio/samd21g17l.h | 988 ++ .../cmsis/samd21/include/pio/samd21g18a.h | 908 ++ .../cmsis/samd21/include/pio/samd21g18au.h | 856 ++ .../cmsis/samd21/include/pio/samd21j15a.h | 1182 +++ .../cmsis/samd21/include/pio/samd21j15b.h | 1179 +++ .../cmsis/samd21/include/pio/samd21j16a.h | 1182 +++ .../cmsis/samd21/include/pio/samd21j16b.h | 1179 +++ .../cmsis/samd21/include/pio/samd21j17a.h | 1182 +++ .../cmsis/samd21/include/pio/samd21j17d.h | 1297 +++ .../cmsis/samd21/include/pio/samd21j18a.h | 1182 +++ .../sam0/utils/cmsis/samd21/include/samd21.h | 113 + .../utils/cmsis/samd21/include/samd21e15a.h | 547 + .../utils/cmsis/samd21/include/samd21e15b.h | 551 + .../utils/cmsis/samd21/include/samd21e15bu.h | 551 + .../utils/cmsis/samd21/include/samd21e15l.h | 530 + .../utils/cmsis/samd21/include/samd21e16a.h | 547 + .../utils/cmsis/samd21/include/samd21e16b.h | 551 + .../utils/cmsis/samd21/include/samd21e16bu.h | 551 + .../utils/cmsis/samd21/include/samd21e16l.h | 530 + .../utils/cmsis/samd21/include/samd21e17a.h | 547 + .../utils/cmsis/samd21/include/samd21e17d.h | 574 ++ .../utils/cmsis/samd21/include/samd21e17du.h | 574 ++ .../utils/cmsis/samd21/include/samd21e17l.h | 551 + .../utils/cmsis/samd21/include/samd21e18a.h | 547 + .../utils/cmsis/samd21/include/samd21g15a.h | 559 + .../utils/cmsis/samd21/include/samd21g15b.h | 563 + .../utils/cmsis/samd21/include/samd21g15l.h | 554 + .../utils/cmsis/samd21/include/samd21g16a.h | 559 + .../utils/cmsis/samd21/include/samd21g16b.h | 563 + .../utils/cmsis/samd21/include/samd21g16l.h | 554 + .../utils/cmsis/samd21/include/samd21g17a.h | 559 + .../utils/cmsis/samd21/include/samd21g17au.h | 571 + .../utils/cmsis/samd21/include/samd21g17d.h | 586 ++ .../utils/cmsis/samd21/include/samd21g17l.h | 575 ++ .../utils/cmsis/samd21/include/samd21g18a.h | 559 + .../utils/cmsis/samd21/include/samd21g18au.h | 571 + .../utils/cmsis/samd21/include/samd21j15a.h | 571 + .../utils/cmsis/samd21/include/samd21j15b.h | 575 ++ .../utils/cmsis/samd21/include/samd21j16a.h | 571 + .../utils/cmsis/samd21/include/samd21j16b.h | 575 ++ .../utils/cmsis/samd21/include/samd21j17a.h | 571 + .../utils/cmsis/samd21/include/samd21j17d.h | 598 ++ .../utils/cmsis/samd21/include/samd21j18a.h | 571 + .../cmsis/samd21/source/gcc/startup_samd21.c | 278 + .../utils/cmsis/samd21/source/system_samd21.c | 71 + .../utils/cmsis/samd21/source/system_samd21.h | 55 + .../src/ASF/sam0/utils/compiler.h | 1166 +++ .../src/ASF/sam0/utils/header_files/io.h | 115 + .../samd21/gcc/samd21j18a_flash.ld | 157 + .../src/ASF/sam0/utils/make/Makefile.sam.in | 492 + .../ASF/sam0/utils/preprocessor/mrecursion.h | 588 ++ .../src/ASF/sam0/utils/preprocessor/mrepeat.h | 328 + .../sam0/utils/preprocessor/preprocessor.h | 45 + .../src/ASF/sam0/utils/preprocessor/stringz.h | 74 + .../src/ASF/sam0/utils/preprocessor/tpaste.h | 93 + .../src/ASF/sam0/utils/status_codes.h | 148 + .../src/ASF/sam0/utils/stdio/read.c | 152 + .../utils/stdio/stdio_serial/stdio_serial.h | 140 + .../src/ASF/sam0/utils/stdio/write.c | 132 + .../ASF/sam0/utils/syscalls/gcc/syscalls.c | 120 + .../ASF/thirdparty/CMSIS/ATMEL-disclaimer.txt | 20 + .../CMSIS_END_USER_LICENCE_AGREEMENT.pdf | Bin 0 -> 179946 bytes .../ASF/thirdparty/CMSIS/Include/arm_math.h | 7157 +++++++++++++ .../thirdparty/CMSIS/Include/cmsis_compiler.h | 266 + .../ASF/thirdparty/CMSIS/Include/cmsis_gcc.h | 2085 ++++ .../thirdparty/CMSIS/Include/cmsis_version.h | 39 + .../thirdparty/CMSIS/Include/core_cm0plus.h | 1083 ++ .../ASF/thirdparty/CMSIS/Include/mpu_armv7.h | 270 + .../CMSIS/Lib/GCC/libarm_cortexM0l_math.a | Bin 0 -> 2768324 bytes .../src/ASF/thirdparty/CMSIS/Lib/license.txt | 28 + .../src/ASF/thirdparty/CMSIS/README.txt | 42 + D21_ADC_with_DMA/src/asf.h | 114 + D21_ADC_with_DMA/src/config/conf_board.h | 64 + D21_ADC_with_DMA/src/config/conf_clocks.h | 188 + D21_ADC_with_DMA/src/config/conf_dma.h | 41 + D21_ADC_with_DMA/src/drivers/padc.c | 76 + D21_ADC_with_DMA/src/drivers/padc.h | 12 + D21_ADC_with_DMA/src/drivers/pusart.c | 16 + D21_ADC_with_DMA/src/drivers/pusart.h | 7 + D21_ADC_with_DMA/src/main.c | 63 + 334 files changed, 154439 insertions(+) create mode 100644 .vs/D21_ADC_with_DMA/v14/.atsuo create mode 100644 D21_ADC_with_DMA.atsln create mode 100644 D21_ADC_with_DMA/D21_ADC_with_DMA.componentinfo.xml create mode 100644 D21_ADC_with_DMA/D21_ADC_with_DMA.cproj create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.bin create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.eep create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.elf create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.hex create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.lss create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.map create mode 100644 D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.srec create mode 100644 D21_ADC_with_DMA/Debug/Makefile create mode 100644 D21_ADC_with_DMA/Debug/makedep.mk create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/common2/services/delay/sam0/systick_counter.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/common2/services/delay/sam0/systick_counter.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/port/port.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/port/port.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/system.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/system.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/read.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/read.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.o create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/syscalls/gcc/syscalls.d create mode 100644 D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/syscalls/gcc/syscalls.o create mode 100644 D21_ADC_with_DMA/Debug/src/drivers/padc.d create mode 100644 D21_ADC_with_DMA/Debug/src/drivers/padc.o create mode 100644 D21_ADC_with_DMA/Debug/src/drivers/pusart.d create mode 100644 D21_ADC_with_DMA/Debug/src/drivers/pusart.o create mode 100644 D21_ADC_with_DMA/Debug/src/main.d create mode 100644 D21_ADC_with_DMA/Debug/src/main.o create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.bin create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.eep create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.elf create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.hex create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.lss create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.map create mode 100644 D21_ADC_with_DMA/Release/D21_ADC_with_DMA.srec create mode 100644 D21_ADC_with_DMA/Release/Makefile create mode 100644 D21_ADC_with_DMA/Release/makedep.mk create mode 100644 D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.o create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/syscalls/gcc/syscalls.d create mode 100644 D21_ADC_with_DMA/Release/src/ASF/sam0/utils/syscalls/gcc/syscalls.o create mode 100644 D21_ADC_with_DMA/Release/src/drivers/padc.d create mode 100644 D21_ADC_with_DMA/Release/src/drivers/padc.o create mode 100644 D21_ADC_with_DMA/Release/src/drivers/pusart.d create mode 100644 D21_ADC_with_DMA/Release/src/drivers/pusart.o create mode 100644 D21_ADC_with_DMA/Release/src/main.d create mode 100644 D21_ADC_with_DMA/Release/src/main.o create mode 100644 D21_ADC_with_DMA/src/ASF/common/boards/board.h create mode 100644 D21_ADC_with_DMA/src/ASF/common/services/serial/sam0_usart/usart_serial.h create mode 100644 D21_ADC_with_DMA/src/ASF/common/services/serial/serial.h create mode 100644 D21_ADC_with_DMA/src/ASF/common/utils/interrupt.h create mode 100644 D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.c create mode 100644 D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.h create mode 100644 D21_ADC_with_DMA/src/ASF/common/utils/parts.h create mode 100644 D21_ADC_with_DMA/src/ASF/common2/services/delay/delay.h create mode 100644 D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.c create mode 100644 D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/board_init.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma_crc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/quick_start/qs_dma_basic.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/port/quick_start/qs_port_basic.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_pinout.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start/qs_usart_basic_use.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_callback/qs_usart_callback.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_dma/qs_usart_dma_use.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_lin/qs_lin.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/gclk.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/quick_start/qs_pinmux_basic.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/evsys_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/pm_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/port.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/tcc_lighting.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/tcc_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/ac1.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/port_variant_d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc3.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15bu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16bu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17du.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17au.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18au.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15bu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16bu.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17du.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17au.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17l.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18au.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16b.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17d.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/compiler.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/header_files/io.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/make/Makefile.sam.in create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrecursion.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrepeat.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/preprocessor.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/stringz.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/tpaste.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/status_codes.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/read.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/write.c create mode 100644 D21_ADC_with_DMA/src/ASF/sam0/utils/syscalls/gcc/syscalls.c create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/ATMEL-disclaimer.txt create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/CMSIS_END_USER_LICENCE_AGREEMENT.pdf create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/arm_math.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_version.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/mpu_armv7.h create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Lib/GCC/libarm_cortexM0l_math.a create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Lib/license.txt create mode 100644 D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/README.txt create mode 100644 D21_ADC_with_DMA/src/asf.h create mode 100644 D21_ADC_with_DMA/src/config/conf_board.h create mode 100644 D21_ADC_with_DMA/src/config/conf_clocks.h create mode 100644 D21_ADC_with_DMA/src/config/conf_dma.h create mode 100644 D21_ADC_with_DMA/src/drivers/padc.c create mode 100644 D21_ADC_with_DMA/src/drivers/padc.h create mode 100644 D21_ADC_with_DMA/src/drivers/pusart.c create mode 100644 D21_ADC_with_DMA/src/drivers/pusart.h create mode 100644 D21_ADC_with_DMA/src/main.c diff --git a/.vs/D21_ADC_with_DMA/v14/.atsuo b/.vs/D21_ADC_with_DMA/v14/.atsuo new file mode 100644 index 0000000000000000000000000000000000000000..9d14bfaaa0e840c8363ba356f92966a7523d88dd GIT binary patch literal 37376 zcmeHw3$QE6RhZs>-+CPzW3Y``yw87Z?Y$a(N80tSJwMIp{~7&#H+M(#(?}zYq|s<3 z?b~3pP8^867))4#AqiDZrTA0|3aT&^HXFx*Du*hYB2Eag3B)lFk`PiAOvTB1bB=Up zpWc1F&{&zx294{@GuC^Pl+kxyO8W`o8_beZG6v z(l0>x4ln*4zU4EFfBS_OUclr#0U&tp&JYF4J_|k*-z6XC8-PFd&9N&tc5m?A{bHZL zl0tO@F3=U_bPJ{Fj~H@F9p~&2ywpIF{NDn_zf^3*U5eL(}QE5f@B!J zOIPqY&}!3X0Dc7BCqi5TP?vQH?&Ukodx!6i3vY*qmjJLHhvnO!{zrQW4PPIy7XhQ} z>%q4UcniKE;5UF81E0PBhx_7)|1L;-6~LzW`l9l01pE^0`NY7M*$uKct5}g z04M+&0PD?yp9H}A^5DOAFO2cq)t%=2f9|#SUYY+mNWk5S`TtJ<@A>>+lK;gydN)Lo z58ym_BZQGX;F!M;d`!c+jd65`&HvTY)%<@G_($HcHG_OABUSse#ZMRpU z2cZ2IAcDHlruhFhGT$W z2LUbtc>MqE5JvqE^*~SmLmIsY;JpAR(f?47Ti5>(_kR5k(>?(CC;-&|7ywM;z(*Yr z^*;e11&{{F0AvAj0C|7{KoP)emk8ksKoy_{PzPuLGyz%w9|Z97dj1oTCIPeoWB>&~ z1<(L=fDV8G&;{rLm;m_O0r(cc5MTs=a|Fl91i%590=NJ(09?&20GY0 zL;UL$Ju0vF?C(L~n|qPt@FO2N%Ax#0p7m~kP22y&koVyY+aEs)IXv^)kGyhI{67MD zzqMEHaqY+T^HC1le^UB?5(?ZDe{i|VKfDI-+UtLm5u3LES;+gRdqp1C{@~KWa3uZ{ zxBpX6oHzasFM0DPC#C;-$pw%;U65)zND&643fchG<+lxT?6}c~wwpUH;M(vt0Grak z1bMN)&@mv@Py<4224Hrtsl_VaVc*{PzZ)`aiXY{WS6&s`idwG((pLrW^kEew^6}c& zvi&FS|C8W9&K?Tmf2kv(es(?W_u6}||GzTz|Ks@I8Q}jXo`387-{S)};^VyY+>MlT zy}$Kvqkqyej_d#MF$E(3eGu}z6<|~R4@2J9Y^eW4Ho$+<_P>7(zsLVp{GJ6FV1pde zK`NjX!PyfKR@uuznFyuvkV0+vo`D4bF;AfuGK7wED1W~jGI{pZ zmZ5I_R)bDu4#{RNdJ2v)7t)JDKf$? zByBA7e)WLRuDi%<|fwAZ5qC3}UX8ThLpgWpS4vwE4=rarKC=*Cqm5ODC zK$qVIiw_Gr<}N%j;CTl^Ea<<~66@^q^K>q5qHHaTfcZf^n9FcH7YIKCDo8p!HW%WJQmiJ-Y1f<=lmQ zyO47i=yc_o26V(x>%bFrNyGFvjOfMK?A>2`;L$r$##hk@ddI5gjVmv9pZlzJoe6N z9G>&allA`J-~JqoyFOsWb5Qj?T38?}4jYLu{!cvqu&zsC0RDdb2kRf}HM!Cb3`MR3 zKiX~@ms>M_Ppbc+Ui{IY`;}*&{rVRl zc;G+$ukE}3>_h+Uq?9_Cif5C4`?cjE=o+X?k3kPYT7BP_zxTC&a^DkQ|CsXZm$&}k zXaD>lT513M-~aW0`u2_Lzqe-m9Nm7|ckw5F?!hlS_`1j5$v&U|)Mtvw+^?BcEB*hu z8=OCAZ@?X&F>qrEbS>2XOo-e2*4v(5>aEAU(fBavUwEEplezBw=4$=x>XrVwrc(qx z46SjVZhVjqhW4k|pAbLpJ-wY+)n>n?VI6-Jup?!TS_gpsec_Ez6xx%J2CGt!{$6kY z!TSHovVi(Gt_xQygM-V&^%vTcP@mrve;M+&_Y5_+X8gZ&^8a?TpUA%7^FQ-ZXM3(Z z{`51STzt>{e~ta_%`VT!w3WLa{b$|&du#gtc=@ToDok41RnSM7@Xeq9^u3L@3DsAB z^-~}D%7^a!HEh2}56|Bt$_c#dq2CFLf7xf*;T~j`c5|75Kfq{ik()pM#NxQgF2s zu?-Rs*C@CXq<~yRUX1qWtECXM|Ef{AUbn--*mm46LU-K$TWFQ-&~NYj!%1d93`+Yi zdnKOR|8Cg+cjEqkIobct?LTMV{)2P>dhI{w`v2kUk29kGFYjPpul~PZ{+~hn|MH%} zx&42o0UW9*tUXw_|9|EN?FXm3epp{OuIxX*|NQU1=U;uT@oS%Z9vkRsL7u)!t4GChAy|Ao$Rw8XUJU ztihw?Hi4gqE-{XYhb$f)sLo_8nGanmI&IUCGwQrz_0++mN+=cy21AKhA{L3sa$;rw zxggH%iQ3<0EYnow36yAW^Xfny8}fG6uqRIhKls6eE5k|?i5{y`Z)h2V31ba3Lx1Sf z!FgZOia-ANoj{^{b`7YvefO?yEYyp;c=`k?532D)2Up>s>VEKXB=*IN_hEsayLTD! zy5p|*ZeAP~wluYQMV*50)$>MB?U{0}D&j`ct}1B52;$QKnB{4n)u}SvQ^z&ar8TSK zCAj%EgLCCEIJLY3r*0<8HI)frym{xqN(-%BJ8*w%dwH&63Oht3#L*6BLS7BxKHn2J z5t9tHo1g#FV{^psQ&)$glwh?~&OxOO(A<}<$o3vxUSLT}9!*5( z1jWV^0S+6PT+5~$n@AM^Ouq|J{{A8RC$3yVhiiL>KRxrp0x8iY<*do9s z<7AXfu%V~Ean_pWUCA55eZ0+^`4?xcnex)`yo26u+mgo0c4yNr9qkQR>}Pb#4&V2+ zdO{FSU4EZvnGPDrAG>Dk0zx7}$0<4<+M=RlXe*NBl3R%=!)--Fp-?0ep<{F`^3>(C zJ%~0Y?4O;rLGa3x#+vw$G&0)`o-aj1<2i-r+Dn+Hm8Z|pI-C?+CDkAjC#+uSAok$jV_d3 zBE#zz1uidEJ6u(V5RFl)#86H2wRj-W!2s3Bi)A83jqQjWD`7%;P^tzYH#6(H(|EH~ z#l*DCby~7ZQLLSVD9KW?G9B^wgG@%OmgySXDK={PyhP{eWHAzIx3bQ#XI6}Pvole| z{-no`EGA_cJ!L|Qgr1>vbx1dhT-zNo`B+b-x#_s1B-vmr9!xnYVd_RDDw;9k^~|iC zO%6h1F|CVIkS_;X6D^$XCX|56P8K;brv?fMk_?thg3+8y9Xec*TUEBvzY?9A8r|mIfe=h6{;{DFwX#rbbtlEbAZ`~&LOxQNE(TS>ZA=TP2pczqZm;Rq zbK#sORP$9k)=!V3c_PYGwPrA0)aJupyBtYMmR#gblS+uWW;~M{hf;!*?Nk%Za@9X3 zgvl^b$E zL9)u`IMZxr(>TMNsLH5S7#70XL8&J6~|LZn^Qg@Rj1@=UtCkk#QLsRuQ!9`HA+ zM6Ow!F>EQqMf$WoVa54kU}v(kQr0O3{5&60gm|HwNwwU#kkhIpul2;mkQiCRVYL;M zLzR|D@xkUWE3u)9JPy%9*%oBIn~oNQc`TQnvUaG$bCelr4tvD`uSfDhx?eJw)~p#G zCk;J0bqKXiNNl^nbSin*74r3_E+>p0!KZbCX=}M`GoX(GQC4&9nkm%U%FOTAs}&-U zv#NDQ;af~ptdwAsNX}StYT;TX`|&X!eTS51dQUSH8Rj3%1FMT%r=M538lzh#5NVOp8i&)5&2!T&gF!H9p=hwTG#3?*z)O-wX(TEEJ@2?gC%4!5lF$`1QV zS}VMIeSy)xd9vYv<;>|~`^3GT6nJ?`h?MF{h)yk)kfQl=QizP`A?NU-70YO)0mE96 zmRZQu@|5j>h){%dshYKTp*-Vi1W$;}q&~7zijYc`tIbkGWO_5IYTCU~D_zOW#?!%A z^ZTn&GVE0HxrRYS6q1~p@kKWn)^&l21uJ|!+iQ!4naYUCgb+$J29sc0oi5zrppax3 zs}vqABJHWlq#6SvGA0tTHkub3+AuUIG`K#ajoiXyq7?b27$&o+Qc29sv~sLJ6I%X+ zDm2ngg|ppcIqZ_1Ub3IdMLWfCzanHR3tG;R0#;=c*lFVL& zWvUA~9q~*39OYNENPS`C7JRVEi}idiTIkZ<(x_XS^c-2qr*(hM8t|?@7o~8$7jzug zZ8+Ri7x=Qnjtt9*2;pSBV2!P4k#tLaW)ZS%dK~3R(VvPk$!xV!p{GMKUvvT-&(kg4 zPgkf?JCe(kj3J@e1w{+Dbz<%>1vN;{fB}vSb@`<`xAB_D%Fkd8zWY%?Z>LAif zS9;MvuH=;GqnVhRn{2*r<+E;8veNBjDan{NQzQnFY+gy^Swb6`65E(}bURUFOeY=a zHRt&_46?pb3q(wVG}`@QCa8C{=9Eh%dK6*jm_R(&ks^MT>c<*l!7rqHh90LC7iv=< zs;nR_wWdutGF}4k90`H91Dts^ePauQr>1vKPfb5fRDb+3XTs^W$AlZT94XDpalDqN)a3E;+SGcR?EkQM1g5pA@KAPDlh7(FE&nHuXWbUvyb6 z$n#xw++L8$>0~sl)Iy1ZTqq4^seX$HN1bfRPed5S_Oqq5(JK%_%vnk&DD+*spU&zDG{^8Pku-{kW7Iwe$R_R;h+`Q>2ZwRG!K4Mrfu-8*;Wd zvnD}Sj+0uE{X9`47&>WG%0xS?MzlD+S>@`{u%t~?TIgqqupTPty1z$MlvrGz1uDH_f3|3Z9Nn1^ zqQW`4!KKUGbkXWMw9`>K&P?eLrC6+%==H{>kU3C$?GmR5VO|w0t-fV|s^4D>Y7HwK zZ^hF7p;()=+kUodMy*0GC3me@HZ9I7ot79d)P6m<7zB&m{=iCy&6v`a$igB&AB{s= zH7UkY;c?wfm;)jch=uG)g9&IOsz^1${!YS*lI>(*xlE?SYOPStM44tPwSk(ACzkNs z5PO?jm+o2Z!#r{Gbm~(=@P(H<(@uI!yHm?6VzLajl~^WQbjnP@?O0;UFc>hZ=xVoN z#1bt!Q+G<;C`Xk`0X7^ZLXh8dO|x zh+K|ri=!Z&^3yq1uX6!EBZk{xK++>>VJ;W)1A`1I*P3ak?ldi;zo&5sse_TS%M{jK1MiV za*@JfMuqgKQY)J}r*?+%VS8xPGe#dvBuUbKnbK)C%toq%YQrBhb+;tN7Nu#HfD)4w z!^vGvVGSu-qSj?Z)7GV5n+Gm3*}{vNO>+ ztIhM1is%f@wtrEhOK#Q(yYXh;ti|Mcr&Fb9t|HBcUESm>?X1Z%%~33scY=yvu9KN= zt5cj7Q{jqHDzZV^KXb@lGbTlt+DJ?mOKCZoALPKQ%?9&%QjFAU!(f;h2WCOXUvS*u zSfXq(X$Len-5(Z`V{#O$Nsc8IX{R?AK^_;ygi;%)lO~^MYFcX85G%@r&UVJEzely{ zBoV14G`dIhbLmdDGo?~#BRDj3x}Yd&p;l;+Lw1tM3VcuMmKQ+vN~&usol144r-w<( z%(r{lXojm)>VCcxEmtf2XgE$70l#be^RC=7j6yF!^fL2NXX5v#mE6Qo7g91f}wm_KkyY9EBDsta6=tm zfl61&UQ)qPx)#v-A=kB=w zp*iRI6^&=aNwU+F#<{YTW&>1x%n+QoAn1hIs#9G*-AmfF8l6i?sd~yT3A~f*b^}F6 z8HQU8g^Ol`-JTvwhFc-k?{BpFL|G+*L$b{~2CIPH7nXaSZj()C#`9saERd|?pCm_v z%p?-8C*!tTCk&=BrR_RRrUR}hhk1g6r9Gc07SgFSmk8zkx-g`BRez^3C`bb>nIbxx zP4~K;Bs9R3M|CFJt(K-GZZzpDg&f!Fl&W2Sr#PH-36P>rJYBVgkihiyZq4sT^@gJl z=kg*aszG^Ad2(KQm&de0!EMv9%X1+& zT3#y0LQ^p_fyEQg>#POU5?Zx7ZBUYKQ)+SH=G^%}va1U%Yu2I^SIm+O>|m5Acrmly zNF~*5kE3myutaB^&g2Wmsz=Q1t}sg$UB*upCw+4~AQL6Eg|=miGn18`=z#5qS@F;HZ}t_! z|L$3x!Rg!_283ssUffb#9`-pkMCaRy=i77LPtXMCV59bEEaS(fXBbw8qN}_-godV+Taf-R(*N?~e89zXSftJH%K2 zK>ZgtaEs;Ewc_}jY~Cf&m5(>sR(8bSI8*Iif4RWw6%e;;e_ea?@XFgtujK;xx(H;N zr=7MAk2K{OA8kAI5^P1Bm`n7!SG>4timv#US7 + + + \ No newline at end of file diff --git a/D21_ADC_with_DMA/D21_ADC_with_DMA.cproj b/D21_ADC_with_DMA/D21_ADC_with_DMA.cproj new file mode 100644 index 0000000..8d3986b --- /dev/null +++ b/D21_ADC_with_DMA/D21_ADC_with_DMA.cproj @@ -0,0 +1,1337 @@ + + + + 2.0 + 7.0 + com.Atmel.ARMGCC.C + dce6c7e3-ee26-4d79-826b-08594b9ad897 + ATSAMD21J18A + samd21 + Executable + C + $(MSBuildProjectName) + .elf + $(MSBuildProjectDirectory)\$(Configuration) + D21_ADC_with_DMA + D21_ADC_with_DMA + D21_ADC_with_DMA + Native + true + false + true + true + 0x20000000 + + true + exception_table + 2 + 0 + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + com.atmel.avrdbg.tool.edbg + ATML2130021800025113 + 0x10010300 + SWD + + + + 2000000 + + SWD + + com.atmel.avrdbg.tool.edbg + ATML2130021800025113 + EDBG + + 2000000 + + + + + True + True + True + True + True + + + NDEBUG + BOARD=SAMD21_XPLAINED_PRO + __SAMD21J18A__ + ARM_MATH_CM0PLUS=true + SYSTICK_MODE + ADC_CALLBACK_MODE=false + USART_CALLBACK_MODE=true + + + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + ../src/drivers + + + Optimize for size (-Os) + -fdata-sections + True + True + True + -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 + True + + + libarm_cortexM0l_math + libm + + + + + ../src/ASF/thirdparty/CMSIS/Lib/GCC + + + True + + -Wl,--entry=Reset_Handler -Wl,--cref -mthumb -T../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + + + -DARM_MATH_CM0PLUS=true -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + + + + + + + + + True + True + True + True + True + + + DEBUG + BOARD=SAMD21_XPLAINED_PRO + __SAMD21J18A__ + ARM_MATH_CM0PLUS=true + SYSTICK_MODE + ADC_CALLBACK_MODE=false + USART_CALLBACK_MODE=true + + + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + ../src/drivers + + + Optimize (-O1) + -fdata-sections + True + Maximum (-g3) + True + -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 + True + + + libarm_cortexM0l_math + libm + + + + + ../src/ASF/thirdparty/CMSIS/Lib/GCC + + + True + + -Wl,--entry=Reset_Handler -Wl,--cref -mthumb -T../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + + + Default (-g) + -DARM_MATH_CM0PLUS=true -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true + + + ../src/ASF/common/boards + ../src/ASF/sam0/utils + ../src/ASF/sam0/utils/header_files + ../src/ASF/sam0/utils/preprocessor + ../src/ASF/thirdparty/CMSIS/Include + ../src/ASF/thirdparty/CMSIS/Lib/GCC + ../src/ASF/common/utils + ../src/ASF/sam0/utils/cmsis/samd21/include + ../src/ASF/sam0/utils/cmsis/samd21/source + ../src/ASF/sam0/drivers/port + ../src/ASF/sam0/drivers/system/pinmux + ../src/ASF/sam0/drivers/system + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1 + ../src/ASF/sam0/drivers/system/clock + ../src/ASF/sam0/drivers/system/interrupt + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21 + ../src/ASF/sam0/drivers/system/power + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h + ../src/ASF/sam0/drivers/system/reset + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h + ../src/ASF/sam0/boards/samd21_xplained_pro + ../src/ASF/sam0/boards + ../src + ../src/config + ../src/ASF/sam0/utils/stdio/stdio_serial + ../src/ASF/common/services/serial + ../src/ASF/common2/services/delay + ../src/ASF/common2/services/delay/sam0 + ../src/ASF/sam0/drivers/adc + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h + ../src/ASF/sam0/drivers/dma + ../src/ASF/sam0/drivers/sercom + ../src/ASF/sam0/drivers/sercom/usart + + + Default (-Wa,-g) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + + \ No newline at end of file diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.bin b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.bin new file mode 100644 index 0000000000000000000000000000000000000000..d976872bb198ee9dcae49eec9acf105072ba01e6 GIT binary patch literal 14812 zcmcJ0e|%KcnfG(={7Pmf$s`lVWb%VMb0?5w0)`ACn5vVxI3XF7pY9@wdXqr+2JChK zp`$1cKYW)6w#ra9WKhv)tHy5A*zC4yS}L}mDz@((Lb{NE9V*S;O0`j{31FS~dnQ4# z+uc9jecyaO&zy7adCqg5=lpnnoO5#6O~UeGqFbhb{+mCBbLH;}{(qSozMwg68c`YG zTENYK+W_kT|7F{&`1xxb*1xUaxI~PQ*OV}X$jhtx#gwFd_P-d8z36% z|4nddFc>`2|9G#7rV}S0YqYJ8icP#uvbS0y24Tcx7@FQ&{Mw_zgTZHlV*{2*L3P~p z@rlim+KuGZCyq4Q@7B94l6`Hh(i!wc)(7q3_F$>-YN)fmRCooyRpLj@RJls!YCmrF zZ9DH6=}S1??Ase~9bZv6*mp447wQV?lH9fL_q}j)ftur~^82=(b1ZXzuYrGFt+!Ww zc=B1|dy=cU7f1|+kA?S!IiDqbr0+YR{jT=sj%Ds{U_OnKy~=)S^8;}j=?j31mme76 zHbv|bE3FLs?w#kQQ<1ZAI@mb4`l;aGrui7CoYCfOTju^IXzokXoOX)!@i5AJ(&b^YAWba^wBJ`)!`-Nh8XfD=(RWlw8?K604D#kqStSNC_#Q zzp7`i~sx+$~wMT0Tgj6M+GH5mHqcto? zT7mFUJ91|(kJhYb>GPJV zfsg~Pe>3WJ|DYN93Oc2ZE>Hqf)IRE{-o{J43NK|E%upa#0@$;4RNe1I`y4VDLA~_6)IAixTG6n;h)jCTxsC) zl;hcE>3Cn7?n5N(AEm0!wCp)dc2_31FW9;$uc1JA7*uva-quAq4J`k_Xcl_>u>G+B zo%zP--1RKoJbIr-dh$~S`9F3NwFBOqN%ZV>MDG_7sq=|aIYb^gip?7(HpY|vbP(&u zIn~e7bUy{@ei}xnecq2W)z3|%LG6pSnLKN2ooYKb-8M7b);ntYylvT3Ti0kg=C5=# zr2{W1rZ;9{CC+TH?8|Z6{c-XvcC6M#oc^1{w^rr&-fBMHr;8MELc^PmhCMTuyz6M% zbId8V44QI=IM=PBqcO4S##-qf-Tfmb-H^mI6*SazP_zxiOj$!baB_e{BEyNBJ{;Nw zoDYEWM{T9)y&*m7O{ia-rqN_NJ9HHF@1Xv)b|iQf`j!?`-680@Bu7FoQrC2R6Ln!J ztaI-S9}Vj^hU+K#e;uq3M*9tvO*fY-zRcVDM9D*GtX3>tX5A zD$euis$yVyBUs7)#^9@~YTGL#2mIYqZA(pL1t*Jk|E5SD|Ea5kV|%+VvfpsTm8oa> z44u!TXegrJqnlOhZz7}nfK)8$qmSUr4gUAZ zAs&*dP<{(7uJ`Bh)0c=CDP7cZuYQluALs3j`F@6f3(D8}-{)aKS3n$=;2Ds}3J!qUr$Q!>EVC3Yl69)n(Pae~B@zudXGWC9C%C`5wy4(62GW@VQI|BT12iXRSDbv|j z9Pir`xOmDhrmFsYGCxY($MPdCt9cdDbGmQ>uxQ`}Z2Yc`qi+49ArP9G)yh`?=Txv^S${pr{z< z{O-=c|2}zd&IC~x7e8G89_@4I<63iqa~aDT1MUi>!TEx<3Q>gQfn{}Ziz@oRur06&_l7q6=S7foFJ65vI^^HcTH zuBv}hD_wjD&;{rOJg(`416s-A{eVXS-vsP~J|-Il$N?w@}O0)PWh2+#vE09ZF5tiyV$#(uGc)(2~j)x6Gt;=7=c zIkAh{gDY0=3>a0;XY`IINHFzQ50H4W?~?Xs*xRxGUj(V&N{RDS0(rFmcX&jLi`v6`|;11+DBT+uexk#&#`XSd@6H!Nc zzhz#(kxJWb6gTr9^mO2fk1AS2ae(5rbVOaiyGc%0>}iO#8m4k9TkJarx8`om{l@aGb}_bf`8SF~_O{~C$~Ly1Vr_$Mh?{hS z@>xVr_SS-13PL4vL4zYyC_AQp%gF%=9ZRu}6mk{Z+FM_%tVXW4evVR2`KX&AI{dr+ z_E520sSLu-e46kn%#!-#iGgJpBOZH%#~xaSd0^}81`GpRYq?0-8fN?SX4rTK?7M3g z(eLx&Kf&f+ZIK?s=vhIhLe3x-pYbFrEY33y<$lQ)hzg7J{(PdZA!GeN7jxpPew}1# z&3R$=tP6Ko!ud6WeSFM8FEI+8J@_5FgIq5ny>Q=#jUM>yJsZpLdw%1E?cPnk2RCfO zJ`6hONnqqxlRH~9zOXOYw#XT+d6?gix!}42l;}$4oH~L$-^EJbOv10{kyDG#>O^CV zL{lsS-{K5c%{^P)7nFE#bGt6)+pdqzM893Z&C0EuJd3&6%d2j`9mo`0^hhG+Ntw5|&hBOc95AOTuzFetL@^z%p`;KJPy+U7r@?;#O zOSFdKk+_K)ii$I&E3+&GZ~uJ8rQi%XyC=$QlY32gINTNXZ7|0+Z?ME(-e8Sg*uclK zVl>PAFKvh$jWHAC->&_4Bj8Xc<>z3B%94|kkb1A?TR(%2^uf}>MrXT?J%WfFZGum#^(?3QaMdI5W9H}=%)_ZExryc5j7d;O99 zeFe2tIg#L%+=qCVeY+*_sY>FQw(}~iomXMmw);(g9$L9~q_5LhqIM!~;>DG_9KQFo z)yV6Sclyq0Cz0on&-1;boiyr(Y{=L6PHJ)F1>{}6rsVQSCU24WnipHoYdEXg@-lP+kCfbVG18Y!&J&wW z>xO#LwC_^eAd}OSf8r)YlH{GIcA0XAobDR&y;aqZ)Os^YA61iH|TT zhWpyro5SnZFE4ISOJ`Gi(o*$6syyse#`hfX{i?|VPQ2j6lq@o@3~yM^`8Krv2To(e zEfjJ3E$+4!4XrB{s^Cng3U1!(+HE2kc$f6~0`%c-;e zBaN+*aD6(CN&BAGMCMZFdywaycf}Y=Vz+xZa4I!EFpVMHr$vRz?2=3}jTfVE+`Rwjwp znE2BL+b+bPD!JiTgiVch1@g<``c41((+hWfi`;sA(hQ-D}0Zn&=_lHrC19I^OMDm_J`ATJ4v`ES=bm$mtrVE;s#6F zkFm)1P}lB4%C4D|*Vg!$k{vqLxp1CihPq^D<4UE}wO3jxbLkyLo`PGFeeJ>L5e1Z0T}CmRro49Xp2sVd}>Gt`XR&8p>T(WbG$zKCJ<;gL^ zNY^oYQddCq0AK))7qc;Eksid}Fk*x<(jzpv9t+75u?Id)gzM2Bc-T1bXN|~aMP~B` zDTI?O9`<^KFOfp`P3DVqCDLMrE4Q7JN*#fD3BJ7g6fYufu4$sqz~z&9e2tV(%=avj zEK_ab$lDuNMkddN^T0XIaKbsCf3b!R$xgabpNSD;`_$quk#3XOz7G$O`?#j(=SlFk zz{_zK4}w>Aj+23uo#ohYeuR^#sXo}~m_xv~EC*8le)!xdSW4rB5d(ZUGY#>SO9aLRSAix=UIHKlUGH!uW(hxr?T|3 zt%p753{^OR+ufRFRXrZ#Wc~#3-H9e$@lY(nc}vy0mVzXS|E?YJoCAe38arkCtyU*n z^&abCL|LM=tJP{%#UdliF-~-el@YF%^YW*v2X?efXglOf8ar&W^_%HunK%oo&?ltK z5zf%SVuTqU!OyvN_#LpGQ|k!&_-3ZtV0W*I8&R8%AJbT6*n`UujxX3DmIG1F&`h4Tn$YQ9rTLc z7kA)R=Jgonw4^cRDhchCV)9=WJ}Nd*QDb6RQR84lSJor(9#I+$4@RuBUX?Ot`jAJ2 zzOCB*s3f>;Qs?G_+kPy~l@4z1+V-O4mbx}$4Ph6u-I5Ld8GNQq-S-Li!_MgeQNUpy z8t{GSK=v1=I$8!`XR6ReJ7yAX#9GGrOk*BSCiSYm(WV@AFgwaOaE-b0C)(T4mxtXX zaIo0ndKOKEWTsC!081zOC-nu>8CSv5yjy=%Zz%3%J~qB{#pT5|q_zh3+lwd?HghrC z50?Z#PT@N1HRuc%#(oR-&25NJe++|v5V8Cp0H-`eg^1~&b}=FDTf}1fRnX_}ga3Zu zlUvHz8@Ojsm$^4^*Pzb4H?U|>XW1JlAJkd*2C@cI?o2-K6_)1*^YSwJbtHOYwUP|Xf={&>c1}*9QQBVgypT3k@iXPl!&I)4HOYwp2^4!hSK>uCmrX4E9z{)aD7VS z;D6DWae`+$vtnG`s)g&B%@|AHeN4m7oI}xmlRn>ill5RwXWcH{A^n5YCOud<&w8%# zQ1H2c?iAC#oxwL2g@Vf^Nt&;pZ!NQW^y?NqTX?&pp-`a7+k&xyiT=s6>UVHjUC#$; zPw+wW{OmV!XimMFn&VL~Q*>4eQ5%T|gI&P~Z$sx1^LQyRaZ%g zUnjXrQeR5u`XRUb?LI$$+~7B#Gub3T`r>?gVt}rhme7NOu9iUI$689@-8B2>s?L2> zquGTCtZMg9G|rQ9TR~|=!;%`~E^nPF&3MXB;?}@?CzIg`%?cWsX)gX5{ydHS>5??# z3IA4{<2an;enN{1i;_UJEAiM zOsXMZNR&%{-fvzMOu5^%1?haseJ94C+gao_oWVF?um7EPXYiO-NrEu!Kk9tK@1;9R zd+O}c8rCCjLi8AYy>AWU3b$Zv>}~*sIJer&+FytMIE=?+PgZ}*JqLSR!Qisnk+J@z z!QbEw!6G`+|9Vb64+Cf3joXK9=Ed}8PFK*AJ&i_)vO9vGP^z2~-%4_>rNO2Ch4fh9 ztE$^cm2~=vB$eNgpgEM-n_ON>6{Pkj(SOkzZqZPjdn%L7W634Mf`9Vw#?9;FNqy1v zkcFG~JHNi@yZ&0btMt`6^89CcW({%kQn=;5H_5r17rVI;q%pncqpoX;#T5aji6Xf0o+UNSZwS4*(YHm>%ms0uPC*p;i zXARds#Cwt&)=r|e_;?>zx#`SPMfY*n4vjmrXsyQKSh>>wzqDFvYxFqnST|I0ccP{E zmwjCMt!Gjd4Qjlw2`*5Zma42%&9p<~X2y#;G^#4bu6C(W&pG{yfQS)cV_uBoqZ9rY zoiYEK(pa4+U2wu{rP&(BL7jtp9P+%NS?LCiysOpY5>McvDT$91^@o!S!2#d#mYEzwXbR)L2aXi5s=KjaJ;| zTToky+H0_4ma0B*!GrVL@O1{hO6r>uRansbLba%3(P{8K>urquUjOZ-d%<_S5RNza zaH6#*5uHWul&(ngF&~`X8BLX!rsZyc+`nZrkvK8R#*|K6r(M9P{%UlR`!`3U?q%TK z7I;>bgSNoa;64ld4~#M?UK!=Ye@xTtA0_lqjC?P?9l^fAo_PRpxsI3U^_&KCQ8o$N zy;*0T@LEqp@8~({+g|hO$AzQLQ~t#P?2beRh3j=8)p1#Sy!N2~&yzGBM2ucutsX6S z!_n;jd5(Y`vTx!^vB>$jH|zA{?&Hn_{z_WQuQiYKt>s4yGFH3;D?U7M&|7%=AnK{I z;>-cRoAQ_B`!P5&f@#??e!@0@q`!ogh92rIRrI)Zqy03;puU`@SB=U87aKx{0?QKjC=}<} z%N)GP*`1`>G`u6}B8D;TV#@}q$WTRE314|ViRGlN|55$Z z9a6C|;Z;h!b5B+^4*Lg9vxhLwve+)l$Ml9FshCGCJ3W`zwQQIpQ^hYopA|NuuUsTS zZu=?DYh5^x{87DB{PQ&a>uL#ln~pn+Ir8^4|I`@wAZL*{p?ilv@SK*@PS-rGT9h1l zqzEfZ+;5^psJ&a};^^=60ga1a4^GSJJL`LK)~`D~N#(crev_lf$;IB&IPwdYc6Q4K z=N^3_ei)!~efo_AN@I6TSTJvyiMti6yZcV|7Kp{=sqv=Nd(a$gZeLP9#I8LQ_#p8| z<&PR0?<-@CGtCAX>%)3J5`4O1a{u7QSeE2n@{S$SS6|i@ySX|wzWOsm z$kW=^st9#(+%K~uoh-L%A{YqJ5>1|Rr+>CqX(pcL?eQAbDu1ezMplq!^ zEGsps@wuM~I5F7OZpWzrdCL>K)*fA}TW1gDHx?;7Te%qYMuHP}wFsC4VM3N!T0C($ zojNASos{)1SxJpQo8sJ=uEWSZmEzrbt|W3@DZC?Uu|uxsA@4=VHql=iGEu2=Wsj!D zUr#Nb|3hW7!qw`Be3*ZeI$!xjv$~(VlV)eB%Dj&>y=wtRvNKgJQ~6lJD(a~gy-(nF zTcD=EyE}Ma_l|X6Et8*<*U4qr56`t^xGRW_)X~4JYkRKkxwXGvI|^IEco>J2CW2;r zZ1Fs*;u6*L*+84}oI)||u3Cz5LmuTLt*3+YW}M1)_uR?(^lAkyATEyc5=puCJakvM zKO3)5vc)&=e6$zmvK6Lb620=v&=k2R;3ZK`l6#THX8wiBKN=Zx^Ksmr`3;S6$C|*( zIMc5kGWy#3Uzi zBEvh}u>e}@7{-Rpjjdl7;>e-U*sbZc=zv~eE1uxdSZ9cAZw{YxFj|*CEy1ZZ-a01Q za=%OQ=?*%{DH)w<+XxmkV-e8RO7bYi&wSH(|A8P^)g zI=l3^wd{pJ$w{W6zyE1jV?JI&P(@+FvxIT1!wl1y?XnPeYEEumkZ9Bdz1&uO2=7y_O;jkyaMQF>8(<6((KBNyCc=tXI9e54Tz5_)y!CVayj_t691+=sVzk=o)}jSYK%7; z`|uK#A5@v`ngPpM=6y=#=5=X{LKUz*&{TN0=#|$7|4lQ*9VJDI;ZgElKav?+8x$jR zyp}{;q_w!xdsD&?=jS ziEw)T;%&X|QC*z#y`6Zqqsd4^+cZn(wke#y{*Q<+zLhLE*;ZMlX5h^e zB@QN6h{X;>rj#W&19ML@!>u9nEM4rHq@WZk2Kbm6B@Q`DF(m!Qi$hroD}Ar;_D}|JzFz52&9kN_Z=P!o zuWHoocPcK$hWcsX(>-*|#N#fvP;$w(S)iW~M7Pof{zP`R=yQhKlx}F7<`KQyr91{K zn!P%qf0DdwA-OIdm|qm}h3`x*HVzMcukWOmnU>y==Gd;ZwmW4bBp9D6Kl89NN}~Qr zv1L`#sW2(0<5f>9-t^Ge=tU~$)M$|`w<%%^u)6Hwx}?}%L&k%KPSX?5V|_>}baGkT zlyf38o>V#mHw-(dHaR)-X{_`rs#dvc-&HcZ;?5@mVgG$NS}?r{}-(O z8=IQT$ronjyZ&M*{V&)hjbQeTZka&`Q~54R_Jl zcF3w5Y5Q7{qir4RUI(9!3NFGvvG=nR{WECNo=>L{gJFny3gpI3)|el0f}yb-k$v}$ z8h8+A@2thhZJC%DVC}x3Uj@7XI54E?h6nJnhGX_xUNBKH>j+Fxo6gZYV6 z{+7zk+#0BcpLVsc%lwH9yqP4oafur@bAK8C5iIh59_#s`aDRyc`wmyup!TFAI_6LJ zT$+o%a+sej{_f6LU!iICiBs^qGDS}C$=CVVDB-k!pnQtA^V%%r{sX*?YE35l&|gP; zsqt@KMikQKN&7|Jh|MM=l8mW1f{mFaao80_eFwrzi$8`_92WJZ#>LAV!}86|6BOo|FHc>|HJn0p*^o7dJE|=;5aKoRp1j_<%p^XXK8rr z2`~Z>S6~wGoEzW(F?eD&R_dPBDuI*ofM2E%~uC^NVRaHY)h>>DfZMtTMEAsnu{@a6ySpE$ePE0A*c5?c4w zf4DhVPt|j4eDkiIf8BzGbpdJ7&B3caw6wOZUH4TMTOJ8c;Kb-j+$@~|dsmnHuVRR5=+u0*@ literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.eep b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.eep new file mode 100644 index 0000000..e69de29 diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.elf b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.elf new file mode 100644 index 0000000000000000000000000000000000000000..291b126643f8f0db2522d3f82e3c68a63b5973fb GIT binary patch literal 1145848 zcmeFYd3aPs8ZTUR&gmuHP14z#PNxZ-J^`|@gft?HOVbS!ilUB+I^95K*wo-c&xkrv99bpzS9MMjoICga@!jt}&-Z*! z<$0=4)mv}9_13T6s(Ne5U1P?FD5a$HPa=hcr6#)x8N!ISq!S_$!w}-Zr;ucl44zN) zU&ay{t_1^2fUZfCSi-~dZbxLZmk=YPoL7z~#g9NhARrJB2nYlO0s;YnfIvVXAP^7; z2m}NI0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx5C{ka1Ofs9fq+0jARrJB2nYlO0s;Yn zfIvVXAP^7;2m}NI0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx5C{ka1Ofs9fq+0jARrJB z2nYlO0s;YnfIvVXAP^7;2m}NI0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx5C{ka1Ofs9 zfq+0jARrJB2nYlO0s;YnfIvVXAP^7;2m}NI0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx z5C{ka1Ofs9fq+0jARrJB2nYlO0s;YnfIvVXAP^7;2m}NI0s(=5KtLcM5D*9m1Ox&C z0fB%(Kp-Fx5C{ka1Ofs9fq+0jARrJB2nYlO0s;YnfIvVXAP^7;2m}NI0s(=5KtLcM z5D*9m1Ox&C0fB%(Kp-Fx5C{ka1Ofs9fq+0jARrJB2nYlO0s;YnfIvVXAP^7;2m}NI z0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx5C{ka1Ofs9fq+0jARrJB2nYlO0s;YnfIvVX zAP^7;2m}NI0s(=5KtLcM5D*9m1Ox&C0fB%(Kp-Fx5D5H#0)fq5;+gCwWP%?4cSvtuCM8l_8B5y8 zTU1qJ_8s+vcoanm?6=P-?xqojl+>^qDocP75B{8WyYt8>>U>Lr;X-cUbFsmNuIHy>C(7$OWWCN$jl1yiUot1~cc3jIIm$>gI(qW3{0? z&+FlJ<$0dh@Y`SgX(lP27N;ZsJ=1sFG3TM?g!9AZRe}6HQ*!n;uMahcH-zLidcpV2 zTgLQ^rxo<~`)>Q%Im!FnIO&;qd1n6~_diLbZEe%(7NUk@yJM?j)Mt(DYUzc=3)ybYWd)kfo-^e76iKAqVP?G?Midd#67Z`tLFy zf|SpaLvEYoeE>4=<1(`kusZHVdIe8^^1sk$8FJrB{&%^zA@5L9QSAj%zN>A)?Y`UX z1&%f&(lkG#&5SR}Pi-S=DZUnbJ@^{&CHP8h66Z^yF|Wm+84QFPV#n|3>A4i`8Jyf3 z8xfs)XJs?2<Ry?jf1MvOCt`xB2!d_*Ge{df%O-#1psoCyBqck^S{; z9>iX3EA8({{B%1hw#0v`tuO28=_n=#49T*2^<^wZl07{?)gt!UnWJU%*!RTI;n9ja zr!#A^-0F>hYPR#DM-k)ZEjP2Ce^gw8Zd_hFdV21Kl;vn|_2{&5EPlt)9;orh+J^$%d!Mtn=FI#fd)K#{RUN-+|A^I=xXon14I@r9?7nay} zob|pmZ>GPI6pVFFm+M^qOVy92rTIRZxu;pK%cY)iA3Dda=so@`XXT3Bu3*((Q(7y{ zzf5&jB&J<5GI*1G`yrFu8e}sSW+(?F+IKXVdbCR5Ndpg28Be3>$JXWG`2jrNC8wIc zZB>wOLjG7TqtbMwbrG2vXyw9drn@Qhkdz9l%L>R_#XxFvY7YE;;@B6aw^L03KX zy6P{fm{D^{#Rddd{je}7v7snEG+Y@yi1t;QX#r>fYaFp5UpE{2pG;hU{2>Tgp2=;b zpaeEV)h53+I5m=aD;=4+Z~*c;`hOqqA4^l$h1~u=6H9&TLuWC&o}MY?-y1wVjTW4p z<_1?u9W2>j5qf>v$lBt%wf?5y$f~lsDKw&H`WMz^NN4j`QZ}}m>(&@{=BFqu{$m{} zQsO3zc{5st@q7y@rg6JBGia@tIwy7f=5nHLt178$B({o8laTV*_$+;LhmIxi7>7JMmR6xtxJQh z_6e7Iw@p*)(kk}MG$B0!DT7*blc$bQYj~&g@!E?=SWs@;)e`jFcDaN&@$65szU6&+ z1nVI5A?RPG6B{q>EtWcY1?^krrv*)Dr(7SdQ&!0RM*1s>(YrS24l4EcgL1L|d*W0# z2KyuZ5lW2kXGo6mDv*-vt+yyEeEvo$vm(pS_$MHJf&Y8S9(MZ~|Gl@!E6V&Cau1z< z_bn!`%P+5(A|Fx?*|>z2!TR0NV8mLxJQA+m6=~nmakitQ;|#(HgnxB(1a3E|hGJ`c zc`Yfi#1BSEu^c}XW#2Q20}-M+NvwQn6;V$o+gm;lT^YJ8G$z#6^84-eA>|fkTbhsoa z$Bm?Kuna?$$=wt<3K<{td*2912kb=N-oAsBWF;g_ ziX=Y=HcH6|j9}vF$?Vlj)eP6FQ5m*e#T6{8C*E(8pp~3TSQt-!5AaCDO2``8Fbw4_ zNE=AK+DQH0b%DR`za{M?AscAp=JIdJGS{BwDROb}P_hT0eG%2!Tb6BCNGhKVOmR|V zNLa&_b;|?xcrTBwjc&2UGCd~PqxP$WXU5nZKs?fRctV~tNi*!Ra~}7H*98X0Ee9^e zcS4-~&WK-v-wWdyH{};2W{Y2h-xCR1)Dv}G9hZu-<1zyA{E(zYtp3TM89+0D_I0vs z?3V~_2%jSyMmUJDU+2B_Jl;=}1IB)g@DaiXdj8<^^50Arj(r2+HH266eD%Ei=acH# zXA!m{Jfr7lpO^n=GH>iggbfJm5FSa&p0&wdW7i<8MtA_>KFr6&P6EV<;6}(oFeBJV zKqdwv6C;8Nfg_KPBWtIu0(R@-8T~|(ryb(B=@P`!GdixHlRtDCEP9*C?|3*89NyJl% zpvg!j*@O<03hnzLB~A)#;dLR~#9+u79eg!B+;UQAK17E+75I0;*hVoL<+dzBc?^>+ zm(Ju3VKQ4D-fn)@LyFlPs5V8^1@+!Fq#A2cbxg_6U$irS96hqsySV8lbpob_afNVi z*OeD{d2`I;$y}0ICo%6j<_f#Ia*UA5X6}A!O{~wzrdZDj`J|Arb(nhRhhWocZ72*s zxnjYp1-ln~6tga{`>ab-;19Wcazl@>$EP&(7;jkXA!uDWD{I9!8;E98LTnfZ@M;2A zBTkKkWFei7Zz;ZhwCjk8kd=JArJScz=51WhMV-E=JXVHGJJup5kIA?SG(1mX5(}W)_5n@_xyf@e3J$L32W~8E7C(i&jvX~5i?=?Gl{5C zw$C%RrvGLwP@`<8_w&Byaprk{a8vblzZ|qyr)?R~@A%c$SXSBIW~sqRo@El&ZNqQ- z)g*r_zQ=E!zn}m<`?dvr@Ox~*@jFWv`tF*)5aTf9B#(k4tCVTLx3bUw51)`iMX_Zr59O8Hr7>6P9h@4fH1!VlgG5q76N;~QaM7KOQrTToq|D&LyE5?0 z_FGf1SLuUq2EH%c`qQoH!JMFPWd~|s+E(wKqVz;s+Xu;s>TqLSqlvcGtG$Dry&Mc( z)z#y{Q13|UwtBWUd1u59#x}%!^DPaF=35(HoNsG5K3{6+(LnlHp0B~a(b!-@`|nKt z?+|dtwf0l2Lw(w`mXP=@N#DHQWM?y0I;_#DUgNfn*jEfASli0kj#NWi<4%nm8%cwy z5nSlA>d`OEE1frF;wuwf$X}Tol4O7y^`OMv1UNQK-O&$mCBymR){jBs3-jei? zKHxUB(F>J9J>sXDUnlFXB8A7}LHEDosawo3V%yo=3miWriFzzjQZ>J7Th+0ulIm^M z^Q(#X*gf0sDfN8|Kf5~=M%mh~ko0ls_&!O}!{1CIo`;Vz8)0_y-O=yiSTKLMbB!;8 z(}58)Djj>Z>r)7M7-2DJZ10wyNyrwAox3rn-nqrC!t+ir|L&dp*EIJWNs3PLe8_O9hkDPk`{~HW z=41De5?g}$)Wy`-wAj=(xh_Sr2BosC)yI-Jt6Kab<^%~};vEd6&zM~M7MbR@ZsW3N z$7|3gmnm!CrPxW5k|FU8ru0^qw@m$ZTItA|<+qt8wHA{3wd=~qw-u6OSUKC07lQMj z$r(6N%HwKAsou|8aj>~| zo+UPK-eh+zZ=F59jkjtWT9tv3%Jd!xyb@6|^6=D1lXaiZq_moO>UE=e-^m?l1!R1eEF|0T{a3PNULjdm{!^0K zNUWUiW4P~WOQcL>z6UAzeDaK(tcc=s!o#IrDeQ{X6Dj=mr1l-FF_*7JcuKc10)IM1 zWoKv9Hx;1)VJ|}F0;CtPm2`f{d4;^4U_E7aY;TdUniCIOVVNA3u8h*(q#L8sZ_VhbgYGV%&65s%;Qhzuf*zV3Ogev_$Zspjffe%#;_|A+woZrA^+|L zp8+GuA4r2I2VL4oTWO0q?2ei%NT2%raS|P7+DrPMiBF3>9LbEb5%ce4=?+$g@!D8R zN{oqr9k(yX{xeRz@GD}bip(h5ABmMOeEF^8vmYd01yowbP;5^=i#BgZ0DREX6{RCw z5hf)iVdQ;)(;xdD?gu~?Oo`zw9nia>G#K2pIAP*#AC!-T<_guFoZW$^^45~ zEzPsNob6_IhE`&~TtAwOVtqvUylknP5v!=%5WBbTU@V7F;KX`{%^UU>bD_Myp18xg zIAbK9vbNl?vvyFME1Wy8(a@NdGj_kfu+0&kUfZWFi}zro$HVhe+Jd!j|bJU;>xg zPcm*LZO5Htm{yOTl`|GK{?~6e>SnS_J>pjcaj@#?SUK$=7_R`ks z7~0Hau>G&rnm)WWJw2n_)QZe`+|R3q@6qO|XsBhWhAQG2+UBmvyqD)KBc4HRITZ~& z)qoUQJkHAf7;7Xmyy5N~l3J#<*Iw~ZG&OvndP;Ny=5>~1*K;gDr?J^o(Qr3O+yQT3w%bjm+8scT-tKa_IGg7=L&C#xGJVb^YT{(r$#6rQKX>fgtq3| z&@&d8|gxrC!1CAG4V~*gv9%I7@gv=Zv%^q`zwJtMoHW4dFdGKN2 zz@IfD+M{lOWC(_Fk|kliJ|y)DhHus4xpJ@I*eETu9|-0-149y0Vd()$#lE?$lB^4y z*`Fbm1+xhAJ$nVMdYMMVGb^UnY3ITj&>Uwtv9vCVExa+}BAxjuK#Yx3tG`$9@(3IE zu^q&_C#gt7g7CJW%O18Lgs$uy$BZvK%dzA92q#f`9Sj{y*o|@9Nl|cK2CcB7OpPeW zWj5L=L(yfhGbr?zE`#l9av;OeMajG@7Tu}rOl7{Yfn*?WN%R-#m$Y=mY+YQI@WyC? zRAB1?E;7)Rp#4n;n8(j_GO*p*kZ=5jgxj^=23G%bXhUaP*c`^%V?+rfWE(4{uBgxd zkV5zRPTg7CC6r@r=xje5ooV0)vMX{8&a|m3QpZ{SQ|qU$=)u3~1hWbauV8&{99OYI zmW*R1jIwY&tI59Oe3>2XIA?mRqeGKzt1FO{AUi*MvRMkxtheu24?AOZ|3=U7%oPQO zf;6^k@?dxMpE8*&HbI&{pz~iU+OZ`r)p1K`jJ|4s7Hmtkn?HiHH_m7;b z560kRw7(bb>N>-@B-{HHR}_ag1k&R!QiN}hJw+9=uhG?LroKk(D%G*xaraHXdy-PN z0sB+6LIsay&)#7ExJsvtc}4LxI&mxWP6PV1S4BsDukfm1L*&=ttJO-9TalQQTd}uJ z?z1f@6{x|zvAuP+ey<13eSL^yhkjeBcXiO?wFlQNT7TQC!NI}xi#FW0HRug)SOgow zC}g8075cMqE~(%5d2Yu#ry$fLY?d$sevdhj{l&;AG6{j5sbVf#+Lw?8uw|UjRAk^} zQi&@S_UJArTSujFv?4w7OY&pPmz%xBL$PAV%Gquz9AWb*MPT2PE!up+=8W^g#Ei>c zDL1&cGanmt>MnHK@wJa*zuU2k#F{yljfc}7KThG+l_xQ0#`TTq6-vWN(4VqU4ZrUrStP0HDD_d3tM(>ras{)04 zW!tJikG&n<6e;617MJ#BWTZ%Q<19C4FKJ7$>_Uw0-7W8QO&PVE$5-)qkjKM3&baAb zE06Djbnr`@pT}46c#y}#JkB%#KaYR1XBV_W3Cc_G_$nR`@_3lXndT_Lg@W;p!jbV~q`nXFey{Wj-ZgBfbCG*gs4)}{Rbi$}E19!@ z@z_SPi}^!?0tqvu7)N&W-4HsM92Js^3*&MzNqpKyi%I<1wsb$*?ftmfFYPh-Enl1L zK~J#zeEQ@LGAKKtK!QAyK*FoZ4v*v|1Kx|v-d#yDASVH<_P&{<1sz@+Bpph^q}u73 zC4GavAM+D+NnogpwP91z1{o<_i$B1hC++__9qhfyzXazvP8acRO4fTw!A`lu`&#m1 zG9|PPzxnw7F*(wUK;c6%@Y^luCHvo$(9oUiG~Zw$>HU7(D> z449GAKxgJPjk?sfCiRXSSMWKS9W0>=_ItBJ^US^c**KlQtBe%)`E0&PiKnS0@cu|# zxuAr~t!1QNxW25H|6K9f!?fTT>bLA^w)t;_emiATz!WzG42i;^U-DZS)tv@V&d@}^zU+Q@|TdS^R|u349;LR;wD4^`igG`(+am> z47-aVp^;9vu<{q8J_n5t6zx^b6@IB#8&xX#T{|ME`K|P~e)l*F}oS;Z1F%@Ztm+ND`~s zCg+hN5^rfk{kb#f=+;L1SPJXMUZ)Mie(%2@j(Q_F(tBhK~Miajf`CYB0C>w!=80 z^d$VtT#xt{<~=ehHOMr1ZQl%29Q(L0%*FnW{=NhmL=w;7Z0V3ZGFT~9S~?06$$Ts+ z<3A&X#qo~*uf)+yiZPg5L_YgdTPgAM`@nyT>jD3Qyaz@ZgN->?(ZA{1-2?4z9p~~_ znynZy8pHF3t7nQY9NLCL;Ll7lO3xXrgBHxIdk zU@;TpK4>99^UFc)4Qep{VUQGAQTy^sUZCHpQ+9tWzQ9M!b{@Myhv612Amo{UFA z_Q2!Nz6bQ*ag?>GCv@$I1P#JItjxdY+MC@DzZNgHR$O(mW?OL}~^ zsl;{|^NvD;50_XDKjPWtI^Z80!01SrNvvEB$DL=AkBnUJ|5=l97xw6drSV-o-*wLP zznA902)Xa%qiU|}k&+&VAMx&St@Rg^Ino@hK_lqXQ`e^-*C+G8=#!!A z^A*km2`v6q=(5N4kl#Wk=iNKXke}lE*q?>FKn3@`-MV}XUH;B>apzMtS6PSEme}mW zb1gh(F%Qp8;jt8Dxb%d5rr*idSVfj3ia&TE{0}(ywN2q}yF8hc$ucKiv0yit zgR>s?(ktyHYdEvT9VPt@xRJ;HFX2JIzH!R1P1*2x)I(?kX@BU9;|=p0%62DobrRyK z2vm%!hwLeAmTi|#+IQg7(u+(C-?Ke0s^Hd*tRVvp@fW$gQe>_@H7>j{Fe!0Ulr&20 zWe(ot+}%b7kb_Ix@(JU}K2 z4=Slotjr9ewAvI=Nx4srs8v+0sWRa7B_Ddy#7ohwNe^OwO#B>u3iHi@^V%}-6ltW` zPc*G08(hIBmYO|HEBi(IRn4t(`kis=ar%k64!-{Nfu_h)RZg-uN!Ou$r%ib~vCn>D zCEdj8{#%lGUQ0d|c{jN^@=lUz^;VK$`!N=tna4XizQgxfgcB|Iu4K0-nWo6TFwUEl z!`AC?FL0Q6&h1FfBZE%kEO=nFBm&=yy8J`pk?WgQR^k-3Cc4vAGasCB^}3xd_{#H$ zT1b-fUMYWkWzcO*ltg=#4Bp?r;-G)8X+SG*j;OV2WVd2y4Z0=dveWa(+^YElBc$kq zu3lk?zIKXuA~kQ}yfz=_k*|~o-S2V!cjCQJn*(jEcx{q4j)10x&%)}(v^`lZfX7j5`9 zNr~TMt!1}taPCnT_76Kqp~BxtAk{wmq!s;^lDIBv^ES<5Z-LmpyyHY=$G4a{Sl>Py z{Sl*fW8jCxchT>X4DU{?ai%$rv^T?^cZMD>(#8*Nj3dFw^2pMq!E4@GDpv)omRIEv zvv+1`$BF6Z4B>+6nrbE7gzy!DTEolw@Gc914k2U!LaZg)ry}q2U@F{EA~(9Mnl@nGNKkco zl?Q#`IT?wtulwX?{&k)t-nNL6ABlFHc(Q|fQ}Q<>_E?AH&B$*OEqP%R$%}T5(T)@Ebc`MPQgl(2j#OHG=zmjuX!Mt)&HL0WGN4C1I^?IMl0OVc zuIng`kizzaO;yN9)P54T+a6LG_-d)-Yg#(@nm&=IB6A~sFdm+&GJA^%Lu%Krb8DWe zd1}r#bB&=g7MTtbwIuQ)W{UKE@RCSc8{JCU z`~FG_e>&9el^St(<~LL{I%fo?jw*?K56dJTHDbrjE%rQZa4cJ-zN`3X^WonA{F&jz z4!Uh#sN=-@9b~-;_C(s3p2WR;g4x&x!@u^)-iBaa@ad&rC)2{)s@W*_MEDHT=&7oy zV%FUwo~j<1#Y(oHC51-pADUJ+1YLxxjBoSGVVJdc0~^*i_7*uzi8D&tFXOi8#Js>P zp1`BA^;X%~JovSf$vShk7f!A5)-h2N$%-(_@w2fVO@WjH`O%1TdEj`$8LfV zNM@25*q_t*6L?vZ6P@nd9Iz%l(G=%&XHH~Upeo6*nnkglF3;X zkoDaBNOfdpw@Ce66-$}~qyY7v_ z&4IRfzKfOFdphVon0PbHC4Bc#F{u8bGGrh`Bfg@{3UkZwk6V9X`_lWs;jX|edWSSiMY}z zZ5Yn$*x3xZgKFM?=?LVF-h3n&N?dpw{vroxm zaXMr}a&))|YY%2Byjv`Z%nAKDX=rrz%8eRUladjKQX1xj)VhHs) zH&WlniPu+F8cFMIN$a}XbeEA^E`)M%qoYAuOMh#UK<(cb=kn4N;Y+&Z=|@;1`$-=Kqwjv#vEZSez5Gp4Z*4<>e%9#v-bwoH2zX%8 zcHD}81@1=IV}U&fAxX_Cqw70mwR4~8+>TPu5od6)IhIdmxW^1mse8g1ER%fcvA5y# zQq^@{iKB6PVFL4Wd&{cr#x)iaEHgD2Rx4{PciM=(@doTK9&GEmzoxi<+>AF*B(c72 zI$rDdC4r%3v7)v)XmxGzi9~+X6*U{x*7ROEk?d%nwngAv(PrL%V{uVDR-8}SNwUxOX~8+l%>b6t0-+tC9Y^-C@Y&8^~I=qOWQc(0WOQEtyt0Ig9B7$ z!}Wp8SasOaPi`30=85J+4e&9|y_}IAQA3;Gc&fEWl%<|)zA9`6&yB^-xTT+?ZOmXx zY+8l9#ud$v+L50PJ-w~FO%m>MbAtI1dq2oecvNq61N2kb*`m)CtBE#YwwZZI@#aS# z0v8!DJ)t~GO6H*Da${g1*SSt}iQ)l-c(J*55UDN;z|^|_HqO;oJ{*M`j4s5Z5>j2PD&)|oav1N#WZ zF(>zFG^OoJX>5zG3tW8ANk+D5Jx3iWH>o@ z;`?7={g+hEEF``dOV9q*koRN4JSZihM%l}r8g6IO;Zl`e;)^Mbjk#)-sWD|MX~@Tm z4a2&Q6U)v8-7j+6vSRiah%wQNjSH+P#__lXCTir|iG62-ZW$6W-b7o^9psR~(8@do;>Ar?yC3@m zLq#EW_DxI6;6WUjH4?}zK6!EnE7vW*KllRBz`*6kcHm_VW$Sfh?n%84dkdG^egrG^ zN&Z3{o=BHJiuwPH7ry2I_@hnmbFqst4Q^Gj1`I?Gcxz8IuXEMZ{?fcIU^t}tsSjaJ z?VD(d(o#CI2_t`o+Z*W}tEpWVP~uH151Cx8mVwTM#(NuhGvaA&xQ*g|?I+0;#6E&w z`$3X%Fh7wLeiWyDmjp`Tr=9QXGJnF1Hp1bi8SFx83ba;bD9^E7SN|tDpN>bXZFgdyaiI=!@^W_FJ8E^KWhM zng5DMH%~gZ&~^X8#q6`2huQFb|H08|!@tG<+=*NNE8mCB%bcsZ-+>dEx>bQQaa#Hd zKbvR%?{8)Ndg3K`IsbDXo|Xvl!+rdJ8ovBLEdSI0Vfk-SUXls<2;YMUdsrG%1xU=w zv8y6DOT$}F1S10W73c&!=SJ`%UwObZ15)|kIR zW^a%6cgSzzKJPU>cyQm&!XBjGMc9v!iu5xGEA)^BXMF?WFC*NC@GgP^+7&lmcT?51 zb4Pgk%o*6H)HkOGD!$J{$YI<=v2YOKPNZ45386F1;*7@9cjMa`vd}nOb%FAK_fH*A zTH+zm>&BD0*IYX$R8C3zqsN5KBUDw_%$a))+gt7ooy3XJqqtf6 z4CiEDO@=By17-0<`#J>M@^Y9XzumZbLY&NJ7>Vq0o4nuZm5ZR7S3H# zyQucY8$4x0h7a*wcr_VuF(DFfl|mB*W@6hJG?2Si6H@nInkp%V+8b^nvSRx7&xFX- z*wR-a%JYjQVl0^eewmuzJz*fq3mc8Zc;ReDkqlQA8;SDrA(37JGpm#>s`_6a8#`z9GS zWKR2l5^DZ5+ejp{eJemPA6+F8gSq0Ui5Sh-|0F|LRzM=@=D#0`nm9C8m&(v7wpYJjS$Jgz;oKs^Qhy z$6vqMCFmdbuqbclKecAgUI1LaWo3ZE+;Fyum9#=@^lI_N0O;n9JHlNEe{>U;#{qK&PTGh zu7g@$u85IwqTLTrC+4V(w=Rdc2hVT!iBDh&tIun9#HA$uJi_@%cE%G>;t#x9Bjbb;Tj?gI#O?2*f^E7uHkvbvhnZkhI)#Cs z@%v2hJf~AwUuWEZ3_Q>46b%V#*+SpyQery|vp7fM1sI0~I;C5Q4|Gbm5+CZ6P9@%@qajg4VmFOfbRGQuhz&o zp~M;b3sYk4%K-LQy-j?AL+_rZPApPdo6gaLUE(@)ahIM2utZ`442;tV=YXV3mL5_C zA{hgV(d1N#k9oT$Q?l5k>$+s7NdsA&t7zwZuox>8N#_C#jMG?$AIR)2J&H(1wH+<+ z{D;guX(yAzM`*sZjK#T3uP*Zw14fj}1sEA8#0{3dXX2h13UOusA+A(POX(EnlM-Fx zhUnrZ_)+KrF2Kk*AucFggh)p5YE(2@6Ng2S4OGbzkY;s>3rQXp=h~I);yQ`|(^xLR z$T%VH3TYJ+cl+nS;>!OJce(Ujm$<8>8@t5K(8U=)L!nA8z{ofuu2%YviMt1Gn`NFR z&dev4iywu)^EHY#D?{I?lxpd0{`JzET@~D*S8&husNhB}(#SYrMD@~0L^6K<6csF$ zx+hdNecmNq-6d|h)SJb5^Y7+-Bzx^xOp^C-0Y=7|-rw?&bk0hMqvc9WjccTDu(Se7 z$C5Lc4H~3Nu~0}VbsThH&RQ$2=Sj)&hsTh7So)GDWycOy_7SNbv$douj%97g{ezTg zV@ac9;A%8so%A8kH9IP&K=OKNJg+FlVY?m78>C{)W|C@i+{SVnrO7 zORYTVbYxtGpIl-5@{O^Byj+#@0`CPgIa=45soib!%2(aRez;mwa%t<4LnJkA9(x|oc zUVQmlZXK+xgz{(mK8w6ePE551Kt0}Qwd7cGB(Bp{yb?ZZ@pq2~L{T*t(c5auT`TbdxYjm9^NWx&ZUeC%J85;zxdJx~#hpnjKzTXPE z_jy*DH|>GNEXoBvs0nfy48*#cl{GRp2zq`$zNsHID8%}w-wJx}1S`!2z3?WBazV|S zpl=4C<`-F6BV&W0M1Cp+UE)U5_WV{*+>abh&^{B3azU+{pgSV~^>3`Kk+JnqtUt%` zO)Z`x5$nIXdqjInlGa4ZW1d^s$kN=D5$lLwvBo^iBo?}czdH-72We3yH$k@^qtCRjd z4fES&e??t6njgj$c21fwn^<*!jxvoiF1x@?thqW5Q#oV04;0miLH^A?$%i(HgD%PHK3-sU%oi(3!Ksi2_TD`i@_r+WkQn|85#tGFg zq5(Tp|7H(VzxX`WGdPl$=oGFxhw@UL!c{MO3^wW4DO`1q?kJtYRp;;qbP89!VJhkh z>Xc5^N76aaLsNYWeT_APV;RdIs9w&MH8M`99--C@sP6n5#8v6yn3-f`ECr=n zr*PE|t%q&b=oGHH^-)mf=oGH{KjDj7=js%$`lnBWa*a;ms_)ne%C$O$sh-jLJSb6} z;$)PsN&rf&PU*=gTL*zMPp3E-Wq}Wr`8p+=QAW-}4_~KKvKZwI)A@Rx!uJA4vFEkk zpi?p!&vo-axlyO2GfHbSO2u@Fol$Q17wTG|Q`mmZIVuRNT&PpnhApF_9*8Z{DQw4< zp{_)!n{*1_cbpmlJ6)_(tc>RBdx>7c;#^#d?qjZE6ZOknfRS-R z+%CGMOWeEvA?_WzyGz{r^!_e!noCczPd<%if4~J887IWG(vw}{{&t=l&a~S{U74MY z-A@m9i94Wcccc>H4sroT#tCsr`ZyxaNfW0K>p#xZE+c&lEc~B3g?sgHya39#I)!=l z&N0)c5$kt4g>Ac>SB?hdd!53zT^a8!1B^fD6n5U5d8T5*Ft${>b@A_KJb% zm7ln32F7XpD}K^1vYI854OeU69Q1vP^e~n&RN+{BDU8e_ea@5Aarso}X_fk65s*}g zJ5yGhl#POtDm(7MDU!8^6yv#Ohj$^EQ>9mVGQ|=9H$! z=VeWoZsqQh&GA+(L}f^)d2W{DxeO#Tr4~#UlInE4xeyJ{l43A9Np(AlQXx588jen3 zE)R3ltPW`b*SUauUe=z{3Z6TJdtO$j^mm@TfO}rn9H}orkkp~vYqPqfGOosjjuZP) zZ?3eD=MK|+6gyhWJs^eP*bM+OLeELpV``TUfU$>S8$7^)MeVpxBDS)2wvcFx+Mg~m z5t~mV@I`GqBMi|9d{O)9IEq}L5%{8Zu~{azp&EfNYCm8dv|XqX*hH23{Lc!p4bup0 zo=Sa+5r%67Hch1tl^|n;Mqsm4>L;flW28o4lT_-ep+;i6NF%U0D)q;(f!HqA2yBW< zjXw{LOEm(UYf}II90-1mz>kJz;_T5jN+YnD28*k$bUbk2i>ocz2PpBy)i#5$I2?Z*owtl3Wn^rCZCj(W6Sik@D*PL3drl*C!}h#J=!Wf28lfAu?HZvQwih%) zH*C!sp&ParH9|LRFKL8s*jh9~H*7C!gl^dWtP#3ldsQQJ!}gj+=!WfejnE0(*4Kc8 z2HTDXh9HOSO$|2X7D{YyF{F%)4Y2)VPfjOn|J3mBwzh9ILN{#3G(tCQ-)V$y*uK{Y z-LU6z`Pr795LmzNq9cRbxwtkeK>rf^yV=K15 zwnCl4-3xXSZ!6X*DU4^%4=7clQ@V~dZT&S0-y>ys{)!1`fX>6tPcj}YgC+wtih{YC zov7Ff=yvGAT@c$KYGiS)eJST7*`r>=Ts@d8Yh;{I{R(=0Zl~(g^$NOGze=ZY)n})` zW@hLV?it;G0pwNc6z&;~CYW4j>J;V~W$*@Hty8)iJWHqW2J^TJvh?+g;6@!}&<|tU{y{9dOpL)KJZsZ*GpYJGLDPGN?s^}{NSA~QS8;HuxR^Kkc-og>&D)F>tf0o+B~ zGCC8QX^nY^Ze|VReYJ-3k?icxfj|RS-^e)Asck!LWR2nCv@=|4;78EB$`^IdM>7U$ z`xD*KRl!U2dKTvubUKh@zXMt?bCE{I3AEm)qj8a{q4j~@0*)5%#E*1JHxj#b3Px z#tEzam6qpqD%zo23AfsR)?zRb?ck1btL=3JR!emXx7sf^qv4WH;Z}RL5UR^MrQ2#1 zoziW!2A#sKb{|D4qfX(6MUwVkE4XpaVg zRl1qM!TZX_`AGJUwZN^1#2Rg6oan28(rXNY;R)mnlK8Y|;ixOj6)DvyMmFzdmJ#lqYD0d8(>VU2=2T+QUmrHLr7wQ{`leOHwe^eU@L0nKH+5+mb;$yZCaBa$(D zD#Xpv4^TS|yh?hsOWa&3!s5II*XReR=la2JuH^!Zj1w)mNgC(rw3{WGcG~GgaUFPX z)+u~Cu;QT9R;N)Uwv1`h!L2$E9|YXmZr3SnnQ{ilTt;kn=oH=^@^r|#OQR?pt(k3@ zy6ZKHQQtk<7D@A=nTE|W=}%paSaW2xxIDys|Qm=ee@K@Xg*pBFJ;?qIf za47SoPT^?rIq7SSVr6#Drnt|gOHp2H(^2WOt}2syn-*FD%|Cc0M#hQ$J}s?gRq~be ztlr<;pm;0K=@hoP)8^ui|FDTuQgc_8M4rUryp>dLA^6J<4uqvjGONVMIMK>X`A0;Y z<3~>+wk(+sBpdS|GBPdzuS28o8%Wls3^~)=*(RsFtE++>&PTFcY}mNCNF(D!1ts#c zh-7U41g-6_iIX^t$$qF{fJUJVM(356hp?*a6ut&kmtjl{(n~StDWe7t5^RGtiniiR z#sQAarNjzB&VcGMox(eaci94+!uRZ4iG?~vzlpP5FHeO;%_eS=zvxn8G3UcI z*PmgAOL(;g#%Y|5pY&`r$*pIV&1KUdZN^AqrPQH7!-77x;_mzx)PI+WBtX_JHi=`{E48usz6qP;SIR%hrv9K%`eLvj?06WejW7Xegj+T&N*!l z%ZoAJNooP#Q`;Vq2Xo1N9h2|HSo(wf51w1-NN0}EI=K=PfTZ?!>^+N$*2`OYvXozL z*fz)!UeRC&J14O<$~R+VN$L=OxnbKVU&$p8bL{t``J3eZJoh5UK3sj+9+ms^+)EsL zS?*(U7#1w4e#fRosCTpcCQpubgmJQAdt9#M6^(H$ngb=Dkb9%MBz3IgkBqrVKFE{f z9e1+z>NAsA)D}=k>J~;N)(QAog&042kVy}7 zYSVTk-BW5L?D+>E7xv-yy^a!FXCedUL6kMqklecg2K_7R=6PQxj?eWaE$yGOU8 zo{O}U&AqK3I4{;xcJ~u4CSt!tOJ%u-)#J6)rCQ4A{#OHP@M|fzyL=HiM`HXCy znV6})!Ub{eA903f53?wPva%0S<5@~p>#4gB?)mJOv8Es?x$m5ZIwot$yK$l>!ElPf z%iGwS^tS(zlGW6H^#UfCBRh*lnc%@?kThFUL2AP}JVy zEF$RM7_q;sbLY)oNbG;sne%4fOzf{{3A6hnJgKw4I-6UU&0R16n!l!{QuAh4UqkG# zKgeseyIcPX&NsAjPWOc)(OJ6~C^(>VUxguWf3LZir9AF_F0}YBpYc?Iy8w=e{UfP_ zK|E{;Q_*Fr=V#T&wRQ_g_-c-n}X>=Xa0T1U>ip zcq*7T`#MPZyC!9{d)BYuJgAi$?;ediBKx5c=v544_n+QE-scwrA4#3&K8=BE|MGR7 zH{E><&q?fGvmqy`Gu^gbNPVM8nM3j^i?MQE{=?es-HZl$86EHkkY!+5>M&fS6e{-N z63ce{g!4`NNJ*1J9M=p6YJO=oS6p#?z7@^rOqv~|H$m6Vq|MQvnNdJ5Yj-?*0J%YF z8)UKR{NEo!a!AiDbxgw(5PP}wHqRa6u;Q}ZK2Faa=9oMf$*{DS=U(La8dHb;GD({W z{EoMKqoRpA^JvFy{{r(QJ$J0b_ZKivmJaZ;;~kzY0A`xbJjrnh-ci{v=ZyuVj)#{+ z$vSBzXP)k0gT#Kj#0G|}ejqv4|A6c}Bxdun`myBLdJ`J4R5}KBSv_oU4E_q5{7#w( zd}OuF;CS>h@c&*q!SlZ}0O+TIDjt@;fv2Vh7SBu>@DOlYgvb#T)3r^H+pm(+(KttIIqIvF z*viDj3|zn+8eC@(InPg2M7709pE)6Y`JpX*>y^Yrvf)PbiU z#6Dk7zd;>ezW~**)6?%#M>`v@*X!~>#w7%^F{Ta2FGou=ZFm}Y2P}V7XSydzZ?`X$ zYLRy2u7)Oyd7R<96R7~0<~vHG-{U+*m8B(CrCO@!tsjMSI1kG$3_bpJVtjU`I^U5~qFdxvx}Pgz2XWw`8YcB9lXHs44x?-`Avl;Tb6 z-+T%&UW`=Xv2)0{*FZ5X%Fvb+PB<)+%vFq2BjhM-V*M#41zXa7h8*#}T>}3G=2E-NfHvAee6|e`?pWxSI{v5w%(rf#V3ZYpW zk3fXmkF7-3qjw>SZXiXo{vm5MC@#q`2*Q7deLXR6#4j~B;}@@&Svk}Az4|L|dEWrW z=V#k3^9p!Y)Z7F92cDdVaWqILuvQ$M#8}?C)_~uOFF-$-2W6u|Qc&?PGf`xjZa}`{ zcdwX;ti2^=Cb18bqimrTWU*IHhiwXxdr(#xyLtrgy zm6kkpF%*RQCHuES1O%3Rh-DFfa!FkVrzj-{7oor5jT2KY)>p0GkJ1K1M&BH!_@6Jq z;j`KGSJ(qFy>Yg)Z3kXvEDH)y)VvbE)ciPpCG)HJmCd^!MmL)ih#Sliw9RO~v=6j2 zUx{C{`9}Pvm#eZjA=PaIymp3Gb6s4?17x0ep(JChP zvWFG?cKphEX4pA~)64jk%{RmSQ_O1`*l-MPX2UV?15DQZ)9>NFnjgjtlVYA#gtv91 z=pbAVP3GmaiOD>~9)_CV`ZpUi-{V&@vuCQZ`LFEXLd>qSOy&uT88Ywx1pli+3huuH zyFTeb`Z-EFeueEw4^d_lY)E~#1<3~b4SI>KssH>2R{yY`G?RkqKjD8$q-SZ44@Gax z0PhR>03(i52_#?DPF^wmem4fHyhT6KB$0x-m%|XH59kOV^m0|T4?E#|iv5+H{#^7Wy-Be@QvT=B z%JqlpdI7_#^=OUyBgMwb)&GyI^-mQWrfx`Am7})wS5p7FAzQTpiq>CCz3GNFRqKX< z>RVgdT-7nCN&SNbE2`G^1Nfr_E32OU5K{eY!M0VKhd_;5o1v(x>P*)722bd>8xfa)eT*%J|B<&In4^tn9MiMTOBwdz8zfzRdrvm z%F!JpNUe&d8nn@rhc{S%tn+;mZlyjy6@%KPTJbo}uK2-@QU26+7@K-je28X$nVya? zhpMf?S6wcAmjdkZ9I8iMp?5^wTEIQ;AL=+uz~m78)J?jkpX2m;3%GX?+3Pt3zDL06 zc?d$6g5-LVJ){Sb>_p(o06V=W4>mEBM7^Q=ECQ@{>@%1DX7yzn#`IeSxIKV=$0D$p zfinM-o~dme$Y4Eza!B?t`s z5CMF5aG+>M?XGqNd9Sa5y91Gfi0r=wkh}WKBl{(XIL?zm59Tta9>2aI?q3D0yZW>v zd!CI#!C1t};-|W+j^uO&a6cf4Go%*Sa2v-Ns-}|~7|a!%D#1)6dqnu|)dNT|Lx@Ksr>uqvk7-3kOY{K}7skt^q&~=4Yp6_&xtGa_tK2eEcBS14X$GLK0`lQeb~8 zS65*ETe*zVmV<0}3bwa86;g|Eu-9@15&2u;?xk?IfF9dl&O#9XR%v$uyAD4HH;bG^ zX(HS+!2VXaBY^pDh3iSB;lqQ&{M0_G+dwN@9_^@aG2*xKbw||Tvuv6q68u&^%zd59 z$*sHkz9`>ZByon^1nh6+`vWlly?j&ABnoH}_*CYo*VL|*Zx7&(qI|`Oev5(O2^lm@ zCO8Yk=Q2UPKE0UWIwmMaNP-7i5?l`AtC^rlKU_=z+jFOHF+vi&+mhfm5Z}cFTj?)~ z3BF~5VuU0JWfCAFZ3OWqCK#;?7!srmFne|S79%9V){12b^K*D|`S_Cu)$JzfoYUIC}ILI9Os9Va9hD zkUcKJCmv98oaH$ha5I0yaXR#5jhCwPFwoMuic#tmE$#QOh(K*2?2JIt8q_p1#&Yv(cv}zw~*y)KWwU6`vO{d4QXxkW*sF6xWX~pI|Qby)c ziX-z#8HPuzT@fC25sWd_Sb2kv;yX}{lbzC0nG>L#@$ya^#aD%zqOO1*M&0r~=%*{L z5k~RttNMwWMvoeU&pm1=ty1dSra=uskSH^oUl#`~Y}AdM{5Djc6&#;YARL)4X}YURNn)r=ND zLCU;}MOM=$a=xMt;xrfEpPh-Euc(9H0K?S)Cvd)^4k4&!ZYSkEip9MHag*44iht^u zXOXA0)F%;FY8lc}VbO-a-_oD_W0C$J|3be-=qLY%|Cz!&hnd-Lc{c%rzwxT?S(rO- zrz%n2o$(t4ydsAQqN?p!scM=tV#^3j6((qzNw|E0N(;~v<=rebs;6oe(HV2lSgd2_ zDVJ}bDF@@l;Lqne$C+9;9m}N7SYF=3^yKe$QFkGXe#IK`i61fOejEgD?@3#8Hvuzk z&lLwcUcuucZ)CR}FhB3${e(o$n7N-~yXHIP{sO8g5xY;SUkHIojc`k}XgT{8~BuReH+V2U7y=?1~ZJo}NApyG%Si{W9~lf2%Qz zxpNHWNdM*OX}|U9>DEW4{Xu(!iL2$vbnA1{{#0~HtfgC@lP(^P=5|HU@iN5w-Zgjz zE!!1|?u&u&xLuK0#$bZm6`|R#2))F$P?VG8c17a)M;YXHMdAixooF&1KjT-%hs(@) zYB&`puIh{iQO;e9L~+-moVyl@wJ(6Ov}=*L(FL9CS|n~=gP64EduRj2uX`DWWhWz9 z#nNSECnLG_I&$Zyv}7*^F;i@Vos490C!?G@8AT{8=T1fuN|kdbqX=c&U?(Hl=P}_? z3FXW|D4K!CtN2N&kg4osBnP0nopSDEB#S#4<=n|g7I!krxs#DB?qrm6CnH(h$tdSe zMzXk*QO=!=WN{~>oI4rG;!Z|6cQTU2os4qsWF+NV4?e2H7+rQUk`u3`EZoURZpR=L zP|lr<(YA=V2eeYg_D;_1WF+VOLdK%m-54Ze z?qnp3I~nEN$w=KMjPy8B#S#4<=n|g-oL%H zu~a#CGLjD%DBXrT8OaB0B*$zycQTU2os4qsWF#M_Qm~Uz&Yg_plil&6gwo5olaYLS zmOzc=+{s8j%Xc$&GRnD=k$mnvfx5ThPDb)YlS5BHkohGe^FTlly10{3&Yg_pYkZ?) zm$IBY8OhgS-~wn=8}4Kz-!fcAmvbj0S=`Ae=T1iQog1Zm)5^J%k=*b&^n=gt<=n|g zzSl>}FuR;P8OcrThS zos8skJyX(7in)`KEbU~(+{sApps5R}H0Dl5@*wRB@$8tLBzc%-$KXyz%$LjF>wa$rJP+p*j~k88LSqz$ie}q3wJ{w;t!hI+TN{%h zjqmjaL8ZC}^~1*3ij=5g#mx~Srem9IAwqgs;+p}R?M!+mhJneTe5eRx?B~8kvb~y! zPEsxV7Rd(Xp?YH(5}G88{u*=NB3atEh`Dc(Y*wZrgvg9V_C0d0mVJxlFtr`oaNi<1 zT*73-eT(D>3kEUwEs`VE-VloW77+s&&uKCDEs}fatTgG_G50N!dur2- z7R21QNY1n1(wO@e$@vyMDCWLJaxZ-mB;*hrbKfGlx4u!}lT^%oi)72bMa+GR>-75i1O zp)V5rPIyLaWk(|*xofA6`xeP9n!}e;b=aWK`yuw6{ zy8tP!V4|Mr#!luT#`Dp>mtV~i0hYvxPB>!>z9JKekmB&FYQaNU&^dqp2ZUXFR4L1L*D-Q z8CZ2Bzz%z&M48yC6;B zP~dgpL>z5)3uof#72d?F-7gHAj<2_c0I`X}wxACSyJ9+?EF{1oDm;uia#_LI6(7V4 zT|il0$bd3kI0|xP3U>jYEo__?I&BJTVZWFwd+S zw%}P^_yx~=;jlTO)2=WHscH(3Bi~x5W5F#SuO?sy9?u0y`9!r7!ffVm&jYxf+D$+& zZUFo8NfImF`GL8BCrh;3aGZ+QG4+&UypA_9`P5>(ju)bU< z)DmO|{$9g`X-@e}^#=pu(D@6f35q7|8f}pxM{JNxA;@|IA5nydnM7nE@d>A%af$b# zobv4ztFm$BXw0&EkPUY3?nk|^D^jD)--K{I5%Q4ecZ$*4$vnpxBIF^_KNh1CPUaKF z5FrnV&X-$Jl#@v!Tu($wXL?$GG0-4ru-CMbh(QJ+_Ik*F=tIo^aWsp}wh|+5fAGaK z)AR3$>BOVLnIR1fuH0*$lNnBVJJGH%GlKMv_c5AmW+d6vGX;3=)(7Kn(=(KKuJFVc zaAdz6%^FVu)*i#V3aSw8xGXrT&uJd{L+GMqt$*K?9aAt3EuP0f`%bau= zv+~eDGbghuy#gDs6MC5wFDfxQWlsi0=2J=JcxRK*X-r#BGBCREN&Ij6fn~Yqw~Q{> z#MGjPi*IC57+qo*1uWC0Oj}Q~l*-)HJ_AM%qDg0Nz66;WgUTkK9rzZ5VC`UsF>~X# zAT^wC`=-SCb`m+>8uaqa9b{HdGH`x$0XfsxMCP#;&SbQnr?d^DC#EncB0gyt?T6l( zd5UT4Nd`u*y@vlyr(iJ2ye^EybwJZxjB1%T41&$0=@=H`O@k0KV`TN}X7U$p{OLRf zC96N1tbRfF%DheP^(4!CnLusII!^Oe7{oFO^$=@F4hJ^(BPO&MTFW*U*gBIILu=XQ zZAcSY46S9GJCml&VraD8+>@A;#n67Xc??sPTMW&(n+K96Z81E{&^(zm8H=G|ck>R! zWG#k<-OVqsYTH;0PkuD_$6%YuSqx2xS;Dr;X@e5dcSfel#EE&a)6UEIst4jai?%A2 zh9){oC#n{$z#$!aQC`wGi8q-Y7FbA7&s1PNT>Y7>PhsaAVOV>jhMicTQC1Ks&;n|H zw56eF&3lq&tfiq{dh=4!jI%WCfX$0YGr`jE%tP}*q?u@G*a(`JlV*~okt{h+j|2yv{69XXjvXFk!Tl*q<);MJHpL}aZeC8}YKy1oL{kY_(S$0~wn=b8(|oNF=ChMS2w&tjwv_aNqc zi;>FfN6ZBlBW-vnF&A2lwBb?2Tx2oQh9?qpvBgN0PbcOQi;*@wo0v;2M%wUJtj^0U zM%r*StMhV;DYoIO)DtY>a~%DyHgTmke74$*IHRyD)l*cMwBc(-D@J+ONg5|{C9}I; zm}Qu%ehVJZ;EU>$O7Nf03VF%qEgIa9n3pX^G`O6YS1d*}co;FST8wD$IAUJ27}4Nq z#Jp}XqQN!9ykRk-!HbD`(_%z}R}=G=#fS!PBIZvPBN{x1eg4lDBO1Jvn71vasKLLg zpe;&x0c&7`i7RRF1=SaEMuUG*Av9q$xKXrXH2AKhaS|W%rTLyP%P>{_a6F*FP z&S848K$Z~1`lX(q^^j;{}2wQqio*N1~ugyZ84(3 zBr#(wMl_fuW~{|fgEEedHwd3cGMay7vzTZ}WW4ZSnyQ5)CUljK^{aA_J@s{0N zWOsrvs3&D!W!C8K2#E5|(K4|x)6I4&bGDvR;&z^H!>|Z|3zHA;g7;$aW{5&y_SPXkqmq|NLax&`;Moa&>jMLP|3?`tPljdF7Xr8b% zd;xbEH5o6+CoRT^?qwd-`$7)WFrL=yOQJs`bWY+Zj=O&pVe3f=-ITcxQgnH{rd&~V ztG8>p#k9O#(-ymg;CDbWY+rN}iKU>Pd+#8{8i4idi=POO{Rl zl4YmgW!cf4hV-VCo!!q%S$45mcESod(^WF5Cnd5R;$Bh8a;VD{yP0mAIm9*=(^AT9 zEv8t?!S3UwEQh;$Ff64UVY9rS4QZrgQcp@`In%AIK`CW&vArz;*I#A=vV+A)mNH4% z(PD~O&T#u9y(#5v_w`biJJ~GvVOQH(GRcs#ek2~4KP_@UWWMLZU@fzsD-$SjQrf(d zt!lBsXf6$#Ph;IIu^1kJZGMj9{Zfk&**;^FIl%IfDOG!_cbUb=)XyQ!ffmDq$j#St za9wUNu)bnb(V2bSu(nvD749UKPL#M(=$u3?r`D@P{CZNNFb})^5NMVW@)5(%jF4dW zkUOiyZoQjlSlB&k*?q;g$78~vo|NdPFS*B&U4IU$FT3(~EDd@uy4RN2y&?y^47*o_ z&Pg0XWxXa0>PZQ98{OB)uAHx;cYnw3Z|=7xcJI0OGAz=)Z`tj@>f0m?>PZQ9U%4IY z!0sDrbMx=med+QOP1xmZ@jo_DQ)UM%Zc-=kMAehAL+?GShqutvk@<(Q7|G70Z20n6j9so>E2 zMZk1?%towilHQ~EoS_>s@w1GLyup~&!>}&CkuSM2FM)X>cQ1IXE|clREt99LHwLeF>?p-D6KLa|h}R%a%Eg}^4&t1* z1g{z0&@+}7T!r_LfPG_mK~;Jw42`;BU`%g>nYP|W5o2h)nccX`+gW0V#dorfV(&o# zhsWzlTrIKi!0enYP^pnc2c}Ohy7Y_S9D|D zFgYI0`D2~;l7LgR4KPvv-GpUM#J(vqw+qtYT?xUA$=mrkq4Siqh2^2)-i5 z4G4%B8N5{yt|tOV!+JiA|FWph_TpQ^@#uOm+xt$^FfOIC+kA!pO>{k&-B#W##`Pdl z56u?r*MaQtw@Qpg7)EqGm>tQq^&|tM9S7ro6I~BxX9**DMNy0$b}BL2slXt6MRsSy zh^_~-yD)7%$-rpAvH0Ia*Mr%G!pOKD1fzYfWNNO!vx^ooD2(<5 zMz{Y|VswXLMAw7aJDIkgWMK5lZe&E)gV|Sq%jo69ON?G0&7epmA8;Ixt_QPkGHpG{ zQeO6(@{V9c*Mr$_A1Zx_X`<`F>~{vi*>4kF4`#nN2u^mI=rAw)gF$fa+eCkP*?$@Y zr#ek^j+gz>Ah>pDqHnzHPX@uM4?f6czwVCwO}T$Ly(I1y5;@{}F#9VdtS4FC%T}u= z5NM|B!L0d4V!j-8q3gkHJG=gp`8r(>W@{`)=4W(0n60%KS@F>IV7AU;WW__*gV}nE zkrfYJ58|v+E3w;o*;suDIb?*+c2GkZ7Cm^Kp&o|F)McQw8VqSN(Yb`P6@h)&mo**z^rM5pV)>^zGR z(dl|HJKthNbh;kQ?qxBeb-EtR?rkw5I$aND_pun6C)4#{c7esnI+LykvkNUo)|qrY znBCW6WSvRZgV{wEBkN4M9?b4%G4fH2t_QP=Ek>4YbUm0|Vlgr&r|ZG&{uV>mgUxh3 zm|bcyvb3Y?!R!GRLsvsx=z1`_%wqVtyLsYK(Aa?%Bl9x49?UMc82JE4*Mr#=79%q? zx*p7~v>0(7fr^}~_C>9jiabc&!Ag}jc(Bkp3A!H49wJp&Ps+T?-mcDLMbhcbMdCw|B7F%@$}yM!Gju%ehV$MobUm2;)M7Zx?Lyas+0QISJ}%PrVD@v1 z;m1V^`k}(4-`2{PYC%cRuY}G?(Dh(;vxt@%nYRpM)`2HZrWIDw<8E7YH6W(z!EB9g0~KKcXXYrAQCgX!cus~Yt0$uCw8a?ObrlF3dHAl)yy1$= zzD6Ft%N>6#NJ@wA>JJ0djfd}Yr*IR|cOCq7H%`N1$jAzyC8#t zY*=-e*fZ4z#!kQ&5T~#PQmMiaWUig={ZPAx=3*6gMeOz>!|vozs70hMe1i2DN_u&T z@+Q@rl)X?Qr%*-(6plhxx^N0eKzRw~>4xWhYDZGOEgS03GsnW$ERoaw9}vaRJfV&v z%|Xb;DV#`jp&6B-3+2MCx-$}2dv7V89gH6Y&U;(2L9n-7P4KTGID6Y5f`5~;a`p5y zg!0}M#jv-{Vhy}+(|YkWjQzlZe%uzS^gfb$fQ$8bKN5c`RjL~j@nGoH`@(EOU|K#8 z!g^oHu+5d%6VR6TwFR^BD7#@*!P~r zvsS%{XWPHv0nEGjmm#9M9dusn#d<<_WcfOpwU@VfV6pNjz#567MO;^wtj@+}u&aS%c^s$I7$l29` zoLw!Lv#Xi8z_;HXl8FsR#bg?9cK8wSvJMl_Iu7jc7PNL~hn`T^5goGVTt^o6hhCk+ zU$Jmig{6>M7cK&gTlfcSz3?Vz{K6Zc2@02kCQ-;CZCJPmB}f*oLl=t*Pvcou_&c7d z!o5gaUPz!>rVGD7U712VG~;Yx0G@3Mo6xXxg}G>a6$J-U!G;6RwuOOsRu#SmJ}w-N z+Nmx)k7vHH0nMddVFjKwPUa+(E&DJeNvW`u!it$^1HDlCtG!hODeN-ch zQr&XE7l_TNbGch#zt1J!Y8 z>gsAGl|CDF#rm2{DIV`x)b`aP#gRZtKD&Zqcl^{1Y6xihF)Z5bOKmO%w4AYbs+}0i zuxM0b4+3-;V{cZg7|XDUy&Gwd0(1gn?^EX>cF<$M-Ggi$NxKd)>lypBx|3-cmb8QK zLTD~ZH3X*|hT|Ba`j~H|gH54q?K#h~P*?RT7V2Or)F;J46{*w_DhryH>g(G{!X{oF zt$HAK&_LiilmRD#mC~N1CKc1R6uA_8jN13Nu?t%_zSGr7j4%GntZZFf~4)fKUW^1wY$3Hxq@tc>AJNvbbK0mN4+Oaw9uC|BP2F(DD4#fwJL*RM@MiwcC z%>m}YqFBT}L9V9{Lb84gidds4Ruvcu)9d^3pX6rivJ>FW%x@pnkN-`a?{SteT6wSn zQ~?G8uw#vK+`Sb1&G;Bd<>4^W7`5h~AiP!aATDWNgjkBj8T%CnLa{>n9JsMEUf;pt z+Aqhcu!RRMLzm>6qzAyUGMe1QL2?#B8BFdbxGzA_{uE>|13z_d0o?mB*iy{P0bR|= zuln(yDa!b>@m^FXD#dm^LGnM5`A_(UL(EBRniHqsm8wo-M$Y&FcnPXQlw$jsFj@f0 z=WMqX-+?oveJTzM6J<=_o{^K5FJ9`X9mrs!%MozL!#b1KStvXXd%LWI347r^tbdUc zACr562nXcd$+H=_TDenr$jZebE57AiHt?xDWaSn-&PJy3kd@oPdxnBcUxzn<`%hQ7 z90R5`GwMfIqNe-^8NF?_OypcDW*Q3&3`^|bu+@clt@D?=48Tq3as1^jpV@K@OJ2>G z7a=Qq)T#-MfJd!{x4aKvap*XoO~ZT0+!Luixu{Z`YbkMlinmwlR@YYQZbKk|Zgoke z9<*36Etgd4$wv~?>XJ%5b+usR@Re?LNu~IHalA1HLVF)UxsI41yVcVW^zX(aSdWL8 zAiIrwGRTW5-R{d7zH{ucSA$L-`LoZ`+9JsnO)*@)Gr=;ZG(7`DE|LA&3 zA)ovi$^S38p3;}=DHCFzJOPFEXTG5^28wxdQp}T+VxF87^W@}J=uk(7VxAm|d2%S` z$)T7hhhm-_ig|J<=EF59Ey2zDCWtbm?wu~o*asKawz7>p_nI!VxAm|d2%S` z$)T7hhhm-_ig|J<=EF59Ey2zDCWtbm?wwEJUQ~vM0tv?jF!4(JvO44N~Ks! zKcTGUZ{dN0{{#O5BL0^TVK@nsU||UV8bc8dh>)1Qi!r#oX-CEo@jrM-;;V{@%N+k~ zrXxaP9xBG*?90uJAwpu_D#pOM%hQY@BJBme?tvM9hPDntZ>qw44bRZjA?RZe{4ATH z&)T4`AY6WN&Cs)I(BDw-V`|1(42ppU!EcwD^-F;mEC{)?GSm40AchzUdYsL?NQ$8b zK{JKS+Y5l$#w6i)wan3^7-lHAd7Rm1e;|e%3VuV&EMt-phC)7}Wxb#$js`kyxTzA1 zdKcwv!!LcoXc9TzD=f(v7CRsrba2r5ct;o=9Bdfz+qBWaAqLT+gF^+;N(YAFZ%>kOi$gbxX#RS6$46jH)F_W|$q2Ei}pncMJA2p$uJ z*kfn5WraLp5d6}dDNvlJ1R-WQnPJO-cv=w3B}GicGX^1*`Z$^X(IARNz90Ab+9E&q zG)pf@&&3Wr>K^Ilx@9Wg6fGS zYHkB1-_CNA((TV)HpybR4N^q|qF{=_n9}*AnQCdc5#hvMFkWH7XoZ-run%g5m?3md zWr~SrO11$hA;doF&m|!iT6QADGaN4VwHOMKYe&^AvKa2g0k@iRudtq1Ta1YQ7Z&_%i;=siMD#TVBckWpFwb)>4MnF$PgPeVS5vL$s}#dh ztrrNLQyEZ87m8>BDIw^cYAWWAM$o$qJ0s|LR`fkK0}-^AsqeEG(Rw2r?fn)bRX=9R zC|vhpF{1U0_6E&5i;=>7%9?rDVmR`d!aQOz#lqa74uE8)FpsLcONDt%=$y())WG8+ zen3hT=5OkC7G@7-u+gv!rBA-idU)4jqzBF=&3hIjz3We;dEa9A{fZjhpuQ^!u}K}n zuxRuHp>ryqp_V?BYy(oZRlzT+p{yvx7R70$!hDnLRPeJJgE&*BUsZx(Vdsdq45#vQ zHc|9ZL(W19e`tVYgWA!kGMQ`J*-bQk~8ZQh2 zQi9!%`gsJZCXaN2S%#Zfc2vy-Vzx!_9h#eW0@ChmF~y47L3810%D9XELn*^uZH7J@ z%N)rfASE(fqNiiMnH$C`+`m{h~=6F zO{UZ39+uzS0P};y56#D z5$gtvX%TC!#fVrlX3unj8!bk}I*SA3O%}rjpSy?+?PiOS*Pc}Ctrk-h{aVd)Qbwb< z=^BPb^xK8bsoa~R!W|-PKuU=IoUY8+cJ#dECX%xqyz65*fa)FF?SwqfM=HH0|hv)>%qB`p{xp+R;ZAQ!Mp+`oWSAAM0@p zOQ}B*I;V0nmG=+HHXtR0*rI!8ixpP90HLMA9P4qvrNYeR2THZHBW-C~+L325MX`R- zGmwYTjqg5R5-Sior}AX>n}kb20#ZV(TK69%vFa?l7P0CrrbVpw7Sqy>3KrASjyhOO zOFQalF)i(=(PE0C*SO_vilR5U^H>bgXeXg_DtmDdYZhSxQbP3M?yd;Pcr?O&l5d5U z@o1z)w6vqq7E{b{n0rJi!!d3>!;;}xo8f(&M2wRx0#YKw+3vdtn0B;Ns~k-`+PQU> z(vEhqn3i@l=XXNPa@}09)VsN7l!TaTg&57DZ+FQyASHx2&^-tN)A5(L62go}D_RL* z#-o+3gfQdLDvN1pM+aL>Q8&xn3rk`h;#M*&x;fN}^%?uvVIoLCN{F@E?Nd<{>nzKz zr5&AZF)i(Ajm5OIqjM~#r5&AXF)i)rJd0^*M;BO3QS>w29UzD40vEbBmPEhEieAt4 z(ZwQcKuWyE?{;&QsMa?)1m5Gyd_at_bB7Rfuf@n@_H5S2eHO#XY;IdNlLrh&)|k0J zlIB56BUih8AQLu=k(p6{zGoh?7^*4Pi$naw79*|p296<*SPa$VV5V@F+ZVE$;yvo_ z&7w-pJSKEbWe;ZcxD+TLC5rd1`$egE?^$*-M?8^T>V1o8Dc&ZFVezU)Pnh8ZA6N{n zo2q64@u5Y?Jxo&Gk1VF8ydPVPlvhgk4~vmTFdlE9Pc5QYxQ%X`w#CAI?v7zWrEp&e zol|)Q3-_fICmMl8Wl zsqMWa?4eDicJ>Y^3ED;IoXR^{x~?KxKuXNZM|e9UkdrS*BR#X8ZdqZEvKU!m&u2A{ zwiuf9aTY$@JFJxDSg#$!qMLC-=Tx4_W<6dq@ktq+ga`87$y<+r$#-YVvnAhMEQa|q zm)YKX8ImNG2mJurLq@^((+zTG|HkX8W+BKqZ5BEv8t?zj`x4ZA$sR=Q1oAY_b`wW0(Ix zSO%oTi|ae@C}t3GbM1TYZcZagi_34lD@*Kt@aC7;{Zr_i$}Sx0e-s7*DZwuEUn;Rn z$`(iqyM)|7X{3w%J4@`!gwCm)&UIc&7zDhj62))l*S9N5SK~`RPx3MzC z76t(+QP|-=?`6s*I9QA@g*C?hSeOs}L37HAQwa zf5&dRzZK$4VR!JqD6!kovO9`H_AFr#kP__X`#YD|?e#l$^Zdg~?Dp}uWmt5#z_Qzi zFW-g2ARuMizQGFrnp&88-px+B(%*>BzEE6V#-CL_HZB2rd7i5Y4)Pbd1jD?1H#ykX zSkc2WEYE{9!6E)qiOuF|S{WSb)29fmH1j-26C8#;F@kOLw6qKk_eWwDuN$iJJpB?J z;nT7QE~)c0ybO-?Pr;NC=2UqecL|R2BZ?!Pz5LW51{P2p-Y~}ih>jU zPf!6kv14{O?naz!v;>amC?G-}l>^wLPx4Qv3cClV`#0g}ic#So{KxPaxun7~`~<#7 zl~j19f4xW-8YQjvkHn&wN)i>Gmwz9c0CaS}{|!uXjgB7h|BP?d zB^^EJA0833-D#cw2jOUS^pHPTI!r1rAGRL$PZlt3n#LnO?0}IrV^p@@f2Fm`9`zd} z)~f6=KQH~q_O8eMdWp3vd&1uX^XpRYdeWz#N8Mmm_LSdNT8iymPy6q;R@pQDbRjO7 z?(|3hqSiWk)_+W5yBZxm=bu#6(NvC#&-=W)GwvI_><=#BTVOd?3$OT3pe_qEIeykoTG-_xzR7qQNv{n zR?<0Y(l|%Wdf~N~g1(l{QN!yGWRT8L!yAaj-RpSVf{=847uP$4SG@+Xj$Tp2Yepg# z^;t)+sNvcp5rOJ-sTr4dxwZ4iGqn~E{w(u$-faLnsCw~$8m)K-P#ry>Mk^U)9`t}3tr}_a02{WR zdhvi7-94lc_Pp?b8r@^5+rtBDbgw0D4-cr(eFg~Y=m9mle;47Cs-p+g=m7(z+tUMT z^xz$mW44YSP@{DwU3DEjphl0sp(#ym9X+5%PrlJas8B}_sL|6-Cqj*N^ne;Y+ayru zx{X(X%X7m8>fW9nP@@-34m|-u=9i4j0|7zkmrZ^{>*xVBdd*xWHN1`w@tc9b@YH5y)&mkS*F#|18THkXXpp+I_u~GHF|G@#Lcdw2h?a2 zyBs{A*3koM^nu|r-|46rV!8%-u-pxgqqq&kL;ZUp%4bxg@qii)(u~g218Oux8$M2+ z9#Es<`c4tt%hLmD2@Lb}fLa1md3r#NM%uL5JUyUBqx6fNDPU!u9#EsPHnwM;9#Erk zdXvQV&C>&FG{MFW%+mvEw4MG=Vu$AG0X3SU%}6jjPY8mSg15l52(>W`X(Wsoo6SB4%2HovbjH_^Ynlk9j@8t z=>auQ52(@6I^BivU;I2hphhR?Wzdfc52$&1K#fk+?Ia!^P}_NbWE-=0xiO5E53QT} z`H>aUQa=LP`gvJM-@&t^T7W8Mb8JOQRIw@pL>Yc;r7c9rsv8h8PP64nU&X+{p0{@q z#*j~+s8M_MF3Q*>K2f6vbu;4gGW<447(F;opQuqMX;^$S(i5ktb;L<#OqDJ#Acu<}`QKP-|Xh_IGIZvOc(cXG{ zflpF-`b3TPk(}Lz%T=B}QKJQtoIX+W^obfRv@kC1p-=-q4M;J8ZEZ`aS>IDZH&^0{_+Nx~SjNvU!m-o#%V?QC4RD@5QKJcj41jvYXAbjd@$2gS7USr|-%V?H#^=ghM(Gtx%tfo)YXq9GuCg%;s6xB|R z_(W~z5!Fetr%TgC6Z!<C&ivtZ0MB;xAQcfDYmk%2uSYsF1KilW?!;*xkbO~ z-32P+akA7rj&4eo@i1Q7rK?7N^*l}Cm-be zvw_g&RyW=HRyTI7^pLL+`@g)^&A$n0>*Z><^u-lj@fPLPZs|*jMy)uBeehD1Q!km3 zJrNyhPEf+{vCxNq`}SCdo5)Es8F?SjZulymVOWKafLW*h2Yk!Xs>fku{Q_o;swWE$ z)^+<6>TNPoPUllF4^{oh#&<&;|LCGztZ-DQWc&S7EK(XV>pmNcGPMyzT{Fx(sXj#b z5g@zmcs1aZ`UhaWdUp5D3RfE8PLGV*2p##@fsJKTq6=8-d#nB>lglx=&(?(*X{67_#f-&cJ8!zb6Vf z;B$lMv&ABAMS1!LGtTyAp6&UEJKu#{IsGc34HEwj53Ouu(RL86><0$a%umQhk=kfw zN2;NwEx>8(Ks$@zIDmr|u!d$+D{6)**DlW@ZUN%@pMkHqYS)bbuLtN1UP~PfRo^0f z2f78bdL0q!Qe-m(7oZGfOgR>9L(pIZ=m%gZn&q}{AZ8AM0~|GsWakioO}qe`0Q4VA zjS1Kj3q5BWUa^tJ6&v)_zb(&Dq`!X%K{RW&8=vT5EjMYTk+$U~jWll3cn<2)4oxep zdH4C~+cfV!2rakxm&UNQ%dG$6CJo#X`^5M7Tx5*7|IM8ldg?+j`uBHc7~|{R5bqy> zM<8CHIr}IZ`VgK8@dBL?FVKlXM_l%n5HHXP;{`hO>}43Z;{eU72U)=XG%w=tI&J_E zd7H?qWv%IVp9O@hHT`7_rnuG&%v!VD^RMlOVyC&*^smQY=A^mS^lu;*&YJKTf>8Dm z1aLYy^sm|-V2*1|{~Br?Ls*V$O@D0)5v8@Je#eQgr3QM_#<$F*jnc-uyfYt6*A zM%v08*P4l82CB|+t(hp^wvppnGcm%%6`Zzat(lm}o4#^fYbLg1kgDWbGckz}r!_gQ zH4{@<6s|RMTx%w#8xU*F3>ysAEvAg^owjDJnV8c7A32O>cVm!@xznQz=+$=D5~OJh^8;sF34YGx7B40yXBi)=WHmhd`Zk zTx%wtdqJS?m0W8kUNkxM1O%C1GBOVY1flun0ned1t~C>{y(Z~~=eX8Py#BsGqbj-9 zOuS{djLvbbnJC`2k>gr3@y;j0Wm=AF&BTVkLqAv;<+#>NytfE7jyZ9TYt6(ab~&sy zb6jgCJ}|k@hYcP>Ojj)rmb-cyvaco{>fem0Jfqr~wPs?FW^@hLnu*eF8#P>OCWhNz!)=ZRc+o<7MGcnD^j<4ZbGcjGCENLgz zaIKjr-L_G~wPs=mDJ)c4!?kAOAU#NkXV3)|z>SBaf}!)X#~4Th)(%wtjf2 zM&vEPGdAze-;(leSgcBnCYB#tX$wE3zYho*r`htPuVP?e&-=OvW60-PGtpl0GRIn3 zYbF}hU{rX`bsT=1B#a(h!?k9jbpJ*T*P4lDWts+7lOB~2dE{IxYt6(kbu`&W;JoGnOLd2NXIzH$#9e-AbjfJARo$O zud#6bmx)=@)!TDCNi5N#5{ML0z@2l0@k7WVY6WnhvOq(V4V}PAkll}1|&S4v@%V==vilq<+D)?4GKH514ZNyGzUj#9y zvnN5h#lJU^_K;owGo6J#{xhBZ&ve%L&vX{=%>PVh|1+Ka&vf=b)7k$_Xa9dOoo$fm zY!6OjF-aXLlT?0q_lMxoXjZGcB3MnhnrjHBVKXP5TQ30uN%~5XaR8bWKc}cAGOy*F z_CEZ2V`^&WwM#fv-3_pr*Dm3__B4Vrua(%(0h)R3QWAHEVH2DTb>dw1{A_71d)zf< zE_;5fx$If}9p{2Q{)@S+cgqTVnQVQ@v3L8$u#Our7wqi^TkoEC;C^7x0fK4qzTrM8KC$b6(+cS6{@(3FDW{{pYg6;<~$dA84 z&r=W#HsWF2YfNTnXnPd#f8<_6$S1!_^8fF<*9cfFxdJd8^Z?6Qx&knq`3Sza{6FSn z!+x9>9~+q-tYY}scnd1P#=KMhC?04pGztlbkeFYKF=)46FopakQvadmi&39HMdoDy;@s*J5pJtGDw#fk`a=cG&#I2bF$vq$$ zvYK!;{%3B!AD3KC6h_8r!5zoJFm00Ij5#Bg<5*xn4pPH;^1%!W=P8Es^x5D%mCOQ? zf%8Iq4{%CWGKqBB;K`HBsrWvTyhRYk^TqRrpqRG_ z!nje->g4sFUzF~Sl_lx!B$4Bd+!rkGqCf%3P|Oc{;D6@8sbKWsT97EqNF_H-E;0J3 zl0hlv$0TyRxd%WmpD=AevYbk4bt(cCTR#LDT_voWHDfqHqyJtyUW;g-^FB6*n$Iq1sstT}4;y zginUaT7!|h%PMwx5j1rc!-EACr!Peb>Me%H)0|42*ioZ{qF4p>a7nBVLg!SiJs)Cq z6hQ(~qTGK_*C9~x$PQq4M(c8a{{mXVnXSrQu@C&mB+s%Ko`0*@4~k8mZ81FmM%|pQ z{#X*?9JK?(qMLJt&Z){>2_eptYy(n4h_$LazL!-T_5?Dx(X!(afQqgIahvT;7DMG% zoLB*xn=OX@x#IMHfaVs9F`7`x8`KnVG!=B4db=dV?Ly~NoiGcM-yzusq=XPps@qFK zJZ0In2=TPVv{?^#TX5bs+|ix8VErYOYU6tZ9qy0 z@sm29LeN4#`HL-+3~;F3AJugwc3Twp2ThrNwd{ud48=N{417`scjA%L$#PAf9u+;h zqR45@?ra?#RO)0(i$4wNO}GaPbcUyKgn$-P20_{g1D})u&GNysz1|9eiaoO^LE(2i z>-AK`nFi27w_#Xx-%;qCsuz6ps0LvWkP_^A>BGqG%Kl*2`*-Ym>Pt)P`sm$D?D|@E zlZPWwKVcA%66{9l=g6+>naF6gVV5$MqH!rZzq%Uf#_C&3?8aGkzjlF|#tVahlwh}` zZWn>wVep`mob@|)J7|81HSBiMG>9;T-Py7W{)$Aq2!nu>V7E{oN_NMOLUrwH*vYx9 zift~1yo(IR45p}|1^SXw28;D%hDDo8YzD_KMh5!}%Yc+i^;dFy8KLVdr)ro!X|m0=7qz$p<^uV z@_PMGiEWIfUEQF^L)*HcQ`{E&?8&wI1_3+E#XZRz^$!AeHM6rzz)0q8%JEq@0TC^a z>JjtjVeHnwu>N$k$feuH3f?Le?Pt%}@)Tvl#ZX3f?Le9cVG~zT&M?(Q<<^9#blHw6A+GB$LJ%t#BVg zA*3-zD}~Of;;mBADiJ>*B?|Mfdo2sYdvYRs8rt|x0lSCXXG-kWyQeVBwjDic+3{AX z=rLgskTT~*FS*~69q-wRUUoO4t9S*}0$=ITi*Ahl3nSet?w?ESUKKj0inmHduL*;I zlwh~f9gRQ*@9Bx&{T;i%xqFw`z2|mjSfqR3vg55%(I#OKkP_^^a?d6^-t!Y}{vEq7 z-MdTdzIIoY*nMN!@m8tmTVW88GM9}Sy&4>hk}HRzChvF@2ql#(hoVm2>jIi9hoWY$ z0ZG_Zr6`@fWdfQjhoUatqXMR!DqcAhb@iSCEapCC9eX2-yf+Zfb}i;UsqV*8wF|Me zmRiPO70rFhi$_aR*B%M_8k+m0uHT+Pn){?~Al6Au#^Vfxq{Z`SN$RS*0M^pnCsj1} zsinD3YVGcbfY^>4ElJ(TWMb}9xX{%as6B;=054I`(jyNdmXlA)4dpkLh51e zlP;S3)Y9Ci2!*vY_bEcDTAKS5p=>?OebRjf3y(@@;0@%LdKQm~K-ooBotXQi2fV>d z_kKBW(nWKhTE5QHMRT88n){@S=03GF_emG`M`~&AlP;S3)Y9B1T{QQprMXYKXzo)> zbDwn4+^3f2KIxLVPkUqTlb%Rd7qv9^NpHs>6i`cZpY$X`+*z)rxleiui$ZgsTAKT$ zryGz*OSoX>WyVs*_D*|a?vtLgf{aD8yD><{H1|mt&3$TV?vvi*P>Bm`DNlM&YDUa` z@*a7nPQ`;i%RKL`22fCSV(yb(u?|oz&3)1<8Dt(b_eqz`eexb#Po0?ir0=eUIb=P| zebV<>>Ux;_q>JW0^)UBI-?tU$v5{X(bD#A6{6GWifLfaSq#rO)x}N4f=?Bl29J94F z_emGceQIg$lYX2^fw@mDcUsd=-cw1aP|NRA>8IZnsIivjKIvzJwv6jsyYVV;d9H&% z-Ro)YlYY_U&=U}3e#yu@5DjMNDRZnxD^jn6@=vtcl zq>JW0wKVrhzr$~b*osinD3x@7Lt zK|Z!IT}OGa+#Rn*0XtHU=mujEnG0lC_N9!*@PII4_=052Y^i1f7 z<~}jaebOiDpClgUK6!5~v}(BcL2Al9|3Ls+%BZ+@a&*?S0I~XD^jA0 zRrz-zVmh|c79wPo0z$@Vwmj*p7#Jpll2*HR@T;P^PrAK&3}tK-bDwmB`UB!)86=t{ zj2;}*+$UW!_larllWta~Y2bzgk4ngU`w*I2mn%k(Vi>P;L^(n~b!u!-hA z=~bHfnVcUfrl@w>iMdbSBdU{PPnV{PCiEP_d5@jFlVVRRHuP@=&jY+Ewz4v83P|qu zXi0jD=76SDGtGU{zv>YJ$Tl-`H%|BF>8Ri)ON6? zmYga+jIi+$KC%y%T;VqTU@H`E%{B}3v-T(tg>h#OBFV9seK_HzS3tz@>q7(h9Y5f4 zM26SErzy}E`tYf`Oum*Yt1g4@#)oLPOKm$qUY93lf}!8# zl0irmblLtiP{OF|tedQ4?s1Qi&sHabIQ;4=+`Sb(hjUJau(uY^zQg z79YNXupjP@Vg=!_Cg>%cg=ZMg~#Jr5q@Gs_!ZELYo z?O1~>FUCsJ>2epJs>}2Ir@L(8Kex-z{O5J49E*Q`m+t%*bQ#Tmi7s!k)~dVgimN7_ zywfeY2HKZ8>7&+??UZvoJb(R#l`?P(^>h!OZnz3_C@(zgY@7uMM_mrr72(LwVP+R@ zKG8?<&)JH_->nO5=A3SCk!QP~$@BXN z$K%7(Ux3-6(|G#fcyqaDsAin5kAFe4aaSw0ISy5y-T?4y^#*E*OQVlJ2psnu3x-bP z?9JFlb8k|6GU@&~(B7`t<(c%KuK>JXJu69de8LzA@rZiFaB>>=+5v6QeMbF(Nxyj< zkuRvV66wTCZh#VAwV-kupT^;mp6*}N{dJ&S_bLu`ZBU2XY!;w@x*HX{FE4@G2mQ}| zPqC}=qs+PJM(!rXu1NWxM=N(fRO}FZm90l>bU#vTtaw4jKcd#%PZb-cZb--Fs4e#^ zsefJxya9@Kzm|IAVIpX>;*0s7dz+3s%Hy`vLsXf|c>JA4001E!Z~RJOpag z+GwgOJ`-3xf?E&yWv8)8!j|=!*zRno*mb{oz!Yl%H43G z+zl7X-Eg7Y4HwGYaG~4{7n-}_y0yL=?lq>ByWv8)8!nW);X=6^E|k0BLb)3*l)K?V zb2nVK)_22wMGkT|Txjlw>(=^ixVC6gq1+7@%H43Gxf`xq>$~BGkw)%@3*~OOQ0|5c z&E0U_THg(~kd$&aTqt+Lg>pAsXzqsV*7|NZ-q07y-Eg7Y4Hufb;kvcH8}44x$lY+E z+zl7X-Eg6~8?Lce1JQ8BvZZa9;+bT^y17}C&cy_G71DI<3 z^NDCI-VJvYfa2Y7#Zk-N4aX>sHTG^e6I;3)j^+Zb?uOe4{#?QFwlLfccc!GRc2X=7 z0XqrH%V#Y4?r?1p>pNvT;EZYI*5?9)e)#EX+q>F+qP_qs{Q5?|2-+UQnacJj;Mi+8 z?-rhiJxkuL^Ke4Y51S6gi@+(PMM~9h-I0v)UIPDvpTS})vzDp@+>vlc&TySF8lzN= z>T0P&{O_bjlxR2`bjoOmT{U)!rRjQoky}_>exr!)%P9Qc`RC&YUI%5uD<-p0k0qd9a!hULA84hlemHJ19cl^ zDdGox0n9VNNIAa*^=AC^RDChYSlh@*gO4=UnT;5pq-Im4Zi+o%~r z(hj_)gQSloVKU}17r4o-lL__ih*^x7eM;0|h(_uRU4WQF5mU;B^vFq_wQ?^& zis3w33y5KiMbz@JQ!ua8J}zE*iUbuOLJ@)cJi;UR{?}#hC+I{VmcB%qk(b|q6yvm_ z8Ia4CVp5IQEvY7JNi}lI2uw#NXqm0JY#~DGPHZ7{ry9~xO0LJ_6?xuw8c^S}e1|{% zrULXi8WVr|6+*nhOMaV4$s5}E^S&m;%MkhVek8Zl+j}|+#aOf|9|HpP_;pE#;-v1ly%vdb3GfNJ-nk6pTnI&i9 zlji?xc4lP-HyW?TxfqS+qQyoN)hvWDSxLQ($!@frnkS%_YT(A>B#Gq?L+SzURZW&? zx8XRITF2B=it#$NiOHuH<8^8wdPg*^81JS&XZ-YHyqo%g@CJ59 zz_;l^HcF-Waf6>bY)<~|2nR&`FCW4%=asVeHNpWAIbF8M}9u z{{+coE46HeTgx!_KFd}Los;E8Z`mplKOiLv^RVmtC=54x%N{Z8iW|LU54p{VGwjy8 zAD7rYYT0q4x9l-t5RfwGmA&L{Pj=ksEqmEz?RW(vomcjvyRyXY6&Hh)mF`ucbF$p% zEqhHEz}2U)+vr|}fNb=Zz56?Me{-KKv3t)wvBd6u%Z?kpWt)USKuSpWmAjejxY1j- z`FHHTbSr~mVZV0YEV28>vg1Z?*|)+VAf+^seh5gLgH3T0!T}NggGVk~*67^}+SK{z zqh(FrCMXw`n38(v0D%#Q&I{uT#CH zS{0oV$GkHw)yE*{e>&#PQmMXzkc*{a-kFx_Zzy<&P0TyfQUeWw_7O4fOiK+Ggjh|+ zyfZB|#8CVn>fSrfiXwX(@9I!AGsT@db7z=)VPF`71c!_nWr&Ijf*4TOoC6pT#hekv z0D_4%tYJ}Hvlvhm5fh@Waf7RZg08NvvgUOa-{(11-P0F$zq`NhyMO#%KA&l-PMtof ztK+Tb9Hus}X8|!Y)DpNhu%0#3%(iwHo<#MmnP!Gr4xTafteIw7EQe15D~g%nmP1yY z7DF>2!rMxTi*(G$YWP>;*DktgkWPJE}v&PT3tThaS5+dOJM5cde%%cPYFS4 zY1Ol4nt9q1n3KJpWx>p|LXhRh^{km@p0hG|(W_@sF!Q`6$U$8}p(`yRae2vG@WCF` zRo|vAzepxeYNnZ&=%pqbT;A|@MdI>LRuEk-$i()PrO@Ys%xq;T;&ef#cHnsEbwRui z9ffWeSToINw-MkgDlJ8#Q)ps@jipG< zw1R}Eoue>51&L42QIY`t$OTJsRX2I{7eqmB5~f<%ygX~BnHt5gXj$46nz8DDN?bQt zGtKPeq=|Q|nPzr&6c*0vz?x}hyro$0Sc=T-;&`M=Ky7GtQ0GFTHDaR5u`M%mSK;&W zteIveiCRt0n$R4sSeMuuaikL`MzCg@Im%IJM1eKa%+Zd*ROtm49y3!cMGB8uL#H|l z*LN4h&|}?RiJ^z7O`+8qdc2yK8hV28d3n}MGtkkiMpSLvSymO)QXc*WQChJ zTQ7GCScHf<|v6X_p6&=nT`5#^+D>)Q^M!vSu@S75c4%T z;mm3k;sZ+IKq^>c#YxKg0&Aw3_Z&seK-Nq%?>mZ|U96dA);bDr#Ej^>Y7O-hZ}p!jyC@G44i%KV_Fr{aE6Td*zSJfD1Co;A~q z@+m-*v&J`7{>^AupYs0Q;BrffF;?UMHXSS2F{zo>0X5T1ttHq8Lom1Ze@L0@H)bww z#N5Fz;$xT9+R=_BkAx=Y?}srPlWKn^gmz@jG*c&*c4W;oQxeNMvSylT5XIKfP9n?8 zvu2v8qcv_L{ku|?WBg;;mbh*2;>Mb3W(N_V$q8|L_&=c0 zVcZDM>}jP5(xC%tU75*_AkW?`u4VRil;l9|?svv-)z-&-{3lX{ziq) z;Yp%alM_Z<;6+SP|nrY?=F+`ISX5Hq$o|<*L6W40iB1dU8>kdZ|vsg3D z{KiqlEY?gjcRGr^3bAIIxyw=HwkM|F<0y&g3;nNC)9>|nVp}45pYVBk)=V?^i?N!V zFnyIj3TXgk9=+(KiRGL}FF8W%Jo@cM3SaOK!`?Plub{|bqVQFxkTuiHYobDv6AIV* zE77p?XkA95okt&JRmwd2&{10F(MOJwc>TWrNovH${>iBke-S<}&zfoG6H%+l2_rW6 z2VkXzoku@8ajgf;3*@qqq}w=&-Sgf*(?|wD5U()=V>P z0ve*p39}l4jwx*L@kM_!FwDV~1tV)?j`#4JLJlc07BlZjiV{MA{ zdcR;2rb$V26$9g5Xc7>A!TQvu2vPPK?#$#BKb+U<6i66<9OP zJR~1s+?OZTOfwHViagA+W}11#QTQ-hV9hl1sHMm|W`Q-t%wvv+FWLpxOfyRzMV^dU zGtE5iC=649RmIE`jv}*`HPejyc%&F6e2X%RgF|4eb#Hla6vx&8j?(JhI!B><9auBXeBdZVV|GBzH1nY&$hSA~_ajGX z_4i{(5r4(IPaH)i0ct;)PaPp~ZcV@+gspR*1=H!MIQO~md3n}MGwa12S&jzh+J#f; z91ED4s!*QglzqwYjS1(Z;;O@4*%om%!sq2#GtCr4fF>uzbqVY78()Dn(@c{SC$VD9 zG}F~lBvzubTSjFE)=V?q9YtcrnrWtoqe!qM=9@T*)J(%cZ49}{#roYVyeT!bxA1v+ z)=V>f#57G#JeLm-FQ%dL@f-F?N0B#d)=V>_97U>%;VHZ&yfalfCft#2iOu%H z=jB;5&FmmbB68|Suz}va!u4oay?g&m?>-s5eAb^F*5HRe>)5^_S0Y(0`#CMFnP&DE zkr6qy6o?AV)bI%Otd?VcrscSdmIA?9=6FX*yqgkUoa&tx_F`MSJJIQ7%``Jzltkpz zS78J1W`=9fuzD~2nci7H(|gfIdM^ll{1$2bogK1Hl+}BQ)61G^=2B4-kyGcef!-U! z)6giec#*l$%9NUE1=dV6H#seQ)$73X=4MA=&9nl`m6=-{g)d?qSToJsYALp68V<$v z;q|F$3&SGY5|Z15&&#uBnz>!<(By23f6{$*%mL?IR&hlWuz9THufiaT^8 zaR*0trs58drl#VKaN<}q%^WELG&yUAnCa1*_`%)~Nor1t^7sadNoVBxb8>VUK4D?0 zkU4ja@0l6V*7(McWr;?WloKT$!OlNW|}!I>Vx+^tQ;~d_+?Iy zo(O@eIlteIwJMUOLt&F0eR?Jh|O zFN?m&hVb%e3w)1CLpUe;gA1VqX>N3n3zCHJis;R3kmg0-x*$mi=SOd4gLGvy3{Qa! zl7#T8=*Mi3u8zjxewzmAny4GD+6MzVqjR!TWnuKWguR`eDz`;r@CKbu zmD{85vQbzRU52-&bgJADeVL8IZ=&D1D2QWsMp%UmW;a#timuB>;ci#r%T1MgqDk2( z+#6k>s@xw<7UpIg8E8&Ab^6z|I0}ZP)c2G#kPTvF+3fY|S-K!R;<2ur+rAn|T6T zt1Pg!80Hqf4F1jpw&rfXg-rrmbBjpza^tXh7cKWgZTmQPOVAxltq5$*CBW830$X!; z+yg!wPEUZXx!-U%0k-DuIf+dITl4MDg1{~UY|ZySkCKS2$`51{XHOG=t@#Al+DKq) z(u*4jY)yKmk-*lZmu~{FH9wfB1e`XAKzFoqC2X$6pO_A%0&LB1(Y*(h64;tgfUS*) zqnA&Bt&Ie>=C|n!J24p>32e;eZ{CK*8uHQ&tYks2b0@#}4 z3Y{~+D?T=QT`jORzwgr&ERo%hO$sKkHNU?#A#5bDHGkj>G8PNaHh&N!6R>7lLr9sq zx3J-#6W$Q6L8qJQBEZ)C^vX@3gTU7ONo-OFfvx!z*xDe#)-D2U%|CcFLe~UfYyKg} z-2`B3J^{8i0oa;PfUS)Lw&s`YCWpW@64;u5)b?^s1h(cMdmpA?k$)qBt@#Al+DKq) zeg%VqYkMPst@&r7-t2X0B(OFA+yLoyZzQla|HAIl>(#jC7D!ojob;NT2yD&2Y&8r( z4>teS+Po!tFggLYHWJvHfBhucuceW|*8CeYr8lyPz}Eclt&~xX1h(cAU~40Rt@%G) zD(B0DMgm*&?_P}f0EO8|U~B&U9FDl(ZE_=lt@(947oLpJog z$CtgZAst8YN(@CD+Qb4|^IQ2GHUzfjQ(&thur=S}uNA}bEDm66+Kml?t!dX70$cMb zu+f)gl?nUr z1A<(qIrHRP#f4!UIIl|jaEHLwe50zyiP}?ut@&>19gG{fNc504&R|1eYd!_G8UkDM zJ(ZmrF_bX~4Iw4>6kuz9nCjjKVhC)_w@8~}2yD#{cU^4=Y|W2QhruW=w#l(E1iLwF zYhKCk}Tk|Qf)ezX4 zKfu3KbWSh?w&oA?KZfNvuMB~$`Gb6WVjW=!Y|S6+y2lv;Tl0sw?hHdPA4xn1i|B3vzl`_F=UUT z*GYh_4IxRr6wh>-x|l*8&~6BMviDLv(~^lkO6Y^I&2g6PjfTkbz23}YpWoo~f~Hh& z0$cMx`E#UK%{=xs2lMKtR5kP17cRIOyS4&b=b+bzz}CXWD`BX`vGyUbwJ@8Ume3%t z{0-qEu$1StZw0y5ZqtbK@c$a*TKC2Xius>Fu5}+CN|v94TytFhHXUOUn$YV_{8#(! z71^<(b3Uw}ml-AgtNo6W79Y5i6rI%mX|;;-{}no^&29$*Ca?{J)sMTmAC>}tkNyd5 z$LfAXSU5l`&sd^SkdUk@8F$O#m7y;*OmB};0fYSu<$O7e+Ql@ zeiJ-8{uOxI#5LGAjvtzg6h`rF`(klw{2aEG@t?6Z@x$1+UEBti=i(o+mbNPHum>(N z@fO%t$LsJ;T@&xWE1{tttg4M0u&s-?#I}9>CFu3>8CV@yj9&cb6L$3C8gzd0Hht}( zM9S9w`&4tyBN%P|6lA#IHE++Z=X=dgqKwqAqWM*Zilm*cj+F8`*sj>>G*QEP@sI%K=cmmU7~+u!Vc)rlaTi12}pF z2vNKY0(E>26t#(8qr>rDOCZME^lr$t-d^P&LjIGfsPF#d>C(3?Eo>xMVY*>#l7!v$JTfI-*$e+gB=j5yVMBuBf;|QUl18NLv|t}Jc>ve^6*8s= zypHn#${{*A;N0}PMMg2Mr#KI^Ihcp&G}mooUS%N9bluqWrH$vhZd*f)U~qA8peQn? zk)xLcPm7}NW`Blij_dX^Q`o&CSOUZSZq4Q$9>Dpo+s|x9y;sQ(l=!A*?xUz{Tz8=9 z%rGnnh#K*`4KmMj-|Jj=uop254I#f1AH|W{u-{3jg2raj@O{99#&}*%%yj9U9lLF&B+{(HM9*_InN!Cx>v>;tO@?E>01 z81%OK0c;%Ez|m8}<1xB*1xM?#;lTGC2*N?V(H~C@331EKKsk&;;1m^{gg%`*Nk~Jl z#+(i(sNjBx+;+t;(3!~7X*--X;jX9S_-!i~wMma)9Mg)|#E87Z_Q9#54p4+s4#nRY zP@(E!W($R=11V$?Xu}Wo)Im?+BzO~@KK*gv)ggC7ls|XsU}lXw&zUowG4s#B!^((H zF$vVJ>PK)ATGb<2ZB%?+DJhW%5qM(8#N)bUVe%VZZ|- zZmMC4o2n&sQwc~(9PlA0k9SV*LpGAAjeY{K^AHIq_!c!ub}h zLUYsrUPC%!=f9yhn$ZJ9d0~e? zmSJnb=Yd!-^ol)k!~8)7oT=L$iYJ=rM?QXeJD@lxyyjo9Z#Y(|?;(?a9iBo*@iKtF z;$ZMSiD>gLcp^k^7R=&b@M!2Q7>mQ?UsMAzwmkT z`_#rDy=VnY*4$)~dTj{3lX9T3lmm^W9B4e?ZcMek zka}&Cil!A7&c!GotIslUt;e51(i!}V*5E%)!vFO~MB`=8ibh(JZP3;v$VP^bN`?XI z*_$II{0EzMs$$?;u;#edp<)ovewVU+hWMC%m;`~deYf>SECyc%L9y?4b{WCAz^GrnSLYSUzc>T$>6|J?PvgFfC0;%c4T zPSmuEC2%=QZY2p5EJ17;xE_RwmOxu_TvJl9t0g4H?0k7@%x*~Jepn0F-P;4tK0$jC|Gff6(q*glQ6{+#F!N%Otl0tW+e&7T7m>-hY5(xah4#)K>9TP zVv+kh9hoj8K3N&FqlRO|#_WU}VM6suhaQa7rnJU}ZwC3j@I;316pD$shu#^Rc45Wb zCL09(>0|t#8_M9nErMi` zLvB3@?+5`&Eij`;jm3d`*AlqSBKKEDW3>=8*AwM-rtJ5G5Xr>*f`s?&E{U6O#kliY zH`kt;TDOi&Uf7XJKcGPo*>nLLCxo;nNrx0i#YRVTJ3CT)bzt6xG-kmv;i5jpj2Y@oM;x(|)o(c?#X6&UiJPUhQmrLzc#$f;Lj1DVY#Y6D;9(ayeBrugDP=BBDA#;nZ#>f==A z04H-F&dY%!AR?z8j16RNr;et~_8cB&WrhtnB83W4S{#LdCTiJMU7Bhcp_rV@T0YWg zxs#TU5|I%(^|jbQ%kF9!8ikP@-owh2sY02%srM*HB0O2$!nQ+hQQxLorZ_F_XxdZ}8Ie;@!UkH- zQrA<<^&CFi%CxwcCur6=mZC(jO#5>;(tD-?pVNCj^8q>UE^vCkqOmhYNkmS)3LEIX zRt0UL_haf^;AD!HD*F4&jkH{&dVt${cfEQu)pCQ=vITd#QA9@M)B~}BmV4En)N%rS zxzEZ>kIOyklvL&eY8$rYxGZ)ueeU$22#Cn3FTe&eSE$F)C_GQco_2PMQAtNmbX+jKxu_DXvCka%+&Hfs=*kuGT%{O zr!wDlGB+@&t3^OWPCX18$o#81lrm>g=4Ttp{8U|-%3QC;vMtg0o0IticltsEMC8=- zuqmSc=TEA$0S2)jGW=BF1u($lYB#TR5lvA30_m#KBzo!l6LIYZ)VIX-I2D2aHJ)<( zZb3)#_ zDlq=yu+nDi=lmO_|H`lu*Q!)h`PT^l8^cOX9MAh-$oQIJrA^qc_9tV42Hn;TD{abt zjsJ@DKN?mVz<$Bs9EU9E_UW)vFNUeszgYU~hn4!WU+1rv{#V0FgV=BHk3y`2Zr=?n zZNYxMe~t8i80J+@M^qRJd3r<|KOPHtuw&`ej(ntCTjfQA(b1$-&VlFqq2c(W@f;yR zb~*(O*$H`iBbK|;q{z@bG-M~>tIy*I39@MzHEp_AQIwhj!umwQ3L$rsiv$_@Loxy= z_VXMeA+IV2e1rexUR*iQKD6vO1zD2@y-yyFwNsVbc4>!u@lD7#SGk>i5aLr6_Z~V7 zBXZlUY+;HTD`>bC)aFG98o_-v*&t|-Bk_OkpgGE`+*1VE#c>d{`z-FwQ?+uhUD)Iq zP`S4ibk;M_ybt%)WP_k12$Rd*frK!XM~a}NT21BQuX1k@bTrfaSV8i1=!HINK2%QO zzM5If|L0yn4$8`V z$_3qZa4P7&K5U9>_gg_9^o8aJxUVJ~1igAG{?BdK0M}kC7xc=V+*@3GV;Y+x=uIo= z%mWbA-*I0}wsv9VS3~j9CD&(HANd4U@G(Yi=($t&0O1=;;Im2Yo4r8z))Kg0I9H97 zj+Nh806iqHn z9t=u@bUA9sNQ`_vOEDGxM+j(2uEw7T#De!;D5bQCeWF08Cqb$NG%uWV9B!zQ^J zS9TRXuYUER*r}TstH}w|hpM~LD13kfXqDSKak4I0BDtNTi0Kka_b_BlAB5irE8WA8 zz1d52hr7MRbP4YWM-kKKO@c1_XcVf%^lj8Dsp+HDCTzgUjP zyrU5RP&<4iX5|TvBKU_L&qlz}59FO+LEQZo5^OK7b*l1S z^-(HrvATn8nHLWVpI1Md7wU&ZfF>uztx$D^MBLLGiF-?-s)C z=S6@fC&ay}4oSuRPTh(tfc<3Q!MZop%v9VT)DCQmb$@i?-ov7=%C|&-CMU%GS+%Rh z8_AhmK_OEHU43^u;F*M(p@#^ z7W^j6F+RjSfzP#-wf@`EZ7B85L2{k{YaC@+0 z*JoE2{gDWa-)*xJ7i3qK{41opxjesBcJRNK2hS}^-~Iu5JNhjM5voMnXOz?7tvu); zDGWoVNsvv$gekbb`=i0#4oPB;ZjU>gO%h}8IlN@$Y?2sDkUN{C(ikEH$)u69Nn$Jq zuQNHDB*qeWTIXz%7$FFFEoYO&SPo*jayChfB@ktivq@s?F7ma>CW)~e^1;C-iLo4< zUOAg2#&XE)$|Fh4#c)b)muBQu@LTTgW)zu{Br$YblMOMLF|Iy|!6{ZyYYa}cgw`0G zCWK53&afPhk%Pqt)e^mP1^&Nn$J^@$lqhV6ye_;stb; zr@5I;rX)!Wozi53hmW@4%ey_wk6A&j9xk-au0P$Vk|}Op)N^` zPy)HHxg;?{DN7P#DGWuDB*sz%yy%j|2&F7Zj8Mvw#8`@4Qzbq*cc)7N^y9hkST3nZ z62lawa_vWw819HHxeQT_Vpude0RS4SK0qT$5@Y3ycP>ecrSJ)%)+LD%N?DQ^%OkMJ zIwpx}1Bin)VxpQygXA#n>WpwnVyIS=6Gj}aE<+ z)=>9Qk}))SC`k-GOfk=>HS~B@WLpfik0D;YOA%M7g3iahNfw9!q5j) zh#yFkBrzhcEJ=)2AcndmF_t2S-X)2#6gl)RNsOgrlf+m`Hc5=72&mO1iLsQ#nfuiy zu*^EMTpdNnB0i82hIqWB!+4=If3^2QT+i8YeZR+m^vnj`JN*9E@^&JOW782 zE=f$iOATsP-$-?&Olh(9%vWNenIPsT#}f2mn4P)G&vz|5C0rAYBx?2Q~MtziGjl1{aaFn`}iZ-mILLI z#MHYaF;t<+358RA4UE)(ElCWFnBw<>MCkbk$*iJwLeP| zQ~R?dF||KS5>xx1B#D7pv;1YLS#$iY*p}G1Br)|aNem6q^%B6Nn&8uk3O@)TeG6z9GWPxaY`WiLqv7lf+oFvPoj>Jo+yriGk@IgQrr{djv(c#dMb>2K6@Z z{M1v7)#QZfEkQMIDKd|;Nn&Ik{hK5)P&h0YjJ<8FMhCB_3SE+zdY2@IDl|EvaB^@J z8uohhUq})IBlZj)NsZVq7{#`D?UKaQyCgAGtH}u?rUiYwCkHH>B*q@FY?2szz_LkV z>^%B6Nn&8u3BfMVVPkV@urf8vC5fqbNn&V-CMV3A8!&0Rok!UuG1jbXk{D}NHc5<~ zNB@N+F))2jP|+hXeL-*l-H?d7Br)|aNeqqEzRnF4u4P45-XP^rrsrqp#V)zi0cwwhsOUd zNlfj}lEl>hCrM&pXk++9YG|);6Sl=rmn5d%C5fSFnw)qpA0Afqf}t)+%nC30QN*TU z9T{4RykWZ}F_t1}RPbKY5)Q=vHq>Ln-=-?Jmp#3Dmn4QtB68|&v4P&b!s%!vNn$qE zyH7?h-<~FiSA*MX**DytZEJklq9MbgcqiIXNNu5 z7Jn~sdR>wjDv8Lc=V1fA`1PR=^h&md8?DT;Br(XY&W9($+fpN*3kS0;@p;}E;gZBqttKaocsKkIjU-8oh_f%CE=i1~@O6_4-U(wM z(yW(j!$(pD>zo3YB!(h2IdS9qCfp2-f085yHl~5Kt9MCaC_s}F*SEe=*XBfAS&|rBg_U#O)eAiN-%k5(9A)qxUI7 z#O)s4%C?AeNn+|(d{P^LL#9WEbzL<0+mn5cuFGE0~$f6V^i8LqoC6^@TMnsHBVq`_eQ5ch7JCG!XG14T+rrsrqA?i_*#2nZ^3E^eY z$@q+%hVb%eX*Ps&qU#MuV;iKo(Ga{@GDs4_E25m(?t(NgIvsB`43dO!epJW?>B{J7 z7bKS?X7g;2u8v;A{XY%THPP)ZNG?gtnb{yMh#trW>6g*{Hb`E*OA>Pd9G4_97Ys=J zyg51wAA?grZ;1}Yceiw^+!~!H3fxrrb)@knCY>q^qZ1*}@7B&n;kIa%i-P!hdo)n? zbx~Lp6}pnyO_e*MW*K!;65S{n4eu+-#@H1JP%0s?@tAF;g%F5Thm0qJc?_9*r);C+Rds zk41yzgmI_S(&!42=wkGE#EOTEkxLS@ot!^8J2jR?Gx3uXlEm1MJsHiE^T(ZC%cHI$ z%7yHy=;f|tb|G64b&^pRvZtf=a{jop>zSx1qb_96MlWPT_FQyLHe}C7y9;v{dpfO* zCS+suLiCQz?mjj~t0HC>;ptTGlElo#^r>T#n9mWTPA*BzDVPhLT#^``N9|pb7|yj$ zE=dey>eag>F%P2SlEmmi$ng>yY#aZFBr)}wBr$m;iJ1icE;dO_FE(wG7*f5;ao8M; zmYnzjV7s|nW}(|umL#UhCW#q>0Ze(%C5ho~E=kNkXku%Un655KOgtEpY?2r@F*CYl zlf*QYC5dS&OA^ymmL#T|B#Ft1j9Nrs5mZ;+fK6wR#B?BEU6PnZR9coKrl~AROjB8s zn5MEMF->JjVw%d5#59#9iD@cJ64O+kB&K_kB<2g+Vw1$Mi2yX&Br)tUA3>8%5<^#* zB&NwGiLqTIiK%>ncab5^$40Mvk|bs-i6cC$%h ztdvnrWl3V1Y?7E7996&DgeIFLrfPHcc5AXpV&=)%AK@{fw0cOb`#YJhnUkb!%9+e zC?69h?#Ef$$^=zwK#=P+XP%s^xG?a#-7)FoUg46&Tm>I{CrM%s!g$FgiD4UOaH%{= zOvxpQadQF67=+FtCHGE}#4Ms1mn4R5ig8I|Y*&{yN)l6f7_3_YR%fjwiTQXdh$&AJ zQ*udS>~RcBE=deI`ON5&#PAx=C))BPF{Sb(F(sEIW~vxCx#W_>*c0oBl1ma}yT_GW zk{H{aQF2LQ{tmsoD3@H4n6@$jW~tIfNn%PaNep*ql9-Z95@Y*!s*+0*WBZF$$t8)g z{iUkplEm2l)2g&_l9)=)FD|ynVHPXjAC61SpzDp7_9Q~3@62mqRlS>jaQMxm* zLKxG79g)+?t3=o1S>yV3OcKLWy^~84!(-UVC5fRvt9f3sN6~APBr%;slKLo~=`wXO zg>FQ7RNT*9sW za671x3@-hW3@-hW3@-hW3@-hW3@-hW3@-hW3@-hW3@-hW3@-hW3@-hW3@-iB3@&}s z3@+ixepjl_W^n17W^f75^LORw|D3_4Z<@g+yvmZ!@^`w;5dey9_RU z(+n=*?fw$(BN<%!B^g}$B^g}$r5Rj$O9q#4wLh)}GmjZu!uNb0TFyLXa0%DS(c=3w zGq{8w$g$x)fEirEKTD92!KK9XAmPX2I5N1Dn879dM2-{RB$>e_{7f7N9;n0&F5!Cd zi_b31;1Yi2+eut3F@sC^o$HoL%;18Oxbtxx;0rV}xP%+rXvY#WxP&IK`!p6|!%a5;M4jo!n^m5;M4jjjr3H#0)NBPuJa~#0)NBU)SwfVg{FRfD_fL z#0)OsAUE1vVg{FRNMJ7@eM`*X5)O6D14_)`5{`1+Ath#T33qngElbSc67J@@$l$_5 zSI-PC;UU3(I4+&TL$K|mj>ER+N!WmL8vct&=q(vs!sCJ&!%;(mxhSRuXQ0Ug$P6wa z%5KWVA+(3+C2AA-XU^h|Jy~GSI;T+fPRbmF0@Cuiqrn$rnF5!IF?N?$3moUxXQep;|FwNjn zVg{FRLBMPR$ly|92AA+U*F^>whM{xFm-vWISMG}bHE6iY*8sIOuw3dhUlHb?{F~Yd zSF^oFAkm2=;P~8UOc#PU@MR#k)OvqB{CJ1`s{tiYf0HUvh0aJb!kiY$5BA5tYLCIc zCU+yS5mxsG2 z-W5ioDmU_toQUm2e=Z-@a1*3&GG>F-1Go7r zVB=zttpgW;P6wugFa+uMglVZT<(feAwvrqgK%vO-SXcw}PXXdksh+!97dnUwCxU-# zIUF7Rv2a069DD=a?<&`UyD>Ug4n_}gKz9}4Ovj=A1iXMkY8wcr0t$a475=v3u|5&( zHa_pDCD@ZIG_1IFit+dZ#SM_WAZn-;$K*Q{`5lJT727AqZY%Nzc0+y{oO(!|a^7&H z+(CCBvze%2>Kd?eVZmTYjWI?{Yr=;@t8Qmew-@`9I8VmQ8ofpAk7R!r>A%YUG4$T{ ze?))K-QVKj*Rzs;R*6V(@iIP|S*T#v?j-rq(g^V8en2hh5MCNp&c z!nN%NPz)l}NV%9C4~l@-Ai&%1`5h2FL~sobj5<|~0n4xtLF=~(8gHS&lJVYlcfs7} z!S)^5u2MgZ!RSiRrjhLlG_FHq2uEAqK<^p!T2`a+85@5=L-$1EYcwXX;X!b7FPv|c zXasYgIIE>S8vOp;(u78g?};tVXz=I4mMzd&gvN;ZXb|%B2L9AfBS)f3jKey1L)Bvp zOqmE;4j-yV9q9(wU=WEzhMk6f69z_e4-#801ceD5@lQm31mb%9sS0&mB5Jop6bC=W zUn1&oib{wg>QpOg=xd15AcX&X$lw__0||Ey!T}ft+Rziw7>CB~Zt!HV>`MQ=p$jmy zH-=u3At7$5CPi}>pEX}?iBlz-doNnvm^+=UEuL~aI0^rZ8J^t?FTaA}9=O$YsuPaD zuzNwfjOGqKz!^IbWP5${hTaU?;V@*prA2dpMGJV@+szw#I|ip?@X+j_H}qbR&jWde zkeNklOfx1NcI&a>mMexQ#UDQqB(2yqe9i(wxNRIKIq`yDi!;x(cd-pU^5PINQstyWm^ylOYr6j`WQR45BV!tNpeHO<2oo zQbh7ZrOv_21^?;}+Eu9&zi;F5FWL+_z*K-0`~0gr3`6@PYW%D1%%L@?-NL`RJEUr5YEw$RE+bS0V6at%Lo|jXB>V>(*?C^FGtv3nj|3UHD;WXg{(6p(AS2HuBY5k7m?I># z3*&(cF?R+36Axl29AumLpLnn(q>!h0V_;jnx#dVfUGbKdkb=5mx!8rRz_wT}d7(;y zZShdMON#P}w-th)qP*f^mLmnW#VwX21-8Y*Er&pSs=|1{GXb6mxdb5|`6~R(a|J>? zicA*R7LTUenrw){j9Fn4gN=c0@y5Wmcw=B&dPJrw#AQ& zs#aiIyv*uOfo<`VmXHG5;-`er3T%s?wuBVe7C$S5R$yEFoRyIR+v4XfAqBR@SzsFu z>XHTU!MeQaVR|nIH3MvmU!s?qY;bwQbvkkRCo7r2wrZK!o;*^9iZi>Kz_vIGY^x?_ zGft8z(d%jg+v3peMYpR7Y>Ty}1YFHkO<-GW6DfrfiE36qkK0-vIr`PCejZmi3J-rZ zfo*Z6rATzDo2biJiU8ZHiTjJ&ISS)bO<-GG25dusetaAr*T}5TE0hWFY9vAq*j6C0 zEv``viza7n7>`w5+TdA>)z9OdoP6<)z_xg2MYAkNz@&%tw3N~ zyh6;^gUz0ejaD5pI49M ztT|r3Dk&)yYmF$Yehwq1NO~$eTTb*pPmOTEwgQ1|@kydq9}L|v;v%1klj;a;i!XKx zm~^s^z_vJB{k)FAwm4h;ypF)OI9vVPnpIZ)9A?e(zlRQM)*Sytw&h?rU|WH}w)hG$ zM3WO{-6okMtXWxLn>8y7Y_n!%fo-5n^5Sgu^J-Q?6R zXH$g^*j6C0Eq+Z@XmUc~TK^(6>^#Z>+w45bRzJ7%C|mv98c|mL97eqF|0Xr!V}A>_ z#cKy_D-hTg<98DbXmY}c4SpAV_^<~o3v9Co3||589IK@IxjkT6V4IysSzwzrtE~Dt z%=*zE0Ub6rQNSEb)+`5XD-hTgw+U#7CMV2l2>z6sl?Aq0v$DW8YgQK6X6I2CXF8vj z?L5j>KezKJTm9UcURM1argsc}Oik|*Ok!Ii>VRzp0^8!AVyq@7Om7Kx#>XnT9%X@T zGLN#rHmk6#`Z*L13#MXk8>`Vlj%`usfNcc=+v4p-g(fEyP7Yp0!_K2Du+7e+Z1r}DzV4FQ) zSzw!;M_FK-HLI-pIm|jCxEMNYY)%bAwk0+W*j6C0Ek0cg(d2|#bAxX9c4y7X0^6)v zSzwzrD+_G1^C$~!v-2oh{oKx@Z1r<%dRg^zm_8>M4Lhvq3xZ#!raNF;fxx!-Ix$w0 z6L;nZ10O$MRI~bdoUMLd&FbfIw)%NBtDnc&>gUz0ejaD5pI5IWPqzAbHLIV;+3M%j ztbQJ6tDjf1K6sq1eqPP$=W$u}b37?54!Xlu>)!HU54tKx#sS+31h&O1#34;ixc6SL zI(07#Y^!D^?l@ch+`5;oeqKjlTb!+aUI$=XoUMLt{moWCxBh0UpIh&;)z9kyY>UgP zpToH|fvQcM`z+Xuj*4>**j6C0EnY9~XmY~2c40p>stIh1%c`G4oCznS;;O?Mwndx+ zwiO6$iwhz^lM~{)gukaaW~z>})z7P0{XEWAKesBg)z9k)Y>Tti&+7fCYN@3hzzz?)@{pWxzIQnH;{B zYS}kDH`U^RZ3P0`;{8QrL{9xKHqbIP?A1Q;?%1Dc$pYJ|2`q_^-^jZu;f~nfdN(cn zDAntLZ3P0`;_0F!BB$O18|a-G{wmdb;m`DDfo)cA8L$ohUJyQ&>YW`Pz_vuy0ow`$ zw#Ao|nnFY34BUWUL zs3z_#e#TNPunk5$5x$=q@mx5SZHbQqwiO6$i&u(TO->l`ZrD^zLbKZWBp-!D!F!Iv z*G;}$z7viFxAk&u_+_fV0ow`$w#6TaNKH=MxV{OGqXNQt;n4>iQY@aRYbR>;vBH8Kww*JM1UqI-0u+ON{MwH zBl(hMfo*WM6b-#f>6BlM~mszR|v^xH4cHu0qYxS*f@I(QvlK zSqE$@5ZD$E5&@c=aJD77lj2zYJT9w#4rhl&FQ?*0Msree4%k*8uq_@f0yH@xZrA7^ zskpN0=MXnB>eL}|cK2v?D$W7h3Iw*rdx`)}PKY}s+7S(FT^XBXl-f5B@g)=w3QR*FxLSdRk0wj#6Z#b>z6 z>FtX|WyNPkHji_Ck;(Srv!YY+PzbbAkua?I?C5*hw^SssEj}k2fLkaQTNMdxi_eYD zz=aTKr6PfC@p%yvW1`!oD5vxJ(UCY?unf2;XTk;14VX^=wiO9%i)Ti6z;^)KiUhXB z7e;U400^*+Kd1Z}WAe)lfNhMCCP6j@0^8zQ(HRV3b9`xZ9e%#EA-pU?(oM*4A-p_d z9`!VYb0RK0VF)EibE9MN+RGqG2(L)ce>O<-BAfcKy$#{~1pQ}&bY=9D3zCHJssz$y zgLHMo%#vx4u8G#VAW1~8jaZ{24bp<>vuu!l8U57;$tw`p7GD=V3CA&2Zi=47x+Cl7 z&C##%F*x<}mgrab?w0y_Yl4BaQ{~suaD4npr^>?U9tZ@m%|_w2NaJ-kohr8{C@mX> zMbUV?7XhVZr^+1(O3Oy!H_=si7EY(ioe4_IM&YjL7uhJ>9qoe~L^@UOaZpS*Rql;; z5x3n`xi1IrO%Uz78whQPM? zb(w4R1h&O*NKCx~fo<`d(Ru_}fNgEN4u_mlxIMNVT7hj9t<}#fr22V*z&51w9fEHW zRbj=#%fR1}z_yCp4`!3Vwu(ihT7bk2Xvv9BU|Yp4E6{Bqu&v_PeZhj`*+5`h#U00D z08`!*U|Yp+xSIgmD(=~wO#<7DR6p+|z&6u=WEV&xvce2x6OuXu*k+RI=M4n5CB3+T zz_z4k8VGDldil-(wwb|WMMff%YY z@mQH1`!>NA0^7_^Y%-JtwwayTBZi`Zz&10UuAu8T5ZGoW+Ae`@Se)Q(3tsWD(QCB8 zHnZ>fpxMao$0h|6*k+RI=M4n5nFBADvABW2Hggao6A;)|3MsR40XF<|!b{<=(CMN& z39!vfUxi)+fooz?#=+VnWXx8X8_ww zQvJMvz&4XqKW`wg%_P;&I}_Mu9=lmI<{JoXGfDOH1_Im63I+vNwFUy)%rnn)XRk{G zfoyW5UUO#x+sw;W!vOSP^KY%qTcQV}lj`RU1h$#i zH<$fd8VGDNZ;X-N$j$_|ncrI}qZ$ZoGfDOH1_Im6pT^;+0@&6-V4Hb&N5lsxtOf$x z%=<6P*yIKR+srziasak95ZGouu+!)euSv1R{kqDAes_HU4t6CSM{#!yMI7p8fo*0h zpTk80+e}*hyhvc1Y4L9n!^0whZD}_y64;h@O_9JhlU6@364-|Hc}&E@I~IX$Car#6 zB(TkF@4q3VLy82pnY8+Ok-#>ylmDTN4lNScX2$#WO3+dyu+5~^&x-`MnF(%mha!P( zW}^S8?7MT3z&4XsKQ9v4h8%lz79lMX*k)$Y%_j*uC$OzZV4FG8 z_3uCZUDCND#wnLQ;*Rfv@`$$lvr-jP2&wD%69* zBGllJ;1?Lc>5FX-H5J>gCt?H2DfllUp?gwY_@q7ns$hXUstccnCg+K(F3dRboyJuc zreDCO6kT;;M%eGBi>|t`?Y1ep>cX}g7hQE>+ihEP)rHRyMW*Pg3tujxx))t_VcYFh zbk&8iViSs*i>|t`?e;5{R~IgpR~Ih2>cU)532aKyRTs8hU{e@|Qpo4~Y7n zkBS+{6-p8Qa4TM*?#7_{bW_jUv^_qFxTX3pgV?+ukHmB8ZW#DE`^U2Xy!3m5zgbWb zD`f@$F6_%%=9lDnEMZ?(F~6$5K>PRj>pK)0Pr_dx4hp#AeG$e4MqXcj>z<6i-8d@H zl~Dry7~G7-`a5Evzk_!Sc%eVeB*^K4KlP@%5jzb0185V$IcU8bY`|a;gaiEno_7ca z26GP*hvYz^J@~iTlOWuKwv)Zt^XMGWuJLBlFFQXNQ{DEGx5bmpxy=DAtv~$MGZavCsXZ zpxN9}1RW)U)C4Q$=tPV}{{)HtM-;P_@+oG=a+|7g8CIWS+#0o$$YNHcO$7n7v$_bA z_h|M7#4}C~7~hn(rY%$ZN$7$v)dVW|8x@qw6|AO$aTFlNb`S+Wu`ej0j-p^I$gv6< zM8P!lML`3OCXS6&u#NgHR#mGn%7S_qSk-NiH&o<*$)LUj+EB6otMg(0XNk0ox&75d z2%Xp;OSuOEL8IQKGL`%~CHDtys2Kjnm5_WSx*0n~*0!oI!@zUV{^QZ= z4HLE#10SLoHDT!ISkSH>eilM*fe>$7wUT>XSGE`JwR>If_F7IeZ{RuoG%XP!H&RH5 zNews7qL5#dxzPh7igC07+Lw|>gJuJ?ACK?p?8@=o|89tR0Nr6SeGccj`v$r#-LXmj zsUtstVZ+(&OL9xc?BEn1x@2%`l1G#cPH!E6wV%hwshqf&*=F1Uum>e%2g#FL!>3!@r%Tc-~07@cwHYf&Z^?1pdFm z5%~WGM-Xg;BM=BdAP|CZ1#k#~1r98Rd9A>KXy}*d{~O?dEWi(C0e+hc@L5@HgMGqD zTxH+(+k;~7gtm+EIeA*!`H0YIZTH zwqg7`ywykXu!%9!1Zs@7iMIoP9RC6*WZSq6BviytOhQ$d*uyb4ac^+8i>ttyi_eA~ zRq_CIfiLSd@i*Wp#J!-gHg3RI_`0||w6u@UgvR=K1GdHZM{G;+>HDI* zcf2$9>KLzp-UhECDf?W>vd@+M7%HUAMu0B(1xHBu4>q#%qGV^F3$EpUB*@5$WCZAf2RK54jJ%VK0A27BM@Yzpdf-yb z=qmn3tOqd^_9x;hF2dG>ErDyWtGEVR4-tZ_JFnsrY`wYV;E!!pESsaZv;?j%tzy|6 zy|oZzZFLpP=ICuK2hjyptRbU^S^|Hbt76$4y{+AaOYN&zHb)P$99%q9#j-iN#d2_= zbrp-s=;4;b6%f$_fUEJO!ipaGXZR@EFR9Xgv7&>ABV}- z!;3$mv;1XB&nA;Co1-tGQ<`k>@X@(Z;^AXfP^*VaEuq!J$AysbaGB*054p}>KWPbE zjas}3UTU(z|+hbY4A)C=?_@)xzf05?!Ec z6vLv)Sr_WDYV$U@-xFP+cXHCiJE9Bp&W^$*=Ix0t(Bmz|ddEfkdKbqd)oAKNy@Q$z ziPngTigjJB5xWYXS4(t(o+N5DIbp=%YISPFkxrZ#L3DvW%28-U4bcVqXh-2X_Zp%L z^b|{xCHmITsgA-`?KNWPv2L%#(8H9%UCSDJyh8aiXXpvS=hYHjpr?sxnw&875;Y5r z8lnsIrB<9Q+OHwHKws_@aM6AZ(FJ<0qloE57wCD8!c`j9^!b(|rq>W%ps#W~vLGK3 zovrRj4ZTLyuq}u6TH*6*i7wC!#57G#82W(Py=`LXVk^!XN_2sK$SDv*i7wEOIEqA_ z=mNdOQRL7QU7#Oz6p1>~1$wEYh%-bN=qDV7S3c{^GDk_AxnG?O%WTw_E99MU&O9Z2 z$hXW0tPt}xIpNG|^%kAsujG1-6(?DXYltq;?>UN`fkYSR_Z>yfE}{$cT1UaFJ3cst z`d#%yYQ#D=; zYKbnuLj(nAa@P5}%AbLT^(pT!!UfFFi**>Q@qe9;73^3$UL@NCU7%|%AxN0p`>&%`I`(FM9BmKBLE&<I@(EO zd9_3r=+2@~i zT~JGOfxbcv(d2|#xA}|Eux4e^1=g%Ay1<&1MHhfF39H_n5q!$2A-X_k(FHX`7XThR zAEyVtagaw2>pdHpzR+Klntrd}#I{7#p$lq>F3|Ujv6`GPeU;Aw%`%U&=mMEXS#*I_ z_}h&XzTgkV-u4i_BIP%&LWeG>CAvVrCMq;Jp>VB#BN}!dWzhw89%a!5b{=KX1=ff% zbOGkk`~LFOh>!hoY>U?pT~JGOf&N6)YI4Ge4gTg;$pOov3+w^Qq6_Q+%c2YHJj$XA ztXXB~0+{uqKN&h~Y@*<`)GUWCs3p2Uw+U#7CMV2l2>zCul|>g=v$E&{YgQIrVCPX5 zU0~-?7F}THQ5IcbO)o%h!9i%qJj$XA zWFBSF1y*4hx&R7?1?Q&67KIL7P)l@y-dj7Y!Ih~I4qZ@7bb&rV)M|3Vh-tx@XxI}!i!QJSEQ>C%2P}&& zu=6O3F0f{mp$lNv3Bj*YvrY{g= zv$E&{JCCyH0y~eg=mI;BvgiV9dKtO^rq2oXfgSb~SP%>@1PTcb! z42t*=UPE+&ekhRV0VNMnL>K6X9Yr2yi7wEOI0_$TYltq;k6MbnW7ZH|pdWKQe9^8U zxd*6u@_lPdgYaON4y>*U4_u3O(pg(XF*2``WbbfC=x583v>@hkzh&8H*plcl+w_~unG&+>_P1no}3!m zTll5%F-?;b&*j6z1JJ0EFGnN7#e4}@t#8;P9Yx--i7wEi97WItcnWWkwfqfIbErM0IBPP^$OBpXr_TGrbpWr1t_@wr};$4o`wC8`VpkUZM;1rJ^L_0)M^E z>b)VH$c6A+=BsbCGNo)z4bcVqCZ~n3dUD>}>Q`WeS#Pw-Gb5q_5%@mzR5+cN8(7e23+=mNb`)M|3Vh;a=djUak$>vMmbMIR!))=nq7sCMRxO--I)%fQvwN8M**Bldr?uQ*qyg zEPr9eIdnlS(FOW@5unKladGr!Dy|G&0C8=iZ&Ps<(UMf0Ll@K%U7(E!(By>s9ir}7 zmTIl*_YIcGwwqT|ky3X^9dfEWrdw62t%~K_rQafS4oZ zj5nBb0JCDwB4S1b!xcqD#GKIUHT(U3r>c8)74LoD@BcmD`+uM3zt1zhbxxf+b?S6g zcUAQ{bzB+e+PeUaZR+lVJl)tD*JoN9+f3-J9K8#?=EA@uC7y4c+{0sbon3j=1$_PR zDb&&ZOU$l|J0WJ*Rp_i7y$if@MZ}EkCaV%cnjT|VcsiYbG$|FmISs9aP+4< ze~xgcV+#xB6%sbbTkIZ&ZEv34D*Gl!x;|F)Je`%M@!nDHBFWWZb3B~Mg7qE@@FeDo zcZ};vt`6+s9qaCfS$q`O!#mDRNv;m;;T`Xuk0~SUpPSMa-aEl9lUyCx!#mO41+UO3 z7K(S0yA%(?C>Dx$vU{`;>sTn>DemzYa8cMCZ;87C9WV-;j@|{{W$x=}IJ(N!?&~lQ z*X_K?$|7tH3Y{o8#T+Zif|g+*NLJdrMiP zg=Ow^teWDkvfS-1xkd{&yF6+z?kcyqoh8?3;Z}EkLoM9q9)=f0+*NLO&lF;#g*)5> z8*1TBcdXWeL|F3fa?cZD9X7|i+Z~8|&#scAcY(LUeH2{)I(oqU5e6h$M-RI1Vy`;Z z(L?UZ*!YY4!o%)G!qMpH5w{-OLmW=BvGu5Xo`5=Rj`x_mNr-jW9Pe@WjfN_F!reh~ zjmn;Mo6GpoVRO8dZY#+(DtpR32=nV$Wvkrgl514U8 zbG&EWs~hU*IrkaK)nRkI=Uv8!7ah^NzXx3?*i`?_c`>10=)~o zS7jVk(7V7}D}Aj%?*ea~=+w&5yTE(RW%Ot9E=abVfMk_33D@ePcY$B@F7U;>AV=?l zKzkRIIsOgTfqpxB7x*_F$t1lC{AI*iC41nq0x22z34AX0ucd8!4ZREe$h)A1-Ua^h zCCI?-w8Xo>znR6vyTHGF1e5eG2*tagnRpk3b^EjcCz`j0U71ABXb$g!F!C;_p?5(9 z`8D(|h@g@hdKW}cS#x+7gx&WS9yw^>N7PsHB`${mWxh5y6Yqks*N?1}-UVUgT~Gr( zT4Cf}P($y6F!C;_p?5(Tc^A~syC96b3u@?H5JuhwHS{hBBkzJ5dKZL|cR>xk3&PmD zpoI>b6Al})J!GMGK{%XAD4>Sk1>p!n^e(8OcR@IkO`&%|4ZRD(F&cz-K?yAf_5`i8 zvANYkdl!V0=oFweJDEu`rguRYc^A~syC9rGcLBV>YA8=Qm6}P=yP(P;&yqWE;mwT?0M*dDAY8;G>!5c*7<(5~iFZLW@h%AO8HD*qb9fho_ZsTv@Gb}= z?}Fy=E(jyr;YOO0hU^ezY^?}8e77lbRR6g<^x z=v@%5THlILiyC?tgsZ*Qgj&_myC8h7gFx+S=v@##ul)i#Hm7$%_>!)n3n0k6M$6nA z5QL7r3u@?H5Uw2|T35OW}0Ub6c zjJ*paY)-hV{Ru{mU8}?9gt2#lgv|+u+doUL4x1B>G{)jOY)%+^7f9HgaJ0$QVROPU zw%v}Lb=aIR_AZdHIpKI|EL19CbHc^;T~d(_n-d;mb70WBK*HvP$J*@kaoC*jL^}uG zaoC*jRC_V>L+=6!n-iX9w~%~z7gRZGpw-B`faxlSyOY>tdEfS%su0Y6hnmtd(Djp1cZqJQi`1#ShAZ)HaL>pU+cR^UI z?nb_Z%?Vpe8Y5W3=7h0#frQNo+bZ2PVCCab2~`d`w-)b$aG?5;Z0KDO)=Qdf=v@#F zGN2Bd6BfM-N@hU1uuUv&lb6GN?7p}=5;iA{y$d94PB_urM;$gNoFtT-cGJ5coNV(M z&lxSf3&PmDK*HvPv3G%l%?YR2k3m?BD+!wuPPO&G(qVJLX$I6`bHeEc)M0bN1MOju zkPl@En-k8k_Z8TL%?XR%1rjzVoGHcWT_9m|!dV73VRORS1~y@H!Z`*uVROQ{1~y@H z!Uo<2CG2CAM(%b~I6ncVGy=o!pYZGm&Rb2s3g_Dw0+z5j;X+CCHqpBvTx4H^EP5A| z@F_J#e2mY7CtJDx4rgy};*5IM3!iEYQ0kJi=yuy5`MMiGo!v-UU?-QEe1Q zx^!K1p|6mxa`@VBqd3x{j!t)iD#ypQguSc_5>mTuwWW7K_^Ztano@1)T@e0e&k!iZ zusJ2u_;kZ5whWt-UUD#s7QG8*0BT3?g7le>K~U{X(2m{(>9a_w5se)PlH;Zr8@?^pG8^UzZdV!z`qeTbfLc**OdPut|k6`D4X_^um{Zezrc>K)UVo$ zHhR5qE%!IWrZ4O7KbAIn7NmlW9}C!G8hQrv8R;w9#9LYqg#= zoPqX;VqGi6s5GT@Y@t%lv9Q7{?RowF8T)Hf{nFkQVLi zm1yn`)UKO2Us)|mwjh^3!@SD*3_u(8J+8G^vV6PKS)}9ZK)4BwHP<4S(u~H9!>Tq=pG_^U0Co=MmC*|%P;0sWSy%n{99tSrA*X|A61GQ*6 zK>LD2>m=O3G1UDF$n4^wpr2~;91bXdN1ZFgC06-~VSIL!Ja`{YT#4EYv%`7G>x#aD2OmZa` zR8r@8S;+-;Jkh(7E4iR6lTb$iE4d(A$yIVC7eRg{S8@?lQpuHE1eF!Ak_)=iju#ss z&;TukD_+HgR=pxRDwUO7(2Lf!m0ZaM(Mqn8E4d(A$yIVC7ep($O0MLBXeC$4m0S?5 z-kxi=sP9j)XlxsnUk)=9bgO0MLBbpr(&Qs7E1ctdj; zTFI4M5Uu1YxsnUk?}EFEm0TrPa>0fn&<~a!m0ZaMn^sHS-j!U*1)DkKu#&6fN-l_3 za@DeuW4Z0*vKsB)?iMs~J6S1|IwO(gGD`v$wsV3$HnUUo6A$8*T#A0;LA`yM2=1il zCmw@-ihklTSdyZjco47TQuGrKhS>K76fl>fpLh_jgoT6#c}5 z@zPkRG(|u0V6pudA>KR1?T+9Wn*)O@xfK1xgJW&>d9LJA^b-$GwEqQiuH;hm6Aw7xf1T^>O0c4drPvKgP&>487 zqlN~gL`ApqOCe%8_R=B|%IAQP=QMksj8!}sIPykCFdq3_$py{Tb7*5TS;+;p>aWO8 z$wQ*Gq%ne1^b-%_m0XH`;z3)by9Q=>4wX>qkaIIx$pr(|J7mL^Tu?7*vf)ZD7-T>% zML+RiFkR!&OG>N?CO$_oBW?I{u#a5>b*Jbj9>goT6#c}5iRL~!Df)>AlY|m0xfEA& z!DO4yc&y}7T*(FTN-jk|@!$aa2&r>)ihkn36#E*~ij`c7e&WGYTMw+6Df)>A(+qfM zihkn3bOSC<(N8=$(C#E1;slkVpLj6C?l17^Dn&o>ptzDt(N8>>DaE;xOVLj}m}THw zREmD$!E6JsP$~L}2XhSkuu9QSJeX_Xr&Nl5;z5IzTm}0Wr4ju#wFIU#0w*=RM+E1s z@T*|Hy%2DUe&WGGN%J;wB^NBRmmrHPxe7kz2ne4VtD*;#$5CV8=3fO9WT>~`N-mgZ z^B%U~N-j9UW_`Nm)lrFpRVFLBQirJR6-T;sU38(fNS8Vs?Ayz^-%&^ZMev{ETESk% zlfR{Q+iJ;`T=1*Sv7}T>uH=H>?A-;*a3znX8c_yBEA=5Uu(ikZdLw=yCSn!C$5tA=Hp*Ter22C*ud1$#{zflkvw-vaE?q|Noeb-?<9{ z!14iC((e&mlaGCi+n#LxjBAx8TeMVGmt>dQp!a0QQI>^s-y^TGfD`7R;e^?3xdG)v zXEUy;;)K~NPMAHJFqd$`oYWKMwBy~-fzN7An7x}UCOKjDmJw@}Qm&nlDtiox1lHW% zwFdyqa>DFI6Xq-@%--@6WW*C@?`9U03A1!kp!VIfDEwC(IF4lI4Usg35B3F#FxN2#*{za3WHri*Wf4KM@^6PbSQMuM;sw zvz##d(S$k639}zfn6sQP`_Y6s%L%jJk8dhG>a(0M`_Y6s%L%g|O_;NsF#FMjIm-#N zA5ECEoG|{HF#E%>9I~>UF#E%qgaWdhF#972al)MCgxMd-rf|ZX<%HQEqd`oV zOW9#C`O%8K&7gX3A4X&CZH@Q%>E)KSqCS~emr3gWx`w`6K4OOXE3;Om@xbI8tNP- z%ziXs&SAprM-%2OC(M2{Va{^G>_-#k94E~FLj$G8vMeXe{=@qA6tbK!`zxswOqjEr zF#D^fVl>&cEwY?2`>W3ps8yB|X8$?9cranka>DFC|Dr%0bDS{yFXDFK6Xq-@%>MdMM9$G!PMG}- zA3{Hv-DNpp_BYMJ9mk73%L%i;nL`c}<}4@7emr5$%Y>Qb3UXn)3!_neK_+COvlUrQ znN_7fefWKBW><57QI%rWR!bHW^hCDoiT`|*UiniFP(Z`cnn zI82zUIbrtW33D|k%>J(SVUpXUniFO}o-kK)!t4*X^#|~N)toTH7#j}Y%&%|YTHV{>3| z!d%S>vwy73KFA)Se9Ui}j{kKM5WDN)g_#M8v^V=pZdp{o0Vkmode zo{UvI7zU&4HxZ0i3n$Eeb2Sfb-cBaWey!?@8(w`QAHS_7jS*bU39}zhn5#Kq_S-7m zHLyABPzj+!&fCd^*&nD*BpXhc{d!504JXY0AOm{UoG|;v33DkY%om`Tk+$Y#e;=M< zVO4X&?8g)4YEGE_iRL~!)toRRDhDYsVXo$c*`I9l8IK8bH7Cq|JYlZpgxQZL%+;JQ z`%~;)q?7Mm%?YzV)z$-RW;G|w{xky~TFnWwKizvtOJrS98Mb&y?bvFjsTJ?9VdrEvlLmW`DMUSEy=EnEg2heppp=!tBpA@KdUq z6J|sUVXr|4RaPnc7^M;YHPtYs5jd$~?CokeZ`FL|&$pYRyH<0;>@SowZxbiXh%mB) z42;EADW7r#ginoC$_X<^je(neg;*;b>dpB)K|~bZ!{(eY`$yQUPuDyrDp9afGGPuK zqFN}9bm_Y2LPsDSIvngR6h~Ur(XST#dAOFcmvJR7wcFPAoG>GD1s`ZiZO;j_|C{}} zKmjMr;WQ3-%#j^u`SCWsIXLgWhLsJcIgCb9AK+5HJ@TXOoGI`a&O?Iv!)7JN%i zpHpX%(oNg}MC}(KQytwz4pO#~V;c~Dw*`z}M$-TW`@ZuyHGUSCen8aYr*?1s6F?>h z3y3K(&wWsJO6JqRu^fxES&Iuzfj`4fbz2UoZ3MRa01#MjbMWH&sK?!cQJ9IN?6OX;A(x`Hl74Ou}beq z_l82Fzw6JktfT+_CzD_aV42v)!4uouoW?WtPd29+wbCi@f#vdE=zx`a$9fjwD{v_u zF_G!_4&eW=Pc27GxP2}LWpT?|pTao{V;7S-9H@qw!DSfBAIc_iD^&-1{soj3z8+QW)*68Ewa!_hDJM zrV68j(s;?07ghs5voWI?3`(gP%|i53!)Uf<^aB~qVOfu4Xxfo`;eTe-_;E1uY|Lme zZl_^%G}psgqGL3pFVOwGV_DWC85o^)CH|M6#tP1E%;?NVSz4NQ4lM^YqjNQ*UD$;4 zSk@yM7+wAm{+BPNj;?6T=&~dpYnsuOFU5?m(u~e!%~!K5yv2mk?UPk9qcNk~ zM#qfq%rhyQ6T2^Z4IcSX#F7049acQ<~2kRM9FH zbxG!3iwl^oU5)?c6Isc+#@t@rf*j55wOg4KZm(-@kCEFOEDKK-Vf4Y@F~G}nZ1xsm zR7NBA@&k$ZP!Qq~R6c=KeWVfe0x3_E;$w}V-${8VB0kj!I>VH|Mdg1k2svS({7oXh z&=hnnF29mRzS0PuCQyC>Yx!CuqEWV4LOP0iwtg2k=o=DQ&RBBzmTmAzmQ`Mb`X>?< zE66FQ_y|!_d&PsqG%*-qo>MrNAdfAUCc?c^VHRmM5q;%_&Z_LiLIq*zkrEZ`u8u;Y zLNqi?vr{zvt`HRsHyFD5REUmnwibm@E-|8{kp?3NC_sqa)Mc>{qg6YmMTjv%XH}L_ zZ)2reSlU8}6TIo_Z+N-o<*9TaPJ+VI8{e1Y*)l_2FQ8M9C&WSO3jzIt9_OkTIyV-t zc^R#<)CmHX6=clKR?iBUE6B*1qq0yq>_7|B|L3ax1l%sqUVN~+NuU-5e)RL^sjmfW zRd|V=>=5-Nl!?`p96RqFswzZ^js@uzhp8JOKI}dVSMvtWR}TwVFXv?A_=DF4JitoT zqPZlM2Xm?AvDK-YfMfwdHi!2vk$i-|Qbf8>Ang%R*@IOqP`9E~Q%iYADusDUp*OKd zNgArNQtLrljtpU{tjY@B`J>f`KpRWacF3)AI^){Nl5{HjA-_NR^O>w{U`dM5n>Q>3 zy|E-6#-z3+C03t*x&$fn+DXHbbUDCnT9WRCjCec6znR61C20+l+LDy7)yR^xJ!W9W zl9Wj_^1ozB+C_NKlJt4hmwFtRdZ0vfRB9|qpJ%1qPWf+ONtz2Fi?$?X5(+Suq=Yzg zH15mUiy$g`BBxRCy{5wn1EH*Kodk+3Ni~;kTaxy`T`gLYcI75-Y)OiZ zIzW*nDTmyjS(36`tz6jd+Dp)+$SSKJvRq~rElHUz=TsLhN#&gCu--mX1lQ+O$Dlr^ zItKMQ)kRBEIj0(yq}PjggFdIaXh|yPRKt?=A<5O}R2MBt<(z6*lD;Up`kZQ5lIkac zKBu~9Nh;@5!;)0z>T{}LNxD|b>T{}#mZWk{H7rTlSg2IasfH!#$wI8psfHyf2L`uO zKx=TyUzlxd7$Ij6d4Nh;@5!;(~Y4O)^?2~`d`$Cji#IYQ2< z4(k=uWMeEzHK@<2h9&8K5R%^?Q%tM}sgX8IOVSk7Dd$udElK5^YFLu$`>4;Uh9xN} zv7I94RKt>#&vT zq=yTLbE^52BOrXtIn^9B2F}rvltaCZu_Wa^Y-21*S)Z=?o~T68l9Z^niX&aRF1pYY zNLM)=&TSP(TGY`$75qPNO|h3LeC3ncZL6KJB;^B5sdmPaw3k3~PIbvNb`*0?b$ZDF z6pc4fyk3A@UUtytq{|?!{^q!YHYX+dwmw28=U{Ft$3WY!TnZY0d%%`|I3{PxKM+$e z7-G5jr%B#_lq44m$i{w$-`^{w3_~)ep!otiy(`U8@!&&D-#H33fi(C~^n3 zFt`K$cT^PCuC-wZ_#n!vu8Mm&r{m{fmrK!OY=?WW5wE(lO8bK89lir<9P%e~v!%xX zKph=ynsfoV)q(Ugk#Q(9`y9i}r*RpL%#IHtF&&9c5kcoyfvMYo%aJT>bz#O8;Ke97 z5XANebX8;UA=B!1l*s{B4Kzrr`|)h_*UWzIKh2xRWL849xh zslEnH&%s|H{hg9EQf?cPWy+lo|j@`LkCj>n@;dYgK#PEPYDqyQqVwr0#|#NA%b0FP8P;<^N|l zt6VDl4?9+yfc!7-Sdj^rR3%>`fgP&^(pdY-n!al<{NMJD)n3Tan*-fzn3Q#9qa7=j z^+<+Yh9M{5|F(Cmu4HLhHt#%xNnzAz$BJb=l7Z3qXYqgAJ60dDv@n`*JCnkw(T)|% zdL#p*gR(XlZF9$}D{?fWS>MNu8tquItVc31I+9_~w!LF@RLtn;K}?E7jdrY9)*~4h zopl}lZ+pjTB}+@w&N-7wVbo~Hie){Lfzjokh;F#@f`zPsVmXvd00U6Og+B>=Ov zFW~>ScdU4jsBYeCcQGk?YP4g;vL4C6=!45K%Kv-sSUn60b%VD46gQ~RjuqSBkxX{1 zenjGbeaC7$Y-B_`RxhCBHg~MZ(j%qpSRIeV|F=6yc7+tS-ZA zC)%;%E;nD!X2*(q)!5vKcC5JL%sHspvC>A9@s5@D8;^IaxQC06&C!k(cVZjtSaCxY z%T=>u#SKv#VFk^O6}J;Pxivdh-2Q8@W5q3fMr<%UR@`N3uw%vjCA&7>vEn<`u06m? zar=&>@?ge}6^)rql*CAj_nst1TI|IvG16i$W{Hs&dofFlwAhPTVx+}h z%n~Cl_F|S8X|Wfx#7HZ8F`F1^;js59i{5);5(lzPe2G19`R)Qs#sHFd}{H5$46 z8RiI$1fYe9krpoGwv@z33l}lTIvVdiHH?uK-t!*5FEU12c(0*mjI`K`nK9C0FJ_66 z7JD&EjI`K`Sz@Gx5A7{A>KJLU7qi4j3s+Jp7|aqQEnIap)*~3q5+f~KeS<(cMq2pX z^8)D@Y2ou*1ky3m!k2UnI!0Q!M$4>Yq{Uv$5+f~K`${3W&dpNiH&Wr_cT_BqXo z;oegL`f0fL^tj|pj5LOJjrN|HZtAeN>-)offCl$RKy!Z<3F(QrZm($f&hFTNl&I)d zatBrOV=pZdp(^fX$=(xto{Uvn!zB>dJp7`)C+;5W7-{fg-V^!J-V@Up!GE&%WcmUs zqY|1r{YAid?@14=c<)Jr@!pdLbnC5LVdryM}#NHF1as;HD z*?Z!sF|h1Caj3U8dr!QFt(e!#5|t>%NF%C^;z*aSi!O9N(oLNoprSU4BQ5Ia z4+{P!TvP02FC!tf7rmJIKr4DNdjgrgCw7#0?i6~fb+H5a~Y`KCdiE=HSR~`{sOSw7`hwpOQqQt zEa-4Jc59+Pwcm3f>Ubhh&3Xdai5cAd>8u@hJL_;woz+;BWH;J~`K3z$TdbJsjGoj>~`pN+@|UxJ7qhli;#w7?x`RKPD~y?4HWH zp%?UFO+u5+uGJxH^{&+cSaw8zBe7%D;@W?=Yvpv<1H^bIHSqs+?p>d-oIi{0}n+?Jp6NTe=YY1FL2@E|C5CP zfqt$p49NX|T;sxRcl~Gw9{$#A33&KVhf`v~zq>Dv?D4pZKO|5p2?)!cvSB-iTV|FBQe>gs<|oy6ztT|qy{uUqC=gZ&eC_TgV4rejHoPSn_R zth6%~0Mjuxgsui9PvU}p@H+l^L`V+qxXwX?zG4m$e|nK3JiJVpA$U(Msfm!BJ)#_C z$x&_|5r2A-A}2&eutj(%sfm!BOQM`oOAa~nh)COh*ERT`Vb~478wa?Zl#n?)z6p!o z9)dvUuEqX_?RSBtw2qA8_mo{QZru1gk_dhLV-)B`W**7Xjz4oEmfM-J6ZW+H*;Jn6 zRD)6J!<#@jR}e}06tDzfu^9-BV`tp8HzB zN_4v&|8m++>8h?hi%F^KI$c$;9tEy1npi3SSy(??rCTY&a~x!_IU#2rD(^q95wsSv z%JBHD2dU=#A~z>A=a)!iIaME_z#1~cA+~6Q@;BgpWjMe4d(ImhbAIQNnDZu#7Q^{{ z&G~%FyqU~Al7aI#@N+SozrF5vGXGN}BAK^-3sNofzm_v8+W1~`UPT4}KxQ7vvbOK5 zBk>-s7(I3m%MX-vD6ufh@vHzpG#K9I+}C*N_$h~8LC%*a} zlyrbfIkvco7vjZem~U* zd0M)G>Zh1py^*ej>;?$~kCfR~{vN6gCRl}gDBVc)1Nu9fE~ZxgD7-ug+6A7_>5o>Y zq9bwnw+G%g{upDXOfZDiRdg)EM8@%L6Yq9NRgdz`uZD19toRY5emuli1M zw=0N7_ES5fU0BRp`F<~<@I*BXaG46a&_Z8&RM1uTs9^`gh`U=ipgrcLRnY%X{4c%w z7I;h!kWO!#t{L>>eu{L>pq}Hu z8QB})cX}|(dL#p*vxbw=Us9;x?8c1FoE$@NptXnM#vkb&08q)qefJbVi7C`gP$~l;fJg;C-~-O)UU<;rG!ao z_pcs}Al^ zy^*Kctx!Lbwe*X7w0%MTEIz336$TzD!EU8GFlP7E@7O)5PK((+t!PrE*{wG0zP|y@ zenuF0qy)Ry)QXth>*~6AzC-EOsn=t6Z>qn??EYccy$65Y;4NX`krM1aRFkk}Q!#qf zSS$Fbfpod@W$57_8w_7kxrgrr<`aVv3-O)z9%lug84RuDD<%vD=5vE#JfhsHlc2^g z3`VTTA?Ox$JZjZy{7QWo3;MOtS^3jDq_&p(K%6?x!TP7MoiTw%+V*8@71Z$`uZFj_zo!fUU=Yu$7FBC8+ zybOcPjy@QV zcKa_NOgmxMkFga{`VCfWVK@EA)~)^hC@kYIiI$IoI6uf9?77#c`dMcqSdCCd3r7&YBS5cqS_AA zRTb4XUn68LAZn9EpQDOuTc9b5YFnrgMYS!`h@#q#(1@bi7HdRNZAWTEQEf+QL{V+W zXhczM$7)1TZO3Uuq_%?xLJwMPCvZbftL;P*SS00wtF<9sJ44GqNuj} zG@_`s2Q;Fnwg)w$sJ4eRB2wG(MbLv*+asf>LB2l1N444<_$-HyQBxktptg_B%|&Ya zSnIzyNI%htqS`*yh@#p)(}<$lKG%q%+P=_;qT0UHh@#rQ(uktkzSfAM+O}#$QElI7 zL{V+uYD7_OX3|_#o0&8h)n+Emk=j1I6?)KW`|(t2P}C;VWXq|&9#5#BsVSFa-u<{_ zZP+poYl8PUquIi8c^NvLt;jF89LJV~?F>ey7mQ{bwl^5=A?2pS3Nh?pFbrIhJLgb5 zZaQj=tdVjp)&SGV&@ez>?h35+!p<54OHMpsn%H40H5YQo%Py=_PeM3($%kEp&dLvZ z5Z_6Ejq8~oK~GR2{aZq9U}v+|SM zL7W4nK^`fyPI$8VE^giuGht&l&PiiVH5m5eoHXV%gOQ1`h<=8_u%GICb*90vqw4!` zmc}I54|5{=Ifh22uRPH)JWpd}-w=^p!jn`XA2sFzHIWUI##|_LR=&vwXke*`?~yXA z!aLLiByz&;PCd_YsT*D8-Dc*aJA(>uS4YMbtWY~JEfqu)$lX4Jw(b>{9x0)%rR%j1)?p{#B&By)Wq`!Bbs;$CQacB8Y4TDTF{p?rbK$}hn?_}d)d%vL7i}wYKdC) zZGAWI+TTnskzUL2(IL!rWe7^It$7cg)&jq6_(8%sHHjbkP`(&%NCCtuQFNYK+-lbHYyc-%(yy+0EXOX{oZi&{_GeEx@>k zRN|2mRqkegj6}sA<40I?qRB&(gms+DV2DnM3^X5`P8K>VzaER(@Dvf>BP9mGGxiKNMvqO?p`@#Jcn-Jj1%u(z$U+xeZJ!=j@RHq| zY0=gi)5SW&n>u`1SbC&{w%)b>!3x&w2zKw8Hi@=2_JJ^)3`Vpi&!o*7lag&c-0uzc zPjQu7?2F?nKQwKcpMq*Wl1e;M;(q^Vk3cvWJ+OZ^_ggxYwDMPj;o?p|i=)V?QJ?t1 zo`>>UjaH(BX=$a7o@(WXbVg6L6RgA|C0bdLxD|5doC+K!r?Eo%I*Eh^}w zG1BQOCXC+&Z*_x_chJ;s7!!4-6emx)Y4{u$cGVdD#ucIM zK{EL{%wS~AE<(&V82zME;lYX0W|0sJ5|>gS(ceO$v+~*d&S?I&u3lgpHa^i}6 z6*g|e3lmEO+)kF*;nGA-h-(U?{{iBQ5}olF=K_2(_Bq49B)T>w*h<#a;a?MTgt$#% z%$XQVh+`_b?F!|b`20PQ#{+;?wrhVzMHeS#2-rnF8ibc5nn~HN1@4oCmnOPn>~Jmr z96l+8mnF0%aPPvKEz$hT6BkKtzrs4^UXhp~Wd{`2GWW_v65W6XyO$r1dap_xCE(D) zaO`Y^S0|bZ@y>+Q4%XNtsxKr>gweo!{(I2l* zv<57Ox~Z0*;NIaRe_R_liI)_^4V6f^p*)?$(^0sgk{f!pfW;-x+$*`Mgh?9emMkMy z8+`4Glx#iVXnw!s+PMJ5NxbCxP9Q=5kZ?mK%L~Ye4RuRyW-&3;ExG+&NF;{3nabl) zL_3LR>OKR7xH4zDG6_y}63=wgY#j+V6hS)NPz334LlGq5hBDo^3J*GopMzBDB!b%; z(IY4EOs{i*5-0IYpGbU6W~@wf=AMKb%0y@GNw}d*KTTO8;f6BNnR^m$C=;EzC*g)N z(V2S^ZYUF-xhLU zjT17<)yjqKu4T-b$l>x=WVy^TPU4w9HnYW0Hxr+^Cr;v-dV540R;dkjV^ACF#-KLT z&BSN!iIaF{hHChIa8t4$WWK*Du=yYJBcsl4JqCq z0eJ^OG)qVq;M!6tG@ISA0Vz?@t-Ml*SdP84NCYlV0U?IE?0GU)jiK&u5e&~4I*DhR ztE13n?IfP5RlA@;VyK&GEoqEkG1Se(XYPrUc&4q=U4u^IR6>qv0bYTbu-fps10>9 z(+#K%bu$Or??A%XP&YHf{#;;VsGBLCxhGEInVC{NHq_0`GO#h!&CE8iG1Se>F|aYz z&CE5hG1Sd8ICC$>K1OLoe=yV~Olbs$p)TQHBAAA{nfZ2WbXPId%`B8OZxao5GmGq= zGB9AM%cmRx;bRPSIcf~t6(v-N)(;MiE67j(xvO7 z3!RKKLeW6~Z52ma)X{Gh{H3_2*vnQTA+;MP@yxF_$C7ap&-`ZpAdnd9mQCZ+%^2#I zFR^wYkWlvP-EkuD4`3wz;pJz(1VOc3uK0(SpGC@T8tR^XAS^of0C{4kV?g7NY?H+G zlTE<-`y*}pLuy_x2me6Wu_?b7uD1Wg8(`)ii^;a*-?x!rkRE*v z2M+oF!qh!!wf_((ZG2p(t{{iEg~L`{mG8sm$@ZJ!n(!Y3Hw03`)%CYRc+VgD76U0Q zK(6oSpTj3G|H=K}bKLbWKX}|ls45YLN%*slw_KRWYrfb|=ZIl{8 zWBK3Ws{9ggw*AXNnegw$)$!Nh>iQqy>iMg2_#|Y00u^WuhpMmx${q#&IBE4wayScD z+rI+Wgw?(ZI@grvs}ZF925m=OST~|B)M)#ya839hgQeqtjuFeO;U1HJ zdk-zuY;IDAkj<{pyX8MlC0Xs8u8VS4sHMyu36z6rFdb6-0>-LjBicHn3?BmGnRBTFF{$;o-|1KKVTJFBUw;l%JO6+#_rvM70 z9|oWH_87>{C(&fKr=!jO$}hg>;O5z#?H}3^vopw(H z23G4F?pvAN-#(#JRL{W1^$fB(ZsK}|#PzI0$G3MjV4|>;9dB0yI$8?7A;;H`NHN^x zmS`zP8ZccrgL)ccz>L-UvQMBxdmsBD3U%tfvEd zn|*@Kp3Qnh$0r+Fh{*o6#AeUq{W+F*?=+j;l=o)~^>&8Mes9-$g|_I)_E|Q&xLunp z97ZLdBU?;V3&Uj%;PKKA|_4OmjxgJWZvvrJwSWrc4cu6>KN z%&yHA?nZ0v+e8_5ZAD=-%iiJemSTQxH9G|@Em3_3K;oLefsv9A^0>pz7fqRKDft?Y zTiE>Ig@EK!Jnj*WqXd1kp}5PA8akO}IU?20T0-m|4PpK%(7mJfI4;f~8Rt)9et;oS zP~)Fr{v*68dr=J3U8B%owGSC%1F7a#++;P8Y_NY+vzB?2G?cS;SOLwc=_e!8P2e<+ z79G{ycp|EwY}E?;Ko8^h0=|~1(cR(S@fI6+L4wZ#`+~Whn{>s=C$FLr>Y4;!=T_&o zf57zl17`RiFq8g(IphzR6aRo&`UlMQzsGc00P!!xFT`$SCy~^3D5-tH0bK|8LM%Sy zor0fo9R}O$HVU}!(Oj$h*}%>K^aId6aEi+UT*u&aU#lni9gZB0g`3FJJHm$q$i8G` zC)%N|ZtH+c;b(QF;+Qj_NTxU>b19QGxb z3lnFvj-J2`kUC0`nvI-!%>6mBoViuNO_tpEaAnS+%>6a-3Uhx0Za#k9zA*`_E6GSQ zpJcx!zK_UGjmR!RLX(k%s#BKJ9D)nC+aj_jN!I-UWLG2A9r@JM1#_+LGl2U5@Gcss zcC`9X>T@Bf)wcrE0=3Ro>Imf4t!F2FUH02`4|~JrY!Bfou4ErP(N=seM1MSW`Cb(3 z_b}hXC)s=jzmCfLi)Yg*!scHTYbUiQyZbO((ZMD9V{yu*iw~FLyHKc|)Ny#Ws+=Z2 zCXa#qA^9D_ODwBiwZgMm zy{#Gvb9yi2UK_K2N9GeZ0om^gJPyJ}k2Q#4p^t0R#_D zL|jr1RURM4@2h%bveS$i0v_XH9-H??@-W~uk1hN*d?7&a;FHxQ<*w=u-t8MjE}1Go6iSMde$ZoeTJWUqNfeoOMA(FhgA(pTL*q z-3iY3t-dyNW}kiYMj8ZB)$+q~zY#CX1VEVyd;yPsQ|A=q#muRM} zmDtX=ttasuH=cU?e6Bt9Hp1T_`g>?O^mOxo8&AE4PI?^g9xo03^S%fCzu}*Umi=Gt zpO@)O1;9U#4S5^ClKXMtn8iPj2+8>_%E6kFPJ12^@PF!BW#fayL+`^8wNq!xK3!*S8Bct6|)+L#D4lZ!c8~;B3m+ubWyuFrz&X$kr<-6ijyuY^~X;-e&@`X~MkY<2yUNMt!Lki%rw=8+5zb1zP*AMZ2pX=Gj~cZX;^Jro?N-W1?QHq4 zX_()hGaFd<>l#6$l?=a#`)_E3SP|e{MK=#SyAjT_~W+-$JoksWcd3$(H|xY+9@51$6Qs5NxA5fDb49 zmERL?tGWP|u6i{R(uv`)c>&TM5v6dEdJO-i`HfwqZYB-$;I-5LWBkwj!9@zC`}wZv z1ws9qn9-n%M334Eyq6w7)f z1Ebs0*fYue!9@y;ZtaL1&FIc=Vn&Tzq*&G?85q5?7a9G*MGA~wUKlf4*N;hQS|b-J zmi0(R7bzEeof+*SrF%aORWsT}N+W1pkvsRLBo_Bq5?RJYiV}Jx6Bnt6kti4!Db0!kM=nwtLx~H< zMM`5Ra>2MrX$)m97#Asxq0j~6BBe2ux?o(SG)BbE(M1Y=bb9#0MT+M@%kYJZ6zQx= z<03_2JyME`)DhTVC>R$h&5oiMjEj`Ui0H;eN@GNH<07RoBD!&r(ijolxJYS?h;Ce@ zG$s;#Cv`F8kS9;Dvw|U%5q%dUx^a=BupTMJMXEl8=*C4#vlG#ciRxmD78bg!l$VEzH_+}~? z7b%V5tEpgIq%?*vr-E^j(ipy-3R|>`l*Y*G34L&XH5GS7-^j&kId7_r^&`!VG%ixS zbsj0RbdfrbH}a1zQaQRv-5Il6p$=zS*cl7gO5-9$1|BKFZl&6Qgl5;sMGEZT#FC1n zds;mivokJImBvMi3=k7Z*uADYA)(nda*+bNb!t@1?oCzBv`A-Mq$-Vz6d8D=gmfRO z*OB<6i&WtcE>dFp^S|vPRakY>?_H!I=oa-og_BppSLy(!MNs1+RcTzLD4I)3&nbbl zbdlBE`s5c5RFN(PUL=!|M@XtGscMdPH)2mSVkDIwK`1toN{?hyVk4>a7!A@$ z>R$AXDWH`$#zs=D9RkLfS|w{ahb`w2@T$`EdeiBdPRD zx(00|m0qJ|)<#n4Mn+QUwfjmrZ6uXmH(MZWB$a+cbJ0dp=|)CU>Gg-u=?(Ls zpU6lmy=klD=|~#s%^Y%3B#rd%jHFnuRxWIJ?Hg!rWN>gKvRq~vBdK&Bo7s5=CQZji zQh5d@P1oD2MQ|t2z@#zg=NXtZ221h`Oq!03r1A_*njT_rYC-{Xd7fsNj*X=93{0Bd z)&5>`d*m6IG#wjBc73{0AijimAnOqw1qjfG0{3{09{Y(Fky?44&|()2Ml2L_F#@(fIxKGtTR zr;${ifl1RR+AYDGMpAhOCQYAe)0K-xQh5d@O`m3WmV7aidIwsKjHH;Za@gBjD)!3^ ziZ!@D0um3R%|iMmt}WFuxW(*_4M>TKZeB%;q@qFhpFljnAlFBnMX*xEN$}=!&dW!ubB!`hyo<>sXskR{P0uy(Q!3BEr0Ir6QtV@tM)U_G zDZ-RSU>Hderjfe9G?Gfsx32=6XJFFwLP_&B(MT%2$i4$vb}fvg_>?0c<&2ROM~#7V zU!^C=P!}Vq^gNsQP>iI~N7$@S*Ss|K>5SB-Dtrf(Z^D%01?&T=H*h*2R1y8Hqa3fsa=a;W zd`metlKL$n7CE94_zZX-Ya%RibfX;6pW37(M`W{(BI+=AKnn=TT?ZtYQ$Mr0edKLlMY5hZ>zIhw%x>cR`Z|c0e zVV&Jo1?xOTslP+R-{SW-*4GRP-L554-+e&#_>7e2%guiiu(b0s5MG5JKXKt-9n(c! zz7FUu=3b`i_&?HRZ=6rB<|#E3IM(|m>pTewUFRWEXX!4cj=9J<6hC#CItc&jm@d|F z0-#fvd!(x4|ELarrcz^-Vw>1kyMUc~1Awk$PpM-xkR9bK<2dyW@(u&sqa)k7ue9?* zz;zZ%^9JB?#gXljNe6Y$N>EklvG6u>*_Jz4$NeDhxGRRLs+KxlCeOa?gYAXq*MK{H zNVrbMMh|}1Q@tdw%dW6?7>8cC5ga$;r)rew`4gnSAiTYr1O9bPiw=Z(E1>U~+gb@+ zfGl)!RNa+~t@cQFCfr9YV`-*EPjx<0_oKcY2OxnkF;}wfzcuQBqal;3gFBCIlqHQi z6v!UZ0c|0^0C3}u@2DKg{8`6OHSC1F)e5R(1E#h5?-o``SGzu<$9MU?{RQCqQZ><^ zdT%E_RiZ!j!6}XEYfSrbzeZ#Gn+8L>w|wGMPbj*s@=kuv+B?8uN!R`)YftZHYQGEw zS5ehZN$ocv$-beUu~DFoNx3aj`)z>kV&)4{`%_3hLvU>!|LKm}TfVlc8EPXQMU_a>x|P04h5(c+8ed@qg>ZWlLjNX3&9&+W0ROHHfb23k<7eBTDUio6A9iaEj$#- z1qAP{<3HWPDu=IBmrWWdpN!QmiZ)JcFzq^cPx~E?3z1Lbr*^NufUSgp^-GXAnUY$A zX0V05q_-sociA6bn(xFX?!B3~P9=u)c>tf1n>*@z&?YGGQ2BP=!r?1zXSUnk-g%6i zc2N>SoMFVvt^{z)DBt3*?5bVyP5XU()5gy}CSiTaIi$6wC-yXSn52Wh(4VnKyX2$) zM&1WM07N&pl|E3`ac9k2VA)Rc6J*%RyQd8{N^V<-Z{{7i8nA75pZ2gPwUhn7hVixI zsz<^8iQcf29<_k^PO|@R;O4u#;kydjCF55-ZB|+l1?X z_+Z^Aus5n6wKv@J7U89t>U;%C(Z;7Y_=DZatr?H;{$7+mRgfF`ha59C5L@!9s5V_Ti; zXv?{9oMZVnvzQ64)RM_R2KKJ=!AS>KViKHmU~kP<`)r5ZG^9UAM3DX*5kc}fBJAE% zc<^(?%cw843YVRM5_Q33+yqyG;dm6-I}(2uP+{Mw)^Ru)HQXtxbtsN}4*O|H`)r5( zHB=@(+u;BW<-}(@9H^m!_-u#u8q%L5!a*8p5&3L~!EnKd*_h_H(@SZ$AzUjc;hFS;qHpKMV z4)521_SueuE7i0@ShhI0QuF<%&alFV&XpRYz}~u?{u~hpSE|{E$26?EQ$FiOfxX`r zC<^SY(v(|&jtHOMPM|2Tx2{2djtJLinWMnoy1stmvmLH&DdnQT-rWR>0()yNQDARf zZiM)3hwE`73u+%-bNgfPYTK}*e4HFt^J7zVzfBKHUKH4yLoWIp5q_Y#&}W+|maCP^ z=YVRjL<4Jyhx!L0%VkzEus5@#;7Xd06$SP_PXu=g{2US1+Zv36D`_weuB6MBMS;DS zmr}quxRTE85(V~tTyo>!N;n^HCouB3@a zM}fWB*vMxa=K`HB#Bp#X4vg4m8wUWf&&NL72<-g{$YY;v1oj>Y{qS={6xjPa$(PR& z*Rvu8cTIdPX1dB@Z`b$db-)eokARdDM2whJ<=lrWEbVZM*&Q2@5*6LbO+v(S?4?B_ zlurU7&uR8N8LN0ObOPnz2sWQ1!shA`v@s6seFpNQ;7Uwm1V_P@v?84-u(#<8sEkUe za>!YKjzDmwm&wKiS7MrMOmHO)ZacWrMvxk5CAd-<)ENa=;+u(V_&EZBz4d*JgDa5| zpCh8c-h9SKKHCWFtwrDMPXc@YMFfn4E9rq12UpTy99&6*ad4#;a>I+kmAVLA46ekQ z6SY^VD6lt+$3EK#?5*Kqa3u{FgDYvc7+gui#o$VsKg@np>|>Nh^oP$8gei@{_#8pF zK7vhfrI~=E;7UwWzb3fSVgd0vf=@XD!Uvxtcpo@w3@pKwIMk!S-n@tMIRfW!u|8ch z<0DCl;^zpW+A5B8>AL7b{7zfta5%SB9BENU|4Q&1a80q7F|LsCGM^*DUu{0nih;fR z31osRv7?yJ5$Pq29Zmld?X%qvkcsJi8w73eIU;=)DK)}&oaM*cSnfOTzUEm;39i%$ zHTNHm4sxA#Pt4SaHV9IFR@`FB>!BGn*1-Y6=eCtv4?^1CoI%D3cu7I-&X!4 z{E`j=9*1AjLBQkiOKcsCb1Ziw6792OEfp?Pdw`{%fdrQSw63uZm=0y|#|c0t)rqFpfbWTL7bP+D!;U}V_Qdy%Vd!1;b(itz~2^^V>Ww}O6MkZrfuF;Z_ z$ykLQb|?3YVXLu4|RrO8-wkS^E4 zYV!UM2o$4|!*CF+mE{^OIh;u-Aj>scas(l+(Xy?dfC@&kDO{svkDHA;#%K_0v{H5$ z#PQH=Y;HB7HO%=cIca;iOK8nbW|EA#MoUH}W7&=GppjGBN}ivkJjtoljI7Z@hdfJr zA(uZX)>0qx9jnM#PF@= z8Z8F>YMPA2U`aJi#*(qgST#+?l0)qM0+!8H(_}0en~YV{WGuO>eVF9-sHVwSGBz2j zrpZ`xxP7wZ_N%7JSaPJTp9J;QG#N|ACS%n!8B2~fxw}=h%t)0F(y&to~Zx( zo~r8Z88F5qf1dCA|J>*4TUDn{ojT`K)v2YctGHz>dxXb2&pBExw~S?v@h${9=V-Ou zGL}8j`yQ&}9IcjH#v z-Q0~);I&uL{B{>VT5v75jAi33W3}8emhI{4s)3aRpGqk6Nx9==?|M!?JIXzVWH?95 zjut=3aE_K8V}h!dTgI|u@qsdHNx4&?XBmu=n?7k*^k6X(vW7+*o_z}03TgI}f zEn@}NF>)jN>)=S3+(>;jL=ezn{(mOV)PEGEv;vWsPP!o!xa0<9dE zDuhm*Q{Y&Zt;U2qeVpA^ntEs2lk7Z?W!RY);AWS2%unaMuT4>nu~?U^ z2?t?Bv>UCP>*gkQ!C9s!e1@d)ma*WE2zC9#cZfLk6fml%WL+n}hIgT-5mN&)dXARS zbF^;mo*cVQck3}2<{7~}DAL^#!^egm2sQNKUw{4$=HD>iw!`IcE;C};RW+1p?gh?@7#=Dl);7nyn+&0<80 zSIil{V)nK@t>js;+`Jd+XPsbE|*&Le!z~MTqAgMK7vZxG(C4f2KN|9&?!1pA3pXmLZ|9bL%1E| zPSc@YVI||1>QLWs65~$Sp@HEjhR)ETA>kWeB6Ow>jR-x)ouxw~!zrI4v|NWqhlh~n z3LP3Bp7C)9lXd5bEJHo4qoDh=TeyKb#Rp%4kcGV*TJjZ@CcH+K?kpQ z!)=)FFLV%lHEp>E0@i}POfZaQ6L~)qEH9rnuE`1ZH^GYXaSYBg!OCa@k~)CfK0vCveA>iD+$`(j zwgUt^Mq7ff;6Q`i;Iv_mjLV+@E?q~Fxx&qmE?D3$1*n2ky|VtK=DKaxz}dlKL$s~o zR|NdvpCmcMCc(t*NMaN4gMX6bL7N0qLv%@wB0yq(Yhy5{e3CH){Cob|dTP*hu!=>V zE1(9`2zm06eDUcycoDpz2JlnF;v2gHAZreu%P$d&I}l@-#^AZ+#km9w*8m<%UfiF6 z5gNc#$%~5#*hmB9P;%ry@=@mh@V1EAOk(7~0H@@sfqzC!B|nW*n`}Vu;@x+1)M)Zs z$&cjJ7~(sb-%mr@u_U9I0yKBp1btI+H96i{XnG>Tq8R|}q5=GLvbbtG0CNPON<|lH02xr7E`{7NKR%&BNE(9Vsfo1C_l)n zNsFI76FBOjgfa;|aAr*C5ngMmS$tFz+HX4$dW>o1T2l~u@wX&&Dz-PPmxPeqk6PRr zU-v2b_T2SYJ&MOth*va*E+1FD@J*c68eV*=v-*wB>f2dJ@G7Y*qLukdx!C3ARQ1~) z5m~Vj`cA5RCH;2Evb#t2QN0nStl8i424vBUcS1q})LGF>=-B zOx4Cf_%U+T2;$@ogdZbUO(sskK=?6o)eJ%^41^yeS3S+5ZEGO>7`bZLR6vRb!jF+D zVHGSnBrHE1Ex4VIlRFfgcD@SSVTfxlZK%>MgC^QbBdQjyw3mw1LDD#dSD0Om5K~MA z(xcrsN%~Ya&M}&_9I{ch9R(U^7!iT?qUOgN9I{q5hd2`q4!?M=nopc93=SJ$)xN~p z(%|s0i>iZ(vz5VNC8%0NoJj^p8W#i`crM@kb$sn zRyk~7iw%TloK%fseO_W9nsZO>@ABJL&H2G@CH=zrA%f==j@<@{4i#P%F;NUF+#4el zLkG5_bBrSRL48#{A?F&1)Zw0loM#|Xhx-$<(m&cr3K1<+r9T#ixX?G;zv<6>uE1(Ij z!L_0lt-&`XjZ^qNJI%L*Sin?jBL1Mk&>Mn@an;qV_Bl^FD7o9O%EJLOIP^zDM1waH zCo&MxU>R}B4Ma4!AG2v=AfmxLm@01|qQM6U!7ZKWjC@x#xSEg(0}%~AM@U-(5e=>( zq-Y?b!EM>gmkdNS*n>^J(m<>R+k1_a@OkWiR@FK#)?n5<5^-9C?Yt&xQ|e%iXhmzV zR?;|y{V8lmAr>%|Iu(D=;8?E~FGH&ir7<06@|HU2k-zZ z*s+NkAmWn} z5wlTP*J4hUG*01h%F!gm0;W<2;t%xad*_kGjFCU=4%_iK_nQ3xnvmbq$$Hv}G0 z-Z}b0BR$+~=c?u2%$VAFUI+Sxxs`(F6bdv>=SzA;Oi;VZI|rUB89ZOD$;k!nRlJZ# zU1K0J+Cm0bdcTY_xX#-%&ft2J!F(CA2}wmvNPmylh*xt}^VlKXtEJCKeK?Gr&3zi; zN{f1mkoygUEvkCb%t?-VKtnufKg}GbKBOUNBj`b9v(h|baM%G?kDH7R@=*iPto!P2 zZvptwn*NoyI%a*f;5mh(X?GtNW)(4^n<(=zS(o0m++0MI^sa3TB4!#Y`ZUnk2Rt+MQznT7OP%3hf- z;w*ccEPL#NEc-|%ikQf9lgu@7mLoH(V5;Il-UgFwUo0mufKM0Bp{~R3V&sd%Jtjg%hQMliYd?k22&%HRI~wu8Gm=o9&mzKBoiUu#}&N@ z`jJd$0{=@1hEah=LHScLG{@3(R5J-PiL8Ht1lvRdXq7`hz$n%0mXD5IivexU-vyIh zuUjE{g0XnZg`pl!0FJJsZHxQ@h68vP67fJ1Rqj6~$kowYX4J-iTw?1Zo-v~G{#uFc z8g0XtjPpCt5n?Snl0LJ-pA4&xJ%o{T0B!v9zCcNbIQS7HYP=|F8r{M-LFW+FvQiW1_nm z+rd8))z0fSKH`N^s>bgDoqOFTMwP64wf-j(J1LsZ*51*di_-JDO^(KM*ih&5D_*bL zlqh6#?Bs8Qg7dmrzQW22+75+quoqc{JU&7(lGY~6NtLz{>(d_y) zUOEgO!$gb&&d5VzoJ}>(fKP$5+3v()Tw6c8<>hcYOqWL3AHD@N- ztxuts^*%dE6Z+y~5Sq-iifC=a?Dk*64LAA{@Evx67gWMWnceK;C4}1!PQ{8_cE=YG ztZ8(!bGE=oQXwl*b~oPei3GKc3l`#4!>$O)N*gOpcJ~u?(5n?L_qb4kXW_eYuKjF_ zkZA2FCm=yZKpXOMpp7YL%wv*pz&J%fo2P+EibA7+8_wa+Jc`)_USYu7)(Z!{0=EOL zZm8E^N|ip3n96^vP0vv?Va!v0c2!V zY5FU`xJ&@$rmBQL`y=VIs)U!HO|N9NN@tbBvF_m&q^^k8)(s!;RgJ7ZB@0i;+JW@R z#obN>;7JXTey!w&tG58Eru_7`G396UVnPj$bq}8BcbCSL z-`bX5;rVS%`7HAM4v8tEf%0EogR6P|Y*pNgXr4dU0L$~IeLXaea05MoX(wlwwe!Q??k6$1e(o(Xx&CI-vK!ePAOsXKA@-*7$#oTPPYgHH z-02}OxEtw?#>csuyHT!3zi>C&a92)pV}yVrW?4fx+3knzSkZ0dZi?%msz6fdqQY(5 zcg2Ex(Y=gqbWfL9Kbrg^f>YhEBp60K*(z*un@}w5{Ahf_Y3|P?ZJUS(U4_%#>m{~A zdaQ7Udzr+xjd<==IMY>-jlCVanQ&Y8Dv7O%p!x~4Ar90@jJc8X}oyKyaCo%d8th}B8w z-J}7g4dk}@p5)jDa?@|4S18ZWl&?bPk()_k0nt<$UJQc#?xWxqcm52Kdk8hX=*Tt3 z+@2aBYtmqR?oL3}j0LSaaLz(Rg z4G?|xq4?)$0QFU@L3fioR{*lCimoJg+Gwz>C0xn7Sha-b6UoW^nR#45p%l>|;gfGt z!uQeN=APD+6M>%5ltm!n|2d73@c+C92>-n(@{1ZE?EaPo@{$G!yGJmWmo-4D*1H6} zq5-;uyxbE`C(HkuMv5X8;5S5aGIx^ySIM#>8p{5gP2d)*sEEHxQD?b8SA3ef`CNk*me$5PC-3u{2H90B8s%kRd+dzbS zWYFN&cD5Pxai5Gc*uZ2kiK^)^LqjTAMom?ij%4MQ^Oq|OUcM$!e zCB1RfDZEJ58ik}HCNkL7y@46bp;qQ-a#9AE>g9HEpNq-ObvZW$8g6ly$TFZA|Vq_x_mN?M7J{mhdlyKtN2D>mp1)>OK!o)kyRt zxyLk%@}{rq8XDqX83>zo^|Wm!IJwmZqQ?PL=;H<= zZ6VK$)uq?bK$6m{Gmxb8IvGeJaAyNaO0V8P5`nuINFs1o14&A+n}H;y*I*!4;11qR z;9d*d!+Sm!xToMbg~2THULv9*CIsHXo84ebZ%aciDZQ->BoSt714&8`x7<4z^l->dZNlI^_fg}PiGLWS74lO|)govn!34t&2YI@kxyV#IRO0U^K5@9Yekfii3HIPJ@ z%M2tD=5hl`N^g~cB&BzSfmmTK^hSa+EzDKk(G*OCx!MTx0`1W?!oDIVgn7h!pTgWn zJNc-o4AS-#$ZA$ zU@EmK{y_gz?@iJd$^T;VP9*=#KoZG6H;_c~FAOA+{7VB#B>&1l63M?dkVNut48%(Q ztz0dtCI3#x#gc#GZP3d~{x@$6YC$A-GL%M3?q+BloWi**ZZGpKA_AsT!|_+<=jt;% z!c#Swis_QM52${<9%K2r&Y7cP`du?)=$9(gP4Jw;$Fyb*LO>A{iX5DIj^u7;OW9De z_5xABb%YEx5RP`Mo+f0thT!5F6f_ERQ0CJ(%Z)N;#aV7_#OTT_H<3&fF)@bNCNr)# zviv=}q(;32oRJI;CT^2~$b0JB2$`lK@lbV2W_P65^=En}L%&F=7nq&G8Jx__luQ&c zk>%c*+n8kotLi?QoXoMR$8L=olc6s>tYYH*3=gui10$QgGQW;0AJ%lLheGCH-}yPNkTvo6Xedx+)r{H zDZsg!oPM9Ph*_U!AT%Xa4Qz8O4Mb$Rn|0*^1IZBQI^tYtATkVHOhzv<5YhdUtbji= z5UF9{qdD_i^6>%7_yzTB_rXIX6LuOPdk`Syb66sEHtR|_MGm|&}) ze+xXi&i6Ou63q`VkVNwX4J6V0U;{}szoCI7njc~y3F|`*#A<%H|19~CI=_*Qi#6ZZ z|27tDn9pOswB|Pv-nED$C5=%|%JmmHxAT|4qt(B?$vaX1Yy(NuzmtI^ z>fgmc5*cr|Cb` zUxnVryhVJG2@W$5DTB>vWeztG;dUt@M;M6gn3_$?cBFv_|4+~m9AzLuUyL%k>R^8}cC{uGMj= za(&Z4l5&09Ky10bvFLYH)0T&`g-1#w!Sw!s+`P6VnF zPPIVoB#l$}j^)~3h$*ImK!bw!V}S;nY?F$*p@AgjI>bPdavf?QNx2R)kfdCP8%R>F zBMiir>n1_Z4XubHbzG`kH#Lx?Tt^v*E!Tm;45Zg;*f`jkDiJk|5&5(l#!4Ee@C^;d zILS~k71XeM@EWrhv$cmNt%pm}UiUPRq+IthkfdDqHjt!T_c4&9T=z8)TdwnhuVSGO z&~b6O&JB7Du>$QM>_Oo~paX?dEzkl<;}mAo04)?^imA%#b7!d4@I>txbEY~FLq-@z zdCQYKOI`0X=th6!8tpPwh5nyg9FC`6&Q@olul2eG(Y=gauJW*bxaK-~hU0)0>LyqY zTyq`Gqa8g*oq`I7kLsdx7<;bT6?}T#a`H+jcb@tkc)*=2`nB>J1mxv$l@pLTMS%Pj z+OwstRKo!P?>|$^hl2O^$Kc$YLQTmC~x(0cdPHQ=Eyg5+_jOrN41yO zcJfv)cdxn^-7DYBy~No2R8eAUkOs=XL8E$;|X&bs8pn+{3bhv5%1C<5fK&HE^(sWuv)I3CSv^DEVi3dYiVO8t3+Exo5(mfkb!fOP3S z+p_eYYgu~FtAXj#dqG7~Tw!!HE7ObWv2^LZr1nXd-plIrbm_g)vh;qfGNMIOdTZ2O zru1Y<{WmHv#Z}aW`KsDoD3^3${#HGO1 zQBmCPT<&n)iaU|Q?O_7P!LGPHZ4A+RnZV%~X1TphU?`fB+s6dB8zc?Crna~B#PYR4Qdd*AXo2 zd60<>mFIboi49d$;2;yV;kQDg61rXqUvxVD+IU77WGV-lsNwi%+{yDG6Sa|*1REY4 zwXw~W2bri%bSTX8AQLrGhsyIj$V6?bLlt=*WTH0Hp~^fDGEt*+s5;MsOw?!{>X_$2 zCTffh)jMtVK_+S|e5&WbkpAQQFgW)c_X$&Z>t&B#F}$|uce z3S#*f;>w?nK+!G8K_=>;qY%pTAQQEiUgp7rOwUM)& zfrCucFAZ`94l+@9=s=j~K_==>)_-*Bc^+hRnA`F81Zn$8?qQ zN4YEaMD~@0L;X3Jxhh7r(+8R0-e^Y4-B)T8Pt$Sa?khFg+cwKg^xao+P~UwO2ld@o z_y9tut&qE~@D0R*9MkH%ukdk$jvXj>U#Ts;lOosaLnQpb2V13kX`N}cHOBrG0eB6nY@lf3&R9tW8ye}9&_K5Vjze&w^a z>+-pn1(KAH1WoxY64aycUvj@eiLpASPzka{d95HZ9cyWVgsh$gKrAb$0osbhR90qPn@nJ|=ALzEb;|u(|t6?PtQ~?klywq5l*Pn`9j$H=;ir zHpwu#kuVOMWH?0ilyG~e!^diYHxOaD`$`=oeijoCGEs}Y(TMVR_Z6)i1CkDhO>)e@ zR%607AFFMpsn^n;sCgdCu$Bjzs3jir(>Wh&Q&c-yImkr$1a)-T(xvL6BJmVn<+HJO zblK8uMZZJfSK>cnEqewY$=!46c#w(u&SP70-8vp*qQ1vDa|~s^#%ML$YfG?@SpriV z9(W$TmtY(fF20Q3UlV#7&0ppKdN2G9p{HTQ6#o3r^lnbvo9>{u69!u0Z4c9%r}xfh z=-m?ot?=o0=*5Z&E}}mc%y+sF(A)*g*mXNCW8gxzQd|$gyiPAO?7L2<2as3p;v)cY z-NNwiLuX`J?Ku(p(3KdKmeut|1j;0jgIJuhPVFS*y@9)&jYd>;afxJ;%+q9I7st;d6m(21M|_t#LlyQ^fnALb_W zxefvPhXJ*FcML_`U5PpubCNFe7cDSBcWRfpd&hw$kU5dO&lWO!fdomB%+)cO*(7rU z$N+gL)b7p@i3uXJp6;I1;7B(@o}9HYE2ixJ zhQOIcZi?gdTEz@Dqb&(CuvYcGn+X^t#s%??^D@`aoQp=q^Rlf_=XsJOc6r9X!7t<5 zn};zkTi;2Na%+sY0R?J#sHCqC`PqXJ>pNfaC`PRJd`W$*4|^tARg`0W)CvTBeYOuL zF6st`^|3yS80G{tD<@Hs6@TQn`2Uf6WwJ9)!R=duJ{jk&IN{GSu-su`wd=UyGgY|% zCagej?}zW5h9gJ9t=@yB4fp>v<5Yx|V{sT#ICfFs6vMyb#FJ83iFt5k_?ycDY|;B| zqwll}otHCC`*7}s8K*kDWn;R7#VB_W)PQE;d5)jnCLbNCES zB^(%jy!*|4up5Y{< zstq4Tz8$e;#GDiw@%Wf>b9_uW&NOu%hOBLx@dv?gfujicC;xVlb+9&fWIBU!O`s$!eC;Itb zR4o=fr`QqYqLv8zikJ}QzDyQ9c0oR2zF(8m-*152y_w#K)8rn={2?aypdt4OidH=& z1QapzzIrw@ljMe>xYcv%{X7*o93XRWOz!#2#`Ft!FX;U|#Y3sA7lnW#CdjSLTtRYe zc1Fybt;oHR!EuR(ySFl@#N^&KS1I@8^M56VR$mZThd z_(PFB*5XT|$DaQ267)-=hhF}R5)4ZsM{mC?=y2d(97?(R_;V2!?p}sRxQm=Xj_n~p zVt9U!!~{<82K;iMkHq9)}{;Oq~K5gH(y+#Z+@z{UdbWN%up0|D4XW60LDXNWOU17s5- zcj;&4V@O}Wh&zTDqcn!R!zRY3}E3f6U<4TZEUR-6z z6Up(PrzD$GY(+Ha;NU0VY8@P+3CXzvqJu*q$alU58FZmO`E@MvQ$%w7F8d(C)09#X z4I+Q{ItId8LFb>zD@-=)k2soAcEh|mS8+8KjGsS6^tZy*%AFqySonN&P2 zqOcjoG)E+fCJLSR1H>GNB&A>2f~m}bNFIy7Aj&ZZB8heiU8rGuAd>V6q8@u767^FM z{n!JMtb)G%9ukY8%T~zwL}G@s`UE6=tV0zQU8+S9Gmo!}-8mRl7G5XkTc@QvfUV4) z8N@?C70f4CFA0!lkUOXKIKXv{HDU z<-E*5g!R`b_;Lf`(Gi;U6&fO}7uqsUdmIs2C!?piuf&Y5bT^}4G^!6Ea*B#txM6$T|90lH#9OMO}{s6fyH&w&1OT zN6S><$w*TV&Jb7ho{!^7I<5_^K=p)1CtIljdSD3TcHVa}a{JcE)vb_gyqdhtwN}U3 zAz?P}?SeS1leXT;Aljj7D9+oh5>7juiWd&q4#HW7FIn3=O2%5yI-%thZ(?2QB$+5u zgWkq_r!&i?EY{8SaV~m)G4wXh`$e4P1n)rlMQ>Xey^W>4nkWPmF+pyo_Z>XdlgBzZ z+n2LMy$`AypHOFK8Gwwv`K@|(djrws?&6H?`ht~l2k(J6!`UXofR$xO$wCnm8P4;L zgQqZxMR-6e$3kzmlKJT@$FbHNXdp7&7bX@Oh-G4b?~0g-McyF#Me2hD4?}r&g^MLy zMNBYpidRzssmC{M?qp9jiv$ag{oZ?EB?b*VJA|}|n%6mCxD}8v6W-EPok7n!IG+Rxxra9T` z(rleg1F4Vl!O9|7FI>oq_H%(RUEETta3XCO&E`oKV})NgrzjG6eocSy{{hl1x6CsTQUkZct(!Nhmo zoT9Bq-y3pCJ;H&N(x8%hXC0CmaT8SEbFzIQQ$+f z-aT^;#gJm{A$Yixf<~;TFsq0O)<Zy+|qQJEX#3^&g- z(k~fKFd5##LBtl4g(4<0oRuk6+IqBIDo0(9wohj%^=JnJN$Sy#t(e$0GZYlH)H`J! zjG5TkFfpE{Zx_i{5fe--%v=kPwnvLnOz4JxP>KoN@E50;(DrDFfh6_l5CgHgS&(@u zX6w+*1o}lchZ(m1$ToJkFrtVFww7ghaE@l{Y(p-oN6QT)sYfdeB&kQ|7)Vl&&NYyv z9-U_(Nj*B>KrHKLWljPgTB8?aeiyT@KV)%=oj5Ss6OABx+?d1+>R#K)}KzlkShwFQ(Qo6SP}w?m>^g0cc=zA?#9n{G2}#7Jc}&b z)j&j7l4ZA4meu2?L#74;5nXLLZ31r0Fc49e=)8x4aDGlkJNug;SIuZI|MHm8-h$^8 zZ=rO3gf&G>jLXOPOUWqD?#qsqJz;w3NYAjx8HmiV=dzf`8wiizB@}0v$_34sHKulGE zKjeF{e*`=Qo^_LL)-)rT>W!eay42*tsa`eP&1DAA7J$NbqoKBysO!ly9t zu)&ep;7k_nqXtJea6fyW-vElbKK#nRF=k@5;5o%3D9huLts*9vc-`NPOh}e*XmWZ2 zDjB?KAT%FF}dAak=re}AttwH!1vvnoc_qeDelS6cW)t}h?yUfJt+8fC%jtVAzInR zK@n@9P+Upu&ywIQEMZ~uP)SbgJ2)7LHGXW@DapFYA;A#L=(|h2jvc62C>r9vj>b1B^|AC2sT29v4gyHtI{# zwvG?3LvzHQqLQ?P69S$Lq@W{of&(S>dyn@KCvP{f z>6SWD1jt`;I9v3|!TnTW-|XqZ>)7_LRd`15Sz3i>1{+~LDpuiH!K+4vqNHWPO-4zg z!n1>4r&6?ap|gZW$=lpy^XFaR|OL>gO01p)xkGuEnE|vg;`TvRjv&_Pix`2V2#m&2zGt& zaas#M4=zq?;fCO6Mhj9^ei6(_YvIOVSy~G>1xIQv=&Evau)QGn)m7z|U|&;JiW|~^ z+!}nSb#!OYqpQ`?T>4jIPQ>*n-$@N`;7PXwQdM18c5o(%4?I@*R-@u`4ccvK9@ zJ{Rl<9d&5K*~0U|7pMyziuAuA?Wpav#w~HDpwzVvd(-~CBsz79JF;JTIT(z@a@}Xx z^*DHI{8R8>n_Tyq>$^V&%>LeMaRtr-dW+mQU#747Z0F~$d=B^>xo+}!+g$O_gTjc^SNtp0v?Lf@ws6>cO8?-b)UIk9852F z$w%$DO{J6Ul8*+QKuX*t9}S`xHKQ|j$w&6O&pPgsx1q3(yX0-CypFr%ZK$F%cF9K@ zo+dOZp@AOomDl3$LOjAcGL>EO(eNG($dtR}BYWLv9rWl#_PWnH?vjt}b)R+IB_G-A zKI^XQ3CvCVK?ZzgRmWZOk-hG-j=SU|d);RpcgaWgy3ac9l8?sdboEZX-X$MxbpUzc zF8OF{dZBel=J^4RzclA3d?HgnHGjy&P1YTp*#oow-XsdPe6k5FzmVtmb(HLSWin z_gTkX^3jV2OS;i@+$A5qbh3oTb>=Sl=+~Oc_&V;AkL-1yb=)N%{q{_>3ha`v<1YE= z^`+1cwshBVmwfbA1xg&(eb#Z8eDn^R9CpdqahH4)U-#M7rM{T1oBUDkZl9xub|W06 zcpjnxMm6YN^3g^fqf5N*Gm5YKEb+R}XtejPFz%Ol-DeyOOT6wg4wjdA-DebE_gUg~ zpV2ta*?T?YeyWB3Y_@BxuUVLsX652LD~%3s4zY9aE?T*`gdFNKD6C znjj(ljsS?AX3dkf3d1lNm@91%TMxwj;(Sl37?lX$7 z`z&#neALs`RRjCUd@7;FC*|(4OFkOq_UH{V+$A547C*^wmwYtF1XYRGeMV#5J-`$< z+mzEY49N_)`RAhTygg7lC0_R##n*k7c-?0-+mxeU;&q?Vj)KWyH?RAQcJgTBIilrt zpHY0>XNlK+M!R^YOP-A-UiTU8>iq$nqg|DF-Dfn%(+z8{60iG=b~C~GC0_R#%{9S; zOT6wg+TB|W4r!E2yzVpF!#h#JC%YwH_ZcPEeU^CLXSA0j=PvmYultPlHsR~t60iG= z_A%jG-4d_+jP^C*d)yMQ`;7K8;YZvOultNr*L{|=j*%PDUk69R zqXpi52$y)>XLOMGSxnp|A1(HtL6nDG^5wL03<#Y%r+f&M$5vy)6(2|2N>lGZdlJp_ zScV zoQ+T~UiXUqs%&XMq1rhh~8?fWu3toIBR~AWo$5ot|4x#oo>>T%x;f z`3j_+n2LQE6TgbB+z}Gn`w!SLD]agPQlJQxd=PIwZ*VNalXVH?aNGkQR9mZgKj zov{jgM+Y#C>-PR=nITjB(ESa`{N*~5sXCTqrk_YMyWPP3t7o6X{j0m(%>Ap~55dMb zr}wdU+qB1dIoP3aF93q@{(BG`9&xVx;IK~SI=sp=~hZN^$2K0x1_FCc;7mAnFbL}5NSusFq_wA&pB9%h2s((^<<(ged&Kk!yO)&z5pLP?6yK-;uo`1$3>7E{POZQbtqQk2Qp=4ar(yC%0noj+EgP#c^m+APYT z=4XsIkGW1ed|$J1v`MrjCZ0hLhmIO5PS$rb`3Mn=b^#B20k>m>z2*gw!nbWh;F&~nq(qzy>1Xwn8- zmXfq3lU6YBp@pOc26p-xu_VwgNy{ADBaWF!Ty>Hvq1%D-kxWeq98eSjnw_ zpo(qi9)GsEm4P1Y;8|IL^4F032t01X(sWDWaa`8Xt?ALQ!hY7D@S_6OFk5 zatkiDG~unakfbW((GZi&kBq~O8PsjM<m5Y$QqUMv{7l3;IabewP`A7OI8uL zBGF7+Q`t?^EXGYvVoSPdRwhleN;l1RF`iWH_9jQuWUAvlB(_7^YSp9-l(iv9hovjq zhU=0lq}y;OZGAhZ+ODuyZ>?_^@wCBI3|Y~zNWR^SY=*0bq>^Z|)`CFx#L)Ff6as6K z$dzDV$751IdMAMdqfgqLZjfZln%g%egmBp}i62!?jQ&HMEu@2CU_jg=66;fDtj|DG zjg9qzb!IwwX#e!N1JJzHp-g8(J4Np=*JLXtROnovDR>oOC!Pnr8yL-t@2=% zj9HIO&AHmBPe=-c#)JmSbifS4M2T8sYnHN1uuDl=SfvEjn#xHDF~^zQUzd=Of>lZk zkwxDoZHj1@#PYJSOihrr)k>Qs_N*BF{WL>`k&hh&VLbHD4T5YlEYl_@O0=O{T)8z| zZLHzSrwv!ZG`AKFvLbB;Q|9W220%%J#8(b!ds>w?LmU8^UM*?2?bEi2!=PB*9g+Y| zYK^trwbpW{20$487;IxyJH_Tus%~eKwTZ2dO{v7<(lm~Sx+W&Kn>M+6_!Aqq?lDnm zv;X)2D6aR3L9xS`K1mH@RkxOl_60)s)oqgYDm5PHAJaoMHa5VvF^-4KNSgF$uWU;K zy+P|qX*n5e+w+FjdeABiN!bq?DKo~w7%832aBaSpkEMmuews2Eq!bs*3wyXp zicN4$LuI)}aML86Zg`s|bUBPl1_$hh{>1@;?)Oxx%aA&PRdGG*2z5KlB?{2(OxhpK zL}cq{dAcRENjIs~6a-Tb+dwO91GQ5Q$=FUgOw}#Lbx>mMbi>4=y+O)Di_s9Over=7L}jeo z-G-v1S_}{f?fwHb6qEF%&{?zb1!TI8q~{!n?X9aSR!CCy_g@+~{B3~n6}ny5v+ZfAJ~pe*U4m#ux=MT5Xhin_ z*Np#%XfGBdv`0GCVd=Cjy3XP0(Kktru(N=T>_~p&6pDzrNg9CeRvSz9*qf%zW$VQX zZR72V!f0cTr5=qj`jNocWSndV)#LuXee^%u5YbZN!CZOLQfSmTfj2`e266U|UKcCa zPPro8RNAKN16SrSiBCGmpV|mbTItYjBSeRuG~nv=;DO^}Q@t=MPS{S+Q~fx4aXW6t z2Q7LPkxPSSh=_&3BtW_K$T(gr?ULlhSWNZP!;5Zuc+rpyFS_gDMUNOys$0*N1BqUC zgwQ*wHF|{5Cs8ZM0_!$S+i3gQX562xb3l?zx6Xk-*jfgqYQE?jZ|C*;U_9#mfiW+e zR(fiV*&fyh*%Z;_Y)jiHMP5YTnB*}FPs7pRS`TP9O~y8uh$kZ(StQhj)oO9hHb2x8 zj)t&z(pCxvZ4*q4lO5%cOR~0&6BG89O?&fn)3#G{Y1&&Ps5bS)b(;2;Eg?|Uf27yd zMr;n);7uTD@Uc0tt9xd)kxY-JDRtN8K&Hjocw&ssQAV$p z=Ad^=bI`|{gVfwtn}fbdyQVql*Se?fZ_U8~YYvizzwS&sy;g@Nl3uF=@eLDO#0G@7 z0$ku9N=I5gqD}X}XwG-dOn5}9$J{8kJ4yC-Vh&57TbZ8O+Zitkg#_a+n3-*=?Fzk{ z(Qu^SJdCpT3hxNC;X>aF4@4MS2M-Mr-cq2^rI+Qz7L9Ldgf_R?BQRa@bWl|zocuS0dM#ZLJDE*qn@JEm>+ zOPXVU-R?2uNVWQZ{GFq1aYJm|H7jajVba{STGYcqPqtxE&=&6iL=bCa)@Oih&!2uN z5a+e-00G}<*dBnoz}j1@HG7*^Y7vf1;k`jR-MViN5-T${Wr%2~5=)`m`uIfL2w6-7n=6o1iUr!HzyF?C7&?x(`gPbz|?Poq|`|DY$)`B%@8c zod()uzU|{drNr6|H6|9X!_yPj8a-02O(w3m`Gw;fy@@7XBS`A644^uvJ5f7qmQGYQ z($I?AHEuw)#5m^Gwg_6uhIP!THP4tj+giYS(<3$TLt$eitw(#kY<*&Gy;D`RkG8L} zTC_n@iL}M+n-J&jnif^*UmZC8cVYZLw=kY;QdqYl*?zfu%V~gAB$^V=3Rr1++EEBz znb0itP6p!aKw%lB!lwG$)Wi&fqn2;b|0BKYKR@O+b~PCY|DBC~#;(C+Bl5Wew65gQg&~!HHfm`##UWz_J*VflGxv;V`=EIo^Da?>~#3Q*DU_upHKd8soS>C z|KGli^#{9dyN84I9$ohaKU}+$y4pWgh4C`URz_WKQ@hMi+5h*~%k^2-pRk;2>}Fz> zx$D8Kk0!u>bU8Ii%W-3e^h)XfGnTjYN{HTQjgem8xDum(Z!zz&dm_2$0loCcE_!W$ zt)?-TNftuV?@?rIyzYAxCjX(`Lv{#)&5hc;>o+LKcOyNFrR}%dg}|w97MrA*Z?!~X zmo3OXmn{-w&!8-$nn4h>FskJcY4m#N+F|*a6e?1V)$C!>BI#PFu4L0=>-nU8nPQBC zUAr(rEVw4iE3LM3Vktv(4~iU^*-ej-u*KS|?Z3GCYFbLN`YLHz5|a(T$XC{0TTLdn z`QK(_%u9--`TzZa-8y~cIs?0PjZAvBms(W)kzEssc}aNt|HR0|by?;PEvySCpvGde zJ*JEeOiil46k9SNu>tY0uhpP0o#gLsv~Fn!hPG_Y!)$9F9<#pg`cAUi+PZ0{w>Ltl zjZ?(YUeoVE2`Ey za7{I~4%Q}#DVfl+>4Vx9Eg}EN0>QsyC-Z-2d8QC$B`O&+ahQ^zr`x(cq!(0y$Tzyi&NJN)ZRju- zK{~?L+nCcWlx=Z6d#UuI2|xET`?XS=Ia)|-cP*zDQtT@eX?OO8i3I+oWt9J}!2PFI z;C`@bH`tz}J8h>Own=@U_5KImLeYYn1tY1Ne)6Ggs}EvZu5^bj4Tb$E_9v{^+jVxF zuB1PgEulo#|N>AiAm7cc{d&RQ+5>}O(a22?SD&v z*vX!3d*}W)V+d$Fv5!63KvRcNU9!HPu!Eg&>6u`CT51}rE^)cqiIXnZu0L2MQY-&0 z_PNtGbx+Jk54$|lvt_S~e)va?!r!Yix;Ispde**h3Dbp}OTT?7OKQ?j`G}e-F0`zZ zsl5!0MU6?neJSdw#CJ_McO{-Ua-YNeyd3>-wBzC4r>j+fJk+4J=5%@Qer1WA`|OwdR-P| zezaQsJHE~T=U<4+6u^F#)}rOxB_qMGpQTCnSxi^z)b?A}KD#+atdTW9D5%7ESOX*& zcA;L3@DCawGne__{aIS;ky{^QH=$B0ZUgSEwR>Tp4!yT_y|2Q}XPjmT$C1-|UW1$Q z(jePa#w+*4-!Qcv${p}#cipFK%NqeN5;&+oqFYObF0aIu>`T;I7gU zbeN1prZ%Tj&KHCxIy%Fd=?t4v8P2rH<^lImJiaq}WJ65MLOo}247(UuSL5-VK{1Ts zmvH`>=L~G7iv!lkI-R!!JgAv&h+}_Aqcj-klKfmY;-f-Pw`}&a9}e% zJdP8A`eu4OLy)c}K3a&As_RToh>vbT{1qlehIBQn(-KyP39CB)5t4tJ?FwOgT0%Kt z`+Bf_10L6zMhC}~!8?milX#ox@Mc3m>2wuu6P-p4rL%{I(pjycba0{?k~PsO`a*$D zJMlKrnXRF8=4dFL)f!6Y2@R#=i*{zwQQ~c)qif(STL_OJt0yGaX>^{#lW`Wh&GdYu z;T9Elu{s}!;4?mi(_f^WF%!-L?X`$6Aog`ZoJQw8Jdv}we1#i+UvrStH7+CKy@p3Q z3!H_3)6WEN&Bb{12p|i|c zq@SxsWgn9(Ez|$%4EQyVC>|%KCM`VMEqU>8oX*U=h+r)^%drZ=+pjhV@!kR}~vdalkS} zlMVNZn~Ft38Ll`gZpta1EJ7;k%p?#!tiY zocT6E?5>Ac8m$sGryU*&O?P|kkEJ>pzH9M-44v!o=)$EBC%`en=0)6#@PK|bT^ufl z=xM~fQF|@s+AKO=Jj|6YQ>N>h#cNd*6&7yYROTr?wyb^Y$FUU3oI&#)G4RQ#Vtp`+ zpRS^5f7U6h=()h@7?&>qZCe9cVk9J6G&rcD<*$VRu@!46q)pLEVgC{>6rCkI3uUyu zDKEM#KV%h`2jh3f16JuQ7VmUA2jkJK(#Lcd_gJz=BX}Yn&lwi$&BpyZBuQmu$*u?F zp-;8H3d|Ubc$hogYVEJ4OCbTTPvdq5975CSBeKn)L(G=tL%JGwYJxj6jhl>+djWSa z9vMa2%m~;RkLM&q#RQg&bmstPFToqwOm{!=4{D~n2oL064ChGgJrT~yc+xeQ6b_f@ zX^cKYdo3T^Bgn)|!RQN&pYFxtPk4C%;Tq9OY|0Z*Y|6g^RtLd~s~d-UI6{ls78e68 z`s3O>6LCCXKEUW}R83rUb^)jv4+`W;I1g*@V{qQqUMs{r1eXe^iHJ99FA<;9UW<4n zf=3G|<_(9lIwuQgD$`!Cy-fR*_S&=`VNL5#cqjzjkF~#?ZUZ_MzD1uS z^@qMrI)V<*_BFu7mMFldUb2c7Li@qCm1f84X2>Kq; z5p*7lBj{VLBj`L4N6`0_j-c~Q96{f6I)cs%aRhxY=?FTn#1ZtZ(GhfBjU(uLO-Imq zJ&vI7V;w=~(>Q{@&vXQxFX9OLzS0qNzKJ8~`j*kC;|Tg@=?FU8#}V|+))91eiX-UTMMuz?6GzZDS4Yst;Vu5T??xDW^8mNA%P%b43o*20Uby?n>>i zq)XNSueYt7xYyzVt8{L}qcf)O4jn<~Uhy{3;eFH^hrT~bL?a#2Zpk&NUm^%o>D(aR zZRyyIrZ&^Zl&R$+Cc9X0o9O6dGtos|A>r7GPR41^7TRnnuS8 zFfHyQEGHi32V~_+JRYX;bhRp%Sxt(d%(u+BIPQ8V+!L)}46nhXoW|w;)a5>zAgy#d zOO#ssDKsmb&-zK`7?(SrJN-I}h(DD&xl40H4mV)&VkOCn|#@CzVqdfFZ*>0>%Z*R(9DRtQjrVNkzXL1T%Yq?(!e-E1uVfx94jkoDI0P7*+sw2ehqy3e1 z_tO4&k%YK>#fJJtrwNa)c=QoDRq+zssl=TmvC|X8xKbpDO+?&E5N9Qb@oGbV6U&-v zqv^Mjkb!;huy)a%r~Q?5@6i5O65=kx1BU4wk0;~Icbn-Ut`;jznK7s#bWX;joW)$Y zY^Hw&9xRkH+|6xf=zUE4iQolzn1n9LrjrnMJ09g^%3Y^EmiA%;Pc1|dbjc5(5}rc6 z0l^#BgKFU^R91w$M6E}Ndyn>)(4j)It}3q#!T1<`Wc5*T*@9x&6G*7EIuz4LeB z zxOG#iPFa|iOr#=`WN|lu;p^-l0VmOMpO9)Q%q&@qcAf1lx^>fiMQUu9nw9)0)RJl; zZamhqvFVJme7n0aWvg=z8mx4Gq5Olz6TcPs(c+2Msah9L9AUFdspj8a zJliFi#4Ay*^CM83CavLA!%wa6{wUOvQW(^pOoPo3Ctu zdHBdf(uDAo+knmOXkeU*2lCTd$^^0$Mju&8wR_^8ETE}$Ebi21`YzHDbeIg&@z`S8 zbd-Xq7fAek%YwuHc>*3Fguk>2z$esm=8Dmt@oFY=(#QrZ&^3>BaNGgkDoZZ)TcalhCVf%RFb& zslh{fP0jSZq$B9qjG9sz#Y-u(47aS&WbiZejPzR?^jrSYwSIfx{yPPpWBB0K2^tde zvJ#IC+XAw9p~max#h3}?hj1&`!L5u<6H8=6JgK@_3Efc*6q|bd4IY#)o!7*>EuGi# zWSk{#^E>c-B=D(pz7uc!)}I7k5l|zYHFyF{cCaUeUee5BKfvGy*vwZE&>1v-0Ph!g zQe2U)2S&*iU0?izn&~R>4{oL#ihsjqx@F=Y(oDBp{6m}RvS8Ei*p7z6PsM{TY!-M8 z&GatCgARet8A*)QFcT1*-eA7hHc41&WKNT`MyJ5pNqZN;IahlxgLAd^Cag5t97t(| zX(DtZ7Ei8_{qm^PSw#W!WW1t?;m0r>4tdM|C;O>9V*|b!k2x*jhE)l8=q? zuo&r*nLdA)(6Z}~5=zTf!EGkThyTPhj{u+b5DTzYv~-iEY&RO&=-!M6+nmZow1rNW zW&&=(K%PVO>{Kb?KT6+ z@gl}FI@AP)JaiUoC>j&|2v@UpkR{?U?&Fq8i1yLCpw=lF z{sIrQ-PlZzBfL(tq&Xrg;$g2%cNJz%@W*o|##iEj)N~lFdt^(XE1*5_$N&nx2>mAl zi|b4@2q(kOR5onW4UF-Yw8GP2i+2(5R$2NOpwWLL9#q>W;5?IxqJNE!S_|g`?fn?e zSK5ntzf*<>X^U_wwb$lU4J2}oqOwe~x!UEMlkNv09+N5f5_O2hRLd z6#a{JluccYT>EHdCc&Aay*8FP*Gq!fJQLKhCCFlE zWfikO__6HU@|U9h4{~nPr)DxtnXC@{U__F#$$FVGJq`bX2#fw-X{;v1{ZUQ-&(zmc zD@4nBW1%UjJZEA2`Xh(k%7Yu`{~zMs0<4OyZ3AYlHM0j0jAM6qw;o%uyFGRu<5bLENn$lF|aU5yT$HC6blm*5Y+#^H*7>-&v(A}`~U0u_r;oLJ^jp@nHA5Py+PJI zh4fGQ|J_}b((Qf^AmwRJm4%|SHYr0)D#mxUloLzUh5Z;~D#mxUe+f6$K60w6Mg6Bs z{6C*e%5v!ElSyG%%%-{ssZRChlj&d)x4?hQuzeRuSt_JDnf{sawg3uGhHy+$J*Btm z0K8K&pOEs9P2oELZLi_RwKY)P_dhO_~jI3|6_KaT>N z1Z4kca}VqVqA)96_P&WA3=cuf)gm%;hOHl3T0Cb6C|BQ;bwx>_awN*50Sx*cN`O zhWYW@eHTdSUw(WJzYF|JPlB@@lM*>*Nft%6&K&dEPBlZ1q`F49HL0G`%9LG)f^Z4Q z{xMt%tT79;C9nv5pC8bwCyF^5Ru-V7ilz|yup%gIJi=m0bvwd+N%ee|$j1Pmi6X3e zSV-l7{%#igz96Uz+##7p*ajul)h5~w1rZJPVWq2?-^OBc2+-jusn;09NB%@3KI$K7 zTgO`e47OY0TJ*@{dn;$ z7XInRxA6b*jQ&4leE0Pa&rPZ^?#Bn}zh`{+^$(BWKMsulJ;UPbr#8m5`03|f8b-Z zOZq^skfm;csm|f2%ldsXXOV4SwF)y%tMY{^7V%ldT{jD~`IbVm`TD*3<$E&Hq}v^uK6#g3(`uUF274ogw=V^1pQRAMzMmU;(N%fdQ`r52o?XA8l$Zm7HV1e zr{~^$1N|@DrBY^Fr7V@L%F}zhq`UIhk^ZBq`QG_os`9Z(?Y7jvy6uRj`&p~-ueEr~ z{YpGfDses%|0HL=W*Y)SNvfPmW zbaR8A^0tRX_8)I_i$FP^_xG?YBcYl2%ey?Kt24LQNQtY!EAhWjFuVG9g_JG;tG?t< zahm@J%^$k&?qx8)vt;x5}KFPQC{I!jx;1|5t4D-JLwo_TiUI=!WdCTu5(y1hKB*(H(Ejyd{{PRw(j3^zLtzAjACl@RZ9u*c3QmE5 z>>t};D=6Dh_)W(ag;N&LgFqUBLc4^tle%fhAAth91Z4kcm!3YM&;TJXMrs4(e?x%* z0RsO_Hbtv;bL){}MnQ%%lzkjZl=;nC~k*iyY&#d{VcMg_g?XkMUUq zZ18;IoyHvH%A{O83+w-EdnsCEISLIBvawPJNB(9M1V=#jkHJM^t^ClOf5F%90jU53 zDXmYwDail<+5c&vp;@3U!MJ3Bwmz&;Jk?V335>l&K{N!z&D@UP1v^^WgMz8N7BLHU zASh+7wj&smM5X$aq$utP?+W+%!h)M1NA2*lP&(gi&jV_+Wx!f z_rw&_a3@ftRkf7M`knSY?`ARm)1^+Cc!LfrKYmgtq*CRnEJCSTme=I_1NV;%i{}3j zL_Uj*1*W>Me^i^_+ah9tsr;sT>F3ATzRRX0MevGje+$_He?0Y+Yxoj<$LpjH$_#U1 zK8L7MdQtLCpdn}ivVXi?Dew*jp%SDs%eEu1$bN4|2`a6ae*~g+4!liJ$j4$eja7M! zn#n{<&@r$`rBqF3A=x206kFQHF}8HhSgVv9#lq!RMk;^r(>VY(qAfhtgbW(>lnn&Z z+L>wiLJwe96lC4;YgnxHMZw)dFdW6ecu}`jq5M8XRwnWM0Jfm8l?ivEq{tBoMu822 z>nN$O#suKhH}JX(Uw85e1#$w#+G?a`GE<0AEkz^}$fMK*ON-IiEEFBa>K684m9{Zm zu~^0QMl*p$o2R}@vPu^iNG!&oWHFl$7oh+O8<4O?Qa$B1X#>1nGM}(gZnJF41GpHp zr6|g3l;*?AN|aRT$)S)Rj)DtKFcRg*+iVahLy`mtDF35CPf-3$5+I=bj{;pl>6#=! zK=~g9Ea#f)X8TcqCxw5F${-0G%+>Y;O;D0#S)->^Mkq^iDiY6c6bhS@@Iz8PrMWzT zd!ayufb3KkqY@&;PbA@!v;^nx%rr9N=+2X2cR>FBTujOY9Xp$#NvqnmEPDJYh&`0Y zPe5>d;ty#wNzO4+pFg!Ri+p@qBmt{($#4*0B>0;E`3o~8lIa8vDAH>4D2pF{7cvwy zep#>>AiuY{2$0`6rCoj_djkCOd*s!CTRyl&U}x4Ei=9GvUTNF%N#b|*xA6H@x|CT6 zgp%5+V4#K1Z&5{p&TmYS$FF(O2EQ@JxGbMis7R}AcP)DSt_*4X?g}pvzqAr#5hfi) zTD8PwNV*<;@wg`1oo`ka0go?U4@s8amkgICLxQ0w(&|MIi#}D4`sEfcuX83W+0p}YN1CuwGUN_7 z@eawbe=-Eg{7GuR9HAEbW5BPQinj+iWh|dUs7R}g$1U>Q6s`+eKCFEhhvma_kycwT zTI9GXTg}1*OtSMwl!edTLeluLK-R~gNUL=Cl{k&4c^h#NAlF$ue}1MU0jopFFgO`H zCd2i~a2_BRsGE{;i(Uud+`?L#OeY{uT7{IB$$mMjVO*RN1_N?>x3Zw^LjoRVv$Q4EO1Vcdz8vvceB018!U3{U+IG%bVcTVl#pFD zx`^aH-O~AkfRC005LeQN@|F+Wfxds2#Xfu8nHDA>ABoh(!a4;af7g${*~ecow0t5# z=kFHs=LPemqUS^XSMp;(=kN3JxA($PEFbpQ{4Fs2{ZQCnvro2sEWZcD-vZ+A|5!eg zQ0MOiS$-GDLO1JD4uyR=3;S@3AJ*AtTkxMDdF;zs*k@ZliRhOz*&(%o!amsYp$z+U z7IUA@{v8*Jg?%~;`*fDndaSdrwtQG;-)#A?&OVxjeKZUEXcqRxmJjRflUdj&v#{^A zeE4SlOccI@&o}HXAInSge7Bgd_gdaZw(zrnX5sOx0+vr|dIac?P*U@MZqJ`_i=Ch8 z7X1Ip|KIAdZ8#>ea7<$1*kJK*f&XUDBKO}y`r=q)`LOPX!f}UV4ofEV{VW`FSSlqK zjyWtHl1qO;mN6)n&(HB#=%J9Yym@pzl{|I#pjh-+=h$QUu+A}vg=3B7!#c+n%ZGKQ z>@0RHbkaDcuyBm9@c*}vIvhiOmM4v4hvmb?HP`Q!)wG398ICP199vj8mi%mwG>$DS z99vj8wyu9B2Sq-C>%dHMp!8=w&ojC9AOqkCUdVTI&!kxo;k3L3RA z#A~WjA-%}fy`VO+F?xZbo{GYHzNXb+q)rjThcZSO>7$G4Ia=;RQpB2qp&p&)nM(DC}E6sU@caZG&VG1El!j&^bV}Wi_*r$F06eP zWsFmOSxXRQjrT)XOBCgdCL>t;BFYNRT%WKHA2Thjg2UCZMAG-tVkryM>aKr%(XSL znQ_HjTPvFzZ_G7c*~0ky3+2|ymd2T{tgV-=jE&z|+aOyT7w`aR%1^d2Vg+j(Wm_Y! zVr`RbXEfGW+br7~BXrib$PUI56KnpmqY-AXwpDgAR#~&QO?Eb>+pxA>b}{`!Cu?;z=ApW=rORn7Ezn!cF!DEmH| zN{icxn^HPZ)?-%H1tG?;J}@wOgi#6n6l?Ca23-QRTU z0`p+dw!da9tqb+^$xWg%jn?Jy{4|qgjIkXo%{XR0zM|MsF;*{(N7YJ|$*31OFqQpI z7Mwyo$Z5tD0<}_&(+fKch4o_N^}?gSL9JLOy|BM4`-I|}(XAncRS9JbWlfdTjhW_3 zsR?@FkW6rm8(vAha25QoN6lDHp1w|`odOOg^t#p6k{XWrG1=CwE}dXK5))?Ks#$A= zW5+Q%j#d2(91}t*fBLBjNB4>32H8=*W-D^PHRI796Jp(JQCVvouWq27j^_HjPvjo= z=W$#H>N+>4%+XS;@7zZ(qqa#LOXa0j=RTBd@jE@{z=hDQRx~4bSV>Z<`O&XaG$pH4 zr=79o$n92v5DU{gyUMqYZT{|HHJfu?UaNN>K9Meo9t9LtlGi zX{X$CQkLH&cl~i{^)JbKMH97N;O91VE3t+0&o4`e4>e zub|Dh_<>YJScAvulX<+`lrpyY=%pQgcTKli9YuMP44?d-9lAiuNZI=D9m!EQRDXMyp|o#TFyCs55oD zKVi(5Kd?T~d=L0w&_l~Vp#2^ndGs?!IQbnd_|&Ggo=xZ_>OOV51(BX9lJ$$3S#Ok{ z5gw|}V=i-j`%rVs^rQEYcmUuLKM${4mHfu~>GGuOJLvb#XnOQs$)n3QdTDLGli$6d zwMJJdx$8LT`Lm`xh;>~bRG+t;~%?}_4BTjAO4Q@`ImW| zR+*6q^ZmA|IO~^&($2eFj3Fi+BOHmJNQd`*)NL}1+_0mh9G=Yj&Goc1){T^B_U3ap z-y3i4vF^T%Hs6Qx_}rh8&Kl|GhEq4j97CoiyduZ(o4vT(B_;hMX)SXI&+*TGtPi%~ zQEN&{g#hXvJj&yy=A?AE!ejFyw0|Ukl4H)%TFd=B_Poc))8I$w@%%cl9<_{TcXb5i zZ>}e$N(8l@@2AaqMJO43mb&BdE6Tc+?`z7eTgBsz?v(k^n=;kW>FQRC%hUUu*R&?G z@?7jIQ|4S4>82{I&kv%VUbjdYdY|4KmZfe1Mfn1~sT;YF^mj8Ue`hA+d0N@b9mcwo z`8oAXPsto}C=-sK07l}dmNE3hz>0goEE%@n9783_4_r&hA|uItID@*4{$hPp zZyq~8AlI`uj|03(`Mncu>iEYRx|PFA(rcP~u%g*$E%yaRJGLR~m*-Qyj|W@qgD*YC zu3>#&W@_cD&U(ZwN=9WN#qI_vHjP+MTuI#=<|nkuA=(Tz-vfE(ky~mbV|ZAK^_(%R zck||P?OR%#i;R(OwR8-*N6b(3puZXI%3M4)ERtxbDGtetyYNA_x#=i^Dtp?$Dqj4V&V!dEv*1J8U?lp72 z>JdoEcIF=b;%3TdZuGl!C#^L$QNGj#(w+M=s!itm`9wZiIAy-8-NPw4uo|_zkZMQ2 z=SBH$<{okgl2_>E%uip5Uex;Xndf*D2{7I2`gqnC51?+`}9MP81>bV(1O@sEU_N2{W=BI4LI{G?- zpN7`0x|XMWs52#BN0RPbgU36?cx;=A@iaI@neF)9Xp>d>HS{&HG5wy#U174?w~4y_ zH_-mqDQto3Ls$=)K<@Pr9xtH>Gg%GELkman4^q&(n#YlR^B8A3K?}{zcg18UQgYn= zPFbTf{-G5}F^%Q1&KFEyID;wr_$=^ZL!(hI5y|>&(LMu0m?N$=!`Zou_^L4HAr2rZ zr_U7=S3%HIj3w|AliosMo@h;l`68b{v#u3oYrtEWID8O#`@}p~#JXR^ticH#5YFsH z4+IFt75s%#xBw(4;rd!y=`z+BikEL>fCh3sWiXrg?igO z^+4~u`Z;8J7tM|~8D454=wsjT(RLCC8R0Ckb_Y0KqTFeStq^Gr0$U^MH-^X-vGWDw zcZ&115&a&q920dtShODm;sKGNGlDrLs_7t}6%P5Ja6$O3h5O6G_9A%KL@D+;H%0fo zP`E2vKZazq7;Xy>uSIl8U?0VKJ__;T)p>}_kcF+GH%pc}3Cv4+W`wbYayR=mA6e@j zc7OV)c~HziFcqEE#q7v@=_kc zYFB?F*9QW;lLN4V&|~G$masWnZCVQvFEx~R=5m#u6U9|3d?PS_6;cn9fohtB&C@ET z4R}{oU-nFqs@5KeJXPLUgzGO=-`qH(SaqQgh+k9=4qBR@NTVk~~>!_fe?gS4r^i0+aNzc6!JWstlpP8llLM#aNReG!&Fkjt|qxx38 z0;l2ux?>=SLAu@#LG0JJbp!FJexe+#oYyaZfZHp2ixW_f(3g2Y0KmyqF$(IgrmhD8+)ahuq2O)$@CVe_n$k9ezg?z5SkLSGO%t%) zs)w7}7J#ukrmS}$`Pg*32EYeXv&A5OHmM`P<`@~@09$OF8wS0V#@+64w8 z>Ej@>*BBiO-a+HHQ6L^SI@Sbs*2uRIl9!E#b`ZI1T+e{>i!@@I13Wjb@IiWQ40_IU zFb<_hxL=IZCfu|$tgWxX%53Xzy8sqg$6th%#nv^k6QM7+{+t0|we`C?klbk95IdLp z4(pQr;UUoaK?7h1tm8O^JZ{~1Jk)Pm|JfVF2V2(jI;LI2+YYQ z?LK(-vKcW9R+id4-Hnho+U&zWxze}UoW%lL53$KxA8rrXbbADmlQw^h2X@6KWI04` z+mu7@M}J^**9q7wn;RKn`n}DygCKsjnaLq!rfuYC@H}k;IVD?QnNGwfh-_V&mK;bor@1{1l7VSL zvqExTn&>J3N7DQ?8sJo#j#VIeKF!c(i1TWiIbA`FOp}dI|Kl{F1p%I?vE#J)O`7uk zVdX=brfl-KG#R6z=WN%m8o(^OMI~^yo_2$WLw%9mn`&^o+)nEcy)|~jk@C^~?ecOe z6JWQ7-C>Yj=9f^|Z+D?4!aZu|cnele+d1M!)34bL=bd%aZj2+0-LboP2>v4M9CLv8 z!0wqFY(BE{pTriln}8Q<_2+g8ry%mmZYX=`7`r`VfW5bKiv;hJU9mcljJHcy1wka* zRpFfdo1Nbf0B8I6>@{5Mj}?X9Ec-al(A@3qgAt3T{bpow^!fHD#=-3(duan>i|t#n z1((@R;hbcpeWonHeC*%Mhk~ztg-;-^v%kQ(<9hp&H-T-m-&q~%o9+LKfQY~S!z|$K zu&>6+`A+*|r-237Ph(%O$No-MC@$J~wnsEAT%=b;ID2%K9y` zG`h{p!&XTW`6mp4X0d|RF}OO4zi7=#c^DPD;Xi7b zR)~Q}Ve2bJXZ*K0{hg@w8G3QTn~N|<8P}MSGBtk~c;v{WT;F0(7o9R+Tc2rjOwdR2kPx?2ju zeq(noM_XGXE39|*<~(CSt3%KoUf~JC9y=S`llrs+9ylErkq!Tx6;rrQ8(?FRcySQ| zKBCHbfGuKC0EoNA40Nrgy}}%BpfJZ9B+LN^3v1HhsCz&&{ zhtJ+4GmbfTv;FdiH-&@3U-qM|ijHAhmhQ-bsk}B4$BKj4uGKde0k>8?UZ*;(*~SjD zUZLJJEpsoeo*}2Gw@+UTHz6A~t23KFH&efQ!2~vbaXYlVUOD4xG)SE`&*8giYd*>C zFHVELqv#d_;3STHfE{P?WFdlBDvlzjt1lA|j>61x5tAD{U$OHF)Ygd+?8n!Ok+Bfj zE_#0fZ-?lD|6GF^*B7oQgT?q@SP2nb>H!NCCz`;@F(KTba9jj(UUNb`&5x+A3SolC zHPNj(M6Qd_Xkbr7jUhObr(z%bh-ac~dk{Z~pgmBJ6=(5}W^gYL;nLSt4$TZnHyL#j z*ep2&|FH(sRJQ{-qb0IGN0_D3)CxR5nKlR>Hp=MF;BAtd>q0M3I+uY$kaTYWUa;)A z9Ue|d2cFSM8Jz>(FUXn9Y~GM%-T~Z`BRD~fkSC79=0lmT0*FuK(Lfk`A)AbVl}~al zGUvLZ8aoa=7xj{3pNCr99&YEV>uVvoR2iHgE>rhz09&tKGk3H>73l?Hfa*Q~-gl|z ztw21iTz#Q;L>ag%&6l@I$>XqIJ*t;wCLPloS~Z5x!Leo(mp!#ActfT3l#e+1I&S_r z*8R%Z>y=!CNb2WelC(kVCXj5i9P@F#ZR=K`w;SUFyX{M*gVzqdYNFopia)eERncLg z^ZODwc4^?1d^;DhXJV{|CsT%vwoeyHu~iIf18V*JkKo_oHsfmO$)~*0mPWAJIG+tX zHz~XtGEL+9K(pDlDEM!FFe7e+7A@yNzGd4y;I_J07WCH7R)f+eLq5j1lL?A;H^#$q zdmA=$hv%1Ivtv_IIvxH5na-ow4R>*^2y1`LI0TulcdminZFnWb(7jO|czTx)~UyH5freOhr5-FH=f)cbid1=Rm+VR#(iy#aRqY|t6>f#HKd8RW{2VeoIO zKpApHLF=#Fr9c@v^%A@cyLcMM;d!1Rk`XRGurqQ3hpoT8Jz!^4mdBusKGGbNF+F?opR<4C{z0lht{-8r$L#1mp7lI z*m)D~oy3f*h{ah%egHN@jQtCmGezzK5OfjW=0Vq0bl(M{n<)J!h_i$r{yizyxE6KCOXp18!PW4@@F73vE_4R*^5#bBoN z@O4&ZRJ?`9QVZbh1JnAl5P6 zxJx{1hvwNWBAGJSBYZhV>=g%#0}B+bnc)f&zqvp?Sj;SeSVBa_*H8}?k=uZUiMZnk zX`eWg9sc%BoehlJau`V7WM}#k)Ypne%v-3D68Z?2G0uyf*fY-nTbbs7mr7sOwj z2wfB(nKim38Zhm9SyW+$?25SH0_>_-(ijbLP1L~-H9F%A*%8DIQMocgx+y*_26jt? zg#x=R5;%f9dyy!#iM+;xdDHAll(yrs@wxt`WeZ z#Il*-Jrb1#h>u04+z8@{I9CcmJQd^W0(&OrB8`Dn_Y=;vpNsxQ;O~XFR2@OQ6rH;v zh*#n&C+e?78!oNhh}UnyixD2oQM?s3_e1ZUD7p;Td$D^m9DNX8nE^hEY9kTECt;Ht zHe^nV75oBC?(W_Emhk2i`ZauL0B@Wsa_J z>m>|_aJyPo zPY<^~^40`kYh?Rt0Bhy%fdIZTC+C6dv3;0GrgGz}qI>`B-e1kML@ozC%7?m#|ZITMH~ezC^N5-z6jS z!R>B&yaFWm$V?spdu2cls0T{_>)-{+n%ChrSO#(S6Cwi!0}GWe<^l_oRk#k_CpTk* zTi-8(nEpN>6ZS&=pggk#;E>d~zB??db5?RhK5GP#qtfsN@tDjWW*KIkpMhTCIoBr@ zAG3$3R5AjNDi=fcQm>LO5|pa-i^E{G?(;yYJ~tc8{l1R705z6zsH%BlC@8hQ9R;QK zN6zT#xE%+jZv8BU&hikrOSGPvo( zcu<-d9bvh7G}n49D)F{zIjI`7S{*USdx6b8!x2!rwP3W}iyi}|M~h6*?HR|6bgwS>M}>NC zogHPLnV(Sadxjlw!1+YQHD?Q$nHU){B6y&(x{a~K^a}o4!*{;?gYx%g`A#@+sGZ; z@zJZ`Wr9-;_?=ka4U|c@UVt*WOk-G{lKUPgQyX%(V%kH_xTe23fx4rJtpFh>;aUKg zv&hOVxfw!Uho_n1%_tCEM7>go!Bt$ui@+E_>(+wEEU`2L49*sjSgK(FEyBLYU3hUP z%R_WyLU*n>yBB(%qUtqZUSiK*0P}z2942oJBs&B7qQ7S>xqd?QBX z0x?GHdVp}>iumU6@J_tqy!O2)HxS^1aAmstqj2?r$R|-N79z3Y!%Pt4L>bPs;>G1{ zAbu8Yuo;8_bmCYL6Gc&G_m+0KzQ0}zC}9K?*Ahs?wY&|JBR zr|BtOOG3{}4rgC9PsZ{woG-_7>t%tg!Y6g1EXf@BB6*7wE^j%E%h$zn(R=7Ek)}B4 zEtPf?5ZN+WjH!d=vI@Jv6>?++M7B~++X=l@axI79)v{w+81s?M`hvJd&gW*!T50ee z^p$DZv8k0N%j|qQ zw#u}e@@$g@xG2~zYhHrF4q25e+?{gXd?*CS0bHW)lA%16K-qB|h$rMEKVYZizPd1W zTGrz{HeB|)4J&8l^+^ypE8}tloRd~r5cPRkhrPxHnTuQG7v;EY(7Pndv6H?mn-qbu zEApEoM6Sx~OVK>nWS$AYuFLS3z-~y}s}Q*<{T>0kC2JIezuU4auiqVMIt1*lY{wnD zdvf(sfC%ZuIrV+nW4IWG&q zQ+azbyg!raPJtIKcgBMFT%Kc+?S(A<2G~of@f=>sIJWL<89fl#8@YpBP>l3T2k=%t zXV3ReZn^>9dt5&R|3NnA82M3_N(0}h)10BMbpbY09pn7TMZIQ9 z!&T*F-|D7vT*o=gQk4Y)oUIzH2Q~-4KnUQjW^kS8p$hQrnYn7*Bw(JZ@>589sj+-r zW}Z4@kC5i8+VcSxs505WTc~C*1-nR{;jGVFby@;!vGQa(c!~04ifO63%?@{&y3ad# zxoXu2A+1o`>=67)b)giDtx{i*Db!ah7yKt;-A7&M2W*XMFa^A|Y72K>eAVn~0PEC- zs{rfO=4kLXsIgrA`>D&7p|?@JD2&tGq{c82zgacd46sE7a2WJgeMf`0RaI+%Q`x36 z6~k$6SJgfP+o29kM7TRu8>C5*LK%Jsrgy1X+}GT#_T2)uM-^QMg}ut532b6NYz}M& zDL<}sg4N}25D8JPg`g0s-tPe~OjRoZg?*}JQ7G(JhbP0s0YnCcgX+(FP&lMk@Nyhh zX)8kEh??vJ;!$;N1425cc5@r)zo$1T~MnkLGq%?#_ZZ9)gd#m%W5u{%U9H0;EE-th2N{mw4vopSC5 zNAK0<8NfcM{+#rGRN;@H{z-M=R${E$IuSPG)ZI$J;+6ATSoy3TaQsV9cFUoVsA8Cf z_@e%1SMpV@*$$C!>fE0Ij@rxIP>NOSxKW8T{5a`3lU<9{g8muS29LSd;kh@I*(ZDkIK zEY}*Hg~AH0&2^}+)O_*YhQ3Pccn|(oYwasR!AFaZgTfkZm?uQmYG*kW^VLQPh^*7z zc0x$&wa|8u+@S5>1xzejg0t zHf^a76t-(Il|bB~S@(d#PHn~;s0V1pf}pTV8`2WQ-P-m*c-W(T;TX49o1PIMPt2E5p6^;M2>1>ID=&iV3%w33C zl>C<}LZv`xB8~;~aeS||2t$rpqG045#MW?F8klKx2mk*av$Yd^P3xZc%2|4ZC@>ys z_r-Y5>mtP_-b4??nm8j#KcB;E&Y=oQVj>sNGw?FNmR?sTxJyM$FBEbJD6>}U3fw_L?UiZhkak`IKμg&zo}4vB*t(F|3rM? z-1eym<4#VruxEexT-dQoNf6DCf|w{W_`%9TY0dP^BDpyqh>PVoXXq`Fuh&9hnVdZU z*m7BB0YX|Sol1eYN^ay5*hg076nBku=nCK~4=@|CPFCR{w^trx&NNVFWELt|b{Y*{ zi0s=3#E)_kGh3hJQ+kh+>-z)5%l@AL5@dKI#F8j`^VQ3*a#90;Z}QYm04EjE66(&% zcR7HIYIg~aTvZltfLW?z#Z)g7(}7AVj0 zATCmMS3%udx$)s#qC%eHY?rF^Wx-pn=4S%7LJj2Z*eW%bbK=!%P#Uy^ue!}qah=GuNb>)TF5p*`n(4(eYQdV?o@8FBw2&yBaqY*iQAiHh2MQ(>f4$t4|zX_9zF= zw}MoQiEtFG*7t@;sJhe|j=~gP|KKn>{W&BLs1eH{d034b4o62+2p3()RLjYbJg#z8 zf#fOmm@lB5Rv9>LexQEivge`t%!$?`b>_ht8e9o zSs<3_AO`8X|Abz!UTrA+h3GYlK_paf&xB!^UcM*5KHaw?tQ^prZ~}Tz&l3sBL;5qO zBv0!Lc&WnmD_bFQPQO(fyi59(d9Zm|pUkZ672Qz>xT(7`d3j5B;@;40JtQ|o?&>em zFX{L69q6}{`nMaKK`-gb6?v%#39w!BrZwWMb(AkZ)v3ojzU~4ixURR8?<&^sG6nSp zef`08*nS4bhL;*crjZM?+KmrxhO;KFZDFBntxmAq?JW{>dXGDNBd2G!>7ewgm;+k9 z$MJ^f)0oSQz9X2|>-Q`ScKT0Y;&%E3PVXGWWxgllEN*emK10NCZaPzZ>Hu3V;_hhh zT*bt#u;wN%B2|Z^OpVsCFLjoiv#TE{lxoMLCQLqtx6 z9#S711_Q(qPH}dLCSFkAEw=TA-X8J(0f>9WKCU7IMcc0cK_YZB+y;xmdw_+ACw%26 zR7~>+xF}+{Xu2dGy@$vZvGN-{TotXI0IrF>4PorMn27CkBp8ly>*1y-#CL^niAe*X zcUwG{$rCkD~bR5cwnuaSaqF(lY5BFS0T( z^;z_|1ib`t-40lyxZEEKUxaBD6uydbeF46SLqnk8CcCb=J6`CO@)h5PT_ra^1XwL|@_lL_nOVcdStB1ef%moY(|Yi* zbS?^ob@G%0tgM#@*xzoDVRazlCs%N~wNb`$_iU5w%{PrV%e1^vwn(e8!2IQr2@p9T z`*ZGnP~H{*hvnBt;2n_@{{}cJPvnH@WAYSxpyM*2ITTLFA=Eo5t6xOar({>ACQr-a z+!YR&S3N+CkYBSR>ihEP6@Uk_Fz@?^@;412N`~YG@sT{o<>OptD7=sfvmo+PX61bMmAo+t;I-V69ST^-zCxTavdC}1-pX)p zXMU5*Ifr&s`)`BitW-G8Xod><04p=qJnq1{sM@?=T$MY|!A%+A;LTFmtbxr|j?00~ zQ4f|t&t1iGYuZD-;p0D7edR*T6aVlHj=WU6O5pjc>SKXzRSUWR+pb=6%YKKd&dz41 z`j`!88=(5|cH5=uDtOqfvQNZ0>`}enfw))oy#Vzi!P!4yf=szz(WXoFE@kukPTa535fc>5ix~%n%$^7vf>_ zm|8Oc-jA#C6@i^l*_J`?qTw+{TfwLfTLzxCb z@21L_1;ksb$^&4x)o}I4LLf!QP@xCg;xm~2X!Atc(^?wP| z50%Yduo9(4aR7RxI`X}$$7(|WM4qVNrXW65HOGSZOhpX<7Om#+u6wRp9EOJ%YDZ(3 zeyJXX0lZS5dF#JcEO0-}qyVHb$5+B&A$XKAMmV6(OHoIuRcqMjj6cWv0kn5CZI#dqz&W@{4?-Jb(7XGNhJ^8M?TR^hg@0?0@uRR+!dmLe-_uSAQ zm%7)@3E=i#6ApTxmYgs4z48(E`_&BvrT=T*0HZ>g}IAsO+Ju^Uhkdv-Ma$2DE6{DxFoLU0k|yumVtOhByt*cRh;sK-ZkNU z5RqLM6*+CYAr|HX@21GT8Va{W{!63JUkd zXwFX}#p?R-{z|y=O{3SshV!l%(LVx`Z-v`=5Z{RgCjs7z98~~52tW4fAH^3NNPZH% zIhe#^e+VAp#GyzuLA>a81>m!YaRMs2|K`3aVxUTBaI*^={&P}!W{xD1ok&I8*gGYtf9zkJ6nzXP*&I=L$iZ; zNEXxp4$HO|;P!~T+XTF$a!*_69g{D80FKL&s{u~P%PRm*%E(0kr=))@z-c+<1395M~5Fg7=oOC~t-UZNRPv!9Qu=z}e zGEE&VeeXj3xmC5ddFnmYFZVMh6pcPevT5Z|kZjUnZ9wKAna^wTQ!h0Tpx z7>Cx)S}$(pZPA*Ih6jIbFB6nowHAfoJy^?88z~m~K5%7%wk7T}Y1=opBxT7l^Raax;^AjWIH zZ2&%Nrv>y9v{>Gev-CvvM6>nwd`R5&E7RfKLmww0GFQJ}NlRWeJM$hZd4hSvQU)(X zjnR7%Zp|ZHHP@~?8aC>zY>)G(yMvRNdLG|UuiuBO<_2}sLCay#0nj_eRYO3XW4K2C zW5GyR==$v#j@>4|2Bo{T6|{QXjfb_Kec3;sFJ?sqWY!Av`)*-MkvQkex z!|$~7a|Q5yMS#HGlxQNvxwrN)?XCm%y6r~TizIK#FyPr-!6{VL$EtUI=-W~ zQ)Ep92oRN4K>d)o#-!e1QIL1}QQ^ul?wDvd271TEnAtFWLKNX9$4QZ&dlsj}HFxNp z7JE7Aye`J~g5C|`%U=JMs1gN*+hR6nm3PE_jsZ`_11_eXiG{&Xe=gcJ0C*v`vPEBt zcz1}r5{KEF#*6v9H9m`*9`KhaPI3JEA|kld`6`B1fXFxDG1GFt_?(5IlB>(&SZdS~ zm}&TXM(8!l%p5_JAjaIZc@yY1YsLGb`N;H;X^{|*KwBQGho_@eJTs=PeVOKO^EDXe z+a4^Bdb{#vVWE91_G=wpWkkJWBA@e48#vSGoahXhE@cjb@<##ol7j<}LT1Qf&Yp&r zV?4v|t$^h43o~#W@wpu+Bhzua>F+PkP#+a^05Ob?ZUM@eTO9Job~=OOxCDMpV*Dgd zx+i4r1@9BJRj@f}?RFd|=j?{#lo`BgQ`hp2n|6m&km*f0A#@b8m}7PlgO@w{cHY{R$8vGIxftNO6amy9eT$A7XhZ3b%k{ zlxUC};E~9|B;;e!mx+rfqBGZyPeta2(0eA_`EW;z3w)dGxtLcH{$7Z?e9`Eo7=H!W zEAb)=c&~+Dad>zm)IjiJL}teMR$O5+@tt_M8*bl=Myo;mAe_5__)%=+ru`>jEQdm@ zh%v!foY>9DO}r?Z4I-b#RlZW1AohL*FHyW+0PKtC!xy-};$;n--#5JQ37d}cX#lJ^ z$$DIRu9C~yr>~Y7x#(Xb<3o8lq;nUD_{!1wU}c>gGzB8-`-zjIZ-wu#D z+XCAqx3iUY%R$8e_Q=v@5cOVp^)KiJ%3T?N1<4}ZQ3#gR^FS{|X8!^#RJN-MUYMNj z553E>C^IWpF+>th?z`HAB z;(*$ni`o+?V0EVJuSW#Q`43_fBy8P_|A3UX;wn?YBoV7vEZXEE9QSK9L)k zxP28!TCLr61}H^*cod(y&# zi#q=sjJYbelHj>1_x@0rrMlcio6S~^C1Gri+TINc?rP;JSn*I59z$=gy33y0Qw=K! z5ij+O85w`oe;%xCRSr7Qj$}s=(aHz}c&-NWP0JU`Z8LZ;RZ&hvUa5lYIA5y^+**C3 zb`*wsjPhk~^Hx3PM%+8q{3wX;)&4UG{)3vF6}*qCCx_8b%2WfySoM%|zc_Vu9L^|S z-KYfcS$Qzum7v17+mNUNn9=^CIu8TytIEVJ*>5U4qjuCvU4j)SZFw^gowdeepfE!_ z#}2|rOZyQDYqXh@;K5h>V>v|DX{(+>WWAPw56}j!cm#-knloQa+o-kUIJik`aTy|; zwf$Y-VT-o&8-TyIxD6DxYL3(4Z<{ubPwI9pyd>0jXwjdbw^K{c2R%T`_Xqs#(tP?u zVYim%I57dsFfXyJS{$T`&-Ck~8glNN=G!50-vFi%c&M_6bPy71` zzi3NInVvJcD*M&+|hPe!`NNz0#_XOw4z54S%mg? zE~wwv?s4uAsa2nYARcHD7l1v~`Y=@=rQKwJkFfiD_=#0eA0 zY|Mqt(I@;4%tK$rH%;d1b@oEiQ-2W+?_PQ^Ut3wJKY0M+D&3jM1Rvei1H?6YF=iOn z>eso{_thgfz1*pL(NTc@ZV_zm*4J=FwMU=JPIa$dx)3}B>MvTtZIJ$#1a?;c#*yos z-j>tY3woge2=JmlG#=oRUgt7+m-S}tVC;&%ArK;0^<(UlujwVZ&w5?IITzrDo-PiO zH}zS;@OMi;LcQDip+BK_NAIy13U_rcPVDaK!yAAYp;w7VxcBv*E1({!M|mKY2YT1B zAU@Q0xj-^XceVodNOwGoSRU(LCqVCs-gzVxp6bOGLgblVoJqB4JtMb}p6l;?A@V|R z2u1xRMlv+kE8V_26kh8S_;kF{mo$T9jGov5>TmVhi@4}YjIhu6lZ=6i&PeR1m^c!!R8Kx8bcGXN%XiZ4Em`>DyX;;%DF0Z{!ZQcW0Y#PaB z@={Ye2ZXfDqz{6X<))Jx5a$Zhq%yKlQpnK>)mZ5qa(ZQ5hH%2nE4)2w;m1)3IfwQ<}O$ZX>YQy6E#r%VM- zL*%q6b_B3+(+Vbj&zLUnL}X`8k(J@^oM~Y#5YL-_zl@OXnVPPEl?YR_aM+AA$xvVq zOntV3_t3PSYuPB%-q$ep$mGJ5>0^@*@1-ZE2&Oqco2GUFFTvyx0r17taw>RVP5WBH z-#622t~eZxjO>@340#yp&Ui5ir!vDR(Hz)JBbu{h7h`=4)Lo6=_*A(W4Y}r?W!(Q8 z;m$Vt_XBZ`v1k*Fxf{OTAbJ=9r2ys{^4GL1MD#-O#*MP;pYg+KqJ#?1RrG7;P4r2l!yT@#F#w| z#N&qVGl-lt#+8HVQ^pMT$)}A9-60unwDSk=x>3^?3O9{8xu9^%$hr@_+eV%QV0R3c zK=7U#o?MGW8!P4@+~G!j->plWZFx*@K5)I!DQ2%1s z+CU+|djBwKm9&ffM=*3szP*Q_N?CCytJYx7rD|v9t6g<-^Ukezg>#?!efic-gSs}L zIIJlS$%bRo;@GGvLuuTPX@(|$d%)Qr*?e&9`i)D6?h_U0J%Wm&-ZP>M>bo;UGCf#4|5CQ=I2w*hMrR44$i)$&2hJde?@}S)wer6laSIzro5JF>pU5-9>9} zU>@T9OGsk5&E3l7B3nZ!tQ4iWHMmNgivn0JymSB`(U=XfRU8`zg>Ays4q%69oddj` zqGt~n3lL6OK-?upaC&k;+QQDGYn-Z3$eOXG`Jn1Ogn z4EO_QbVY=30`ID*$A|lx$jg=Rb8FT_x`&Py@V6C$rfS7ujU zi}}ley%Ej4pdKTFIQ4uhW}6ViJ5iZ0s=XI#DExg8dH8PiNAap7uuq~tQ!BCJEmtgY zq8m2|;>EjH2=KFLSr^0v@dqc3iK5v{VD2)~8s0tRgfp<>DJwFu=_O;Be4Zzt@RgYP z^3h4~7RWd6L0l*wGgG)oeyss@ZyCd>?pi6C8NnB&iUF*b;dVHO4RZ7>DEP@*(I9S= zSI$6TkKDx#&AqZ0rwc)HtN|=oj?V*|A+qRB5KqcH0s)?qYo`GVmw{6uaz<9-O!=&A z$UX9NvJumx=VfF@5HHAwOs8LzvwK6~o-DxeK0@~3=1-)2vmEE}Kw9%u9?FgEPot!N z7$o1y48J4Jchbcf3LoTt?)rR`<=O%JBrkkI)Uk5r7Kp^j<;)Yr%Nm>qeU?wTM>1QT zVXAA6(zpchP_>B7Rql2Gp2~%ff|nY=)x|t@g8NwW)i{n;3)H!l5Lu|)_!j6QRlyS? zcqfVP*sfC@8OsJ$wh|=$)UY;?+^8C|T{fvzoK0<3E^`64s0N2|M*b@OKw!aY#>Xr6tl76;}1m`sCsK>fzN%2{>V8y?Q7^X~vIsBhe!zNm_@C%mL8KLog} zeD{EOMO|5d7QCvCO#-;4ZgZ@Bs3u;3l_)iBDAXUT^a+rBqSA7xeX8a{PT{h@k{!0y?&`P@8?+N zZw#L0lcqy zuJ8>XK{ah59!b+U^NOPIIWTpMzC#ffGgYhoFnNMfIb(|^W8TMjlHPKWG=>^=fp{$4 zsRuYkS64!H9L*UF@zWGN1p@K-brZBCkR4CDiS#QkV@b59DX?Tp;?yFAGP&ZGN-M^J zc!oxDq?txHy8$~(YdNYo{=#}e$T1) zHoyy7(*YJ<(lKYSyrTOHA@G{i6c~C#JNSNhOPQu1*3-9NfcTD92g2-oO5&CL1NFWJ z@R8aDK+7kZ`wjx8!dC-}nK*X{#C_s4Z*epiZFskYg_tn|W0oTGKICxrc~P|zM?M3m zwOHL6*a4BiagvSbqysxB4z~xe758f4gq=A28!Xt1DW(7p;{4x`a}?tT0yv4YylOg& zjr-x}A+eW(-xyKIQE#jWTLYbO;`v5k*jdc^e!R%$g(E?9;6NZzEdB~CNy4`ev?L4R z0JABgmfcMi>%NACGa`i3hcq#cujW~Cn|s&k!YUeuGDMpZV969iUP8+`(YOY3S>lfs z5XcrI7Qq5GEt!Y;&@>f?|#k^77gG_uK0;}4_p$K*T9)4o^T$0S#&6ZmMdaQ zKVVmdB}XRLL}OlX@&(LcIuYOf2;vQKhuf+J!ecLpg`(#Im@N|bo4{VNxXGQ~w_>^% zSn9<>4xZi%Jq5%MVh(2?AH_=zWC zU^fp`JIZzZa|I`9RSY?2`Ns-ihoq^5&ckvr=b$cfeSZK~*{~;oo7}|__z~Hir$l!- z^EAwQNXG-P=P6COZ|@~H@~!VJ`|z~nBaiZY;wx|RF9^>_uQ&*#$(Se*)8#zgLZ2a9 zq(E7w^z9FUb28?8uw=<|vG6ln`izIN^K$qfV7VYAua6gH<8>hB$P6yD<;t2H&~ix{ zcsqZd+{$(R%W`BV5U)t%?clsB6>rD6CI>gc1<#j<@?qh+bmIm5hOB!FmI9f`sZ*i6 zkq%;!wC4~AJAHl!D3RlOW2{sLO$6sn*^?c-B}a1=rc6%$4A^bCf!k~4a`Qb1RLDt< zV5m}dItM52$l;qYc2{cSfZdZ9et{NKwQLT=&6Mv*5Y1HhEvig@xjwxza3RjQeVrRgfRBE2^4{BUjb0+@86n+PVSctGeD$eO+ZT%MEpz_x=>9FFQb4p>pEF zUXeN&3Atjmaw@PA<^2F=OO@>gfSc-QF0|ZI+gm`OOwG)MmfLEC0|d&IHJ``|^-DP9 zDwR3!8M>n;mxA-IdbuCOd#cT3XsJ@?x%_fp{rd&Rs#R?P#A}q`yWoMU;x)Bad2(a= zAzq0N?2*zN0o17{!4PKmV?@IOk`O15%;BXXxs4tukf9AamN1V9&W((Gii^}0p=VP46L~W*+Ksr;K$&g zDtrzZ@HyzyiZbBf^p{g1JJa@8@XX5ZiqY8-Q$e4T%th+CLl;5Yyrfc4=0E2QYk}gh zY@t~bP!>Jrn{u&d7C8Sg=L~PjbY3l%_N>L{veBbqWqIxgc(vkd0nU{<(Xg_rEr;=| zJB@ozRp?nW(bsJ)9(WT&eJhd z5HC=>b`ZEoP6weghYl&|%%$u}0GG&u-@uqhD;|LJGC6YOeuai|c7K&x@zuCS`CRkK zr;ZW8uG5aMpydYr!SNXS(T`!Mkj@%IyokzM0u+-c|H`t2)_;OrDGlY;$4#QUz;4m8 zdVn%Ic>&-y)$D@5ETxq50o6WBXCaT_dQ zVii}B!$m@Ca7Kv1d_<8V_X9YigwC<(G0})y>&L}!TrD{vta!DJ7DIUHJ}Kf(fh9)t z{tm=g(Tg*gQ{u8c#N)*5bc~%A_58xfc(IH7HVMM`PiRRLbsTdgiJv&UN)|3$s7Vo{ zk};Ml`g68&MnsJPNE7*=fp}JQ_Jh52VaLBs%Me!KAZCgz?opo;!;)Y$`05Cv27k)x+uzDLLf&BOoV5-q7Mgfm&B@cSkDu?DxmtZ*zX16713och*w3d z4scD_NwJv9>tuaDrL%Bv@ zC4#u;abKkHj+VpHkMnXDxz`lHO%B=)a75bN0gJnAQ3WSFls|A993>UchsWeeo}P}&FjokikY9feELwi! z2c0KnMICg;$iG7&5G%d;@}H7TM+3x3TdoG5mNN&ymw4&QCo(~nhC*ke6kH!ol2N=e zCd-5}fE0PmtH5>q(ksWzvNRw?sA%0d4;|_AVv^xcmAuZY6Oqn+x;GAqX5~mp{Nmd2%H$3YXEOH~ z-+qq+yDB}t0d`G(%gJJ zJXKn&u;+01fU4uL(?;!NW%$u6M?|)&YYjN<)Dq6d>{U~Kua<+VPJ=IwsyS~#a#A}v zKXFz*oFgAn4Y>wzSiSrWEG}xNHGr#{#tnTpl@N&E<~JA zUDv{iXyx`h1WqEmh>pMl}U+KE4mA+zWl9vj;=ZnA~wNF|MAc^zl>Mz_AGd{Ln9q<$Ym`25_wM&l)FC zmW;KA&ZU1A;d5CwhdIkFK0tOw`;DNiEcF5ZszLLid38}2c(Ud%PRiCcy$YUnkE&s7 zy){o?8xEZV=f*Ca+i&`fv-r&$cwX94w+sAR`?UjQTYnGmY)`lZEB~Hp1I`^I9bjeW zxE7%7+Qm8N?tcnE-?N)Dvc0nggKkR3+@v$3v5}D8M_(3!!JH28Gh{)-D=}tC;v{7E z(?h-=tmqXNWUc8EU*!Yzgi|*gir^LIAlZ6Dr!D0^gn%94Pr5j=XmJWG4z!^M0S=Q3*IHbt73ZX`)R*sRHyYLg0(kA63v{|u4reMJw5TU^ zdeX>faC*@f_TcoU?RgOQp@Fp!@TKp#8RbVK+JNX!{7-LM0JY%{8rniGoYsYbc$BX4>KZ|fn?o*=MmL4(D2hq}@fc0#wBR^> zI}sROm2M6!nj*Npc9Jx1cf^o0@79W?(OjNAMSso$OB~g6v~rq$Sqiy$I>I?=0xkOn z#6+q+0Vk5^*(}&grm-BKr%-$#QNR=<3@2d`S1xjPaFC6Eu-jT0JrHDH!muv=Ng!;q{?4`-JxYXo!_Mh z0dSAbEd~*<6lJgP(}J0BqM8=`gRvUgGy`%E=mO_LwbXwgz(e{W2?CF3ZXG}!+4TW< zOrv<`$`g8g9iBa<0uJWzTHR9+cutd7!m}6D)*LJ^sZ|dMyrO2a0bY|A?<#vkjh2Ju zEww|D7opGNYmj?K9c}}>r=k^5_JQ75fb%2m{ujhgbm3PBn2Hm8TFpcYe*4`%ah#Vj zbJ2V?I4#7O{ur|qb-We!un-q;U@oF?1UTKq#km+eBHC~x++B?1-#U1RUNfQEQ!LsH z;3bIf7jIF7^z486$LUp|cbqPur&D8IlX`vYh70$5Wi{x%?GUtUgR5OJI^=`{)DE-Z zEwvK{a^r2HTR(hG9>J^elwY~jHTAmyI5}+|V*LMUzxoGj_wvDKr+ZG|8%ARw^VbB< zL`EFGg3poH?m%YL=VZj`&fWEmA+@J-H(1#_n3Dig+Qspy87&(Pu6>j-3gYH8 zG6)JyBy0~GQMV>aaK2`vZl0$~tsDIy+3JDPI> zTI}iAPtfT=KIy<5>9`{f&WV0<25_drI)Fp8As#vplQoCTF7(PA0vD2}>c0P!@f z<;|1vc-txD5@=pWfD-zN8)>D~f`2e|izac+rHpDP0J}}SuVSp6*8K%gK@-v-UWwEU zUf-d&jbZjKZRHjJ9-ZZsu8N-Tg!p}0c@Lb`^tc%~YpCU25FgNfzSnAL6YsEkNUk~r z9#O{%XsM&Gd_a6m4y^#5;EiJte@dge;DkJ*XI#sFPUHeZFDRZjExe>SH-J}kya~kd z2aIhY_l90@n)sG_WC8OKkJkZsim9BOdyBvMDe(~xc;fXH?hC=`CtmTSU-kpb%hX22{Js>6|>c$%V;~bC)Z4N0*0ec?U#KS$7>oFZp6O zFmL>~Ak6y6Wt*YXS6<>RV16=)YsmgGDm^R`UklUy$Ix!75OKbnL~ zmC|zz#P7(p{KVarb+3Tklk=X!0$vkT0+##I{7Zmpi6t7>NY3SW_<_7k&{->A^2vHA zE$!jwBYAEvh;{P%7HD}aCI9~EiENMx)lcP8o}{12Y3E@5xf~#Xy^t->0=$%$_5r+- z^~V8TOXL-}M)Kq;Xn8C1djqSNX6>Qnoh;=YfbV6}LOA$AI&ezW6PZ3|B*K z0gkHg1hhmb$v>NpR35zkMX9mxA#hBYZ2@*%z3B{{C)BPF0MTkd1c)b<$$elk>hofV z$EtrX0XwCtN&w=N=ShIm%A`L|LA*NS0Sp;aYv@GAbPZUNQjH;(tO9R`&^ zO$YIey2`;tnp&C*a8@;&3YK(rm!IMcgj-i8A{c_DaMsF zlac9jxpp#R$05*X#%AIBtl0S&on8G2pL6^?pkeMKKYX9}ITxtrAG!fY7C2bI)rH6B z<8zVYCVVb#!%^u!p4{YL(swGpFI``R?^|;HA-na`66oK)um<1%P2-<{?YR6NdUgiz z)VS+|Hz>P*=nBdnXJ^Rn?at*rYpUhW@d3KX!JRX$>J9e}(ajdXyr`fTSiC8ZgRT(j z&TB|0_2jtu1dUn<5KXH~F_uVQ`~bNml6(a+DTBB2oukMVAm&k@q0n-f=5ckmh&m)f zpqT#VlU+%6yP)$9X`J3YBr`68KB6U0L3~BKx##qnHr7H5{{G?)~FW ztxvi<6S9-7hd}2P{1-6p!v=G~KdtR^d`_RT1bSvn=U<)AwCA6{%rZIvp4m-!PtcsH z|J{w{yaRaNA#NwnzcmN^3l_QJbK#sp(7Y(t3-rZb@%^*SY%D&vfA=|T?MQTh)XoDP zpl8=^NAT<(v;g!y3wd?j8+aO=rsTB{Br{s^24Ej0_XRMg&#J*;LHAQ3YDonJ!1hy9 zK6op-vlce3sp&%y4^Vmv1Z?QcW+*#IW2eEQEd_*w(~fL-VzVb-o`f7Iu_?wJ$zcI7 zC(7~zi!)u}CeR^T$4kRu`r;!n7y9-iFjp$z8_kWPw}bNt750I+J2mF$EsVB$V=SCP zc)f_AKlcDc(pYY-Mv=7%1dh?pN^l;hby@K21l{JGlB_ z`amxp!--F169!-^ym`yMnYh*o*gg@;r8RT$9j8>Tq7|ohZsPp{h`WnJo51NIwk`tj z6j$5Bo|mZSaL-%VjsVd|n0ybSFQP>#^Ao4cAnq^vuYnT*VkFm!1I3cg5C{_UxhxPY zUjL4-4iW)!NPsKB?IY1M8Oq*> z@m$G!E56`TO1&safT4Gy>L7?8gs}wYN3o?jz$X!S8^Bb0PJ`S&*^`$=b2)edfQ1xe zVb40(MMRIKtj>>7D|X6Vms;98=m&!Li54&RkxLl?UGfJ0+)l2kWP0+UF3D zm$@9^CCCjNTP4b+oPQ?EBwn#oi`UB+3!NpL0NlyO}%JSs%FJbnIOyQt-S`?kl^=Mc!!!AU59NjYhOf~}nakJcm2B}67=8!E zYwKItfv-`$Eaq$UP8vS}@x5%wp~^>T$=B$U{DrTPsY>Q-+e{r>4^DISuMI3%D778} zmTKh_sNS!-aF}vH#qc$89aLimLC#ja<1(PVa^Y*_pr-RRa#RN^pv6h8nG0}8 zmGLz?tUB^Fa#5LF@pe^KM7-gX;2oS3i!#1RObBT zM5(W0VCcB|ho77i>JdLV(JH?S44qUpjldGC;`wepr84<$jZ=B|;Pq*xxZ0MW0vgfy6}TAoXUa9sI6h(`TN~-@Qk+M*2F6P3?T4Ve=1FpltcF9^bc)JP*!oyHoIeyZsgD{MX(T-**K42>MQ&VsP%NItu#k zqxI0R=WmJ8y`h}+n9{AUK{BH(57^m9|NI0tb4uhO(1I%X1X|Km&b;>1hW8LVM58xi z>@YR@09Rcpl5cT0T5%X!j!^r~z}zW}qfif8@Dw^dsU=5HUi7*#fH!4wZ0AEmq9E=| z*N4IhKN@!gWB&LxJ>&w&lLN&-8rvCT=!I}~I+*^x1A!3woEw6nw0$x-!zgGZ#=>dC zT@d5wiXVulsm=<-1X_L?0*MsGU9Tkae}FOkPmeovrcg_sCsS#cCBPYK#^GNYCAfg) zEN$YDB%S>DM#`Xu_5hi*o}cS;F}32or6m+S4O(u}yjXDFqGnt@Eu;3F$la#MKXG=;X)uTG6?FFuj;NB1 zR|303QQT_2ORdVGIc-dB^<1!^0^>Bq_4Tc z_J|T+LrWd4Gz0N5`T0W2J~5SN4s+qfeK||9Dj%Ht#S<>}T8Vg`>#c=a1Azmg{!19L z5iae(c~Bf10L)f648oY5c)}f0d-2UmcfC-EJ(?3~4~5}b#`i#xzV z#Ax1g5h@lsKrUQ#OorD-MNS!1M~L^lDntsYVJu3F=k0yR#A_e092cLsjB-NMbJI3j zY)O{8<+mM=Q;b+|5Gaddn`)N%4qAbMJ3tWd0I46H~L zECW_7lG$vDIP3-srQ#iz=Rb%poYj03>z{zrR9@zNZ)S2~48T4)={pe3<>pneXCW7d zz>uYUbPNIr=x<`oO0EwFu$EtOY4m_Rp9x?id)YwzpghY(ep~t08)wN*jA{Qi5&4*- zM?5dD0X*c0ZUCOrh`UE#vaTsGZ<)`xhL6nVEX7y08V}We(lQpu;4h=RF%}^0xepzP zox1=*GPww2!P0RVjv++eb%&u)*(dIHkyKn}MauM_fV7kyE*JpDWj=K_E|d<$(RNY_4M%&gG~lz372kA!p4bjm{VsEfzJDlpEC*cTw>SKKc>(C7n{p{{ zYzwYGjw`i={_%r%;roPMpW$=Pnr=9XxpW;;^R0Q|S&;MxcovT5PW_^9DnMV`y*IS2 zbGQsW8?F$fHXh#%&P_T0;D9#Q^Lk)P$2vp6j9N9vxH(1eief=`cu}&Xv>s5ipLR5W z9%q^`8;TB5{vY6Up)lTg=1LWOAG^`hi2z5aXf2K_i0ChH2GjO-5D%pjp8&!rj(hRp zEQtnjH7S`Yx!rr7Jh^{*f%ZItg&f+} z6gqRMuQ8msL{|LV%Hea&%T zZ)ma?SnBBu{%OQJG9-fYJ;iZY`hlvy2KY$kC9v>`nsE)(R77(d#!SrPS{pjM4#3Ps z51x)J#I|H`T8ijs5ci9AGoj2%e8V+9YhkquULOz#{lQ`*O1OA;Pza-r|8!cL@!Z&7o0w#d_R2g6?3>f0>!<Upi$ztLZ*h>*7+#rxD{1pVwh>N@yrirV@P==ShT0<^f1oEnx zA!0Zy$rQO9W!?}09H$isr;!jS61&PlEEb2jVOk<4w17aVc>D+~H^p_ng>MP-D;O&i zT}}YIE&hH0)#aiWI?n%lTnqa{L&uvv;6|qw9WXe+;vEDAe#R~1!I7Jwambd{;2C>r=ZyiwXN|z;h@V^HxJIt{4)jq8OJMr%aoa!{ofm-5F`-{T!`L5u@j0#& z@7)?dumpN0^j`v<6L0e_;Yr`vfHHaCT=+1>nHP|$hePo>&6;3)&kA7E9_d4|?{~aaUkgqTp|#)0vX5LGBPukAv@rsn1ggxX}7v z;DjrgJckxHdUyq#N9c=wU~#9qEg18lYrOx-liGMd+=~X>#F#fVs)x7_6?B4}FGX;t z$dCRl28%z9uZBPXE&LY5K&n28gN~z-e*-&B>8pVy(8zPZ5-Iv$fF$~t=agiMI|T6* zDwz+Rsbt#)V`r$R2@Ivt9j;28B{OSa>2y9HoY+Of*?uN9ZH2=;M`O$T{@qJ`i)M$2t%%;cqPg^2nh(1TIqqUw|w0SE(m%rqc}+!}2fU$ccY(d7fWN?5Pj7hd=R11$0-Wzj%zz;`@eQvtN5po1BZG%H z^&WDbB8q<|>Lq4!Ez?`X^7HQ_E=59%ujtL`m!BB^9h~qNo$%CS>fGo9oq-~dL!2Pd zf|tu+ksJf-A!0FK;!u$@402&2_D^tziw3_y?x=|8K3s%&7Yl(%;lzRG+zpVe38rjr0e4J zFJQSLM)D0-AWpu(@f8X$UR8^P=eCP(%C8Fh4u#}4TTL5l~9*!8hB`RycSted{ z*n3;lu7v7xkv|?-g_y=ULZztT66zgc;OXYB_~tH@-4kE_0=X(Nlq+NRh1-7{&V?n1 z#5JOf`&th~uQDjB75|un<)Mhlg}@_G$K8rL;Z_9VWAV>u02^u492O4B#p5t$C%BoTs$rgwac0)XS`GuzM>>uI(O1qH4qyD_A_X~rX=4s$ z0dnbia0bd9uVF7pZs1+o!P1+<`Vd(#5g=6B_J)>3nfC?6ljO6Fz*1!CJ7`Ij%ehE< zM()rdo+gWjV(hGJFaRK3HgSjQ4Egm=$8nwH zzHG;5zFNNPfw6b;(|Xu@FW=>X_)#vK0=Z9eb_U!vRoVOinW;Kn4e&-HdvKbozXkzV zC})mDEY+@sklU{ce+SV@4Yr0M57qw-Fi&M-4{>jGnA0mC6?YjY#8=rj0q|1;_<{9T zgLZ%=K)G>+GEf!sWEG?)uL1~G?qN8v5H*r>%0x9c0mLK~#gBc8I=~%*RJE%eF!X}| zfY)j2J5IUJDyKIfrmMQ<5YJH7*}yVYc{o_kDd%mlo~0V>0Wn*h{TeLiRq(fvD^=a% z;q^_`;#&~Q)VBpNdt2Qc1<-XLVYwh?pgV2zjD6fr-gB%;;-%M z1}y>FjH&QCP>b0MUxKuX;lP5mgE0Uhnq@1nglfyZFczjw9SxmH+Ut(clB_vD14}Au z=umw|d-^qqY1$A!fV0{t4(!vlUR>1A&?fO5nW;?;0d`Icy$I`BTD3KZ+1gyrmac0D zPeb($?fy5=S*U%_ExjVGF^cAR-H_9Fz^b&4T&}*amAinmM(fI}{R8cO5!`KjLz(liv9_IGy!EKIJ}oOb*6;^=&q=;ICKmR2iU;=PG2N?q?5TkZ#FK z!6|*%00_kCmA?av*Ih0FB}qkfXQclrdM&HbDH6p3gw{@J=9Yx27alJh#~nH`hm7@Zo$i{vy*uJlZ=i)b-a?QevpsfA+0yx+C^W3z4#&d9P=za#@ zH?HS}b5q%Lj5<&T*J&LoY(9W9nRE5^5V@X#mcvxf`x{-z;TV7`jXwmXZj_V^>Eo=ZnDEKOfp)}hVAdH^#K9X?q2!^4fG=bx#2ztX?86&9`H^ZYS z$OFf9j9N7V%W>*v1T81%oh^vb1kT4&Z(ajV(fbszT%ijbbYG>v zGclG=Kdc0HopwG4c7qlj17`s>j0RRnT_d5ah<@1xP)wT+VyuLWS3pZCxo|*!lm6jd z*th66zT9Qho40)3rWWZCD5qL3N8|r$x#e6*_c=bhLzQtjqPsL&fOwCJX9KIE_q-46 zKD8SQfoi(-BP`U==!Wp@0VVK6R!hwr1A9nYIbM21Cpl%Vqluqm>@kJ#nRr5bxVQF{ z0tZ7i-h}!qIG`8U4H`{6Aid@d|aGPhQJA7Uj!$j#feuSo)lBefnggicll$*dH!L|DKX_o zXo(ZyLqNn^h8hFJi`}8%Ob{8o2q%god0zN|Q2B1K!!i#>k=l#6}u0V+f-CtH=`S_=rg5?|xi zp&$m!p8U(25c%gEV4>3WzeihI^??)Nas{_pj>^T{r;U(3`RR|8v%kWjM9EwCp!%5n zo$IJsa`sJtY&q#9eoPlNSmvM(1Hp35Gi zpzMWg#nt+k(ycAzUdcNR;Mr^0xiQ4w$h7GoTBy0a)6Y`vM@fxD@ynmZ$BRLTdiaPhlTf)T{$?bR2=V$zOUSlgQZ&Ku7{QyRrMK8>s$5n zYH-%8pT+=tuZ~Oy_@Gjs0en=y@@)D^^@@a?spdNXz)ZW}0KV+g>^Rsn*9x0}(?VO^ z0Xki@0~;aWsx{-L*%2*v?Q z-RCDKMazlDSgK|n3J1?kE z0(IKAylOwzT&e+{Y5`y3pr2_oxYGU{04y)Gna42pQfpQQv#+$%$HDSi!w(ZV8990d zV{f%~ZvbrcYqKzRP;bmz0qpdeQy|*w-#Gwt(5LV{;i&hOaL`G=%*veg#j$YdkiLd1 zsE74Te+anf4L*U>RqwJC*4^~kyaVB=zL{sK2z^#(oR=tl6Q7A=`t0Mtj_YIX0Z!<{ z-hwk)@7D}tC-sn9(1}-so`j)TeM~fTp3?o?U?EQbmLJj6y7zxu3H67ZCMD><^W{&} zbNc~H(*60mU(^T912IRRJ_0%~>0LR)%+pJaAbwdd*aL7yKgZiGuIh2zi@By7jfA0m zy$!GEcXUTv*t@GQ9s!+I`T(8;?&}#{z*4PyjR#AOZqLo42YOx&K&{^Q9}pkvVVu!E z((iK6U8h%=K<<;?*cWo9hB-e1+h^#U0kh_Yn;ddj82WIr%F^&PFOmBVuj(LYWpK`e zGHXK_2Y)ILojy2(2rjVnq_!z3Gr;h?w2!qz(s>P3@pchA53so5VjiNk|B-P zxIDvZQ<%MMNaW=8iovG`u&ajlePQ96VZ8%9%QrM%4hz=}R&T&^!*FjXJS#Btx(n5X zhHPF;iwveuAXjX-!lk(q!^1NWC^anOr}(Df0#D-44I@6l-U~yaHO5{UGP0rUwV}ag zfH#KL9BscfY~pCG-msXrLBBIpH^A6?!%_Yn&<8_Xp5Q+k_U!@7C&TZfFlK7>x*05( z8SPqxv3*AMI{?g$T5_~zVPxotF-xPjT_LdFsBs@)Rz@$j!jQGm>m_j4)oAm02)G#? zwS$1W(KgP!J&gKu^6F`{gEzrM8U30JaLi~R=VK>~>gU63w2}EZU?+{*^J*AlG_M3& zVvUyggLum5(|o9oGm8HiW=|Wn>H)LyMn7`clwjnO2Z2PR-?_<}WHk442qYWLY6~pK z=q*?Fa*dLC;>2Nx0p1#A{udJ&4dkNl zd!r>>F8W~P*binu8eQE13!jX>;#=R;*k}sI%#6Rz1aLH7c@tw!#y@jleaN_V9k9d3 zL%INSF>dMtWv<3SuE5-kE!l}9#@TVu;%@AG3u6(+rCd^oG!F6tIA%PUi#f-QpL7Ct z!q{U9K(ul53pmV^#)CHii!l!42R+s}x*?REGOo$T@x>WGO#*h>_&LuS@x~KR0wfqW z+YV)k#(qCTF4uT;4TzVF6-O+Wjn9pRpI3|}*95K_H?M(~YsSeuQRf@iy@ZA9#@)E| zal<&J9$10#J>HK}XdK1=K~rSxUWTz^<8L`*t~Tz##rGQHJ#zqRjZaAkJTwl^1op_d z>sScX8UIiV&d0_>jzG&3F{A4ePAC32MDaq6%+8r*LnHc84!F?t# zUt!GL=TC_yDOVwv zWa2ahoaaq$eS-J}lfj&>=a}p*#O2R5InPnaC6lYyp{2xRI{&jrsmap_jNLMc<4LZ} zq+4rXw@rfILfJzTV@?$wnKa`)l8;Sht_AkQB{NamM!Eo@*0&5JUJf`4(@V$lDY?*N`9Yd!;g=~!M*meHTkv%L5>@T};t6Finw z#_iPo^o<#SH4U%>+W`_B0ohRgR4^XI&No=JrO{kov!mzSF0iLxc`0+C*5zPvq~!Ks zaiZcX9H}#fN@zJmL%B$Pm|8T)m8`MFg!**{r#oc?L$wEa`#`lP z6>v!5MJabc^rp+W2B=N^$gf!Pr5-z=%#ZFKz?eTZ7z|C~UQeHm0a2+GdU#ZLfPTc2#i+#T@qpqQkA#a4Xb31BBS{t0n=@o*ei9K`%j(hnUFQ zzC1+&2YOy&63^=1_`f%>_=thLK>3QXbx`IfvbdWPE6(z3bx(`9Jf6Y;wXquMdQ5y&x936x6j4ZV1O5*<&W^?rI?Wn&Q~J&9pqk%1H3`= zjcCnftGA-%C~($`j_csdJF$QZEAPc;1@PsAc+HickK#9109SdDZ(%pN<6HRRE@Rc9fh9oh;5#``PU!*8 zAi3@_v;@n-uRsiucAUf}5GFIYP#iAJlOT6g_Kkp{2)UF~&II`zCsT>CNg1>x z%WAH2r^p;*u%ycOyi}i&OF3amlOEiQJ1cue0TjqaqcK(}ogPAUv8;Ox@e=tXPlkAr z<#Y(#loPn#aZ6tB1guOJ{{n&Aaxn+1<#GzYQm{fg^EzB9lNtcKBgdYC*LU#?68L#f z_T`R3m2^H1;(d940f^PuvIt_0OsRsF2l7Y=bk@q!Iv9E=UA~4dkK~hFaN@t+i^1|( zCU6e-MAq;Hcq-p<9sQa7b1>{ZmleFZ>xJ~;*zBbo$3L%oCA)?~?zP;+mC!dbl_vp5 z6~_B)os?Y@a2`@#O=0h_+B*%LE^2cVV6JL52Q+S~gzLUXR7?zjyK3(R0S^_p3&2yY z|8L`fI>x1SZ&k4js(n;sBF22xJ?>HZsaUQR_^TZ5MhB=zw%`m@zwx6Mq#TDqXRy*U z;b(}7;SGhM>h?wW8K&M>KufrK&uiFeg)I?Ov~{`?iMi^E zNEvf#Rj0n`*jAlhg}70_}}n_~r?w%Sy_>+JAv4A5e)ZRv#L zbI`VOQNmICdJu?C+Ch%cowep12p!VIT7biv+Z=f2f(|KEyJ|OhW_Qzy^C56Vo3#=G z?%HeK{^y}paG}amb8G+sFKu^chdIxTIw{{*--shTh#;D6YV%xz@KXSvLN?NTZEYurIa)U?1g6k-(;*c z;99w*9%Br!UytLCk+ojB8=MF9S&IQ|^w^FVJE(6Ph-0wT9|~Z0dhA0uXs^%V<tSf)NI7y{??Uf%E}OaGE{zij=@ZeZv2 zY|f%C=+91rcu}w7Ni|1rwE|};SFhuZrI+-!y!AIvZ^!ZJW&L#kbY9Un7enr)Shkctan?E!6`3m)mf#P;asrph%w*4q~ycet?z|J#7pGO7$SV zlW*$gyoTS>PxI1Rrq7)K?6#iBTXo9y!gY|V(6M;|)3#pDMfp4WL>FketCw@<>Yn~< zH#kwHSMX|oUpM3Ju+{p6jTo!ZU3quLTRre8K)r6uzukGSr?|ty2Yt&`uzb`fb%cda zdg(*}Q^SN;5H~X{nFMT~Vag(4=7xV~Lcqe{l87oDPjo-G;bows^)@Kzw1-p3!PB`v zImCzSTf?e3>i=t8Jq~Ah-S1E|V#7XsAK7*)=%ZHggZK9^Q>Yw$lxv7%cK?@ieAx*+ zo{Tkb#^UKO+uAcWjG(+ws2IrOe;YZX{>sSQ_*E|K*qjO9_cdT?H*A$%`fq4&vf_bLrL2I4i^ z*$p6{8suW^0sVFsa<$~f9ccVdNppZYT5Sn|#}s}9;0gT{0`QcscLnD&+Q8xYb85a4 ze!d_Br(Q4V6UV}@XhR?9e2w?a0eeHm{5-s+=^XIXQ|GxjhIjO1XJFQ1kp`9nqJp3I zgQ6SneX$j`EkLvrEjdaE6?>k;LYO!l0)e9k2$MP6_uj_&WOp}(MuEd?J;&%Wbve$F81@Jnjy+~ zTFVqaaXIgtST-3Jvcx!!{BMaeC+I8_jW`7^7r`7gSBQKYfJ*WGdg#0(ekQPB2jW}^ z+!JoTIFu?;!5aYXi|!Es)nY7Pl^QYqJg^7i?-w|}S`l~-*hA5oH@Q6$XPN=CkQrRk zvXrZIsJ4>-kESaRsA>EDZ|`&NeB-^x!+Yj=p65AIky%JeR5H(*$L2CbnM+6mMU;%8 zl1ycuGtWXoBvSZ&KJWW`{c+Y=^E&6Av-etS?|YlWVLM2v`wZA}#ljO-Rwy4ZHep+$ zYcUj7DVJRVf|bUr5&UXpE?1}4C|T^(hbUewq(hYvT+djmI5Rh0r;NA>$@Pk!t*JfA zOO|>G%Cxq?_9@CZI7(EuJ0XZ9rG)?KaKBioNB@}KCC{@Fueo(3B0-J}FEy=(R zDGTUWfV@C72D3BBg(QMD|tj84iVS%BgD*@l)Mn;nrVW?+u%QYR6ju zL25c*r{(JUbC6u2?l=jsQT<~I)HkWuIlY9bJ=lH^^G;Kee|>PggfE z8$GG6>hqxxxuoW_0=S}nVP$hweaaE@n%a#`>+5P#J^laBTvG?aLgO`T1U5-# zj?;J0Nr?1&_!g7__T_Mq1Mm03`JiXDa2$NIKV*hPFNY`lu)#PV>cI7@VekDA!tjan zAmgx||J;4VJnm?7e8Tj8^Z@!~$|m{#nSoUgiwz zc9Czd`y!U7V_&}j*JE88xZ`@cz}onz8ql3E(+kIm|8RL|Qm_uk$!i*cJLUCQoKLOS z2r*2nv<6z!Q?`IIWAz=_nYpJBTC?QQE*s#Eb1z|J&&XR;VXw(Ygj|LxU(uRr zpr0tN1k7IqU4(pqSZ#p0KoRxtTPt3%^4%;#nm{B>RNV(FTg2{Zkc<#3OQF71oMrQE zo7m1rXuHtWhh(I9+YVrdXvFy^N`!DrNVFI?1;iLJh?fv6R`dPdDSRfu*e)?O7$8o( z=R!%mnBM`|Zn28V`5v);DI^m__cP$_6}bz++b3cJKui?Y6LDXY#6AUa?iWk5!AlmR z8^8fkm!IH45mo_K4vE_1K|Cx@ABUAAqVFXHaa1(m_?#lV^x$QQF8jdC76yLi7sb3$ z@Nh{C-3|52qE0HXE28QkU{}S39ZDp_fXFkkg0J0k(S)rr{EOs@z+Q^C{~(B0q8Wq86@y;@ycSk09^Z)h)!_E6xbg{B zLS=uhHLR8G`An>rZ4Ux$kZb1vY?M!?z}O~vqdKDAEDtbu43lfPRunGB=7YCIs#m~^ zkX60{Y?Wd4A+k+Q-wp5EWl;bmBV{0a#yjNZM+hQHw&Pbzqh$h{@G;V2H;A$FlLD|) z2G@kmU2@q-c#o4~h60P1VQio8mOt6|-XnMLE+xoVHZ1qb%pQ>3CpA^zAyL}0x=oS} zsOd2U`*OJ~Sq3$S`T_ZM6^tE}{khffkZd^v#KY3p55yx<&#d66+`J!-_1lUvgeGsfXlh-Z)doDZkTgNYCxoGIUl$JBWdnL>B z&B>MX`J)1_<%QD_c_SBcQ{P(|F#?YAWPU%WzmuXauzcykR{ncA_6V>K(rN&}M;XMO z33iKYz+V}QhC9K$qps%33yS;ikaX= zE2)*B5To2=Dio`fX$js=rNU#WYi zd|)M$uZ*(<@x9Vu9uz((8xlbLsMt@0LV^g zQ9sm!v7_oQK6NST_Ipr2riS)_!f`dq2kIx(ByIuARhzGY?OW?O^bqSF?wM!$| ze5bCYWWM?rJHPMMePdwdgZhMDGWw`aKM!7kdg&Q>=*r;_|5~QwN??WR?nMA!)Zf^; zEmEIyQv9mM*N6Hy)v_~)#i~;t)W55x%5{z5b(IEI93rk4e8ttP|<*gDNn zE>Nu3ysi&ygQi(!7~6;^8`vgIC^Lx78b_|qhH2hif|YPh4qJv>G0IjRbL* zW&un3IE`&26ymWc4Vyw+i;)rrWlh3pwRTDD^AWd_#8T8UMXP9Nb z)(m_Ng*O`Waa=>5X3A<{?=&Ac;O1-QF{OI1DVPQ9gJu{%>5rOSw}2IB=5wDNT9hLo z@>%mF1^x;(L75QwqOoEHRHS*u7yYZo)!z3dTT43gcTpH{||`xYIimS&rf^T2+SXiIfw*k zYbS#ksI5^0HiNV=>>n-HuI1dnLc6{WBv)!5v-Vu2eLfWm!P?b%aI{+M=?mT(ZJ`5h zWQex%VGu*LpGU*rTJ6?dAg76SeEhVdjnjSP!dtv9vIJm{&XUdV1l=+Y&3kn(=wYAk_YCk7byJ5xJxTXq7Kr&kJ;I-qOM9nA-It+_MuknUzAtQ^+uYlUk#qH|%71JcRpR zx6=pL@Ij~L8sbM?a5y9jbdmQV`AN5wd(=MbQaqqgs0+%0$QRwH>+oKr>rCvcF1Iy; z_@;~FGF7q8sv2y5*ZpLVxO*xPrszx9)H<$T6oXh!=o|0}N!3@V0e@-w$6Uim*LyJYKdC>=j3q;# zRUW)kdV9_*nff1`flliS%K)6wA1Q^+v-*Qvxjv_lUJ8Zt`jnAS&(iPB0x?_PhdUiE z=s$b_@1ov^+2|#G5ZClB>+kR`UD4<9NxrJLO$6_n-i`zAb^QirYd7=**x$LSw<-tq zTY4=Yo7;NN+KAI0a&J<{)E z9sXGVCIi?LeeHjMJ=Hhk0QgMb)g8v3>+f6zc%e754tS~0;G^+MUvCd==IU>9_yw%UE1}slsfjQqh{hFUJmaiXP8`yjOrPlx-^tl|mKI&~2L$W}R;Q+(E zA)58{YQw1<@InpiIi0OFG`E3=bq382SXpm)(HOC8GPGd}W3!={n-jteaqQ=W8;bZE zZZ)jj2FY!PR%O83Zuq?uY(^S}E`^mSLmca|XhYy_sK*$Funie&uw(P#wBa&$RGu+7 zaC|vuu&D?2^M>L?NM;!>?S$!ULwRllxnO9*#runf+84mPWN2a!aM@sU6?#_;T|(jg zsv(KL2yo4Cgo(s;g9CehHw-DQAac{-y&M;I%iy^O;I_eueZ)HkJI+-(hJ*cq-8F=5 z0`Hz7J{rXPhDChQ9~cgr5&T2L9ImN6GBp1Rn~x1cw?pBHA?H4@r-l>!C5>l>bsPYn z8`3%>vKNLK?D@Skto@xIoZ&7PnQ{#={Erc@4VO8Py)hWm;Nh)dd={`g!#PfM?+p7r zVJzRUp)H6Tj4jw_*l4WD?&oG>B^Eeg#^&zu9&TLN8~(N!AF*E;VZ0pyu+`YAAtbjM zT?(PM-RRR09wLp=v%%Y8bSV!k%J_H&K(x{79EdSSKfZXe#s-|OcN#~Igy~(z4EDO> zj9U+Y7;l`=5F)#cMXhmP_89Fp!E}PLfbZ>I<9Y=O`;6hQVKdQKvJ1o{V@hva=6>Vt zOkl~zO01a=7-QpL<)E>dB}^YOwz36w*qC+zB1epw|28I!1HQpZit+c$aC^)c{uJQ2 z@qR6U6UJ67G*gY0?Vy)tJYNXObYq>TFn!Wki~G1Tj1A(!J7sL&6ueC1@5~ua8;_|F zIb)1J4)wFfkQVTM&NyWVc;}4^nf+!NXS7BT*~Um8+>i^#HCf&B^EXS!jm$CZ$q#-m&TyJg(44?)~E&fNqLcZ{tn z0Q+pr;gnox{DU9ySK|pMVBd^in&D;@8^gJN`rT+B3SNnEE_3fvqi=6`|6#0b0`M|@ zTMZF!)07zq!pHO*Gk;&x{k90g&s1Xwi2kOH-2ehi13AMkH-%LPSYcXO0k>zRsaycS zDw9(zK(NW1McQi9lC=nTjp?rk@E2-w$$^KpreY4;>rAt5!tHv~-CiIjnN~Cbalfe! z_k0{QVKl_YXe!u=AP$>C*w#8?n%M^6sL7g-O^PY)G(?V>0*4}`R8uqN`e~-iq2Q&P zDpBvGX$j|!4AZt@h~<>2x+}0u)2Aqav!<$-p>WPrz!jA9ra|m@XPHi11IRY5nG0~i zRBu0wT{OLz2Fc5&zuD-yVzO=zg{vkPPP^Akwxc2W(Nuf}puiNr6<74xq+?&B&@_#C z))!M-)+9xy{yl(wHEFuS`!`byj!VU+(lproZVFliti%-c9-!28k{{|1Qv)^)f12tZ z0QSpNiKDldxz{yd-sZ`Fz=MzZOg=DQvjb;CKXYslfWNs+b?^er*32#f&7-;A5M=({ z1(M6nb)0Jg!rXD+af=2^{+dPw-3@(_9GeNv)R=5G-lKEme zT;FB0wFP)r%uhI|UN!el0`HpnFLvFpo2Lgt;fA?MM|ikt9(4)emia9AQrtGbA@2?% zgNGcm^AFsYyXJ&j2;!c3;RC4OH$U(JcwjC~hQdR0J|Bih=JzEKd2IIQi}1vJ&JyZR z&Ar%ydS;%?HIL`!w`^*^Fyoh7Ff^NO*y(;{zRG+e*ZiD2YF?Xn1w!(TIfaStTXUHd zc+WFCaDm~Sc?MU6^UaI6#QNSG$ou)h+_)#OkLI)NK@^w^>jC>@E<6j~XY(r!utM`{ zs(&#%G=@l#c`d8muV(Wf;C(aOvI;3SkF&z1d^e}H23BI$aS5x`Jih|4ALhKJQ21%C z`whYWGMC=Q6?s|wV43S}vFQdxd@QPm1Nd50NQZ~*7NIR*EYf0Y9`vFu)Y||t7Kd7Z z7i%$TF2GI;16PE1SyW|rB+kO08#Cf9&a%OtV6l*wve)7acUfMRQm1 zQZ2gj7Nl7ee*j3gSj3m)q{X!a+@%K=E!lT_Xfb0iuqPH(nIt~7@QsAtGmDw^pzz#c z^a_Z)u+Ucp_R^xyG2Dq)7ALPFvNsmlC!zP&Vt+Nnl4tQE3D`S}c4eWEZ_${0(cW8l za+UdmMGUv1f3kSOsr9o(=|NzH7HJJ3`Nbk_Bm5Ov)Jz8PtHn-^VBah%{e*|_7LU$C zp~PZyAV8_b4JQQg!=gt;^*1c;V&h2z_P`qdc>#8s^u7URmkwNl>w1@qa@`NH;bqzE z3C?>AWz(!@qYt21O<+ORtJyhdS+{MBqs?3c{-1&+d>;w9V8euTtFI-sF28hO-hy#VI4z{<70j(gi zP0Xr}>x>kyxhHamNMfcQB^D=v7%e8Y0f-T=IHtvlwcK>HQ&i;L-z7rUK|M}*%!aXe zF}gBh*)0nB-#qt-ik~2vAm($8Wv@8@0AQbJupA8<6;$?C?`Z}KS-tu zhnnD}3Cm^RrHhU&aSbQMU*W(q#2RJ_r^MJ!AZCh<+i)qTMPOZEXT*(a2;!{Be*<;j>n+>R!xXN1fi@322n2${5N|dksovE6?bY`z1KsHT-UZDKNi7`l4vw@@K@^dek zULif1Yi*YQXmDX+vMc+CTjX`l@%VRh^&z=cE}sa!Z8G{2tZbJtgP|8GM(=VpR; zTzYV-KOytif|x41cY9V>8*hy)13bAC!(r=JFB`Z3@d#2oFfXHdNl3QkO z$UoT^zbSjLy?R?(b11zdPcmo9kw-bl-<3gJA;5py<%fD-{y?7w>t`ooVC9WGR|~|q z@=s4lzLU4>f|oC~O#t4@k-YOCWK}jwKFZN$01D)hCE#I^i&f@lslNbXq0DrI`WN}+ z7u*)foxg+lRXR?A>2GqwCGd)+C7=24GJ6X^iEPTRHY?GpAYcf_D za}=g`D%ZWh!@r#x0EKwvJ2z(T#{UU{$Q~u&B7#UzuKxj%y^0ePuzgBpu5BMvR+j_u zxU!Rm_B4~MXH!#zuj6UujYf0PlmZSOj}>(}LVBV+=?CIdL`9qbdM@dftaBF!LeYU zTBA1<64i9BUni**`vL4%V`_qztUA<&%>(KJu3sNir*r`CkoxCi5D%+ge?y!{)NTtP zc@+O282(b!z$YLcQ`>F;@wghumeC2-@CYDPEfWrqrh4)h@zT{+d=yWr=chw5Lp8CW zJf(KB0r8Gnt3SfcQHwY#-czTI1$JM}Wlo3<^&jQxfX0)XVmWC0CtW0}8LzOWfh`Mm=-}l5bTz zHeb9n4cW}{);zlo55Ai5J>kz!vx)PHzvkz4@B%aonUw`I z=JkP|z@_ZfoaqULM9nEqFG-patV{N5p7V`M)qI0dP=bWroNh&1j|)hp`s}B1iBQIaoQW`Mn*8DVlq1?;O)~p9$h|%}v(LCp3Bd z#8NfgSUaX^3R$nEYcl5|q&u2z+@zhOabp&EPqTtk!F`PdzYOp|6LS#?4>cLn0Ul}k z9|m}=8SMmxCz@>w0G?_tb6epvO*=kI&oyoSfaw>SsfQr>Qd8y!L|$of%7U1y*~8cO zwPp$zh~8+9vP<_?^NvlvJk89bPaGPo#qRL<=PsY z4OeJG3m~#mJ1800Ds6BB*bLU@REEFR+Gg7U)@Wb5ffu5kQXA@_+UwguT&ta11z?@F z?(a}vuia;wfn=sJEtui4sc%kk~2b<_I?OJw$|_w3U{^n7hvq3Ha!Bw2ikHq zq5e>tdJ^i7wB0!EKGsePLGVwsz21QLRBO2s#-3@re1qO|?dbs^zR=F**HS-gzukaB zp|(LAm@d*54~NKCZ7L_XZ`!}AL$6p{a1r3U_A|e+R-zrc3RtN&oVDB!Z5&7FpW69D z;eCZp@|LgEZR3L(tQ*MxEVWv<;t6JD_xgQ3$M_0TJyaZkH z3}Ab80bIY{r~AGWAW>I=1ALP17r(>1UuS0p56QZU`S5-~H)}q^J*Ybw4RA;|mu1^w zU4^~?M|6&?(2nXpT*HN>=yY>|9n(!_-g8_xop<7dZedFhujr2Vf%mJr^UGlKx~>~X z{TsThPq1=R7sH(XmhNIp5O3@1T!qLTow+5{b9A;}0q*KN7D4i!?(A`3_jTV(03PU^ zSQixP{GAc}7o87#pkH-!=79H2XLkZvvF-&^)bF}KUV&Jm%U%GtiPX_~=*l!wvD(H?aiIPhY7b)cy5K#zG-L|BM~AKz%bu zfFS)`_C2=fmt6rbLLbr#lH2qlok85LpE?I1QmnDT&i_v!q z0xwpdvKU~e-fIBBE`193^Tz4x^P@VcugAVehCa>>H!@Rycs{VxdV6jrI-`HccHmk4 zW_AM3>6>!UIj^^K0G6fCW6hGSZ`c-k7xck7AYRmeYXp%?`dPn#UDo&HZM~v@p@UH7^x&XVO*H(eZO?{#YW4Zc6QvqJ<3%Vh)xB6dvAM*5)-;sHzf5D%z z%GXCbg7{v4uM@Bj`jQU7KI((m04&gF41}Xk`oEZteAb7u^;4+N9S8N*hTbn>bB!U8 zIcBJ#@F~Dr!^%+TturiTk8r)=r5z&MV3_e2cpDAfEns?+;UbH;&4vjTKnyb+4gv@_ zT;eXGEry1*pb%l$y&R6V8iKj9zRghe2zc8K4Y&m=(h&0!yd8$;oKvF=qgDe%8@5~p z5$$+isK*+zSz_)qge3v&GK~8TR^kksxWJxfX#W%n>4u1ou$f_a%e_yh435npnQ3_2 z72vd?Iaf~37;1BZK5IDK10v@PWlbQSH*D??M_Go`94@jAtrj8p3x>(ecP|>ECc*S2 zgV$VOmkkwI4qP$x;ZC-zhFa_czBPF9UgsHt{sxwBh|<9Hd&3;={`+89cpAiyhFSCA zsK8LY35cHz^J4%$8>-ELUZG*k0DvzBmx+)pGR*J?_-gPuk4yPxh}p2PcAVOw*d{7Dy zW88fKBC*CgEHrl-hcN10#+)%wh%+8`M%3}f*{%S)jlZ)EwZ}M|Ew}{ZS8F&rYn*xu zymQ74+)9{bTt|g$W7K+p3r5)wab7e|tqfzAjAQ=-cG=kWK6qD*`#1<(HO}VJ)ivWE ze?$Gcv6yxK4dbR}Al@{-pNd#+8P`Wa{kAdQ7T6u*SUrNsF}`Icch}h03RdnJ2UP~| zzOn3nfCt8*>>NBaHckNc$XN6Y#vU8Tt%Sl8W9#as{%YQWp#qvhbH@v5P4*}6bA6vbmTLFe_~3gf}8c! zWbXm+%yfVa&X1;}9N<5hwy+-iY#Pdzd!fmOh2IyGnh0W%sTKD~elY)zTWN02yR^z2*c2exoXFPGYI9lcl#MViV?wvhoGyTE zH`icSCDJ@%E!20I$2W#Tl)3Le2lsN0dvZ5m_BGu>kjOYIiLg751SLO z0y|^Q=c4vm^V+izId3jJ1dwGO!CfKQ=9a_Z?}FLmAw({koot|R$sESpbJ=`jF05QJ zH(v(gRr7*3h~=8uh6{Di&13gM@1=Q95w7-?x%^=8a?LUWdaupboMHNnc|VKgx8{}B z5Xm$53V^~p^Y^zP=9_2K0P($f(>v&WFjrv)_|aV60lWfpb{D9BGOt<=g>M$Gu{`{n z3QBG7rXVyvITk`q9`h?)U8+n0rRx*6;kzr-A!C_)36vh`f53Xro$jDmEp7;IuVa%T zZ(Yi_cZlOWSg^l38#2S{_~8ow@mCj3rmRTq~^s*RASZaNWDU$8l__E$ALu`0|2gTo>+>AAhGQC=(?2 zpHAGu>2A{Re3d45FG5sPf;d7y1Bw5NPur~7bpnPGJbGBcktiI`O}1Qt9)n4`|t za~X8bj7-qyejW*H^F}ktn*T5kz7{O04*J5;6Jc#p5m&PpTXP^9|`ex)ox6x;_fP-r-(1c^%7&|5A__!|~0 z#C}^4SBm%XAZ`{X*`5g#i!MWNi`Za`z#@b`0{|UO{wKn1qVo&zwu=I0PLbj(ALbn* zj5BtWFmNc07L(c8iV-t4@DMAuRRnLRDB!xqF415jjK$$U2S7bueDOilyG0r95!@p_ zSb&!xYOpW6S1i*(Z=Xova&w|Mz|ucS1n}|QFCGnqB)$PR9+C&d-^FlrQ1s`X;6q|) z3cz78lj|~>;_hLnpBCfSLj9}=>jLbY=yCvh=Y^dsByWi`oPckOeD0LV5feG;-4)(C zh};tnObK&^Q!7Zm7JlzQd@Cy4fCSbjrcD1{SHtnMsoY_57CwH z!cTF!3aqSurJj}JWPG2`8pw9PFVWNx5Thp~}eVljma>IG|4eZ%l-e%U< z!fW_1b^O z7w25yEj>7wv>M5Gxb?;l&~NjIg+|-i&tb7$CH9TmZ#w{bhwuDqYRA4Wd7qpHLB89M zVBP=QD&n?_?zd}$YMF7KSGI!hWzQ{{IJc_g1s}bfRM@hPXpM852^<@2kG_Ge-j%ID z>Erz; zLp`0KHEb9QM#sBcuNnEA6_?X6c2=Cz{)FzR2Q@))S;ul|^vzZ9JEn-wgKJOt{oh?# zxBz&!qG{0SzAY9}TK4)3xgPTsTz$`FEnvl}WDU5zrX<3abqhB8ZL+UG#x{(tfZi5c zpw(w4dnk6pJ0p(19=);&A!=e@b*jCiSz#D`{8^*!g1Ii z=&>Glh7`?!mc6|lw1$SQ0cF^y2kz-*iceCZ5WA`$iusOEvSIBq_=aT(6Ez6qm&scd* z7*H1S6KB~#e$rrm5|e)pg#45p><3PrItudBloybh{(_axjN0Acab_Xsz*(aQz>a4) z*KcMYYy!%heKR04cg`~$=lwku^!c;DfW9ECD=aU3J|2`sNfkj^e3+&9k{=v1mag6m z`m*bNar6?iiUGVuU{~;c#8igiD|VemOn&10Z*cA}n(#}B0pi;?@B&3e{*XwJ@MP_~ zT#Psc;tH{zTew$>x4mI(m3Xug#9$%VTv;uAuEWY2QIieR5V0#9#89zdD~M~wPA2*5 zgd7awdeM0^unnRt-_?y`Mp<0$CZWxPbi7Pl)veUGRR31bQ31XI7gV%v0J`^4Z5h%-@SGpk7w>$nSLzj#1@$@tbEtQ-)* z`(g8-Naxia65W;n92OaF0QirPmQXk<7VzUt5m(rEJ0^m;-{`pL7YX$f;w7i8RI!c| zT$*s-g0c7C;dfVi(3{5dR~edxaQJAR&upMYx!17V^2%=ruWdcHz}t=Di`D-8W*j?Y zyaK&rKmv?)%D#{D&IT^dbO~f5wriyVP`ZV)Qtf`P3h0)fYlGh7;sYFep6LOPRt1}W z`~L{aErZ1o-hBNX|KLnL(v6Wjy}O5-;cQn9Rz^+U0*Xs$1h}KCdqHwcl_og4?)?f+ zZr4~CxYuBfIkr9%OpooGAU|&1X2_3^x(&$*sVqGw#&U?5bbyb_ zQGHtUL2#$Pd;+U{S^K8ft*6jP-fjGy1Kj?Flk3xQ)$2;iGugk^E z1LeFQ8-td?*bDijWr zYekRQ;H?wQBEUmp{SUxK;m5VDO`>xlc$>v3?tKgsi*Nt;I1GCXLhE;LA=JjR2hQ7u zJiv`>_k`nM`#e5_9Zqxsx8t|p5LKsLws6(?>=B%I3Fe&86$|M2sQp>u_iVEOl2%Ua zP4@D>0g83CQXFk+)q#xd_j=In9ncr{`y^k&x!s&locHa^(Y)UVzR3M+aLySpjGe)O z-!dRGXf7+n!JFCt7}9CJkQtcb4w*qI4xkKf@e{g3+%s`* zpSKprp>=f5#K0Ws& z;oPb}EAw7Gm;_swu$OOBzCDh%J0C%&_wCO(@8iir&rab3*Ec>L@$@T+gSGwv%W)h~ zhxC^JC*f7}e(=To$>V)XwnTd1eK0yF6#k)3p(I zRdg$x%`5kM0ZGfj2{`sxX@X48a7S>hPHh3D*B8Fh*5S;X`&8Zt%Xa%iaaDbnv1aU- zx*Xj8w#btI_h}5~Ox5!*cFnB*3Wh|lGaNy!$Fjj>bIBUkZQ~Y#-n$;h^*(Lbs<->t z44(RWa7#izJ(tq@H}{9kfSWHt890Nl?jZX-$PBJk0DnU=xvR+DpP9(ene9OtmVX!W z!|No#yThp0kQ`B`3A7yl{sGC6aqSR;(=&EFM^9o-G^QqpT-QVF9JyVe0xfr+T96rQ zzK3%UFHgvf>&%Ad_#P(MnefaGb|!jo4SmvOZsVBzB?iYSi#Z5Sy~P!SX=9BzpFWq1 zlrv5z!p=+se*k6H=iAWrG}F#(T@lXb_;I;nt_9!xd4B1TpYO{)_<|}Ua9nt40%R5) zT!Z7{_DTQU*-YlWy=JhJVI4CI=QfjzV8zzJjIMW)6>RnCTOIm#w~ynzZ^xeS*YBAd zxc#qphK~V%bB-Ta+Zr;1?rcV^gX4bUIOH|A$k;zSjB6NL`84bg`^7SA_+M9Xbl8y# ztq~=az;&D*55FUi@xC~{M3$*>{$~=nqpEUY$R+GBe2wn$26XpaPJ3ewIiP#&=36~( z)e~44KaZ8oguk;upIC@==6_F32`h&o#rL3Ze|s;EL(k7=9Dju)vf-J>8OL2dQzO>D z#ku3!T%3=b%G$%}3%5)-BZWg8rwVZH^7#$8qt|l68&e|+GOi78;M}b}Cu;ZG3&9=R zh#e@8tN%u}n7cd1zuW*{6WVYTo!Dv~WF~E4GkkJuc08u^o({@XhkB5lw&xjSruXrL zuNf5sAT#qYcmK_@(!qjf2A`nW%bSBcr?557=N@B?J#W?^NY3{j0?L9GoIV%EaxrgF zB=@o`OXTz7CEm}&jqw%>zX0J>;G9xm#^ie~y_h8Pi~A^uV*=j>&6)qid^J zXt_0KjpE+<6VAulu=VWGaVR9mkD1kN4UUwlsyF&!z=j5~QnEQ~G>b!{Opv)g# z561-!10l0;x-005oY<0EHlCxdmoV{T^%k4B%;AF{1%)GD5j+780iT1~_gG60abwfQ zzPKi|hsN0BfEmwzH<#0a2o`xI`E`ip_K(=6_`f7-VLb?F9Kb z=eYbdw-?{qdGZ;K^S>X2-vxFHAiwZv4V*7(#Tj{7@dR+aMB-Eg=Plab0Oljwo`4Zw zu^SDm|J|qi4PbA`#X@+nf71)cp*#3A3=86?IQ)2d935KtLwAI6BaV(<`w;0!{NDoH zrzK4x?;O<_-bMv+SCC5|HoZrOv86m_o*gW^djEoq+aT5??xkGe9s5r@xE|ATK_7RN zpXKvdtjl?R(xozxoSa<@%Tp$~Lw;&J=dWoEoPhFz74lUi)v(EyDzL$d)YFus{DAdPBE1gNE11+cA>;n-1Kg9!=cv6f%=Ov5=g+un>|{ zawmX0wH3#qY4>=Crk}eE?u-q)d7oBYh2>e9EU7%V>;Pr<59V}pQrqG}=XM!_<-<9%~;|ry7~h4mo<%nmX|1w1j$<*;%Dq5zI(x-uV{{L{r}#(R?)E9 zrPfj$yH4TiN4J_Cpxxb?BZOrOt^)M<_;2TM95*CcwS5Pxy`J&9t&<`_v6;c{hHb(U z==N@U0Ng&Cuj6QE&78mQt*VFGQ$Kd|$**F={hVczt z(U@1*EFIjz6`S!2{VU>pxPLYz9UgNmAK~^8l8(>0jycjb5a&)KIaWCDJ`G=^_N2pt zODMM_j*j4XHRf<1Ug4)?(B00hz`6UKcK?kBQPz;_dSyO%-LkkHs(ZhmIJXSb!eWn5 z?&Rs2&%D8^lo>`ZJ?AcK^*yZEbYgC1>%oBEP9Ht9Z@XZ6>if+P*7`N$?Al*# z4w(Uic#j75xrXyW*~?*Pa4y$;h6QZ~Wq1v~>ke5lurp#(B^({w*nu+AgN+%dS*#nJ z1#`JkHni+gsUfV5c4t00#%mU@u-sh8xV3Qw-ThVP|1yn_7eMXmS057H;{0&z{#PM% zEGKM(-5wJeSkqn`u1tv{OB}sHlZp&gnnSij`GmSm_m32^8zq zJrJMGzNFl(vW0L8kWu_C)%8tBuI)9QgkC{YiR18!sIDyG_S659oXb+<`s+z;V!At}hLC z$j6BB;QBED%=W{l(2REu7pHP<_TOl(#(157M zY+{D#I;a3gw;SJZbU)AavatvCko4$ogX6gUxsV^fnmgepR2%Z&l^2Z%^@tdA7vgA* zGlxtO*I61L69(40$3^7>z)pyfBfv`)zD!)wgrhCIq>E=QaWN-F>Na2*qU}`#b4m>4 z+mtCxOnOd>#DnmDMkM3|J1e@f4|YyeVk7gsXj%#^OPsEXpt8lOQ9J~C0cC_K3q zc1b9+;r+5GYYE~N@vH(wu8Ix(&t%uc(cQqVi-mi@yCJUHLgA(u!xe{H;sC?FEgWCL z!yS<_7FKe^4t9#}id=40x+g5tpm1M2nGNCtac%~P55*C-=pJF^01A)Aha6x}#FZAf z6HmnrzF^OUQLLB@g#yu`Clo#j6W@%_!h)@~La~Os zJidtCO`%XE+ROm&t8n%K_$Fqu`YRU6EbYFF5v*BC#KvJzC>0aS!O;)l7zMqb;yvrH zU*dKacwW+h{W5Rax)(0RNA719=qvlM!1j}2Ul6CiESCT*K!!YnWT1S?K`cnx*MRzR zX=Fong&gb$$(1sG5lpX=)$xd72f+N{kX$WCaKv0A6Cyzjkv<)u7b=7KHNmyA)>r7Q zlSvz(w_bYsLS%!ivjVYflntjqZPA{ebO~ z+kZkYPR@7*y?9xc&-`u~zXc+Dq+km(L2hK;xK}n`4dOoegPZsh<%eMiH%a!H3*LU& z?h1Ix_^Emj56Hapzz#~|4}^P29{UF3VQJbAy(6+ccd8wgIoy|#BJGyL`!QLv2qMR& z%VX%BkPpj3Bvp?42O*`&E?Lk^ms41Jos>4apqC-XV>IKh?DD;XS?gnpZd(8q!c8*5l=ih-eO)g{yG+p+o2Fa5$kacc`{J?d>Q*z;P_|B9rT$em8 z-Pj8`C#$INa$de!jVQC^SbkpF@-?zfg!i)Mzu|pi4sl6#V~T!Rel^49HCYk0h32}f z+#leE3^O36oAMLi%v-WDR|ap(vi{J!BZt<6u^jo&C`jIwmQcjkxZHk&qxh_UO13*LB~`KSj!Q{X4jcxSt`x8=&QPjzhs7x+JQN^P zdBAYbD9SOYpH*_#L;akxD-^^mWfbT4Y~}hoU>B4)F4|pGTv|fpl9Ffv;$KC zYZI8hsx&-?kgh48XT$V$WjCA9HUdIGzx3}+2~N2$CBB00)6-jI9B#;*YP z6%StK17#tT^he4B))>^Oe7<;MToYELXwG2W1!E(~ruD+29o@9sPjeXF$(@ zSExix0QjQ3?*w9z@`OE?Z^|(~QpHM|H;CVra(u%|6vsXAUaI`b$^D1o@D3tBl{zd0 zywnMAaADr+@&VxasB4(>`>OF=e(+N>`$9cH9ZS7HwGnHVAT^mw87tK9Js`4Dt-(c< zRca>cuYX^@dMmj`-=JS(92>TIfS4L>7=dHs9L`BiI&$r&sdXlNHcQIEvH8BPFy10N z8RsqC`P-eXe6GMxYqv|oT8%*$MXUWx>zH8VQ>erO*s{Y?OFAr$MynLXJYczw#1%N)-kI%!9MjQ_9 z8}Tj=ja-G}um>ApbNK5rI6Cay51A43cy*3eHi&0r4A%pkQurD=Uw#QMqqd*J(PhX) za7Xt`0DVkeW7u)sCP8t_*^i@pP7hcZ+usIUk79nlJ7@hf zoX^!wg5`Oh7-co{JG1+^zymwiGz$kbg4Uu}?ZI6f&JhBi&OlV)(FAwM5wUWA@H?gZ2-VJvD6zP>xJ7ac-SD4xm3GR zEN%$mCecy>*evR@!U+?#?jz`MQDZ!U*doFcVH5i@djo707nz`M6Dywq+b(Vih(wBC z0@w~QgRQ(M@#_dYM2jd_NXCe3Ogmyl+j=1G6yDFFuuIhXh^XU)p$~}h;yuUI-NJD( z)b|J{jz9^bpb|v(iZLue_KA!TV2Pq3OX4JSN+G#lc(@|!WZ`%oj_{ue4uW@3G~(NP zNObrUdWS_HuB06iAsT?A;zTldDPlfb;m3qCJ9EcHb!SMP5c}RhBvq8_3oK1YUxbt{ z+D(S(lOi}3k{O~^I>0I6dkDNt5yQ<3r-hdXz!}kSCU|GX<)u(KCuV!V(Rnd855}^D zYXd}c2| zv$>n%?H7pL5{LK0(QVOmF~A*BkwGA<=4ST0ViF&sdt#y&z3hsS0_3=8V1e=> zzXuQ`ZI}!$mnzj)$S=&HR?6R*n68rj2Lj;VKk$pdt7Wf;z}CoR0$7M_cOH_V@-q{p zwbGlz>pHodqr!T5i@zMQLEgTDI5*0RPVl!$M)H@QHp@^pq{HNJ-tus{gmuCe*)<*@ zLY`++W~*$ghvYUno{i+~azY;{L`v^%Ft$VXIR<}GawF%cXxU;Guo#)Zt)a2<9}WUL zWg6MDQXNQL@t`NIdqJ@RWuNG8a%bb!4wwh+X9@~9U?66Je#8%Zyb3H{|8|P`@dcK7zt6`J)Cr+?JJ!5Yip#y$m2nHtqx7 zT{)Fy#yz=@+1-6vmYcU8$d1ne9?GmL5P2m3Vf*W`tlk!GpU598VC<=!kOJN_x#%}w z&t;!az+TAOo-qAVj^YgdO5*Dn%xpurX7^fNU4ct^Bg+?n_*RbNhR8hmnSHT$@_ih< z=gX692)vj7Go1aOoUr+ zr5ZCKAH|M~>%K~*hT!=rjei02S5hiNBtVH^EfT1FZwvJx#k3awmMia@fVe`5N(Q!4 zsWuo73jdHCz^g@(s#Q>p-_>5TADwf;|vQDvZgq8KmU_Q_r zl!)?B*r@ol0&$b#;|^n+6)Pho!<0~VCBu~x?%~^_Y~uArC~h%uv{f;c0NbXF=Vr3) z%1;jIkxF+yyE~LtZ(%b^Imso1XeBoqHe-}Oj3ddKw-bqfp;QVxy2#& zfYO)OcTmas3F0B8h+X=_N?9g3M-*=}tQ=LczrjO_@_+1o1$-9A)_!JZEx5ZRfdo&2 zTY|e6ibF$40tA9ZfYRdb?oM%s;#Nwr;#S^aXlXJ+07w3fE>BqX)$;6oOn&Yj%z4>-H{(AlW$3+{6Ut?%K5lOys=-gF;A zdwJudXyGef{~4;@$6ua9D^3d8t7O6v`?jhcG2-3dhDek~I%zKeK;waC0 z8O4wB;%N|hoX0VQPVgLLO*+Z15~@GNj~qhiG=E8E-7`GX1w@|Zk>%0dZ}_kD_2btO zpCfdhFC|<41@4mvm0jfRXz^X*dPSsN<_!t5U*Wk(6@8TlQ|GVoJ0!|n=T}KRaD(@w zRdZu8BgyS&3M6O+2jqqigNTRwXt3f$up#-aFk{K*wW-sjs$>inL2 zd7!`p-h`BAKk|P45c!b*7>5Es@hr!Y^JgA97LkwmNy1W(`6p`-`Gh|pc>N3i>@`Ba z^5i63Lu#S!KIQ#L&+?2l3u!~tTfZSRRPDVP%??wSkU3*GewG2D z5$gRE=+a1abV}4YO6^VZ;%N1Nf-Gaz>r;_soZ53HobhTb^hvlR<10dI6V(}HXq}`+ zk%n}#y3QY2rl^7ak!7k{^dX8*Q$L{vHeJ_TLjsWvCjK1*$v3eIfxNeJ4T zqn`WMXe6=WvunW{&^{_M+s(xJ%`b?d>7nLnio32CEi`C6-5V=J4 zp|5JG+KTkC%hcSojbXVuout(j>RxYTS*f=7MGLFcyR`ULtK&8ya;>WFMSJVi$)tB& zuXde?&<3^TJ+$z-8ubj$Ms?S7q-|2`lc{2}`r%nbZc+EQKrLIH8h*7BXOEonSvb}2ilW@LLpKd{c zeQG{J3H#NDgVDkPwNWiN2i3vU!XY(V6^!p|wRnC+9#*r`YCWQ!C%Su7OYoZISb`+9^L;zoL55vbm}rJ&1l@QyZp2mg{O-vIpHzn;%2kP4#nP zt+&)8>5%2N`t?pYcT`4Z_`7Pg5~%E3^*pibdur7iaK2M_hN0^F>ZzkB{=J%VF+va2 z3M6;@pzcqL;y7_nY3!F#fPikB+LO-i@X<8qtHyR-FvD$bCia$|n9l`j1Q5&s5 zL%*tNNGASGHIBo1s;(mZ@=T4JgyO%e$4LVFLrvcWY0uT1<n-K`^ug%B=XMk3KdOc9<&rfAcvAv6^Srr=D|YLaSgx;B|ap&8mCdVe#uUZh>0rQMr`zRcG0 z6UUpQeOdu&bG33&sCu5(zB{@!U%Oo%kqfkiG-nI7$iYbaOe;z#eUX-9EBdlnyGcfk zCEBwPgqCWV2w*MKS`*M+uFYJH)>mi^NZwqjJ<5VCtF+#^k+xc!)eg5|Xd>r&tsTiZ8?-tN;e4*`X@)vCYGI_{*`!6cfwNiLI0&_D(fVdWmaUo}?OWNV z#Sp#Tt~DHjEIYK)WFgq8@)Vn%V}D`?X?65jvo4DT&ZQZPR&VIi&fKrTDO>6-DF`?I`J+k7}dn13IQ1 zy@f6v$Bi%uozQ+7hqRO0-6)Ljl-7oJ!=2VvQFqU1yJ-vjS*;TpM!(T+c%Yx>v>)yw z%XzI%5fr$fU7%%iQL9K2-z9BNG8DM11=H?>E842F2wl~BwL*bwn$HnLUf253UX>eK z?<^>O6I=e!&@C7S$i^{j4RS>3O8}`xRLpU0*PeI1g0s3N+rw8iuU!dF|y$OjQgY}PhqudZZdJ4)7)$gW5 zWyAD=)cSCJVF{#-(A%9vEhF_Uq>dV;XCl%(TA!2=m5tF)kbZWoeycg0ak@!Xobmb^ zlEWwHlSorHQEy9%`$>AcmuO+Ko|K636uloTx45#V1}XNY=^B~lrt44k!EHt7b)IEA^Q3NL!^R{RWk- z){l|)ZH=BS339I0_Y;W1KE%$*vR=3F>SEis4rWGv`xA)6|Ha94=+TyEqYp_ zJzMp1^H5-$zJ36l?RxRY=*td$!YepC^>oAF?9zWDoV;7FNOb87{lZ{WwntBz2Q7T5 z&m(bXuYQR%dtd3NN5I*qmuAR1QsX>Zf{i5rm%UFEw=jcfI3G6#qm2trrSB z*VA4?=%v2Y!jXE>BdF}PK7_O!{f%Gm!x>=oAR%p_v4~7_gABh#sB^HkkW*2qDs@LHbv{bu|z7J6K9z#ou0$&7u#&?AIzBUYw77iO)J+yGdm>-PD zqee2~E5{7a+i;E>UbF+?gprzdrJORdv_&najeCSc&KO7hk>#w>HVx|h#_%qMEa!~6 zYtXavM($SV#08_=4a~$vV<@eHOGXva$z3-5+9B4A~ z{y^w~(YFS=`-72w10sJkN|r_Fp;0#joS%%R#W1j+jY?!zdt_Yu6a^j|&j@rsF|z-F zEWa3aS0U|J<1!t>{LOeZ7?Dqnz#wFKW+dN(W`8&8lFs7~V>LO?jjLqJdSOHkLFlEi za6g<^Mt7Qm*M^~^mj34Pc?bl5Y%QWu>x&kRT8DRVAihSTPl6{zKmnVGiU zo;8co`uxT$O{&0iW^=Nioi`tofO^4Pw;bgznyX3RxMY4(9+8*LA^8!yV)lA~w5#Sh z!ur?D3dCV=n8gdC*_&nq+RSjv-0=g_Zku0jL%BQVg_-EfT{G+#6(LFjw)Zbvu|%$+2!{9ryBh2lS&XICQ2Lo-cbRQ;2=bUD&~Hfs=Lcw|1Gh|pv6 z8Udvz=ANu*=ofQ18M1#hC(@?K-^_2w&iB;JN5-LN=EKEE``vs%4CN0~d5*N_=7{R( z?hEtib%b7;+vcOaS7v46%&*NDD$w6^4_)aw!1E-T{|0(yU5Vm@JbxxT$zab~WFs2l zIkPqj4E1a`0FlEyI}_F)?zyHSijVNDJrymC^z2p^;~V99q6G?!_Duf)oH3rCk}7_z z=a9@OFwV19dSn^zS(32Z1kW~fFlVA?L*m+#JWJ9sq{*H>_fgpt&w->noa*@pNp;ga zKO@u4bkEHs7|rmUGYig4&(Uj9*(^_$jE%EBKYifg;X&%1x$37T%Fa{IQMcx+{mLQt z0`*c`^kAX-5ix*8YJt6oT&$iaR=7khOS{jPs>|tBE>oKyL1?*};RbT9Pz#aKVwD<3 z_n5_SQTM_4U^QkoAcC8|7`^CBA7n;i-kS?iuE>B~XrM%`V(3EY-zuW%pji5x z%3txpm@5^ikJ_qsr-o`ICF4l#^rTa28ij2eR=t;5@2MUh`b{>!G2-vC5d;cG#X&Cx-D~{(N*5wmq9n7N8jy0g1?bjlYcOD z0a!HpLv|L{4*jw+>Voc}PvAe27&eu6T8FgR{96hw;P*=^c2xmGcOfFMEp@nXHR8|3 zR<1%!$r@4Ue5sM77AO-z3cs>OI&>ze>}K>O_**|3S`_i0iWPUmUn!c5jCIxrBBuVT z3aFvg0GRN#*0&ZQHL_$NYUsF!)XrU&#iE|Bbx5S@wz(Nf#gro8*`w4XJo}7qiTr)9 zkP@@s##Jaah%NJhGm?eU*EW`gkl-_p1(N7EksTmdG==S2g#1%kE7IFfW4&kv&0u{? z;04cQSLoi?*=*-%q|IfU$#k)n4fRFwb&P!qXFc0W`r8eRld|S>mVPaqO>7*2z|E}2 zB(%PTjUeLw6Z^gxs(!&fDS~n@SviurU$Nmo!FkQLeTG{4E9Xd^K0vwnEy@j4?pso# za(6KzM=CXo!YYcZ?5;YT#Ps{B$3-|lsvDva`A9AOHH!bL_9%*8zffP5M1hy; zN-SsS#~$24&e!UP!;q!F*4iJasWOq&nz^2lzSXzJ$9U%Xq9m%z|NUIV7yNY=p8jSj z)D@VaCrTDEh=CQ$9FAEp@e7HkrFK2Uvn;2v2Y16x9IKr^K_+sKXKC7K9{NPKfsBB2 zSu+p?D>*Sj0GrB|g8YK@{#(0+KW$(Xi|OVT2B1ItwxL))s{AT`}K#d{QzwkwCOqRb8@jtu&k?S|8daa8ye%2x-RvkP$kr-QQ`wrRHJCa!O0zAI@nlB@v-B+7fy-XSMyL zul`2+@JCdAPFvOwT{^G*K+XkiB6atoHk71?OIp1H=-_2-<91YiMQb?^p{rWH4yfgt zmNp9_uWN-cN7@Z-VKo%LscrfQ?cLJm6a2re6`29&j<$)A_gyU?eO}*cTTY|dds=DQ zu<}4_T@?*I(ZY%6J=b;+86KtYrVACu>LCOpChGu->n zr8a3&N{{qLlVv!`1^Nn0e!_TNDx{+>8e@U?1)DK`k}4y(SZYj&ajY8xgXt=1=1P`>_*W6060BjKi2 zeyI@OrvD?951&l?3EHjoKx*`^`iSYdnl=o4+LFj^pYbFI3};tK02s|O5o4doE)Wu* z#TOWN29}S`$82Un0m!nQl^BmKU$E%}3-+;|MAN=zDML};AIY^NCRvke5PQFv4nz@v_oY2M*xIC>Tp$+C|a91KaaaNo7BO=dhB}nmmL3>6l{i4>1@WE{@`w@ih zXkUDYoZoBFW#By4ijuDVsn(P11<$mfNrV1eWAwSd&{9rt)@^bNh^4q#0(nykIHsBR z@K*KYo0ef1sZ#H7)_kcYtxz~<^f^=*-0l|q<);xUtvrtK=VCVPwzHV4_1=$yb-#Iq zCYu=~H+*!)z%#rd!PZXMYooE)lD&|M+oA{$OlIeHBV`TyDhcY|!uI`++ztf&=aAZe99&CbU&Y%6QSe0ZYi{K zo)_|mbCZvKggT$|9Aqk8s`l)J$P4PYNpNncc`u{t@6@X4k@mBiV+2C|wd;f_M`)j9 zMdT!nk!oeO_ER6EE!GY;Mkl_|{Kz13L>of_={ardT!e0FS;ElJ58B*SDDYC7LNdf) zJv|Y-A^He{Ji~OuACbfLb+nX6=#@z&Gg9~HhrW!~pJzbMF?zCR$TC)M(H?b<(^F9K z3Hqag2u;*K8;+_c>5~ZtPu5!#aG$C-pl@rM{xm0YPS=}cM3-jhw@E*@ULU*=X*=~~ z$B^@&p1Kaooz^>UN3&P;LiCaz=vQtd?U{a^nA`9A+RP~ahaQy^&U5_$&C&}!eIGcl z^g^UCd97zAt9^e%DToOfV00iO%UC1e04ker^e4GxvGKA8(pDQy*Q4BKBVskG-fG;P ziz(P<93&XE-Pm~&&JJTKHG9YyOjYBDPYH4yF}}!%az~AZPf^P;!=E&<$Bmh!eK}zi zI*Fd0G)gXpbIRCq8_sDXDj3b4F`f`tJ8RUv3+J4X)CaYkHx|$vyI}YeO}J=u%Z!|t zjP4|UUpAJfM3yVYMGa|JjT%GI!ZqWoedyA4qZet`ZWu|6Aav81v=z17GIX+s-8Ozq zg>rX{q}x&1U8CntINuskH&Ni8arGtAzB3*lM4k7IMWk2w-YAd{X%CE@`4RfT$V7IZ zAB`4!(B4C1ejAMMC!;=nSdWa%Um*0@$gmQ1J~5)#qrG2@i-S@8S0m^jaca!a7qj-f zInGRx4Rua5KcV$G$t*=UakAN!)ZNp}7t7#GH-qLQ%M9}*$=tKe=M*`|EK8Ad%@Y*4 z!0b)M7n&uh_-AGpD!$mfAB)zPm{Fj47VGq#vl&f}R!krVJ7OB)kAp1DY|QadmK>jh z9l!1t;{8)!N8JGxUpukO`O;0OekD)$5$avdzr}t@WLG96^YAdBmh|8rjQgh3%p8qO z51u5wmi*8##e=8Bk3N&k&r@VHb^;)&M(x_nL*=P5X-bw;x(8P?Ye62WiYP6MF^AHO zQqT#iMrV5Rq@G&Rwl@q9o;_qc*4}h=P}dB3y*4avYw;p$&+Q%^7XH%dhkM~Y7C%q#@YJFo!T^V;)_ywy6l=- zwY3S~9+m@@`l*_4$NCu9PW;}G-xDx8Uffi92`^(~O6pL_q*}gZfI+-~H}~|>lEk$| zpOWV?HfN;N6iJN5nP}`OvHn#pc@$Dr<6#y`E0tUG1620ld3}vnR!lxWBQ`4~<uo!BF-r~-M72p^=WymUS-?;w1JJU{wTFuxHTNJWYj(EL_yLTrs>MhIf~J(gVa zs)>{OynI1p9_2B1P<%`wElXV}LU^FRaR)+EpSA~2}SxRGZHS#@UMGWmj^8L<=8Ydy|tNagE%sAARe9u{N<4H&I zyg_5d;^qJMlZ`ZP$_F%2TD`< zASK8c3*CXr2P?sbIg5Nllyb&Qe6uPas+2eGY$4w;rGhaRlDW!$^f<(r~ZGipAexT#8YBkeEbo2Jw-mRi2)N=@Un z<(r|@GCqAqsWX+@#w*J=OQ~aw`h((TD|L;^&&fAOsb}1_d~=oh#;g|=#U3-2FIJiuCp>7OE>W5qPZ{}^ zD$R_XoP5ia=0;OB!Qy)mf)QgS<=nU?3lUIg=YFc#1ks|DO^0!k4aX{3Q_ zjF-d0uG~>mS^%zby_4aCNYyAuPhKTTOI{o#hiC4r<;euCgUYjXF~(bIS-Wbvf*-Yvb^nArozqT%DM95wvuIWSg?U57QMJmwj^g8}A7ea4F4ah0 zo*Ju=S~GnB_<54dsxiF+il>rvB34uOLwr(shB#vll2l`#_56{teZ_iczW6XaxPKbW zZ#Vcj&zloN05-w*_9;1hm(tT%i%C(j?YKf)qBwbaX}&S9Q9SR*n(xY?^d;uwMoVy4 zOkrL{^F7rNpYtG=9A5xSYkWb4Rjp+D5HNu(WN(2is0zlVBS z4VwyB8{W|u-+t7FmB`bz7Rd+EX`+$3MNjt$lDFz@&meA_KA!ac`}Cb#K?4r!ZAqDP zT3_57X&3cPNs;rY5%UwACr0Yj4ouEEBnxsSYLC%;BY+9DJnPyxI#a)T@M#6thvQk; zCk)SG)yQA`3FRw!@gqD-mjfLj-?LgP_rV{wl(*e6BQVWo&3XqwG zd!}_jW->*yNFg`VX%>Oz+0r{m`{qrjq>1QyLKuxJ*6MKek) znpI-aYyyjV0*huBSTu*gqME>>IRzH=a>JtD0*m?>R|%rVW6`9V#G<(*7R@cOsISDL zeiDo3kytdZ#G?5m7R@iQXaR{u3rZ|nNMccciA4h>77dhGw6MgYMI;v0B^E6zv1l=g zMT<);T0&yck`jxSl328~#G+*+7A-5WXpqFB!4iv>lUTI8#G(}>7Og0;XeEh7D@!a| zMPkva5{p)oShTvtqBSHIttqi+Er~^IODtMPV$r%1i`J7^w7$fm4I~!*Kw{B`5{ovH zSoA}QMH@>j+C*Z}rV@)blUTI5#G)j+NVYUnl(baGl zT|E;KU4z3Nbc`x0M+kJ>&K(`M7wEWyM8}Z=9d{JyxYIk(aa24y?)(Ni?&6M)lL>U( zMxbM7N_e`i5*>F-3>|kD=s3AR$Egya<9yMYZh`9jZw1b#)5@PzLQ37DUh58Lt6B}D8s{>?BjIdUTXur;m3oS@>{Ii!hqGT@*#XW0by7z- zht*zG?GbfCH#kSt+f)EYDJb%!TAIq8QqNJFr`7Y6<&63|0?v8047GPbJw&04YGtbP zl6tEvoU7_gYW5GMNC$WCA6VDJ+>x5y@nVN+wfGGMVC%$&`>xrle#t zr6iLnEtyOi$z;k(CKDu?Ot54!4m+WHPlRlc_D4OdZK&>PjY4PcoVMlF2lXOy&d0WEx5)(?~Lz4<(anESXFb z$z;HI9EC?ScP7(3A(Lq#m`qE-WLgO((^@c@kKWE?LIji1-I+B!@IRa6cWOeWl&$wUYy(@rv(_JYZD5KJcW9ZaTUJd^44 z29t?$XEL6G$$TW3j58%XU1!N;x+KPAx(X(fL@=3T?o5W%CCWMMbCY2FJ$ZD+;P1&J zpQ5}ck87ck7W&}#2)g!&>Y_sk}cr6$xD*#)x9kr=YfDUhZ94)ukXK$hMDS^5ZMnM)u`FNrL3 zOJwOQkR?>=1^ooFg!RovmZm_Kc?Gh}=Y}lv3uIX!A+m&0N0`zIN@VFNk!2x?EMXvY zOlkfSSq4aC87PruVTmk@NMu=5BFkbDSr(VbvV=sIB_*;fC6Q%mi7d-VWLZ`s%OHs? zgC(*oCy`}&i7YEfWC=r?V@j(ek!59xEUQRlSydv-Y7$vim&me)M3yxrvaBVMWo?Np z>qul-S0c-L5?R)l$g+V%mLEuD*-#?OMiNiiMnM@$dP7+xrbw`%T6GN6!4zffQm4V!B<6akcWZ6|9 z%We``b{EJpS|H1qcOc8ycw`y(2D0qojx19NWZ6L=OJ_=Wx}FkQ_DT#{!aQdi_fiXF z3HzX%ac?&7E%XnGGslWJC>Mzm?{Y4+4zvwIV7jrn*d`lF8b<&t=={CxXy$HLM zehEy675XzHeFxHnR35-IU%&OB%_Rmh!Ynm=Vy4 z^30&8%Igee#+W#&s3CrC*VarI7>+6(O-f$Uq>Nxvl`@jK>QZ>4beiw(d8jzgFoxQi zq&F5@l{FoODv}MSg!G8-oIxwldjUdaM%?a<=5dEcb0nFV?5Q<^C3NZHK2MvzT6Yf-pOfJKUv!g&rjD=2W zr*C%}Z@(1OtNyGZb$tLEO1TEI!Zg4^tS`;`U{;z64PotRj6+$mfpCVgm#g6nXLnY> z8NocM#*wVgOgN+1G}_%Zn$4%?$FM`x$FZz9mNt%&WTUq=o@vy=1Xi8a(nQvQrg9Qn zL+fNR^Q88surK?=naV~`Ez{Weg>a^`V>FdBSXFx4Guak;iL+RGdW*AJQ5xtR_K0%M zWp^pdJQhl!`RvAWI7=9(b+D8*T?=O!dpHcva@LZjeg&&c#aFT_8{n*B+o*%9*<#AM zhHawJu4Q>*;jCjlslD|q4|Q+@^Tn#Nz68HbG@5*aH{qGT2EG3RkLgVoygdcaLT%}t z`|qQU1l)+jvq&~-zi3ICSc>?dQUB5speLjsekRBQa$ZE(;CJvR^b_Wj=s2#UyQ`F(gN=;lO|#YZf1ltmo22_I*(nN z3}-%TK!aPr>J!ab$YQ9W&sb5K)kW-g`nVReFK9NGutk(*DceO^ma%#?am(2NN?XBh zQYTijX?!QxG@A00>@2l+juoLdf1bUd&;>S@x^$6! zPK4wV%S4lPnboCNdxiN?C$6$}R2lZn)4ROG4$=#_%T7@(-!gw{;U4Qj^Z6ZqogB_X zmW9%OV&ODBKeH4x_D5_ZO~_-`il*loJ53AecNR+%`3Jj3OZ7S1P9NC|)_w|{m+UB2 z_KIY8jyvphY-R`H5cKD5WK>iP6ee6gg1| zp{bjslqTLXSt(89o1(0s_b^rIMYB6iIW`*3bmcyM1~ZhaG`lmEoiw|%lozz5W-E`V zgL9PZ^u^6p^3Z#jr<|tsGGCcN9bBMXqZhDL=|RmdQ^wO|EmwY^0xOgtdebYFV)Nmw zQVvm@xZC0kz zi`%JmB-XG?c|tAhRtC^Y{z7?1v$RKfLM?o$q^Bz1zf!i)6zo%`(keKtm^2ed zlwQ<{qslZ|(8rX{v^0(@xi-Q%p~TY6pHybj3qPeCqFFkvd`vyNpzNi^cTuTC@Ai_C zhuH6Bt*mQGW~%zSGMhf_8%lcW?oDMaHFQe}qXqVza*V#Q`^qp{ zFW)OksJ#bDXPTEEl)W^OkCj3+z9&k<$#8yAbefP~l{-YIepC96N6HVPf{v9o_L3}>-dIV2TRgdHkC~XwqLR}iohtU|u@H=DS zjO8t8d5_~a2ErN7C)4as<-=%v)3`6K`RP28=5q#rPHSQ&@4g()EdCn}Y&K6$p*h@> z20fQ&qi=H_PemP^&o|M$Ea0oD*9-Y2TFIaB>9iOY@k8`-7V|z-^-5lwT3^KjXy#Y* zZPe>Ed@@bXTHc?=zK++T7q_0LriHSBADRQ_b3TEbjXWbw-6np4THnlzQ3w~96ZzfB zGtt+$jW?jaZ08@+d)UK+=mmVqRa(${d3svAU-5g?>wUcAEI9l5Q<|&;{3fl5gFHVu zhxl1){Wvd0Q+|S9q!)gY=c0yA@zE4{n*U0jIKxv@LuYvi&C)l#9JO$ck0my8o=>5f zzrej|>aOwWwAionWHj0vT%iyBCcjHB;1JXFLtPfZzG>;c#B_2rAxR#qWJ! z5vk>I`aVrureRvsvnKn(NtWJ!=uE^{Dt<^(=LMo?4pH z=Bt}m!dal6pe`*`Ra*0(sYfX1BGrdxcd?qED8ouMWh|Uk>Oyi>s}re%Yt%-x^f#)b zsrV-K6!m4Znt=*zQCm>tR`n*mfNkm`A_?2oYVooR# zY7zRz_N#el%^y$~6InZ|R;RukQ~S_-9#=z&GMrFb()v89`qE21r9Pq&omMB%;ya@T z(T9Ff-ANO2Nqs<(m(@yC*%h@Hz0IrY5Nha}nugM@tHY_l4RtTQ{`=|<`YgUzOVFZy zpngZ4|3O_#1%6ap(`tRF?xywmlX{j~_*vacQ~pRjLIobH?WvY0>hQ^MepRo~yZuca z^C_ICYA@=04$z9wTN$E-(KkIp>rY?6IL(u?OwqE^ zBrnkBQPnH7(zGH!*Ct}@ww$$vzJ-k}H!a`oEDd^Pqt4XS&6!GO>ey`MA$4W0GL>HR zd}RxDdZDtM-rFLjKaFdNGMQ$4nR1KjJI}jPmMeTEEv1`06V?f;RUTtkxnqG*ILoXl zxwk_yRI>^dV>YQ`%q~=nDTRtLhfpz^LdBSqdwK~Kqb^j8-a^IblbDK8?$$Tnp_Va&>x(3s`IY4S^BRsm_uDkzOvg(Rm*B8^%8(wG$>jah-xm{nLB zvx-P#R#9opDkhCt#icPTspK>zB&R8v2&X9}jaj7)aW1TkG-j2R#;hP|%nFvqESS0+ zV^(=-%&H)bSrw%*tCBQkRhGuAD$Pcf(eQC^UAdOicNMlw*Y0PRQjaeT`V^(8n%xWTySxu!etC=)rHJ8S$7Sfp2 zQW~>bNn=)PY0Uaa8nZ&&jah9H8nZ$Lr)euVO_<;`;eyjdyq(ju`%_NS{wPa=pX|U1X&6qVrN$*&K^1&iy@5;qCTl=V5Jj+oe z%h3eO=_ObWEM{U?E(~LiEy<6_{3nvkf9hsQ8liv@*`_2|jEv#~$tINtoQ^0w9UI00 zk-u9K32o!T4SZ8eZX($N4)fV;A*m2R(h9bq3jrh@_e?L?f+_@%41z6)!_aO=G%^Xc zpuIx?!Tt)HEo2dFA*)~ukdW--!Z1TR$Az;?wva=xg`{8$IR#t5jtP5@gCPVEZ^0IP z+}J`c!4`5SWDD54Ed&r>$rk)1TgW5XLSD%h@=3OkU$TV)k}VXJY@v{33;vQV1W2|J zDA__`$rg%8wop{Eg<_H|m{I^KF4;l}$regVwopp4h0>BOl#y%!Ov7OdL6R*5OSVu> zvW4=JEmV+fp`v69l_XoJEZIU8$rh?gwopy7h3b+m)R1hUreq7XBwMI0*+L!37V1j2 zP*1Xj`jRa)kZj=t$rc()w$Mnjg%2fLXe`-66Ui2uO198UvIQK+aE=SNkZhr)WDBj_ z*+T1tY~drp7D5DDXd~D{s9+0i-_91o1Y1bzE`TJJ0!X+!TSz7ZkPxs1d?)y!6X%+N z2+0;a-35@Oi3uR>903GXRK{iuTL9_cE`US|0i>f8KspHlBuWS%o!=pVbcq*0y1pTR zbaNL#QV0R0tzZkzl<;)jr2rD0m;i!f7q$R`txUE6lI9Jz5G&Y1oL~z$_H45S@CQc{ z=_T31$AT^N7Hk1hj$jM%$D6&0Eqp53LZ1X|A(dbYv4SmF+(4<_*@bxLPAPKXRGodi z4k*^9-ST){8bP}?LA%p(&vb%z%j0$F1?|p|7^KfAX!pC0*JTp4JF}qOSp@CQDrk2m zNxQR2+MQj{ZaQ9QybdIOpUEZMGUEOK7JYE+nXtz9G*G(~#Isxs@2l8$M`B*`* zLBk!2-9u9Bo`Pb(8_0VR#ZDn9_9w0sTh*G5-3xtx>+E0IN9vU8xb;PzxbOv`ws)(6 z0nqj?o=2X87MK3SpMy>=2({}uXf8nZUpxn`z5`_c)90Y+PM$ZF0Xh( z{THtA3KoPKRs4Gp>ZAf>OF}Jg3Hqyq8pm>Nke%=x^t+Bf8`UO3DPr&LwG|?XZH-m- z40NTpKz?>h;0-n&HiRxCg}}qf1es0AJyQuhEXizYfrry1hKJJ%Jp3*)n@-^2^a2lO z5O|n0?*`aFU^Ry9?mAnY<59rbGVV&oB|Ik3GuLJJehre z5_l@EVG~!My*~-O9+$5PUB>_BNnpcWmtjaU+r}Lan?jdCCxP*uq|}8jBmN|?=B~>y z64PaflfbB=^1sDN;3R^~%9Fs(l>GIRz)7Jpux?*-6x_7^Wbr6h@+iTl)Dp-`cv1+e z_-_k7NlWl1`24TCgh!WJg2GZuP(*^y_m}W2#r2Z{e7?Vg$GTeb{UtmV2r9Z=QTgYW z@c2cGtsF68Cr7ONaWT4;k~RzS*|-$ex{Am6W)51i;b_7ggi6UNF0__(v{u^;>5 zV-nZ!y69&AokafkRCf_B{S~*;yubR4=*j!5&)U49oBgM+KAX*ygrrodH`kSL;v}(U zWy^yt8@3ED?_5YHWO%tBAwBoZAY^#CA0eZV;WH&B!)F#U{JZue;QlRpKSEX^!)FsR zJnhrAWcVynhR-2o_?$w9r#lzCgba_JZ3*@x_y`$3mzxZqTgdRf31xVfI~V+XYP?gF%7f4m=cIQI8s`Rhixgb=fbmzjqg-uJ|xgb@g^3H|7sw$OtF5s#_ zw{2>9u{q7LV9Bj$zA?tSW#ClY4|Xog;FbR!S4L|28=Qrys=(NDK(wE0y)?hO*I~56 z50eeMi})kj*Qae8mWP(-#%09hKYx+(-}xTTf=`d)S?KaNc=~rFf57!Scoqpk9a_;# zhw&^HbqLRraaZsx@12&$+0;hT8YI!*Q0UUmx4It7m4SvQW_t>^Oq&-yK{;@NOC#WyNO z^?%ss7M@M#Qj0AbQ+&&wm+)-0f$C|U6!V~c)SPMy@wtI#n}x^m4829|hO1OtL=&pN zT@K3MJ_p5jC_(unXVOe|8bZB_Dta5w&gH1Bt}SR3-M*&&cW*+o7yBi}_t;A_*83HW zw9i4BhrYXLR{LeAJpI{Os%`)qKy40YbEwD=_8ra6P=+6~rE3CaP!ET*fzG}mu zKo$QUI3cCb^-G-KObJi-S8)P#N{Q}U1QrliO6QX}ArG*Fvn$=&ei-X)X0fgat%si# zk{ecXL*qripT&1G2pkD^6s8i=m$Vh6=ALPU^d&8*X@&HaE-~pVy^y}%WkJP-$+q;B zQAl5zg!Dxh@mbPW1}S}Ik`ij?D z1xi~%VJUqTkxuY;x z?kFrLcNCVFI|?hv9fcL;j>1ZEM`2~Tqp*tHQCL;(D6A%T6jqlz3Twz6g*Bzts+QbQ zSX)xnI?`59SMDgRCwCOqmpcj@$Q^|r$Q^|Z<&MHea!28Zaz|lfxudX&w4gSXI|{MO z(78*wx%-a7772G0wiG)GTZtWot;LSQkHn6`khkwBZ1bl(3Pas3sB%YPD^OLp9ffWG zp&f-`E;|Zwn#tY`9^t;Du$|aZ*k0}^>>zd&Mv5JUxVitWI|@6+?6kKBPG@1mDGP~=_o zt~*fVj_kj<1La*ivj6EFD7XRkpV@)pm5_eAT(;~j>8FpRpSdLc%q{7sucV)Tl78lq z^fRxdpZO&H%rEI@0ZBg#O8Qwy(ocU$KLez#^*#Mu4E?|O@xA|x^z*HE(I=pv@-BL! zpZHGV@1l>VpMT{pdO<(wvgLmZ`YG?Cm-JKKMgLdnr@V_kxjX&jd0~#VkIrECwxh<1 zksGmN#YXHnadZYJZS12n7DU~1*oDIS0E^jhPStYVxQriBDV~gbCKoVNlF<|bhNesm zhNcoQG*L2IZ8t6wy~pH!=G1O5F^zzUX%mt;m;KD?B$-Pu$y^3W=5XD!b1`y8N#-(1 zGM8DBxh#^*8IsIpm1HiPBy;gf`s|X-<&b19rzCS;5+-^}nCK&6VlD|2b4!@$DPf|o zgo%C@{M1Khr10+lglrXWdgo#BYOe`v4VlfF5 zi%XbTLc+w75+;_CFtM~Gb7dqECQcq zeFr|x7LQM}zkyG4xZzX3w8rf(F@BymiKYZIPY>?tX#~&@#ir71g$PfZ)2NCF)o4u7 zUS7_RH5(CD^K144 z)p?k;9)-x$tk4p}Lx0H5;um-I3H-lXVk5FJdt4{?cFfWE5SzW*a z%AyIoU2T+d`Y;t&j%7oEF-i`96d0?VH8F^B%GUW*TPX+_Q?C3ZQ+ zGFh3Q2RWxG#d9OeR3&{DIMb9s3QbqCP-QceX&EriCCV)fLBFhwx}YaMTwE=9zocT1 zI%n3GsMag@Yy9x4PswJeCZGhx1lIKM#IGiHMZ8wbgPtW`y}~oH2$p~rHS=fWj9x@J z<7)rm+(c$he}Qlc>jx%N${(0isy|1pQ4IB2^PKrS<;tRoQVZEOig?1ZAx8U!^`d5; zu@mGxXU8aVfYORWLzOG2%BrOLR_b1jg;cWUQ1aKhPFZS~qQ>g1p=aHr^sKj=YH08y z4WZ!=6w}C$y8U5$D%E5xr8Yf4{$}mT-#iTsu$4izweCsrA047rLYh%(o1XLxJw!FM ztwedkc2S+t3#k4WA1WK0n@YtsruZJqDNoOb^z5~PN`3r-a`rw*&rc@M^V6qPf1iR> zbAMKqq6V;>6gQClOp!y_3#w}<>q5>jHihaR&iYezBiJV0z9A-!<@{J4a z^vNQwZNsj7=a(VqdIIZ*(~}76Lw2DjlRs>kgL~$D1E6>bfa0APK=FAeKyiL#9Y6nI z>&bEpJ(;i2llcifSuUw3%OmwA#VEFU!@W6lO?;j8o9TrfrQMG`W z(9VGY;n9&jBBEmgLc_!8e+VK&!b74%I(WP-XUxYju@RjExqORLc6&h)=f`z~>X++quL>DnctP(*0k z$X1bE!lL5BBU*J14eJouCBoxh+Y09JjlsvoMn=6QHXy8XOk@nbFic>8)78W)NHl9e zSl7L>0nIPxahEd@oc;H0~67?YnK3P zt}&6Z5z*0c-C|vHxP2AQTDR8kTQlLaaZPh=!ZqHi*lxI6bOe@gSVT-r*XXxo=@1bb z9uXa4t#H>Yu^l3#!@Gq>$9^18xo)GHjRI=g3)eM|OG}9@9E>|AHna<-J>e&A_b$;g z-6FfbkzLRZdzStrIUqVBCL-2;x_r$Zm_7e6B27en+C_xM#zjZ?cc2KH!oUxa$)+Ij z$sEZ<9gvE<&qBf@+J(kN#fHRw+$|yoarn{tkeJwTykG<%XJWjuaWNrbUBj(ps5$I! zsbk?%p~wN~PTlALMDNk9v+ZI~VX@Isc7UiDe5gq_VbvOrh7k`!8y$hK%g$m?hePfV zm6X~K#|HyH2(w&u)+}`C5lIav_wZ;R7Da79T(|p@5~Idv3lc}8M3?EU)8JBB_1R-X z%W|Bk+nFHBMSblO(G$&v5P^^E+69rw5`uy;aoy}3t_u+{sXaVmyM=r#jtwO%Rnfy zh?AjntP|6vN9XwW-c3~At!p$59VMb;o%UiPqR~}5QpCkt{Y0*~7^k|P;Z8ZLrgY-v z!ebACJoXUCXAJ=!dkExlh5)ZK1o&dw+G9Z52-!n`#~uPa@k2lWLkP4p!dF=Oia0(R z0OhjB2cJDYc2pC61!B5I(CVU$34+~XJ4AyexU?s{Xif|f;ks2eDcMWYd$5opmvQ__J7^CKS2C)hi{8-Kasp+5vD91x%| zU`u4A?EDW8=Bp|mipuyY?xixzxBgSFimJj7aW4w`II&yp*e|)iYwQ<@b+2-m#+vgv zpVs2Ht(?3zvU5KBQ>u*f{;XC29M7O~D$DL2$S*0ilq7bCT2apzXlw*9^kC`?AJC_r zPY#~fpSOlvtgx!_b)Wbn{uXOiG`M#8+5zQq@q>Na@trKFKmb3`x7Hig7pcYf_Vq$^ zGrr%$zg5$sd}F_XuI(4A#rHTFzVz_tU)X-PF2>bzE%1#;EuZYXo4>aY5A=u6lOEIi z`SYJWy!hCDUV;1BthOe zOXtezjUOrXFJGiiK+Xz9rxcHj$zFm#=LAkLNE7B%q3ahA1Q_{j-eL3V*8<@~?&Z|NjJvziS--V`%@zYj``Jbj|wD zKw3wB_y>S2!Hd6F6e7_wUIa=+IzyBH1EE8%?f?f+$_NKqy3V0%6RzA4(}tL?aokb zWDkxikUeFQSleQ{em!B3HQ16jB66LUb=e8&M=XpjFueB+w`KrWgbHMoy;!5k~Tm%w?Y%~v#jppHrNAn2S0ttC+zz&}c z*x|9kH>QaE5^f`4Nhg*|;1V7iG{a+qW_YYoK!CGH0gpWj^4QV|`KYC&UoN; z#si;=bb^pQ9(e5Wz!N_n1RUwa_7S+ZeFW~wN74zo_F&<&2MdoqSdva;z$tF0I5pS- zk~U;Ek~n05qz($m=|aGkPArd;*OpGK7?Msb4@oDM$C6HLpVI?JIzgO0(eT(44UfIx z9O(q|EqwxxbONs}V_;pn&>|^HkfOsiAms}BB&A$Ifq1zBAs0bHq&Z>+1rx{>l;6>z zpgGYw#KLQ9FcAGVxx&&|bZHl26I_U5Lb8Aq=By9+NU*4b8u?nrfH->)I8Wzav2N}1 z&4UXE)-6mDK`=dX1|s<*N-pouBfQ*`0^Ng3N=FdE-0`6bOCRV{*gLlnPf}6r5`~(3 z-M1Yo9M1fK!;fpVc%fzpj_+Nod69s^)ZVSWL5SGc&%ZEF>d#}nyn(CXAmzMAEu_q1 zwfNY+{=V%IbIODAPG|nbbHz1B_(We4C_4H#Z&ACcPZQtZkAjOJHYG)_W&VZXKi-$> z*v!1Vm5fe@Npkw#>e?z4SNUvC`5`+LL;h8LV-YyV{CP6!!rZZK^i@B`?b;<4i&5k_n>^0z6^ z6Ge>gO%H$3aPvQwPuy)I|DmRbe=XAgXJj!)^7&sfFT7jEPbeTJkS+e2F*9*{vcT1EWd;LkVF3S9K}@0ipH=Pj!SRl#{}f zRfl610f`pE6GdAp$9S^{XtV?sz|nFfu4WO?=y;$kTZSm-0?E-wVHvUH4#(<)#tFVy zA_b~obyVFpNJfkelHsw&0+G!g3q1B% z$YYO%eAZatvByFlXDskKV}Z{_8bQb&3q1B%;E5j#0**9d`v}b2K9VKKM*}3+9w2=7 z0O7HhCP^bQ;1su0oEq!^Nh2~FNfu5q!50{tT)Y6GF%AhxEqH}||lmJx-=4GfrHXGh&>0tiQ8H2i`G%i~j! zXYl7S{yDu1@+AH_ig;T{7?n(>9Q_&NN!kVG;F^yLEb#fpQrW;n9w{R zXW`}nifLo(yr9W2lCW|lqUp7Cp4`6y@9rNETs|O>qVnbwIb$j3uZ*QV?#(~;>M%6_ z(EP~2bprFMKRpe4nEw8{m6Fs-NhX3RtzaqnhQB)-E!#pV-6X^M8yP<4_wY5b4Yry{hx>?{q0(M((-W6^AYjHLRZ=kaa zDKU+@Ye)VLNKVMXHhLrko^LnN{Eu-w=v`>It)u@_y!j^x64>q?2+~z81=Jvksw;xD zV4$0#9(2G3NFgN9BPbz#`4(a%;4A#7`p?iKvb+mDf)Gmd2!A|!L?9kL+JSiVh_J<} zK-kb1M`tY&xx<@W(K&ck0yGH#nh;IOD3?i)aW|TDG=(!DEk$JodQAXN?OUdtBsk#s#l4F8ExKB|`SN;IYRAPyDzL zaFC_#BVcCx2p5u%21~9zSorM0!ebAXkfjVb#qAWQ20K8=Qf4ExC*lyC;vakF+HOru@&~ODbC&lnfE|O?i>ctcn@T3-0&XA*xQMrVoFR! z_Fn{KIuMX~=wSny3cA1Uy&zlZ@_|JybxWPN{tOdOhYRSN-G{4EE_Z1wM-o~X18Z*ROb@qO45 zU_e|9P6@q{$zBAO3>IKLLy$c(G|I{7n%{MRuJJA!D_7gtTe7@uC+Xjz%=#yHo4#@M z)t>%01|lccKJWU^*_RVN$(ry3PSD~zEyr)}nYCYBkpR3|oGFWl2?&pf3jNr1Sc&Jb z&H=xfEud}J(CF|t52V`B&RNuuUfrT_!Zsp21m_uD>u@98q}F(AqOa9c2iRZB9B*gxf0OeecC<4`xwaD$PB1}o(D@Y-34m;T2brjK2NkLKI zNEf6$5vDBo@g>{4l%XjUYEp_$U}(4XhxYD0@Mt-Ku4+;+=6H>rG-Ht-$D%r<+_aC% zVw9F85mW|VVKQ{c31VO)v*ydPQiaCQ=}0=om*@^)I;tQdmyqmwQQR~qyi=6Ym_ zSGXdFD@j6=FuF;FEBx^aR|Mka6gv>Fa7EZsxRRYtDqN{!!d!2uj@=G2!g!ZZ!78I% z1})=k1uKG07(xy^CP8R~UHr4Vtd2MZ~6+_xo%R|~!%VTL%ZJ*NvN1KW`Tbl}xtxbi;UV+Z8 z;mim-+En@s-k)hCN<_3o*y*$2qjV@H#Eyh^`mD4v?4#qj5lqx)9 znhXh`>i>_ucL9U{KZF)6>HRu-DVw12oX{*zO)+ zuy<5(01S4)!()k=*~J~XM~Sp8kq%P~%L-AZO;NUGQ4T6hS(0Uj6*5ISs8C2^NI^;= z$&x547z~P{Ez4#&ELoxO_x*qVSy``X>=ApXTVlz>WL0KWS7!eC=l4HTwo(+2>#y9s z^=ZVCACf2HW_@l>HboRq*i>aUMpT@1ueXNy0Y{#v@QAroQBSVSJLI=76z_%(0x#MN zQU?L!&>6N(UM-*xpYsxl3@kk5+aFbJ^#25ks(rDLvp2WR>@Y(vw6X&j8ICtUd zv2Rr4{EuRPsl{{%{`=3>@rGZ3zwt465!63{i=Ty4^s(B{&GUVK;5R?|2B1}m56Pn`P!@pb5neEG+5vr4`s?3=yWJM7?)ST$&o8T%Vx4NAo}Qc zYeJ?%TBaEf9VyHfL~=|~xkB$tS?n#}eF{4T-xF#6}FTldsJnA$n)puwsM_Oyd(& zV1*p05)G4Mq>^jkDg*(}k0<)*%Xmc~xpGtrk}H~|k}Fz-yagSiNMI>=2>g8t9$ci7 zt6rp%E3QRyRnfq?3h~2Ca^;lf+{!VhdMoInzo&94E=5koMC*W{pdua%BG{pzf*lJ^ zutU+!8A^e`iYpcDP*A}Rg;cP^g$k@JP=@1QmEllQ84d-^w-Zuv@uZ=x5DBygC}W2T@Rp zrI6|`+~Zo~-k=v0QhhTDp8kSDDlhzS;X;K}DY&*Oq{q@s}FA&|06G6ltZKOdC6pAU%Ndys=enR$$i!aT@9!lA;;bYE!VoyN)A!VB*~ zjz*~mIWJNVa$E%-XITn#UQycvk95II4|PE-XuG*J~2Cx138szTT_36xT$ zaEH983VBjqZw>JSj!0j^BgXqFZ!2f@Zt1wCLS@){y4jo5`B9ZIzZL^j`=@jBSJj2Q z{`?zP#ZMc~Q0H0o%X9PR=nMrD;wMGiLsFsVj!jYZVMvQV{=xdybLY;Tgm`-HpU$_8?^4@t|eAAWJ@#uf{!7DEy@6Qi?<~%O` z&*yed!M(lqujcsRAAbAFvEz^|Pf>!L`!G1mQF0^(F3p^&!%!g$K1JL$3)4B^{Y-Xz z_X!cX13r@rQw52NG=3S+qP!V$p|FYY9S#V;M-tc5P!zm3CdMy>O1Jx8^Bt0;8D@Z8?d4w{G$IP;$W<2z{v4-*pfVa1f2yV)m zExxeUF~0?tTgPxW0MzJ;g%?n{``%c)APsq$K`e5X5(gKl%%B&k%z$f=87M+Mp|y*a zyqpx_Zv~z9_f(3&rCGZagJb$qvzKCU+wN8NcFxwmV)0zmh&}-13u>gC!u*GE?`;Ee$Cf8ZE%)gU3xIRO2C z@!TR&J#PF5pJg_k-|*KzgzM*OCl@b7sQj(D`pG|8``LFE&-VN;KDtx;J&QMLum0V$ zwPhsN`Kq6)J-)J6`&)BAb+z{M^H&&`F})Bq&Yer%X7*D^AC0RQUa9>-yh9`h49)KY zE3N&b8ir>6#PQlUFV^lYo;!{(ROH(^DFRLX`A=Bf?SIR*KZZ~FO1Awu3}N3j{+jw~ z9K{jR5#j&iIBLXEE3(Hp2elu5ZvK0Y*P_MR_Rfjp%@;R5f@t0Kl{Q2j{>pbffByLq zKX;|}RdS>DWe2$Rn%rvFUSF(z)8bkt1JA#FZvOu9+TvpE`W1O!`{Jl|ccu1Sb-wO# z`nqp8U%P)tp8RzP=EvrazgJ?CC;Df;nkDHZMfy~TUTRW!Ce645ETbMS=B%eJEH@@L8$#1TAvb6Tl)b z389=?PjHb+LVA%(Lbw*BNrBEwLi{kZo?wu31~EdhoFwFL1)cWyR1(6aNJ5w>6(>+o zae@UECs-130tFQ(Sa9M5icXwBDX^^IO2r8jRGdH|6(?|^mK7E#Iqw9+836jFDPhVSa5wo(e(wTKs3UY_5}s) z3kqppaN$HFEm5-25(OKU*g^i)4x*$TL_sN+qLIIFk86#4gI-WH^35pj_zQ|gyzs+? z3l)u|;M%HaBzGtpNr9q~6ht)AlKTQD8sVM}GzvP6IQ-v|PV^5U+5gwnYlqBy`M*o;AN{_? z6R$*)HQyfol{tC(6+XPiXaA}^`#!f{=d(X6&-U?X<3j7jZ#kF2_kVnT{u|d1 zEg7nO&tIIM|AtS#p1JkQ^Yb4)alCal^XPBS&%boRtTXcDe{pR7rQ?v)YM)(%u#oxA zzgb=N&yUT2k@@BSsy6>kwJ*O?d%OsVrS^9Hg4KR4rmyzD*5((w zkn?`x19g?`{?7L-o;dd%=SCN!4s1Uc--v35=P%;PR($T2rDzm?y!O?LQFH+USX8@o zrS{cV=(l*`LUiGk=)xy1UZ{Qb`ByGp?B6~2N>uyqD^cx3SDI0DYRUbtS^Lg9ANlGl zpAg&Ak5I;$``-8DBNdB;64fp_gQdoA4LbhPzppB zTq)c~LE%0MDcr|}iY_b=+4HX=dz2K}qmW{8T!`o*f1hO-eR!YZ2n0{1ZHg|u@S+PY zRCJMoYpbG*+@a_q1&S_G5Ya_T6kW7L$q6MDT~JWb1%(V}peW;TJy*lC45J4awoqJw zY-i#Mps!mmCc^|SV31|JxI)pz#WOvTDM%P`8Y|??I{yt`SzDU_dV}6S$?Kn=n?E_C zFIMd=|2e^bzP51phc0oT*&E4JMC@rl3X&PcPMVL6S3;89y> zs?YE~_@X5M4S_&KlPZ)Qyrs+(RPna5ra^{}Kt`DH{`V+)MR9c{x)y8~`n7sZdw8?_ z#IHPX&0!;;r5WH9SnvpNN+e?1Dxk0wePSRJchs){*bF=dBXg`Cz}5VB0a61rh9;&x z4?Yw0VoR&fTHL|8KnS#;z|K13JO3`NC7A=6o9fo0Ls4#Kv7Zvih>s%Sk9s= z50a`d(lb4}Gdlnueo^%3To(?EqUiPLD0@9R1U9cn2NN+pIt5hIql4zXJc}lQXzNwy zWIy4B*P~Nn^m=q&qM_+?NWFW&iXUR<+3vYp53~4czX|-#U2LB zJk^w`Pq%Emy2+A1Nd3w5Qq&OuOaE;;p_zZDDu?g?yw0#M-)3JQlFjKGwR#?1$A@0@WX`*g+o$sZB;iXcc`0_0(Emz5Z#=X z+!r{v0^HMqMnMM}g$yMUr90gmm&Ob@gazZ_5Ez8PA+Go`I7AGS9xLh*5Lruj;o%Th ztcOFqNZ}B!0yt!KPs^30^J-K)HutJi)DDQ%Dm z=QE2J>;%p_6mhjz=KuW-D9q;JKg*VX_WAR*AA}F`eC@KT#(o$tKQ{Lo|2+ca$QCD| zn3mT%6R#QWd(zZ279`VWCTLT!%+PrJE{9PeU^>E2_K8$vE($f!A%$-*kgNIvgnMsA zlPV2ZCBzTDKo-UkUI$Mh+Rt@T7piqZg+~{14X|M8Q= z0whC|qaYdgHA{`b-OEEVT%?dpFH%T`Yk_3Czq!;H{4i5bVN`Pv3@V&F1oO872cM||Y>^2e{-bvm zPXLeoT#aF4Kli;?PMoNH-FY!qeh9yMZ0;9lsGVdt$-u1qR%4aQbuF*=(*hY^Y@JiS zx78b~?SnqOS9R0C{PeWO>35mE^8E|5l+}x_%EON@N$jLMmhh=En@83P&vZsff01p*8q44<|Dg)$%_slj_QVWHKz)*xfT5`@= zGp(2ISp`e(@f11XU3x*}R0xI;bE1-1&3o zqHJUb@@}F2A4vUwAJpH)JC4nLJ#^bM6;(OpBlg2eKaM<*D0}$*t-^X20O?&ceTJo; z{IH()FKTkD%juwwUTX#7$}PpBQZFL}nVj?V4!Ln&uxg*ArYrD$1%7ghg(m`mSrwMI z#W`OjXhKrm13;JTkpy4f2!o3msy4`rN2uB$Q+ib!&4YH3N@|6bM5pF;fj$L5i5fqr zR}0ahs_#_ChIh`=tD(tJdbOvB4*6lGSHsZe^lBdVrH)_zR?umGFN+Q_5sIf!P&~zg zYNA*YO%w{MiDJQNqEK`MgHmAW#FgSH6jT$1f*LnjP_&McLP8W2q6PPbv*$J1WkYG>Cezd&uKugU>@vpB3y=AdIpgP zG16YHhSJP}31IWI*k^!8bp_LLOK!cfck9!53s^D12Vf(`IMlCsC`{?4auU2=lPav|o_G@?~K5^=U=Q2^m|7w2z>MPNS z6DLj{d+9^Rz9Mih`%jO}pBP=-IyJg@5n;TD7XFI*i8wlT@rr&<>w~69P<43m z;Kx1fse<)3}egnvkcjUT5bc9vB<2U31V z!iHZg&jgN=Xk*60r2tfz}5~R{&~v;vm}A6 zTqsV7__-NkK}K$TQW*g@Y3&6H&ur`z7APZNFrKAQdM|w)b20*$iEKnFF623>DPSl( zxG<2vM9}vOqBQ=;|K2=6+@E^9b3xE<{rOi6(*F}S`i;5y<_oV}_yp4Lu)dFz9irpM zTTI0x4IE! z_zM<{@}tOUivtDcYyZ#ZGH>~hamHY+cJn;G^~J^7|B$Ml~WM# zui#aGKim2zt29Mzr{S$L8^Ke-g={=4-z? zw;18#zmto<3!r?$e*Yh`D?f&GyZ>eO7k*8C{|CR1Z~nLVfIpJ0{;m1?>hP85mD(T3 z-v8J0a^&K_!I6vewI7_<(OG={+#8J#s{HZ>4sxme3;DGF5r;I+*M4z+F~+C;rO$C( z{_4CRm>*@s|FFhB{Dd_8wfV)?)%B~|>*qO?f9!Jyc;+|pj0+O_Z9enM$L1Gai7!}4 zkPPsjmiPRdcld)pbPSn%Yqjr}PyB&n{s*PuUy+8tCJle$n0(o9zQZs3W4VTZPa6JB zY4|hx#$S4egY`e4p%W^<7KhZ-{x50x=cM5;X~Um+hokd1;4UI9zf;^o{xtTncPo-O zz3J2PXn5xN@$gg2i_xbJ9zMKx>kXVcPCzc8{PhQiXVbgSJU`MiMpbJ25_PaOchjuz z2eeLwASI%F?`jG!H`PKrB|nsW4z>Y1JKWCD0}DxDdkbHJ*4mW$yqybQq7vBrX2B1< zoDnMXMd6=`?-qrl$M3i@oxX+%;Vsxy@x#6Ycy*xD=cg8YWzi(n>7zwB!@7fe{0{LU z#qYRCeP#6`^_9i7_{yqW;e2KJVW!i^Nal3<5DxPA-QNmy`u?8k^l>SG8uOvZ7X?MW zET~SOCDG}lpgMgPoK7D_Cmf*^AbDJ=P9Fu;>7$S$d0eQ1p9Kc=;IFFpQSj=0mc9K4 z7lPyEFJqQa&`=r_G?WGf=?x4Q+8Y$KH!NsxSd!kLpuJ(i^#(=P8 zkoE=_&R14TblK1nJw8}s7x`Ch87OIIQP9rP-tgl zx_+z@?<-3)8{!p-X1UBQW(lgebx$jV z8-4VQ2>k(5p;Eq2aI*xcb#Y^Br4PmKm8Idg)V{JleBl%EE49Cj+@T+WuK3C;FD|{z z+b_RR` z=H1^4K%~E?AQG2PF;qMNkwB*kyHHTrg+dCuaG@ZQg;`PI*pSgI#YeahI4OS#Cs8Oy zW^!*jUcpJO6bC0!^l%d8cLOJ}3cmEL#t73dS}#?->l z$U89wCAn!@VYGusiT5Ibg4n!B;80>-BycDpF#QM)L9U%mGfmcc4d3IFG5$Lz8n-=s z!?C&3{CAcUK*`3q|M2k9t-W(#67L&u_~dZliwa3}>I?x z(S45{!2zCtRZfIr(cRz-vj7L0WB^Bgm&bSNtzeh;_jH%XrR;|INL8+&pvn~%M5Tg) zs#I8TDistRuR=+8a};zpM?p7m7Px`)uc}B;`aB|lR6BuZQtxNvG9Em4c2B`R@PVjuZe`-qbE5rtF@O4>`m=jZ#p#%~lW8{%V zxM|oCMUNeMyP(F$%_=~d<*jAf${f7R7(hZ5<&)_vpN@vo4GgrTk1^7__uMEE__e+j z>+$^j3+qu#*HLG178T-Op93DOsReq6&5$F~-}t?}@ptCtKlF;7g8ByU{6jW5rqA5t zjb9CJ$XkCM&sYn&@mp*$uaC%$e`!DSs}LrS&HV-bTaGxN8mK7+8lS@M@W$N-d++hD zfP0lgn)18v;*g)xrq2S=o;(l@8(MTOr-GZIAOoZ*%$t9M3s;#D;1KVEKrsW{ftO8hgpi#=L8jv_Uy1YM3n;SRKeZ(1#^!*8k}MmsUMP4;*I z=&*Ed@feg>mUkbztMfMx@7_B&d-uUbf`r}uJ7@u?6MYvHS{Rl$@7~*cgxeTF)5}A> z#gCbh_>9Ki0t(EF$vM3|!mGSq9_3UokBd|AsF zX@5^8bX@+9CG@P0qA0JY(k^9n`?;fpcQ1b#K?e?h z;U3o-_XfS7k(H17_4PWJ7F`E*)q}QqN;suTxPvH^UBfylE znPy^dUMSwR52NSJi}r%_G%926nb(lb%f^UjUUJ+&W%(Z^lYZ{ln-l?GoJYjxxpNJA zqs&X>B~P!K|KNv?orKgk?e&uW_*!o|9wi&&K`YsMxLo+guNce*{PFMMoa{PuY7^|<}9)6wHrBZ}fq+}%Dsw>=$>CdqJPIv9_) zx2DPE`rP2!_YU_?*B8pGllA>i*I%kH zSTl@aMMM4uMsgGLxz%kfUrsLdMpu*JW>jBrgWp&_Et9`JSxqi)PS9?+HNZcZ*Nxt2 zjE0T?I&~ocjL%XWbwB`|mEPvY+K`m9F&V_kcsRklmfyG0DAvk2td9pAJtY^tsAPLkj~-)Aqr-dB z&tYSd^t;n;>^r9Y0%0#j%@+E_p_K091<87^AtW4k<|f_sQ6okN>nLG3F{VSjYp^!l z81xrhZQSXeu7B_M9@%7Rb!L?X^zn{?3R^c=>pw>_Q=H#a&qihgjdqP0-hVXyZG zy<|b^PwOqYI8*Pmf|al~8gT(`uB~B=FusirSL^!r4u+)}^&Ka{;_vnwAX8n*g$j^u z+{RGU%O8|4Cs8z7tumr$w3=l^f!jtDDN~D*VWYR6^j9x&1^cOYG>y5BI?X7Ydysz8 z+n5Gi%9DkwQYOW_WUiUzD-;tscG$bz-Pjn6l0pB{1bfJ(&*Hw-U}bX#>Ao z@62%(al7D#0*=Ct;ZH1IEPUPZoYiQ>bDEWufL1sA&1SO2$NIx-ar>%!u#ZKmyAbC~ zcNcJ$X@Wgwb1c8TEWgbk?k2sfgALtbnzCxIcdrdrlisk`8*HqC@M7*RoS|%m&Rmi_ z+B-OW^k6?ZcpD;UJxO*CkXZTV;lW;#EG*=zlLUN}yvuV2-H_sz`*?d` zs{w6Qw9v=5){}9si|I(PlYl>C0@}9K_LED44e&GUkLz308<@wrB-yxz@0{!%Y(2XB z@X_6a&s=)+@DMWQ>kB*BQQmrZ_x5R&iUX!2xiSaVIoOyc*L%~=^;LlZgM~K_9^qN} zbM_*|xJ8WK9wffx?OO*A@85*1y?d~C8b5w;Ke>PRfl*<+QbvVwr<@Aoj!_}F>9u9- zJ^J^G6n9RMxwbt6-PS zwP-D+^vTvRVeM+NKEXyR6C5i+D+U7_olaM;1@uCi&eUZHP4S!U;K_JLS8c;^K%B10 zn2Sw_f4tuAki2&9y}kRHeSqY9Fd7usY^GY) z?9Te&5;#Q@+jjTbxKr4-yVJ+__g>#^c3QorY|BTrYAm&%pjEumc#>9)&J(n1Kgnk` z<@fwdrmT}&Re#*S5s;3X#bO&JAz|z{HhQbulN*YYgdSJsJU#?n3B14Fy*k+53f^4N zqJ%VcofHrn=>y9mz$>=F7w}5MGmuKW(l|}|X0p28osNe)_2xcBVa z+t|`3)4`U3>=f#UwIxuz^er+9B;d8~WZJ14e{3`gkW3Iy!w^pf?=~7OW02$k0~P2T zQ;EP-)|S`ESg!Z6V}gHUa~@n*Y>>q5`a=1A831gQWwo-h9Zcct+;7DY9_BdmjffnR zGru-jcO1!;2Fd1z8`}n26N^y<++5${hn2wZRx2j_W<&HYO4fuId93DPdLxPn)%dwi z{Gb5UZn+<8;>VIV5~RU+@X(mQ6J{uq`u*BuRS|QfMk%#B-NFyjbjy3!T8VuQh&gfQR0@{ zHZFOAdr8S0sIt4Yx=IMnzkuO{;+( zaAP=4y3@hVa9Rf@8ja4>-6fXtaC&BLeF`CG)E%yifeLIVVDZ>B@Ll{4jf3y7`jm+h*p+ts8$>5YfeVGlx^sGe1C;+Az- zExpYsS9~K`K>?QZ@Dw9MBH36U3NyuDQ5&aQSXqtzQ0bHMQ{;w2hnWhzkejn|X;2$@ zVW1NVZ|gYOHli!=^5!(vd~pfm*Xr1V%fZ%gW7$yvm&p3$a=dWZZc%5lunlth*y)$# z`t&Co-x0#bxE1HOCEGt+(d_-R1@nYx^lgWt#3SN-3~W4xuKK828{2#v8CGI-uqROf zjr~I9c$(s2Fs{@|uu8|&c<5>~9w@B8zKO-)Fk* zE2xAxHfo6KT@~iq-G-s>wb2$|TyIjrc^$g&aWchLs?@KlxWp^$>P_#?`n*GF?ip!$j61UU?rwWlD)^YKJVSmlWmf`b$9>n&Aa!Y>4oC@q&vm> zW(oBAXJ2v494AgZ+=F?tNt%FUVre28(qS1?c#J`hm$K~(^ zy&jaqSy3@xEk8#ezDIj^_8#p$xV0Bz9$h=Rbrn&A;_R}~QfT5{0*}z%Q72&RR{sLh zauLMg>kUwCZ;dAaKpqhUJSNjb*C*J=1a_z$49>>F1p83f0261vQPz64g+87#C#UEFsSGx43$LivM=7-N z>xi!>qs?i5IDyVai zW&<6;zKs#9tg`i8-hkd4Hb00`d@EiWn+YD(m!VjI3x6;^Ji_XG^Nn6roZPm(*k`v(k~Dza>=5fgtEgE(I`(U5QtYbOvCPMkLMvNwSSkU56anTex+)eye`!R2{OdsGI~Q zV2>K_B;0t>g>XE%j$}V(?;?T0#u{?G4V;a1K||Secx?b|0i`A>N}np;k1N_M*ehqpkJJeJtXQ8*rl# z9cN{=k$Aw?v+wY9p5tNA$O_{l5$CIv&p7G33pXeFHR;^9vziWCl6JDH4%H|r3yTu& z0@cts>d3}Q@j=!4$?B-PaaFt_RMafowhkAbk&cqtawuNKH-XE0+!QF)qNvHe96&UN zl|FVRRT(t)W^-dOp^T@C71Rk<8m(ZT75Pb&oiV-5^3sv2&`eQEg5d66i?yuOsMW4Fv$-iRi*r zw?yNpfLfwrn9MgW0SR&;Onb{IuB8{8@1>Vbn>{g_2{AiyX^jqzKoh1Dc5;31GKI&~ zbCc^Y@EtXFlE1C2q+}4OfpaXVqE;UbR?!+f0aC~SbDtn`dhLlx+F*@}kJNdK0SBl& zZQ_}P3|Mx}`9Y!I7iQkU@Mavsa8cRmo10MAiofyx?pu4N{*15(atu^OwzUaO2{{Qo zK9Xc}+y~~_>Tl5iFoazvsW)5L%yom^m{I|VNrOBbV8LRgj*G2_Zq!-BLvUHSz6n_B zoa!<+vnwL=fc0`UYM6=$h9I%mnIkB~MRDMZm#qa%2i?ACcWsCwQR46uNC$3spoB8v zOSch@0o%FY=*1|vtO zjjUo}rvT~Un8i>klSy}by={0JK-rxD=|Mj-NtQq;0AaBEc4C{6II}G^>kTL-I9Hg> zlt;Ek;ZB79h`^z48bX_Dw6aYa67Z_-O$}1A>`SnlI1eRS(VcYSl@nHp?llID78RXb zw9acd)a%<|RaNV_ad;gffve?UQ$7-lU5Jeuqf}~0*P3(hvtRI2q+Wm6wng}$1Vg81 zU{2wMsh$r0%Pn}Z6B(#0m`uEA=(r&D8(ba5N3o&l-h@vpc1U*lU>R4mNPFzZUpPnb zB)gKW)Q4`pd6?XN^xesA-GdjLmwRG2Sh;ZeWo*p-^;H&LPh$uW0MC8Y+}B8oISSQ$f^%2e}EsG+WSfMj(JQy>?11ubsAkac0Zxc*`rt1G1wkfl}Xi zuLKHoK&8!u5+31o zb_0qfx2I-qW;dA311ems074O_I!$Bjd>Ylo8HyWIS?Xq^N>5)YU*kR%ICb5r9jU)3 z9Lqa89Mw`@C40&>adLMX3ZWG6S4D-uvF;W0{60DpIGk=1bLY9*KHqiTVKMS=$l7_wQ0A1CE&1y zI(-DxfUPuccv5KBTOeu2zGASzvXGRPIecY3M_QhLCFAZ!zXh~GKUi!-(c`^#`!*oS zMts#O!E{Z+Q3xdMxRK~XMg)pFp!9)s_}U}zmLhO^R4JPC8;D@@ z2K_38x%Y=-?jP=t<0x72r=*=OUyI9$I|d>#=wVz=p&~cH`FgPjrE{o&QS2EBmM$&_%Ie8NS`bCl zxV~@*x3N0xfUy>JC9ifTaA3t!_67U~^hjl!scwuyW2QE9UK-eb@*6)$K5j+SV*37I z$(A_U1&hq;p}OeyU?NYglGTlrbCkj;Fn95EgdaXdhlH-5ce4M%%%q~qDmaO)qZrcT zXgHn1<5!hs^(1o{!WG00K!#gSLo#e+0A;MMWRA;P>Ev2X!}JgkBnO)&Xyg>5+mkW8 zJh5kE&S1r~z>J_12SjK!%>y=+r-2__j$+W+k3CPKXm#2+%wD0ZR z0q?r~U5EPzf}XAqcF07M&FMNcKtez1t{S(h1bM>PSuwt7lQC+RSTi!K%pv`fW+k%_ zP*vFjIw3Spimxn?1IVb3FwER7SWH1^Yg^mE!f+&twq=QM1v&S@vbE8P8r1Weaxu!N2ntNG9yf=;e2O1&;=L}Tv(rjoF{+ARWB6L!ZfV?kMf93$vo*6(voZTcXfyjo?bhrUq0Q_U#f?sD zE5rId=PC*D7Z;yihp~i^HcD5pd61M>>Y}rin+;U%Tul=`L>5rJ4qJ~7u1V}vC}VlUMDsvL19(a6JP1RS8@|T)~)gqK;{B$#Ite}T#08U9=H-8 zy;tiG$zBb`+QHua-orL?3bZTx*uh&0f?ibc1v1YcZL zXw$#MbPx!Ep5KMl3xf`mU<6AXA;ec1 zMnj$qtp(qrn5`kk@zw5j-@hibK1Rfd+=&?7Lg52hSTdOh3U&IKPCo%}#=ImYA%qY= zTuO&fzuJg=b_9p&Rx;L}CS^{Ml4(a2&%2fmgs^mg1UJB@fsw2mnRY_%&ZwgC>EzDp zQkB4?3ey&7kDx`GLUU< z8j!l^GSF$(Jz zZIP!H(Ogg$q{0y7arH?9mV`SC=bJrg&%FhYNYfaHQdB$=^OrdgiMhZDnW4EXhM~*3 zkjjglTFbpWToeuZj69%<3Ua1FW}Yk+r(O*=6ihP&7M_wfNQQt?1fv{3`Me93c|2MI)No zA|@(;XaD-@8l(-Ho7JY8*MED=${u&~wIxusyd4M449ZY$Nm3WsCN7K7?(%pOSs$Q# zG2T*MZ?jU;%28dafRO|WPC>fl6sM}p1<}H>1pk8d;okb&$23=? zWH@YIqifO$tBd#Qao|%cSdPv>_Q+!iLt9gV;4;AG8y&u z5?M_Jw#1^>DMP)wGH+fR$8OU?YACPaDd>RR0$!Ne#JXS6P{u)6BrFwF#5f17)@W`5 z5BT2JHa#~HcFIIg!XP0UiPjqGcdq9s8IQ%74KU-0qr8FAh#y9A$61$u@fF;ku#TJ| zYY4AFa8=o3eZ~%Kye*AVw27l@82RaVy1gYIN7q(-_OJ)P5W2&Fu%Y12m}QIAG6w3x zq{_=IYv%k@S_CQg=+myUqq`D3hD}nLx;o&|D5y&y3uVIQA z5odI?L#0M45JQxwdw{4?1~6hZTVr9C)ye=1O&~yCTz69wZuWKw*UUBGyHZ49_$x#e zN_?1nA18@*S`j8GY8N%{$P%Ot21-8}?S>gve5ks>6EUCo{T*@IV{re`)a9iSjzKS% zyniP*Oe~So+qOpYl`?LAyI-HqhMBvXAwJ(CuCrY2{xZ*6T_o z*|6I5F=^Df60_R+18SEfeqHJd9lFY;0Wd3|AY3oUWXz9Pu6d@a=0|y}PUe;;3k- z)Is#x0A_9=ijd2kU8O2@9oP*U19iVy`(aRF6JB^u=G*o;jErbzsJ9sRLQ`Bt3+;WL zirUUGzWly@O0ibHg(j&!2#hOfFiD3nbGvNlvJG^|ptcep#bgcQ0sUC>DLDkgZH?Cx zx(IA;pz&aWetUk8@kInB>Xe&T4YHh$u0`!gHh zCqh4~rhso*swKu&!W>Oi<}|Q~?aoh?1ti5bOAtVh+<}+m8EJ&!iEq;v@ zA)BRmXN4J}W!sHR@Z^Rrbfun<@H8;Rk;$EvEsTzXZ9jOEP;kH%0J*X7Jm)OblyJ;q z8|9R#UP9QDvOW14BY-n?h(@@JPa(_G)E>MZ#C3!B2 ziEo@Y)vji$U#SH0v>u~WYd6@t{3z~wf6vL)a0cdT?Yzn%86nYuOA!)s6K1>3XEWt*~d~v%%y?jRuTtRa*{gVMIS_ z%aA6^vzw6V2QqUia^vGl!C|(Ab}0{_m(yHCS(yxu$VP_6XM_xCvKw!Xk_VhET?JfC zr{^^PEYnU_+3zL)Y~1c6TM)dYDh&9Vq_*({joPgzXau7=TS5L;NnPT)mL)`~fuIHG z$xMNi)$DH~k6zDMs>#3Xw)QUo zi3Tz9fIJAVq10QN(I2rPNkYqfvU}^h5AQzOL;eyuMd_`*1>U9x6*mI;6dmLgM5nIonZhg(d@UD9dJq&J;PA> z5Z=y{3}Xpw8*{lqQ>Y@9^3D7zffif2G(`cSR<_aKgiwPt3&NL=3ltyQE^Y^pZCtnTj<6}#<@M3rX!Yq`(WsEmpAw5i1CqNI(RZcT?I^Nmd_8HB9 zP!McdKr9X^i?cPsiRW&`suBiQ(uiN8(k)Wfw^zKiJoawGLBe$~IWufrgGlT2?05xT z*|=1j%k?IMh#AD!Ne&X^b3&@~+ba0Na>g0d_&TS%l^f`KKzO+tB{x}Rz001r0v3il zWm&a8=tOS>bLi0!Ob-D{4Z+TeZ#okd=@+wQenBcxw&J6dTA>_M}dzz1HMcs;fB z)qO`rf9)%S70~cm{>M9YGo`AcPOJe=i3zPGSZzLWcFO|&RtRy_(5Fahyn}dA`A4SD z?_QcF!z32CgRaHPy#bz1RRNNf09m!@nqmyhs{-PvLB~Mb<^oSLxEH~kl}70Bg|a3m6E~cYPAL15nhI*8+ zDMu$lseX5gIzBcPo4yMn%iPTEOJQ9xp{A;IHMa^f6=K+BE6T)V`8}jqRnpCpM%qh~ zlF%59D}_F~6$vqG+=!84p-RkR?F=zXnj9@=NfQ;bGF;D=sz%-q8?@_;m z9zyA+S0z+Ph;C)OG~B__P;71%1;;^T@JUk=S*96ebj9&3q-8xX1)sp&C=!fIBYl+m zgBqJeltGYQ{QlnkTle2gZauuc*LbvhzpmGmWP3*rF>B+cBgF-2aZ)w3nTF(ReTo3=G2LCbtyc=kscc{oH_@{9zKTiyb`i3DD-#>%5S7iAQCC) zb=(e_JqAP_VKg)}V3Zz(c}jLcq@;1v%Fr1ZDZw^@+VT>0gHm{~$vKmXQUuM6gUw!@ znV12VI-Pn)Q}9bp4*o;ny0JEf0~$GY;kLZk;pI%Fe4UEJapVoxAUg@-_o}!Mdk3Jr z#JwN{qsl6Gtr7O-T!Rbsz)ux?9uj@c_#qpFt?);i6Z~$oD06 zCG4xL6@OnO8_*o zlY6%&I5nY+RG*rp(^-4U+sH7HTgl!A5um#c&)8LUGZ5!yRa}-ek!RhmjEHXR$@tfP zRGiM~@0M>MPpxflz~Vg}*LnI@{bQkm4~Y%o74WL^Mn#A&vIw!Ls}o2LPQDGIizKSh z!5P2E7_cmFVdlX#ep*)?xG%wK3zuth4-R#ej|lc`=te%aP#AH=qV`P_ffYXE4L&|{ zh&q^e{S0W!-Fau?tiu%f0hcJ$@gcB>{kyLxcMc!iA`kAz*uV8}&$)}@R(}$d*Ml-B zL%auin_D=$2mz{Gt8shb_Wr?{{YST$j2p$%JQ9?bf#w~eJbHqY9D)_??}Z%0N#@d8 zX(|YXPFZbS3N+gYEZ&wDw}fTMRnOsRSvZMh zRv=;{LLg#}lX?ou-7g`Wd<&%)FDxSvOQi0Q)d5>WTBb`C6dS8xJC%wJ)H1QZs_IJ> z8)yOD#;hh-Ewh>+6&Oj#!EZC+LNJr#`TT%X)RzoQRZATpx9Nc!xqZ>^ZkbIns25r^ z6@aDYr|XkD4aKP$3dd!19Pt+`GNhlcmY?%U5&~+gBHP(i3!>$&Say2Z+M0ZfC;;3X zG|k1$=caKSXgOph%XJ4CWpC!@w;n1P12qDaF$54Vfn^~r0Z)8(pfPw-n62(rX&T0B z3dlR*7!)#7x&?Ov(1(sc1WSObf05JBBpWIn={Dg)kl^tWYJM;;F7&(sUWRYNEDsn{+{j1X-aA*k%_4#$v!sqMWwxRL(k+M3Hh zR%qgXRoAOi7MdYlek_&!sQE(LAN&@32M=`ktgo%BXEdCpM4jG^vEtl7#TY5}$R>&6 zU+RzK_B!yw7FZ%)YRxcW@ZrIgf=m?=)8N0H$lc>;8=C1tQVz~=kJG$9g^Ju3ov)WU zc0h%K%V223=`1xMfo!!5rPIX4MVBh^)9Kh&mG#r<_yeeH3~&-@BqU51M~yh*D2dwG z8I0AH0%4ApAed@8CsnrLa3T6*a8Qyij-5zX9W_2KMv?>%F)5P<0nx7Ts!e4j6_dDB zb7~^|Tp%8@0Xz+vZb24u_RvGt=sG4rxWyrISJo4$xi;X=$VR|qNB{yc+mpOkTQ@q* ze6|y3h8gd`FBAa@UYF|jp)li_w$ZQA@rqg@)wGyp&IU59aN`)}$|t{aV~Heut$<0! zRZKFT%_L(mNl(&I#~XKWypKnmnY%e+&OAbft}ag<=lN8eM}e7gWEF)VJ-rIb`|vO; zU`$ARw|8&feiWFB3_6KsC)6EdZXGr%R@u&5)<9NK28}}KW@=3E-_qOmvO=Z2DX#)q z78OX*)n4!0yNXZA%P1v~AXmj#M*oHXn;J=+)e`Hi5rst4f@l6H1Xi}`Ov}z^Ao2ww4i4{ZvAGBvv*OUx zkreC{3tnvp-+f>_9kMpsq>@$KDI6JWLRzOa6Y0ergn94Yx^;N}@E%TVw-}kdv zTf)2Csm}rPa$ExG&S^_(ruu63%X2$9ip{)}3|yxvD}%gNP2uJQm`eptE_YKdp0NNg z`7;BA3+1vy!OJ6~52j5JN7sWT2I7-DYze{AI3rKiXgywPJ37pHd$(oOy7EH?tTn z^FS&aDc>1y;xq>k3Zo63?=AH1DP;GYQ=&dScdKep!rv;JvRAr}^;1?gEZhGES9qqT zmE%99~Zy%?+^ z45v@fi%RjSl*NhdHNf>q9M}0JSzv zP;Ti*ImM3&*;HTh$b;8(NjS%PcT0X(Uw{4`ILCykbYhm5R?+vauxFy#rAXBu#xS`rU{1tMVqOx6OSuPzs2jP}D3=S5r_ zj~KqD1OVg+gj~}dOWgp^_iW5%rpidF{8<^uAWCd?8^I3PI+0=z=nx)r&VnGui1Zji zM|(<+B>+&oN$_awcSY#wklI8N^T^}AxIBJk>u4`}uB2{|L?vYyR?ra@2EFiOV(A~)Cpl$Pp|BdAHEus@e5Qq=b)C}Gg z1f6*8+dnmVWB1YC?N9X|0(tH|IN1Nx)}x2twRbBmY`%SOvU~8U{fBoB-bT|;F%1z} z(%W|r-rzix+}OK$_`2{{Xj7Pk0@jsv&+^DCgZm{6FW-XH6oeFDhmj3fqO~)x6_GAe zUGeskhWaDiWTBZ5J23Q(0ygNck^_7Bp+r_OicVZ}CGZf*KbUb4uZih=qn?W5`>WC^ zcqov0NRkyzlp@^={Mm$xn2?~%EgyafzJ0mmOKgAbBC5g5QL3$Vrny2zcAqUgsQPd1 z2Oz5DERy3v)n;2>XZ(Z9tI$H&2Y{mvGh8$n_z5&3s>$cxCLq7B;NX^A3B#u9)yAlQ}i$}%>*$gQ| z^Kd4QUQ+=&>WSvVQmJ4e58=Xob>Prs{*aEeTBgs~s!tS%NrXp-4q;|~bo#|V5}yMD zPMVvYI$HL{>?^~KYw5ua=5$kRARho1`d5>o2FC+4n}>WHnX;G02Aln4C_NR3VYCQrhns1YGKCtxmF zfq0(l&PMZ^*{uwo}UpGG~Hc z=w`^+vtGRgGcSGvFg=}gc|^7X)=2&+=*u(!3jU)~jlb9gSPyN!IL{*TA#|RVA;53L zj}@!F$Fb9rtW0$qVEUBtCCIbblF~Gs8E6&kOa5a-U2RF;B-uW>78Ei|--XkSUaS3wwVtv=|>AvTL4QRbunx;*LA81um~JWCeaXE(KhAk&kK> z+JurjH67bBFwxFCMabfC7DXdV@9{|*v?pB~Oe7S}j7@{nXMYG!5{9SAH#UI#8*p>S zLl!iGzZ0tL{30r=ELlmV&G^%))1Mo6?=u5Q_!=a-`a*7E@(pZrsca@a424q-*x!c8 zTXxspSFDp4QP3+U<^(rW#dc|xy|VmSd97+NH7BhDiUDgYsSS9WMiLa123+(RmeyO}^II~<@t9R>(iom=?n*?Jiy zL(oRTMAFb*=qctd8S+vL1+uz)SRXa71QeaIC);pr(&`ezt!T*4QE7z>K4nL%__V>8 zKUK<(8a(ECH0oaW4BnI!eb_tkQl46qzEJ^h(w|-mPhTyq*BP_{%EsZzDypn9;w)*P zlxZ4h4L#Cao~D2f?`5IXYET7L1;z#518J&Dz0ku}vzkXafAux(PpH>NI7MMasK%dx z7V@crZsAESuGJn$M>qk)3%?qCra^U%JvydZ-bUV=Vd|V=aKI^aIBVUZ_seo`IkKs| zECEJC!bE}}s8wv#ok!wovq__tk^;_}&z?ogmj`>Wke#Yinf;L5g+^>u6G}osgwCvW zWrAhzFja7);ho^p;};tlr`WhzNY!rE!De&jB9EIeDdmSkVH-~xm+t8?if*REy)-wum?; z*lC%g3zH1MzQAjt8Y4ecsewQmdn2H0@W)ktqh91yHjP>I?X07l@^Q z1{>ZjtfWdG3dqfUbIJjto=fOS2Ty#KvwL}bq`OXPlTVw-;DEn4g?9lwV)BT0X@!;U zLKe+)et12?8J~8~kPaXPJG(dJ?1~DTw6bb}ZOP?V%}oGSQ#o6`2Rs>`DB>pp!C9Q# zoNt}DN1(>dobt)gQ3%?AEr<=2J@kkHb6S-2S~+0K-7;J6#?R9c4C&~vhaqmJcvru` zaePPFJvwLLfB5i<3LB!5YWeBZ8D*&|_y9KVSx(1G@LjWI@8oa}TsA9Fc?0bhD2S_H z4-qdp>akE;IUj4A;7zOb4}+qqbzn$+yu@1&!)tV(GFl zh&RTUzRK#etew3TQg2$s0%rH&?-c+Otj-Vv&Z9%tjuE#JA@J94C( z?h5&KLPs{arn})80_pj#6}37t-$2jHZ(SPyDr z$sN>IK*^G&vmR@5IGHOqXu9d}Rd6;VHxXSxnBf2we4USnN~H;yPUI67V;@h9nsxd zm3$<*(dYKs5|DvmG1f(QZS{_f<{mtJ6UUh2c-YOYh0}BQcONh8p1Fzh@}y9|>7u4^ z2=Wc22G>8S&}4KG*{daFO~wcVo|2rQQn(&NIU8V&swO&gw)4oy_>QG|acn#Ei)S-u z0#o<@)FU4ltGzY|e4%qTG-o?qrB23KR($4ickpYYr3a)4e^afJ9np&uq{Q2+w8}U) zS8%?8Oq`inMw?wad&wWaW7GVo+BRhiJ_kO47N|wvNFL4X8RG$?*)B2PVr7+mPQF2g zoDp`}MSjOj^sW)L)=6`>8FBE$Di%y=zABwa&JaREG(oJOx(oUDyCRXTlh zJtJAhK~rcc+y-pgt^V9{QbZ|>%B?9;FXoq1Sf{=62BXZGJZ2^hZ)Qco%ppFh_g7X( zb=m%-$IM+LT@4L#LLi>Py-hLdxpV2zSt^rz3kaRPRX=sA&I25=7vQYyICR9pq0lXN zYLr{-VcN9-LMlc26TboCgUU4FCPol;HRe;&G%To0M zHHrZFC5ldYfLgm&Bp#6_w8t5*AN-c{9LwL&&KOR;%#0dcQehVDtxw?dbZsz}gFDu- z*}_CbO>T;^8cXK2KW=lqRCjD@?A-~R~w?WQhg%dj}vG81|14mjZ+!PCXV zXl9a{c_u__5m~L6$PfqMjdyJt??^aGT#|d<(}Aa(CC$d9hlxO)E7pIgtPdX`RGo>r zkj)_DE4kI>KJF#DkU6nRdxNu5al97Nys8^IW75226|b$OK1DghcJN}82y-pm`}YrS zKRi509*Hw8{yBRQ#wp&SqR@6u$-L_!W$u0np3Ju(0l*Z1L{?j?y->VB1pt;`XCB@5 zH^vh<`k@xqNHc}0cKuqPYLkp438YVav{h#BRtFqDhj4-2LFoQqbfYJn2yVfsqc2784j!V;EA6lq|2# z=0<;j^bt6B!jo}T6a74*Y+JEaJ7Y$XSo9xriBjteuF&MDS@u*AnKPtlCNh5oeIHKj z#{Q>5w#7(yH4!#1@k`JiBag(47KT;I+X)%4m(Ec~wE@**>~^nZM_tto<;5gEw{=c? z@IJ|HeuZjzD0?(6ParRFC2rQ>co`=w9Dl*kC+D)5#S6%$gn_E6@*`AiARiPSrhd40 z=Kk*fr_bEP>FP|p!DH7M-;CTFzWh@Ca|m(T$2qrhni!kbPcs-=Z|c%Dd&9=~TEM7M zBMk1BGOBC~exFL@mMXf>MA7Sm{&0KUZG;TPNksz{SP?Qr9Or+zqQ2w{s#@xoIL%<3 zdQ_!4VP+_HWRE8gG3 z{32Qf`|C&fU1xmC6(S#Z^Db;)rh>7s06$R9R)r>lfL>D zuK0dqHFR*0bM>L_*#=4?x^?&A``$iLWqD&H7C+B2t=7gNE4&2C#w@V&&hvEOh&Fp)SGa#)Cbg3#r zPPY2ohoL_jufy>>jebayeK?ihefU6)qPqtNkM7<)JlIQ;1so;s>TvOxhf=cN8aeE7 z6Geqp1P_^<8_rP+2lPR4e{DH>wc3e~wX+U4jpT4sk68j2?a6fn(}ti+F-yLM&JkS- z2Q*JrIuxQ7(K8w^j#)1rzrqGb<}~LI4Cs-Vbnijh+1+>J%8R|*xKIx)bzT-H7LDhF zb=ZLf*)d@5xFqIe>QOoDVo>dtWZm-jjJor!g}Tx8Cd6d<6In!jb0aOquGh_thA#nn zm*w}(DxS(($WJ<-GG>Rm24w76RPKHWW6HNsc4RhM614ix;67xgkSV9;tndt8l^ARJl2BwN5*W2CD$~~QYMhGA&_9~K zBfObiznKS=N=$8TdTsW1S@8;Rs+WEdW+QzwKRj5fAXx^BqNG+A1VOg*>b0#If!M*5 zhQl25_PrkNXsl0TYwSYpB2kEc3!us3Txi z@JTrCOawSTJ~&a3TN#?+TzNN^qP0lXn>3DgB$-vIokzo0nNBqoiR?{Ygj9tlS)uAp zkTKqEpU$D3v3JJZ8!e|q!6`0Ibs5~sQbsqeNww%}h#oDfUfPDPthGGyJk}< z1@}vqUhYX5)>K!zJ=(g)p@em>HxMfq01Hq}Q9$Q|Sex$naX^7JWVOZr^meMKiyKwv zF~p6w*Mw2>E<2C`ZJa_{=0(!}(BVO|M)=`LLnn~;hni`bAe;)UXUVQjVo5vT=O$gG z*eh&y!khW|3F^!eGZh+S+B!+LpViIk0?9SO(Bz;DQc;*gZ4eeKFBa`YL2m%sb9x2! z(AGsp??qLXsLml%{;H-?(Hxs3RnMNYbu8P4t62pkm)V2_HH7T&-Ta;Z0R<) zv9VT!CCgzf?H1Zt1~)9J-1gd?>bWkB&J#6TX*@|Y=#r$Fk_otm9ITk93^Bh&F(@P4 zgqbPXX_+NNteM|xT)r#}Z*C8=3fq#)syW+cnDYnUcj5fJ?u>q&%+FnhLM`Bb;Tu_{ zvuNxEsXJ0%Xxn?jdszYwn_~!&MzjH5tADRJG=N)|(&E68xg3rlN=KfJ;8u6J1P<(6 zVlWs`0(TN?BP!L1HzL#JXCzkKwvZVzEw@+Fa(qp`13EgU0NP^GNi89m1bQ!m3mUkx zd|TbUp`m_r@7CQrclVsn_Z~9u-gzjJqaNz%;+FgY<|-GtA0JNC2Qu!=8~oM8izds9+?G~s zCO<$HP?G8oTIs=Ld)!vBeekk3wT_td_JBGb2Fob4M0UZ`AV*a ztS^Dgxm{t21}=$w zup>+Y6Qo3+Ij0c6lsqqn2p+5?*tl|;kR*Rb({HF5)6!DY7E%EBZdeSFD+KtSoJLaD%868El zwNii*dfT{4hJC~3PFVJ|4bO$#$3D_^c zZ^T`!l>sI0X*cR^6#tVB}GSW)4STUm67^n@*2q+@jdy9#8durIvtPb%_j8{vPQcwr|d2 z3zUK$IOJ!Oi;!v127bi_vPm>li~Sm$s$L@@YkgT5L-U9w>0eFq%{v=vGxTB#$ItPUk?Jx{v`YX6ZtY?o;imEU7CQ)UsB5HDTDz{kM1DL}s-Fsnt?*S8&&him5@^|Gd#{T2=DvC5`mQWnhi) zps-Xb=c&#}CE{F=cs`%KAWa^LTt2|$oppFe$*INkJa!5J^$gKuY*}?cSmRitre<7n zDyl7NN1Uk*!5(lpSJ!bi#>U`<&bW!yB-|E${5(U)B+o)cPW;T*XLllV$Hm{tbaP97 zHOk~hf-e(Oxj+{+47mrV*Vg=)c+pIA35Er4nY_2M z%BZxgfe>o4@eqfC9zJ>iLb{D`V(;TjKWEn+T9MW7Lgdl}JP4pSir`JgnbtilFQXw% zy2Ivbt*&e)pAo|CbjvIeB@}JT@lR@|;AhG@E#9-v=W6r^K{J&08rXuM)-Zva{&D;d z{R%b!%j1b$Npzu9`X!YY7C#sVf=hx1%x6YRE&oT7RN}A&6lVR(GVZX(`azn>J}F&E zIc;1Sz!5(|1P9tU?IY|8-kRB93iu>>^Wf22^!cbek*N_Ls)QD!0s0Ls0+=U_O0*>z z3lNq8R>A$T)xiiTqz4Okg}^tc2eXcW9`8($MLj<4cUENTteD6Yj7Qu25&SDGLT_nh z=H3%8t>9xo`%IAq4GYqQmyk*NYmLg$Z#=>1qfIK9Ajgi=6PIlLCLb8-A}-c5_ma92 z?q1f4q~v*9x|ml3F-B#ELUv|H?iAcosY4RsiMcw<2Fh9p6`LJBmec-X=xD&B&5q8Y zfok7v7a}U;cmvoG5(ZFE0Iec7+1*;$^PP-3 zb`k&bR19e$FF)SS&tN1Q*)T(u@+~!zje7hH!?PFTfYA`mR<n-%<_Cv%yF0gp^;=x-qgVPTSP15VL^#wHd*DnXn5A*HiM)&}4yj;I~ z2V1275ovTD!Zf}pP1$FEK zc3=QgmOEvEg=Yos32v*&gDU7qius_ZIN`AhPAC&%hiJ3Nt5;n|{y1EVU|$Ue4RX;px-sA`vPB4FWPj|I_Q5V~V@*W35CRpIO8t)&t4e;Y zq>=s{*666wIO4EW*3LLARhk@qOs6!_V>$z_At5c3bsWedC7W8V%U~4+q?D`CsWeLP zd`jf7J|q`(5sXuCXGXEBKh$Vie#v&aC9m}*AHJJ~e7btS=<*f$g_o;0x6^LahQ};& zxeP_8t2o{nwXsYPB_NK4p5}B}lI>^~ebF%5>2Yv+Jjz+0wy~VjTB0hm3Mp~i1RwCO z7W@fE`Y=>&kEYJqLbqx7JE{sN_lex3^?+5jqccA9%0WZs+ob`5t&YT{6ME$0?PEmu zamsMeA5lJBv$F@|q<(;nC3Crgj!b0sUAkP!g=Y;KS`XC*O}k8hYQSmjkUZb$rqGNl zWA6UL+lTjP5ZssOm8>SiUZfLPkXhsfvgA}*Z+3l1&z=`T884l6M}T3-of1!stQu+z zN6JtX^r^(&T$6;V38>eS%h>VLUlrdTYS#Mw%a_;J0smF7qvnEZI~P>l z*wIkV!fo5OOP-Ogkce%?n*%&Z+-zF;YI%n5a-#y}AoK^$FfYgBP&?cz#PZAC*o!?G zGg~V*>4_^s=ou#w$f%}{NZ4>&tu9fpfhCD!fkQHsF3>aAg?CXtki%Q#9}|Wt9prG3 zhN*K~529syhLWsSXPf%LPOIKYPbCrlNvnpbT?4LQYo*X8C7l3TbSHE|$>&vBj>P;= zjWRNh2xU0IwBfV&d8v{^ixh@s&hNM#4y{6!@sK+P;)L?Q+Ukf8kR%(s-n-mId|F}x zaii#tB)iiCX*UID8DSi3YZO8)O4xL&oeI}_x@HuCo$ znF4>f!1Ys#MW#X{RHm_FuyPowjP%!TJ%c`It~dDMl6K`PWx$CU@cW{pAMb3A{YuYQ zlq~c@6J6&4Ng@4iU^+M%w?QEcXdb9<&{;o#!4H=IJ=J*NabMQ1SCE+9rsE`h5@1p-3tPs z<2(!?)U|jbgL=ZXP|_F&J(StX*uK&VFiEHcWym`iaSXYG;L%DLl+QH13VNZ*4ZqsY ziC>cNpC-;yK@QBy<)rr{T~=8_)}_sqw6lrN`ZqSZ>yRnB<1x}2()^8`iVVYlLC)Yy z1QahdA#NZ~u6X#%AujTdTOoEfSH4;%%?(f-o{(ju!?O@~Z{LC{@c<_@Jh=Vv?F0e^ zjuUe_2^lV8Es<3LHjlzu)mkedTg^r?ql2?;>ZQ2?$AEes& zB|}9Xq&d|;vM(-t>6|TCj?+0o{3>U7R_RCr1Q)!_$ zgmp!;Gj}|0P(fFYVS0i?+jJ^q;Am?_3St`uXary)bY$Wbu7sJM2Y_{> zo?L@~u?-9R2q$*z%vstWX$*^?OARk( z-?BWrNgGZ6f5zT~yNx4D6V*?_t2(C-RVDi&v5?^ObkD&?ktHrL07`WCn}Yn=V^L=-TEh9-+w?#4|kjRXwGTm+XAdjGiad24Q9Sn)xx$ z3^GUFvcC%CJaFPg)7x~&iKg;dd35J7wZh!{-V~G#Kh-2vRe6crsNlfN2UHBW<)o?r zt8)SQD=7S~5r5A1xICsQ?}CrXihbFUWBh{r5d1E+Wk9ow!Bcp0j$&z_pm~`wes+dh zzxck|xzTTVlAQHxo}WUB?>?Y%mxE_#Oi~E3p>G50L;vu=NtD!JC5Z9Mz3m;k!x8m8 zwCB+D?0DJ-4R&GnIogb-Pd>QJ8UKMf`<$aV)#ebzVh#^7X|++LntS9@k>o>4p{Xvd zZ>eSZDJfG+O>R;|oo6$#wAs zD*vHo&i(C|``gFAzrO$S_19aJ>qyG!6hmrIU~ACB03lHMftLkP&ey`qsK>S*9L8p7 zr8a73^aUm_S&ZmQy6GIPm*xd zYBUmGBx9OtC8c_&Ww->Yi@7XxO||iiJb$&Qn6gO(%aASjm%Ucca+8rA&0dayE0fwB&<%Oa_^#1-~-q>_B|E zcQ;6EW(lv|qiQGSd=xi-xOc>uOa_cZmjS~DKFaMeI$A@ZS#durWW(z&WZI)5U7{Fh z_Pg}MX8(Bw5pzIwX~`Tf!6;jXlI)3iVnHuI3$5#V9^loVu2xL_!J4|>kY){SHfc!} zQ!I)Jek)sIe>_z{H5r6m4=>Jx+7)UCw&zqduZp8AD?O2c4)NzruzAOscS>JM9Xu8LQP|H z`3HA~qJ73tHvqGF+_D?{Ol@JW6aKnHyWqX# z__T+eP@kG4B%9zs5Enm#qwyl)hLur$M`u|T%u+yVraB&6!8z+{ebD1$$%<)g%)=ES zaHhzPd=M)qkqmJDK61|x>N&td|8o7?4f@;N{mlPRDLwI23p5QF7+}+49hB^1@x19+ zKo3E&V&$F;g~9kPg8kqv>|%H_N8k6i%lXzif`(9wmR2VpOq8wSR*Z22iHlp&&7-I2 zojJM>tlbI@D-s_GRhYp|?O8xV*eipH?AGhkw@9(o!I&WI2>{sj?QuA@e#)}Z%6YBN zBT+H3#xx}KV1g6;N!$p%glHQ**!>Nd$OTF_+xl3Ug4VFmteT5j@&nsVq(6$WDeu#$ zM~fxK%Syk6{DF^MI@2mLlao6#iP`owIa9Gp`F4${w?BPHqbhj_oha{Nd{*M1ST1vX z9-*z&7QDI%P^>{HYZ;uE0^AwZ0Yjqz7|L0yLL(3rznHE8TSnkJ?0Lbd+cPWs|J==; zYr`wIK^Z*2Ai7*s9BvL(&+PEwamt|o{FFvC$hfdpQ$p+is-+Az&VUBO#cCXjzM^tN z%6DY5oR4L7IXe6S zoPnq-_Mx_SD!FliHQwiDAf`o+oM(X1Bd4evcQgye&Ldl5`HG(Cf=l)Cz=jpS5X7N` zl*>UqZ0h}HAPK)&E!*h9u8i6l%{>CLIg)Y9Du>Q}%o~sn`kvLM$c9DVmDlEw(^tvc z0WWVR;=AfzTd2qqlzn1`zE7kfRBH0FcO4;N#YSY4^d>ZIL|Z13?PPI9cl;cD7D7g{ zV!4NYGKpc^AmPUT?)`&RgBDG*fWgys7C8)e@fqE`JNAbCkB8mEFW1P3+WY?d@0ke2 zYrE^ayDw~Ryr*M&@#EJ!t+u(_y`3WL_!`Jq2!r=u?(ek>=kDh2`lnAfqMpmPW8IaS zMeyZF(TViga7AO*&qB)~vfR3!i|uk4LOGoJ*gqFNKN6*G@x|1kY^$}fQWFUJ zS%SCcYfI#K7$V1}hXJj>Nnt2Lj_H^mHv`l}-x>Uo4K%#6f^*1a!kBLh7?{#Q{Iu){ z4>3UnT4YDy1)A$z^w-Ge$Vbsa`ZTN7HPnpjz!OMvtjc5jfX)tnokg{!6a`{|VG}B2 zl|87<(k&n_#VFWtRT1usA{@2csTA+bYo(F0M*Tx*R{R=y5egrF5sk{XF2^-4K2t2y za_ zfORCoJ{X|QT$+Ie?XEahWY#qoy%hS8W2(JsJ{ z(N56>@6Ou+_z7IDuFi4T)Xn8)CC`S=Z~V^veU#p{tR7$*NkeVdg0iXpf#bko@}EYh zfq5L>E`FW305SOyyrbz9q4~@s5f^ffPVYOZ5^n;%tlmzPJFR7LOZ*PR_C1^uzaVw> zcLX4A^py_B2=;27PNT&YipHSa&TjT2+!0y`#Uve>n990@&??jAq2V$UC+R^o-K05i zd{LgPe-P45vg5;WPU-p-snwDp))%yK&`{&LFZqm?K}2FxHQT zGtW%$FYcHoU!L-1c@SJh`7*`Dk%TXfSitRsrQ?^H@v%cJVRM2)9VeR;JO^5&^d~5? zmK;6Z&9#O&5#dZCX*!Evk~K854UH~5&vl@Lj>IHu8`T9U8=e70kPQ!%RAme3sZ0dq zLmiyIn8Y<|yTOt8$gXSArr;4M1H8J6h)&xfuJ+`J?dr2kgb@6oZa})>*)0>Au^Iace%eE`2aDEW-g>2P!_WF0R+;uq_I#^JqpgF%l7Gw}l#k-8Lne z@mBD6{0O_y9;)m@Ws>d!k7v~RJl|DBOK{t}w@92xsh+?OGu)L_+(7+zF0Y>s$)pML zG9`M94Bn3q^50brT9%(j=5L7v?JD_~mJX_|hPxxwWSU;%pdN6bV zr>I!~aK@w~`l!w>flWm}r_e9b+jqGIoKNB+o3b(;WacC@MwLUdDGt>30Kzb>!*g_J z&4~3y3V00AfteiQA%-AA_i0&1)UbCm7AeuG3X-~>^Xs`8TYk(fE5m$90;hbHqQ^`` z2f@Tr7HN<(k<0lUU3{a0(C>44K0Up7O(umP%KFiYWb^!3k!-pZ22X*|IQlHmZ`nKt z^h5gzi6VD63H4i^bP~#x@$*xj1^O+~o8@UJ;Ictj+|*mFvLrC@pM?HJ9HnEn{)vks z4NMXKwUkn|3H)jd&ms#8lIAL@X3bpMcM5XIVBQ)KMTN<~KWuN`{|ENe@!(9~16%0% z-!qtN;s?SrnjdnzL#7wcZDIyAV<-a*Ug3Igf~FN1rU2cZASy4}%pn&xc@eSS*;j!&tTpMlb9q8ax13qws93?j&t`Jy*rW zl3r8-SEs>2hhT=(AI|$n5J|*f0S297in-SA!9Lo&J6RwoA1mWgi}&1eN_Bcbtq_kq z=xBu6s>4VD$LI(Gbs4d-peZM&iwL%KiY#fuJeO=1%Ps;yT@xyrK<89`lZxb+ z@3w@r2vv5|%W+W=1M~h~6iQ4 zkNB4Zg$`4GkYL9%v3Epv?bh#5A5ZoS|3`X&XlG+w`A4WB6*}iL37m$HtJzSSAs+=2 z;`CH2z*$-~R3GP3M0Jo~d-)T-zM?#~>*={U6@m0t+1o$xYDz;E$vQpRZcmrw)+f_* zXcx{+Qm~bDPvLt+PYPi&y}$M#(Ldb~e)MJc{@1Twa#OUIcUm_D!64Cb=(3kuO;AMw zk8Tgig5>4)DwDV-t=eqUO)0E|$R;%WNfqi*A-RwiQ#2w}1%wVbk1WjdHjWmHS*m8Y z#+2Y}!wGXU29#IH7MW-9lYUC+sEDx-60^d7MSwvn`;o=Q^GFt(af>h%4rFYGCs?3wmlSTzQk8(QEVHV>8aZIMCE9`c{3FJtK zQq(6zS+3)wo%7f3-Zyq9PArSQ**;7{s{EiQy%m&Wb14|Uzykx^B)Uk}v(dGu%7{^l zVg+K~ZLmAI7=!=C^~cxvmX23fn&$+)B}(V9jy3C92dTO|Jy|fI0p>*ie-Di;9&g{{ z5ZvB<0`zycqyJ)f3I5RKzQEvpn6c_`p4fHbPpIhHE{PbpL_!Z60 zFm^n}ck53d!zGM~<}vG!LN%xc5l{pwUD*hdj0)YwMFyiWRj-_)%S6_Uhb3-c1~5;i zVnV8;>X{T~r9n%_)^4_Vw@P*(W~gz%ZV4GcHiJ%yu0hu$a`^=PaAbS0ml`dFp4NIx zah*9B04?)UF<+R>u$(^Me18Ay-%CIXHaxiK9(B$RZL5h(0*0w1r?LHmOk^$(iBq3eAECm%y5a=lu zv$E!x(ZDdCW<8CPrS6)d3vIe+US+T&NFUIUide@i;jFve@7MQtyZf7uH}^Mp?{6OV z9{&FM)#rzwiLSeT_>6|sfBN2?MeMO&G9o9NMs6B7M+jxInzXbYGKTmg^_ zjM#;zj=O5Oh1S%{d;Eb9>6^L6aoBQXi^g$ScgY+Emy8^TX)_FBj;IxISrl4kcwc`C zXP#|O=dh08Jrbej^(ZKQ=%{H`qlg2pT(mwXM5(6e`O(R1)<;}iyxU3AZS}I>sXZvS znr>Hs{V(_Tu3!E1>iw$^uWnv_eA)Ahz3W%KpI-Ie!yEOgck`-;mQlt5DgT43LSe$P z`=QI;*zI4WKQmWaMFUwL-!j*j%TakU>agxS2LD|wPgy%_05D_Mh`(xeTkIG!&?_&i`k&b8ctKAU!(MbZx^z_~I#|;o| z`1&4lA{{$mQjI#1v?K|n-!-8^@SRins*=`$KF2^w!snf#>L!=R$}z(JL9D|w7~Iv_ zb!CIu%kqn5gnfUWYpbe#Wk-LB4lQ(WR0j8?t%K@Shy%|3T3J ze?T)>cynN)^za8bAR>Y%097oJ(Y{=$HH`_g9Xc==>u|`O|8&=@+7f0=$T#~8|0#4| zkP1&BH`%vIb9D`fBmxAQoC@izhY$BmSNzM~Z(nXdK$m$bzr1{@BQN(XGL)PtXMVP8 zl)veyyvXjDz8gSc;S;&IB&^AG&*;WoU-A4+&*o1?^Euj#HN(7v+GNVpg{Wl8)8(vW ziq_G0RXjM3JtH&3x=S!2123(NDgmTIT>E1kLFYi{(T)M%gZ8j& z0c{(27Kbr+>*xqzTkV<2Y9hPUmNjj0nE#8mAF5-bBM$yV+n`slp8k{FFmcFn+hiG^R-_$gMxDb!JFIQM8yZ;+W05y{{|+%{Zq1ip>LQ zl-UFcNiV>Nxn1V#L*BUJS|9# z!WOoEA4UJXi|-`_Tt|(KZ!8J|*Bkt)v=g72#Xi2z&qCMJKQIflFVlh=3rQiFMPkUe z$=DP6w&;Wwm5g?GcvmveApTh;qd&&U`g(^ZOCQ)~^@BSm-qfn4a|%3Tn6U?sfL~!I84vQ)rB3$NmVz`NCD!I(eU^L8jXPc zA&{8xf&*)L3NfwS0TVS4(p>J02ZZBO=^p9vP^LnLvE@pZ*O)Sy7^9y3;>nyf70qby{N@GDE*2h>bPU?$=6JC8mG#wGgaBTTzr6e>`TOO+H>g73 zTsiMz;pfWzx*fCRWEEZsP0jQ+81=!h=jLLKKF|nCY|@Lx8>V9sRT=VPphr&^v;b6= zvRrHQ*8rEnA|b8~2Z~7CHKR~5ESLaY&091i-tOLFREMI3Db*?Ll^7!>I;8ya;3jCo6{E?O*#wj8ew^=V|de85o33Ha)yfcNYIOHQn($rYk~A35F^O!(Bll2Whdf$gjyjP z=~5qpo(9hatL~VRikf?$zkaK>F0uI(6oq;x5XmT~14}wPD>^_Ck71#$P#t-~1k2JL zwGaItU2~y0v_>kV*Pf%q=k@`;EzqFwBiyz=xv@-YIOiY}!TP6cj|2mAvskjs6~SITh!{m>(Y zbgj`652Owb1Rsg@T24gg)m$L{i)BOu?pOeis7{iKoEXxC;u~N?E7q_wq~8`bIQBV( z21nFS&@Q6()}Ifk&y#GZEwfwHUtXfAsMw=`Yn>(vW2PcTiJV~Y0JxO|!7HDEjmz11M&=;6bTHfIAK!|mBS5~MwibUgHL)X zG5%yK5XOPJ2*O)PUVPI4=t4g>w+I?I@-($nmNm2*V}v|$?wt&B${gIVDTWhx)G$Sv zjkK)5tmZ8ZkwE>m!OH4Zr`f_n9Z-P8oGr=mJL-NpeVOYxO0RT|P}puKB^GzP->!F` zZXX`a4jS}B)N&swFa+PeKEIrwp1eVF_$5GdaQU6nQI3Kz@Cb;=u$DqWvO6MR+DB+F zOF#~|!T}6#8Z|uJqUD11NKU3T4a2#p4$GsbBkL;wRaOb*w+EH#d;GlS$ZD(99E&$ODi&jYTlWS`=D}Lh~w3TZB7khCHC)LGZ$NMdu;bh|kHkv~!RVXu44U$;6#-kD-YM_D$43Vg}cC>tlECAb<+sWa&uzmyNy zUNbFg)*qz42tc$(UXMg8*+y0aZgW6ZQ}Ii{$#Gy^YVc`uea-q(51#(O;Q7j~*$6+~&pfv;(hDVH6B==TpSq3J7Ib^FDFX-+NVxaE+ph%7ZULE*D?@qwPa z6d%!A90XwE$Q9|86@1U9*UksyEq348R8k$O~h_XSYb z+s8j4(B;89W|c_t8G=w6g0fawSF$@2VuTO)jp)NkwxdD|2QR==wCSiKYpgRtU?2U6 z-c;@*H8rG5V8)c}vhQ5Zv2;*gmpT8i0#D$jW%bd>NC=?vV z3ee~Gk2^THawiM}2m*YE)p>zdaq7Zp=z0XpeS6S9f1-pdho33o$|+L9wSX>S`Crpz zG()X?`%*ta>!kpQaK2Cz_mmR-t%P!TKI&t>zLgnaeX|xc(CdQsnGYzbiH;BIlv*!A zLq}CNus?J_LIWf}S7=puY#nkgfHm@@*z{t4qOuS8q9D*p)idWPP}=kWQ`I}@4G)FC z2>anouFVAYsIr#U<#bK5L0J*xsebwTh(MLV55D_XCa&TF__S+)14wm^2uBSty_Ub# zEEx(hf`G>lD~N-qc!w#17AI#k6_56QeH7pmf8asxZhrqBAc32^$L|4)MrF~@H@ly{ z0xxQcw7!d?W=KS?p=T}HIN%FV`J604a6)HlvRLHgx2_kguN>SK9-dMUN}M1(U?TmK z{QmuJ_wW(r<@3Lr;_m_e#z+42C;1^Do%FZoFZ>rnl&^X=k@xv8{8|71f5-qlPx#Od zsilk21c!aO*zpGG-8WHi0pdcHxbz^czE8Zju)SK9OrA^|S_SrEw~ zyo9tFq6f$bKbQ^Xe*-rHOe`c{qnCZ)djlpWOIQhfD&`EKc%TEqQ1>4aPUMVO>~uOC zL|}}QO{H>(Lj6M|ouZ_RK;Kcb$8tayNMX`!P!xOd-=I?2H9+OdNc`WU#LQXzYzrZx_5L9o4Vp;$m&Fv|#OcwI)>8LK!abONokj$?^{c{77}LHl&Z2 z*q_dC&W;zL3Va)EE0R}VfgOnQW6f0KLq!nCJ0y>JB>^GSq}mOi-Q6RM{5DuXIz8*x zT|l-+MLwFk?SbdFYbiO&_o|HOYuVvLu)X4CL~Cv5M(S>nIeWeFzMnk}W=#8+Pz^VJ{T?T>?xa(Kjk|qlmOss zQl=j#3jiPT4lE{YRTNvuIR}#)CnKq8DBzuNkZb+D^$>GJmz8!^nQNzr3MG|d&v}?s zjVCJJ)F0#OJ0!E?*@8p4GOFLHUPpbB?EL7lqJ@F#AM}jp)gSWD$-PW*!9x>z847WkK7)@0<*-k(_e71C}Wa% z5bzqgmJl+CEsiM@?CY0rK^ydf;`}P101sDp3X@poeL96{(4htlekhyy)1FE6&Mk(L(G^E+!sK{JT!$6m@iWbS|)^RV=q z@0)}1=x{U~_a_6$--GeZcL<1OEstF>XB^FoSiHFY@E*CfcOP$mZri+&QfR{D`ntl6 zWVfS3LeEVs%?KyD@35}Zlw^0i?GK(9-2iHl1QIcX8fzCvh~-d73BTu7 zCW(L6GN?5yBFyD3BoA3X*xs6 z#O#X+pJgO*sZmY&l5A^qIaLQ9op&T5FdQ}m(|^9+X%2f24cRbHI-9)z^yPuM3Gct& zKivLyv&W0f>Ab&z+wA8XG;U-6ljp`JJ|H6_9{*|#WZ zr!fU9xz-w%vzgV*RGkXIegYJ%Zl*{RIQXIT>eGn#J+Sj&J@gk@(tt?jFbMTo-T(zG z22G3z2MT17Cg|5*J`rV0=pV_SzdbH5po|!BbH)R}l>#eH04pGVP`<0{^f)Ejx-KZP zB-O~#askdQ>w|g}GR~r(7Ov=60PM7G8+Zefh{k+FXS>zwx4@}+6iT&yGQVV0 z*4xb2eKG*(*o;g!s7Zp|G48W_$6p{bXG;VZOa-Q>i^gJzKEP>yd~vEZWZ=xjO@fSw z+%&LwS41LahfV(Gz}{Y>NHXeTp!(iQ)^m6nJgCz_hi1_p$Tf@Bc=XCnn?^zYM=YM^ zb%~N|#hsGrRKF0@M0w|C@7t@tzwCYM{rS(mzneaB5Y+k_8P6qczz?M8;3sSR2$Hcq zR3U`QByMbcJ2Y6y?CX)gVtXKe&(?Um_>!Kd1EY#1HA-j##tZ|y0M;00BcfV7)X_nK zx{1d9Lc>WaU|TO)XPd88y7bSYG~T)`AdqfLAYzbq#;_jI)a6!eGjgqi-4B5mM*KwDV(N`#;6 z491iR7W0rnuxS6dv*jnt3Q4ryX6Peg;a`uTdGzoL*hVV}9)qu0mRsF~oih%fnE}!I z?2PB`fp8h2g{eq^VuSuzEPI)%=g5wc041wQ#a|1p9kT8@r5$PIIAEkvxc&~^)G3^> zY(Y^)^Y7Qofb(yCst=nmy;HC*y;F$hlJ3tQa@1i78 z77QrJ8vg?JyJC64t`R>5)p0!w7T|O*8bavr;A*r-N~zBbn$C)lD(jfhNLiQyOK=D% zw7m4ebhW)iG!^=cIEp%l{NXDTiPlY&7F5p5XyLZ(1J~<2iN7VRk_xQ`#&p!dw z$Gy@5K-Rt)h)QYWETo5OAl8pE&0CZFBId9yJ;}Q~qr_NAc$QL)&(ZbIY?;j&P)K4{y2?x(2}y`)ib6{IFBS?aS*ova!C3(34cKY= z?ZMUL#p-m5etCHVVAy#)B@KzSJP}|iK3~poy6l)I8`^0HLNx*BV8u&Qg~bT$?}K&R z+$ zal-+96w+y;r_TQJgGpFh0b`Xq&0#?Ifhz>v63Qw|o)r8PUOpjk)rGAV={#W^Yy=zl z0)%olN8( zYHNn=RxSkpeHDxzN0sbBdubGEhx|jna_eGz)nW)c9KU-;Gi)tTMZ`_vK^KTdly6Nt z+~oV5gq+B5YTnjnjIIQ2F1G=>ZZ9m=1I`ZyNR8&*DVK2L%BZdc*VUEUBh@)|# z!o3Ctbh!lg0Src9c~T`mEk*=yMet;|ixB~AL9P}+XIMJ`vYdDg|6Y#DN5t<1xD8&_ zWVHk)M-$YYNXk8+83qGix$CkrLGLLBVash2qMef3z}Ta@CVg%B;!(*bUp}X3pPo+F zXMwP~CRAvwb1ENF&KRxM!7=n-#C%q8_@O9}J1gNi#Ks_&1KmR^y$P)$-_$f4X150& zJ1IaDZ~}w5)SnUTi`D{U!mo8#vg~_pNeP{Nw_~&HL9YP2?-+}GctrFck1HghsW%15 zP|=eFoJ3tao+21(JumIixxUGaP(Ux&16h|9&PVkYfVH$fAzQ3UY+vFfu|-C(!d+9< zgq1_Q-w#RejLZ2DC@lUfrFi)Z;D-=UC-sSN6x;@R>j<$#<_t&u`t?zo-hRDfXa|k% z;b`GCR8;Z{DA#4ESS~UB(QsBTVNBv{xb-o*!=*E0uDGxd~)5TzYj*`f6n8n;)^ zbR3rL2D=K&7es#_g~=fM0id&pVQh>Qhz3QrPp9W^)Jj&^2wCX26&R+_& zd>s#AfyJKUZ@}QXMqCn9#_a_{HzK!m_>&sGJ{3LrTpHKERHn7pCLfo38w(w{@4V@a zMhG4v93wOxvNDz3k)>e+HLnt}6kkG>yNTz5I^_t(tjEHW;KZ9Iz3)ygUY{=%a|c9( zGj9+N3#yC|xZr8BZ&n3*vs%#D*rBJrzH!cOMbXzXTgl;wEHoL{gOPkeAPsarOFrB& zLqDFx;Zddl{njk2ca7-EYSXj80n-LFEW{rb%Wg4GOsr+V3`w=zhv zuFS1TV^bh7f4*@@m4=hr@NDRM*(J&7?CcP7wrn_zwFyG&rb9HY5Q&okEhO>6K?&~b zgOUr!Fj96g*I3brRHAP30ZAMLOL={Dg<7^O zQSL7rz2o2iFF>RHJ_<(me*fk6(+!Tesw0MfJVpXZa_kdiBuDhPJF)ho;ljKw_3X0n z6Pd-)=FC(=`wdP-Rv*%WQ(dFJOdWTSwTkxqpp~QU(zG7{q+QlL3(h4>jVF7yI|n5E z^vC4=J7(xQxHs~k1*;ctk5uqDKDuCccES4Xq$F53Y!Nsy^0t`>9AvEMHknS@l>& z-k>*n^+zMc5%W*jLr1TpMvr!VHgrSr4BJN3QE^y!`hpa z8$bztd$OTJDGx=p$K|agMu@@=qAO*E9%N1AjJCYKBk}syWI*r+LtJ*q09P2aEmyiy zh-x9X?SA)&=2#!m59mV=M%blQ+K*if=>~kp2?*^{3cRa>jSOWpIYZ|%WV^yV_LV{7 zGiBx-(`13%A5$7Mf^LaY$%_W!G|+-3q$GC&KzA{%rN}@(o(E zG~NPaf^(Fi z0T)C{4kW^vRVNFShv*P<*K*3UTCw4AtD_aaRec_pih(JsYXAwruvGu5SvBJ~{xf%? zdNTj%@~Cj4rX#*dV*TPxq18a`(lP+DKN84uhK(rHrUBD!gEyq{p`Gx-xK(t5XM8Yr zwI|bWaM|@6zPm+twg{SEB$`b*qy(CCK(-&mUf}ua*Zp1Fqw=QwidYunttXL`m-U;K z+goDrDR8OyZzx}Y!oCn9NQU2izWx;;--oZCq_C9;`dK5jF~_iuqC-EumK#Uubn{ux znu9dT0CxkbPjZuj0n-i#LxG0@X^EK}UPCKv+EVTf@x+0}9b z(?MmFcFa+}la?};ehns-9P)I)&ux2H&aHEucEu&(Iw-fK@1Jv>AyT+S*C1B~T<{1S zim)m}-7XjE;_=j3G#O(Njfy!m$fC?dhJ#><(vZQ*+uv7xEaTdCzk z%otB`LcLk?14^$~yv@?;HCYznnk01;s&%<%nnh-Bjf_*#@5yh3Rh)+mQigKgNl^sb#c&eKAw7d6SU(EetIM@RL|fN&y2u|9!Dvmw zyxmL&Cn%DM2w_flO`J2CN?A%PE7pb1IA7jh+xDL?q30j1MEPG(5HP68h15n_hSab| zW)bQlZIC@lzdvzTELf`~_*nqBHBg+7ava7=VaOK+SK2W^tYTRA%BZeoIKyzDVKF!G zxkLt>UMrq28G8BR_uIPdRu1ki>6l$KT_KDy+z@T=8?n4iq& zDB6hy4C-?}piiaVlcui=zG1ruIOts_R7E8{4?@F;xwnv@$a7o_=-&Hyd-LhTE7<_) z0`V0oN6@4n(c6ie@)ByWOd%AXeCuWJU;j0^B77?M)_H+BQU({3x*)JBP|D>bzy-k* zEqlVawHJv=mX*G<{Yh^hMU6|$0OiOPit_6o5F(cDm#`Lz_de67)S|*NOBa})PzgQK z6^hbf>C-D*AfcT0px%Tp7Hmr~;&zj(VTkc@0w`R?6v8IF51#h189ki|)yGXeZvaoog!NcGe5<;)m1hWHsxd39kjX*H7? z{9$$Cwl))iJ%T4AHiWtkBQ4ZyyDM!&`jlRQt&{Z>qq+j5496-*f?)20Jc)|UaKciD zsDAS8$$Y60;s_@8RxeM?$d_NLqaDBN4F?WZAb&t=^%Twmf+)DLDw(p{t1{yITN#&S zW*6IsoBPLy-NP@}_Xwze|NZw&p_I(Y>$|%zXn%dPr*mwIjG!>NpSR2z7Qxoazb!6dg6k%(H{hhA*vhM#X{dm z#}dYOj0iJe0|v%o)bVt4+}AcpXov)$HX0&Dy@BlJWN}4~04LKF3PjKw$|0w}+5{m$ zXLd<-JrFMXQULC5s# zgD_C%kUvRfg1(*diI_lTYkY7tL{FnbuCzRln>t4{g$!O~$uqSf|JwFv?ft>eJZV2< zp$i@gwLKr9D@6BoDBZ(nOhi%z%Tvx!vZd2rQdH-2RCL_%B5*d0>WFB#k-jqXyBsB5x1%VG`%1IPEXxrdTNTkwz)O?;{M%N zhl}|)0S@BledFfbQ#OUl8~lXJzL~Ah$eI`f^~l=3M%FYS=2Qnm6aW`+fXp6)n$T!G zti+!3o1(Uuy{n4Bx<0``$8={wj%Hs%E>__t2uHZMLMT5Z=LQa7JPmvmR0!Fq8 z^`pQX{&e@{S2Vc?mo}x#nBw6CPe5A$SrI1*C~FH4z7*F(FBe3Xz5dV9Vos9;ji&2$X?9OHcTXAO1t_0+-C;%tRqOg1rGD8#EV#L{<`q;)s%m zvbsQY2+Iin*({-12h{M;0(xQXy82Rsv-64W9p9T7Cja2BfJxA$NPwX(1I%73jmYtwbSitAKIMMu4bh;DnF`uJX z))8I`p3jMjKAa~bgE>o&t081&y1Ku_w9sFD6yn2AsIfjdx#eZumSiW%*p_cuSSi;| z)%fLzeuVr3QUnM4FnEkCa0z$bNl4EjJeX>(pTumAth=tzC=`D|+h+Ag`u_Koqu|K` zEt)AK3|tcM{Px0o_zt2HEg-e<9V|WP6Jn`L&?4O5GCsoEGwKu%7+O1}pjk9pexHkf8 zOWg?g>O?pcBf3wKEA4w(oRVEZlW>207XWD=5e9Ize4) zP7u{XJVVxKBm!NL$q#kIN(Xlzzut*MxUj0EoHdl#v;i|uqQ}xgYr%eC1-f}1lY}6h z?h*k(fy|a_(IW1ir0bsP41N-WZVNUp%PGpQl>M`|ux*OyqfsZ;G<7vFE9CrL@-Xc| zeF)hIe0j<~fWnlMT^-Yp(K-;1My3}+^XnLJ{j4%93#z9mqK#18xOK_zQ4Z)0G%Lld z`ng&4?$Bc-A(DC1O1E{5`S}`UMAr%`W<{P$EesDd!t_|4gx!P)&IT&G z*qXxCp}Kg7T6Me7vkG8!9JvJ8sOTOV^mHyhG3ZZTn<6_w_7x)8D*Lhar|=O6pJ3M% zqYq2PYLdhlmz+bhKOpRShli;b)`DCUZ7tCMM*k^}+=P~kwI7z>S(cOswa6sSl8yV{ zsIODhOoqM5K(VB={(dS`_gU)Jxu<9BvrA4TY#nPa=h56jXt7B0bylzR8`P-wh%S?J zVV<#gC>8!`;hVCsv8Q&nDjpY}ta2QYJxzN_2|LPky}L|+&d=vhwI`r+ z!}Ax{E6-of(B~&BQH?`5m~nG;z%W~g#?tbfJ&%sgfYk_;nO#?+G#W>glExJx=}F)) zQ)jTvF{GG}ICrd#3wO++rt^#G8s!r*OsZ;`o%7@_JGf}rN)JF^)UAWHp$EQ<$oG&O z>U?^jNvQ~tiouNAz-KAWE5ORB76rjKkS zn!a75awYAZ6L@Wx#O^NkrrU3yZ+^a>EXQ(Io|=Qyqvt0L2b`oy$by~VKY=F2&?cfn zhUgH;F`D_f(ql@c2utL(#N4*f0i`;odCliAL|BbAsj8-6|FO6v#|JK8My<+4Jf@>q zwJ1{*M+f1Un!Dfl{EvI@j^JHX}mZL)TgX`ayd{6lVV&~ThC+>SA|iI zLeDt0(ZU%fMsF;}7(7yFz(5m6$bZ%h9myg|g{buyCA6Z=1#8LhhYRlPvLD{|pd327 z-A(;6YfL()Mf+jUw4{GX)cFH$y*}J}svBz(JxEDiGSEYz1elPO4)No%>@nQeoWS5DCN1s}o;&99(fRRi5lTUam-iVuBtzzP-1NFGvc+Byo9| zE|3FLa?2xF(jH0_A+xViq6m5?{|A!eG(L<;9PrT91@sC;q*acabuR0##A6Lk4?=cLTj5E!WEZYW(i5)+)8V~)5{g=F9h|iHKtpsK>@1!IF|^Su9pks5S=gI z(X9*{^kR!~XMY;H7vB9rv(Eziad|HP!4|{6#b9oMF9U_NC&kreW4ptmafkZQ6qLM8GH45$N&z93Cn&V0C`!;ehyP)3?%VL#WV3QYy8v zp_#j$OE(c9ojeyxCN!v-pyX4Ozm~6w-5I9+LrFYGJEoY6kzXwIuk-^6ECq@kcAI;! zT}uVEc(~&F6m$428vkwfkxmK-#S@Bg4(3a~!tD?iwfxA!&6oxPxMC1tyW!ham z!YH~w7>$j}-GX=_XRux{SXFzBa#TLz3`KPw1>+o}qNnV-Qc+fd7BQrZkU+Bs{Tbs# zVbgd9X2|=}(i#5PYE^bjl1E8SkwjLHFD|2Vq&E1Z9MQNd?;-o0;Hm~GsMtMH*~Nl@ z)3NkEjcfbTrUWwH3zwAx<^76rWgrZ7Mk@@AH`TWXH9$2eR{YeLN1H-2B_m4hwQaUi zdH{78WysC)te|28lE~^MuPi09=wn0ldag%K@d^WZ@ zAQDkxrw#}cPXWFi0r*VwHa2&ZZ*SKWU1@H9Y{h!4=r6W!AnTNPei5-4Np&6b{T_s_ z01!TQGMe;aaVpZW7&Elzjxus0@2eg+JpS@L5CPXAxn3cJa)uHEU(=-kf-?r^S2T^X zMRV<|W`br>{Dj*EUiwR6lI2ym=v$u<0!fW+-3tBk;fopkatpdMSt#mig#s(^J|Q{e z!qKP*KX^z2a5$$!3Hu7YNdY*Q8AbG}oF&gmln5`|i{lt=a>3P%a5t5~SalZT?XaHQRWNIO^^rc>daTyd0Cm%=K!6v!gzbnHBm_9h2n2q&AyDU7&#_Et_`0Yr{$y4B1`l=dV@sTngYJHT*+ms$f_fYDPrhcegI&cBx9sl1MLG4U=La~0-$+# z`Ap@O+Y#x@ri6*IYJ5SAZm~@HPv-9LoKZP;-E+B?x*L#K%I7P_vT8F1-cfi-Klt=a z_Oc5S7l{@kQBY%vPDpV`1#f^L$h9D3MMuZ?F(jXBCzT1Z+cYgQPSA9gN$qkDK=beJ zH?KV~zz^%6rp{{)#_-zWU>vflibi|eO+=kNG!PNHkH3C-*gXdGQA)8sS){>AI7C5U z)v%>99pt~SoP*Q2_T>~=J7-N)-hul+R-a8~b+ zoZ#rsCNlpemiO!Jr$@MdezFy|MkM}c4H`$0hP7xYg;K>7sj0&Gk17K#6M=}Bu7 z8y9D&M9T(4Dq*Z&!k%>C60Art`Nv|5Ys_Sp6}Y<<^a+;^J4MwS;=V}5jq2pg2-cn6Jqm6NNSn&wbMWk zXWg3)_)$d7DYTzou34A}Qel9MN03nv2ijyzas2h`^0H*ntxsh~p8hylsX3rtsxe0> ztRN*UbhA9@!!A#jUOpuWnxsr6=p6_hE(UvU!a5HR5BsP$6-DaVPHwFi|0VTGMp*;+Ns zJ^p<2`Tb{92D$z`z<)jc(-$HORlI|1G5sD*(`il7Zv(CDePxr0L@F>sBvOIv=rRg6 z9xe315@Nz8oRCwjoC@N+lz33mA%s)PfjDP}p&1||ucxkgc>@R!ijyM3*9Dg+K$V2q zlEyXul~Q~9szIenQx}bJbTMRxctKIYfuuu7YnBD#+9iCDL3c&#E#EpXm}hzR>7sXM z;zt(>8n%)@S%Qt)h}kuqH*U@U>8bjYO!pw}g2j)55T&J0G!p-#YM0B;bd-k{5(=)< ziz%uZp?c{K|3P`&^-#e>enSI^Eqe0dUMEu&4ho9aegIVC7&)N2Xj$L{yojA|lk>=p z4du~M2$YO~8o8q1^r!wC-mE@cdC886`o2!ffrE;fxV#8o(>0;;MV(XitHkL->_ViQ zK8hbF_$3j*sUQ3NVtc$sSSjj!?3MxJ>THFTc6&+w){D-Q^C5meC7thq$I59j5`%<9 zlVBYrz;2QMZY)W~CJCRee@`*-2mJ-(4T-iZHN(%-A_=Y^>MqlVEFu$t{^c5`=*ecw zZUTQ~mcL)eTzS#m?le_)wYt@?Io0x3`~^paHljgceKi<2Rmw{UGq8-!B_yK3*ZPTG z#mbcEnX!tlx2SW4u?n%&W+|OeW4yol`St;dbEn?V4iH zsLRVA=6O9K;KFcA!uCxqHuao?DrIFA;8;bCGZ)#GaH{I_73kAn4lb|dbA^nT&lfUY zq7~^=fJS~zqlJu_$AKcgAHe#rA0O{;fBO1(v)kYr$Pl1*umLNIl*>yeS zl!`)gBz}`KqT7%n-Hj`m(Sd@xMx1_H<3c@_{`vF!PiO!RnPD!6EHIIo_ak&&I0rfk zyrQ#QN5A}w164Mdt4(HtJ6yz7!eUgZLlF(gP(%$J#*La1LYQhPL1`S!V?m5| zvs4c&m0eVGyP?%(HM;vE{1P4*W#qIq-F_C3hUt;P5;Mb(B3^3|rEHasH!LTk_$}Hs zs<-c!)o@7KHtzqte-k&q-lbQZgcq zfwScn<$u!79)U-Thz8;WF6=z9HVo(v2f89^`h0fWrb!!kiY9%E(qy&~Qo6~|jcSLN z9*hA!7+m*CEpDlbZ)?w7bS{DEDJ&)u_zqDoq6C2hX5-B+rr8S zampH*bI8aWaIhyDk;qPShVHcULj?K)kT>jhZLqTA)oHrx;z>d6I`VM)!N;z)VMUWw z2Qq}h^ZTK2&OWQgp^ii>P@p_|_mO1-KB8j5-p60X_k$nb{VOhC|NH>A0o)*H@%*y) zKYIP%f5Tr|G3ovP{)+03FjwAxy??m8F79p=QeU z-j_ED#It2Lv#`s2*?g$7$CXLR4kHxFj9#@q;O7y<6ROPs-w&(#4AGw%ewB?6yvPs- zZ`bs_&}ylS=qp#!jX*(>du~~^DN$&O5xoiG2Q<>OC$UC(i-yEr(R_{SxZA}nHHLgI z%PD$m@i$l!b@WYE^6Jgu=d7^Hr>iz-$q@zh7=5~fzJp#%@BE zXI*Idv(GkXN;m9p2`g+Ffo8?^%F~oH^da=BV{0Lcj~RQyO2DOcjw+6bB|SUEMSNu< zzeLm$^I$0skGrjoVQ3@!sF?!sM*(9^X5uPnqa7|3cZ}}N>EdrY);Kqzrf`ZV5zkgZ z(1<+68f~zFNa#Qo7WJE<_3Q5KZa=dR-wx?kfqR85C+WKjG-cmfG5{#Sv%F1!`maT3 z89TxRJBm_-8qnf25i@img*B(Zpwl<i?Fsr%KSE%Sf)Dnrx^npW`&$!u)unT<`zY;FHPA=;M0%UBIHU>8b|4Eq5w3?^lt zV?WDquCa=$O9lm|nTs@lZG-TiUu}*S=)a;B3s@?wlIe_^E>%?jH~^JE4~kIhk369a z%qy!qTn-fGMEOC*0c+`)*$L{f;Mc(Bs7~fX6_!$&q+G>R>VCQgOGatnP}4eDhWOt5 z?vSBzMdsT_nmNWD_xvVNFkXs3eJ+F##fW5-vNEIdi z3w9JW9v+F-Xk|0&^K?Hs7C;Nq=17#7^0GdqAGU)axoCmeu{%h@Xw4`s4A8&|u~=Gb zAgAP6IqpX}xOXo%Yp`#Jtpb;>NolEn0L*R)ZWq;=p;k^MWGvXsDx_E>Xe8x+{SeOj zS)Cx{-Fg(TxL!PX@v*3t7!p@>Sb>1Iu)J}|d7U%b^s_=`EO0N47UyUE)#>G?M^sGm zrv0ZgrTPo)}#=7a0>(8WYX{)f2 zgW3>FPYIh@bV~h%v`PB`npiMO-$Cz!eo4eBR~2*}Vzj6b3==SXagZg*wW(ofXu{X8 z`@+;lywG={0%>9;++n^Tv<7Y8Z`(q7AYBf~XLsSi+9+FAt z70jG>1Typv3RG!u`B8O|&cFlhk{LP!i*zvuA^Nr~uiWp~9#GmWU4920tsC{aFL3)) zvb+h)r+j;|Og$odHy>`_KMIU(@8%m!{0O|lpXkuACzoHbo+LLA{fJg&J_dYTn3)Mo3*)6; z2%nMCCpPU#^4uRyQ78k2LY^#cFqm)_G#@E2&9#*J3sOv_2i1=3!x7ejvXmDDk~Cup zsZGp8O#B--#HtTIVKIun_=gO}WG2_)<%^$hq|Y=Ui~Ma59i?yYAWgOEPrvV4p&*Ot zW>B7kmqJtk#_q}LSiu>+iKom3j!iGAC=&=@0cvmwi8nyrdoXLzAwUb+tgp`Dxk|L8 z&N+INY*-xylH?IM8Jdomn}Wsv5T0M}{%yIwV0jxB#XuKR{)C%KUKDLi|H&`x9zOni z|9_&BX`Jxo>ti{i$!7rXSK&`>-GZX*fD1`-9MzbRz+%myDK3Q!}VUf%l zX@}P0iOVpQoUG4I(8A%ccZm91UM5{og78{K@OU5KamZA871}ms%av?IUqnLyWjTL$ z6f^#$S`1jnP;trT^Z_$6*k!3$ay2?}MFbv&QhkIeb^!24vWBUa9B7hqBr4C9T`VT( z&LxzYl*1tJMeE-m!p)zaR{64LKA>L?&0*FwNM!6PN5#=*GJOi1f+_!o@PkXG>0q2m zrKkxHp#1Tvf>GJ>UR6ew45?=o0m3xZy&TF8VZ^a4;7e?av3xuwdoL}cHYJizoz^1~ zbT|r;2^{v2)VHEcz!Eb{N=cHw%t&Gy@R^iE#s zWEByU#zpec3hC76DaH8739!ugQnEgZer@`}s7WmR^kQKoryo!sRaUly0AwtF+J13^ z8qz284G=2J`IZ2{lXJ|WyLWKOjj{)cN@+CM6HRr@xJ-)MO~>#c;Fd)i7P|K0n~)*Z zHRQWgnIL=Q&o=EJSjVUZT#W_~NU!BjmQIgQnuC@xrYVqhz|lbf9Ek>rG{^1h(=zWw z!h4MGB}qf9d@fKxXpeylaR6o*?>=saiVq^NwY@> zf1r*O%1Bu;q#$m(f>uw2@%>Ps#IP5NKtWbFIXgyEPJ^^iq;92YE+BZ6VN|ULz&+7^ zf{Q8^cNom2s7TGwZ@juE(`KL*c)hB*OKxzQ;nH7uFFCi4c(juZGSLsEH2m7E?wZCjSMbD7qKQ%?2j-Jf{M1=nQ zoB4Qf={)}WL;Uq`v-taY^7{`nk!NbuTQM#xARxR?YLeCJxT!!OKpaniTrhbO{PZWm zul^+X)t`hD{M$Jr`CXm_k)2wm9u5!VI@KXxx!MF-IbYI*58K|)X{wM*noq+nPblXq zY(Z#~uAmKt40x^+S6W9>r@j;E`aX}EUz|L;d#|*B#0O^oAxJeQcS8%i>WsxWH~`)l zuS(aD{qPuOAocbXJzVI*$#thdM?}J7+f~E>00W*LofTP)h=HGOApHO$1eVY-gea-` zO_6DV)31r+^23-ojf)BLd?Xd6qHHhOF5U==iV)CpuvqjRz}n4`N-aRoIc!uTt|s*Q zEr^Tl3&;+_Ls+#r&F+mginK_?;%K90i4`864J4890LT`^drm{?EEG(cqvPsDg!eG= z%ic9%q(VLIIZ8Sj6)79Z!@aiqv_HB=V)sY2OYshVyx8<6??})ZRMC32LGCiO7^b0u z*+mBvs}qo(U4@3J>3#sVPxNIS9_?OK^c! z<&<>PNd2{T5;A4AqVECe=2g{{f#5Vzfo}GxgeEnw)9Wq)BHOW1`BrCGic}RM7Rm|b zk;I*7+l3DqfwX)u;z6*uvBP5IX~vO(U1V;>@m={39Jy=8@Uu zR!-Cp7!!*UYfgOstp~M-jb?BbPz{fjT`eCg9mIi+FtW45@~2Df6_!`hin z%>xj#;zsPeOBzrx#RpBRI+kLm9L1RB{tTK>y3-a+73*9*>GxSeFBL(OD)M$sENX!$ z^$|T4;&ft88=6b%5BlNwk;+IsNYX_Uh%@$O15Fyv#BjiAWIhSYLuw~sc_(q6y5e&} zLECBty*$%56e4O?Nl6MeA-}R77`u+HLt^Y#yTlS)&nXqkV%7puuvddN)(+zG= zq$+y2>&H(w->wlhLMSEiJrE6{$_FaCD{t*~FW>9|uzPcFE9ftb89;*6|pPr40Uy+R|($m_q z?L+J+phz+Jh&5Y^yhCOsk2UeZpeuKYaP#^L{eDmd7^J9GN`X2d$_|!ikopJ*kXPVW z#-5|2QEEaZOdGFc&?KKrMlUem1h{9}7z}*O-ZaoFlI4m@m>$45NmtICi6wRk&u1f* zKvp0KQU$?c=c{*T#&5`Oup6T}$|zd=o&^?(liy$& zM7_RayrP_S&E(n5?Gx9*PMNtToEO!LRK*lXfJz)=$$DF>L3V+HD{WY$h$@u`GOSb_ z1RO^yl2Vk2&Zrv%NTm?Vz7=AjtQ$I>HEgh4jSayvTVOcxyv`l5ikr(76>qfh#_BWq z5uG}m?Pzf-!g=6PB%KdkCWaQ+LmYjyz(xTZY-lqYqDU~(&*uO*Ay;%J{0fR{kH1Ua zXtX?CM~$UN91RR0eiomO_GN?Qf*|1v%o;nocaOE?WBjzRbncM{e~K*vB}WHGiz#Y3 zJ~e=}ICbEPZWqOx)tc*%3Pz~r+o&brNw3oRnQI)I)+QbLqzH%6q1Z{G$tQM9_ohL< z*N2#%ED?LjPKLpt0}p#Wy(&q`FMH^U5c7}iTu!$ah>oF%BBDA{Viy_3Bnb#M%kgC? zg_gCU3ffkxGb4Ra=Bwnu+>iRG-2W%Mh2;6b5-O zC@}_2uOic<29}-JfJ=u?5;#noNGVL6nxqnDyXSPc?8l+?895A4@`(SiEQ&0FB1l)L zX1*cWHCqEyT;y>D^I+M~$pSi;Y0c4FLNvXIRCM`8TyK z8RS5qJ$^DD)H`w~L?m;`S+sM#BpBm0!S>x_P7JLXLJTVW2N~E}ngyut9lp*=j+!!U zPNVFWHbd^}>h**&s7=cvO%Bk=>43%SCAISY2BlpdZuTUTvbO_(?D6^@=)B6P8^dbb z1^SATCgi>{CLfLzvIDq`;C4)&_~8m4P@qA_~htI!LW{jE|y>DjFDRIo~!0B;*MW_=O9SRz4gV zpq);J(mzA+{$xO~k+C_n0Wa+nG0sDasN6Uo1=WNVzlfu}K(rDyB@~FAyZb{~6?%)^ zp_o|;h-sL25gY)Br%xaq{0pd41p<|gqq2)Yp`unF`zG`!qJl^m%b9^#js6f#@Q%YX znR>??m|F=~Yb}k(&!ghjrIjNJDf)65u4yB#4Evv_PQh22a1g=33=fo)sSNolMC@=th$Q2|YkrhOBCaQ1-a9K14W5QH$`R{ejs2B&G zQ~4%_eiLfaV$=`|Wix}(=#bU*rrQ;J91ixbU;Xs5=YRCBU-f<@V=K zR1iaP8mT-3O;9eXQTawbin+r0eU5soUiMoRgK{6J zz6Qr=%PD?!lrK_4!?mK?kVsZOxws{0Po-mH|*-*Px%;K_kL+Wqs+x5?_%4 zRKh{1j#dsqeV&|v7ay-5Q1Jv_j{mj zWWJ-g5i)CEf?>YuVgA4TOAqNbsEB}bBcJ;fC}bJ5KmYpIS3v)3z{x==mWq2ef5XL4 zdY}g(gCs!);jX)SfRqeo!e2nigL~g>++G7cVPkQJObFd3)N|3&Y3Hp zR_-jJWI49@=VEtkD?|INCUc^|Vbsib&>VqCGKxl4YfLwU2XKVYgC2Hl4{%p=1_3fX zfmuEM0}9=in^fX}_LOu;tL{1-gihF!RYXpwkq9<$Spx)s8!>*47PRJx6r~O-;F)>n z=%2S>$Q-bJ)Q*%>PYbH%;J_JdsH#gMUsLgSY#7kiYC-$2*P1h`;6)sNf_dq32)b4hXvbf+c*xWajc2wg{K#>uo+l884K(!Go{7UPR^2X zxb_@L|GeQjOemw0@S%$` z$^+Jn9+8J2R+QPw;kq-Y)~Jx13KG2f35vNQz5|VZ*Duo6YMWI7*! zAtPcQ6SabCm>J)?WSTh77j*$GYRVDpG4%tsg9v><_N^d<`wic%XZUq@wp^Sb9;J$y z1kIv9Pq>ctM1)%@_@v5n>{E1yaRQWVr=ej%?kTEFi2NP47(H6SO@erXyW~TSp)iO> z4LH5@GoS{2rT)ic98PWKW}O6-KBSseOg87r5Kj591#rtV<>U#1gBOGg3qdg$j8N8h z7@pQ#`ZSJGm%cskVAyhWin}CqC(Yd@!%(5q1s%0#;9xc^@So6o;61MC4yF2xFJZ_j zkups|A*v5o)S!-u+&-m7a9Bm#MvqY-+JRO;@D*q?TUh~cT5J1}ZW)-X$FgLx$3s*P zj-}^GfvRt511EWO5UlwoonTwjgzmI>!1y1xI!@ux)qE8UnT1M-p!v ze2UA?KH7uw2&T*FD?s%NJ5vr+_M|mMcSGG2fPlbh@#_7n53g=seSG!vt6yH-zWQG; zd)Lt4e!_p$<38ZO8~pbX|NV^re!+jY`0syVG0^?wcuL5v`sUQ0Et3@ih4oU0l_7ui z%4g->!44P^N3fXzvE=Lt`;9EaCMO!gnW$j2 z#^rHMhwFzNJjj#jf`p=<8p8pJQwX0W4ENCWm%0)qBQ<3&xCM9pF$90-kS|8nL%@XoBV|=6RU5F2h@1LROPEzT^{t>Xqde)pK~OKpaHsTB%OkTo^ad$v7AYEkF*`S82b z`DVrRkZg-KXX_u)+#M_E(44MeU9>Q&uj~C-&o9u(4X5kLVSnF_N%G1ZGdF>L!|Cem zc(Hlo8)S^QbDG?Q3#x~X=!EI|Wcwq4GP%}YpCca^q%QP+0Yy4tC>7d?&SuDG$Zteu zY5kkPXA+?$>0ijYI#o1Wk#@B|1omCy`&RoLkkOMg308MC{aSHJ zh9S#ISFInXYykTcuOPNxSk1__`|~F4yzQL{G-Rxu2|{`NB&J6#YOziW1DKi7o@1*U z>bm9Dt{XkmesMjwd&*&jqZj?Y!6XzSiuEjq^eH0uvGo?U%b}$mqxw--Su6(P1w}cC zQmh7l;5u_@kTRhSz!7kyjhh4_bz_l95p6&zz&94GTQC8WYxzpdnmD9%=hfnl7K@ps zU`yVQo?O#}%=mG~3Q-#JWVR05`SQdMb`n2MN9A}1mScvn6n&->wq8@NJJE$x#c)|ShfX|(ZhUvvE8MK>FUw&hmeuei`TgSR|w1iM2ySOQ0Y@guui%+dzaNe&=B`(A@l3^$GgJc^RC{^{#2@K!k@? z><2q-DqKVe#nQNRHcg~yV`*wUA+-R$rqrsn9m31jE+=jPK7XG4Ciar=vn8iy3MeKx z1$9mfq!hS|?wPk4b#~B5n^Hgb#T>Z_*h#YscL_ZUyZHj7wY*fy6%dYl{PN4g-cCx+ zNIOeZtjAxV4x)gM3EW@2o34-W!bc~&>E;YuO#Tk*2@!sj6F#wxk7m882l7hjm{oB> z6*KgdBwD8X|JY$^mR8v6oTImB+v#q^_rJ~P$#?yt8AhnIXV#?Ga%qajykR=rfSMcE zjNvg8GgVL|v7AdoTN3D}2Na&#p(PR8o7}wr4^Ujum+1HF`#Wz=WV3hRbv!4)Ys*#% zl#^V}6+d>EU~L|S2=%`)L?W7M3&!D$sbf^vO9ri}nEuGJVe%3ENG!q@jvR z)B%V^MxBY^8}vim$0F;zGccg;>`r6q2xB2;;TAy4AR}ME66p61#!LsGiX@3A$Apqww5G{H zmss6JY`QY&sKBV#{hsYl$<07a&@Er%5R=^7M4Rn5ZEE@eRX>B2IbK%PfoW;E_yp%PuH*Eb7gAD z@En?7x94T>jN@hSWK$lVxTG#GbWHGYD2EEJoOuN!=JXIenm_CAFq-Qv+0k z9yxg9%58F7H{3O9SEL)|$78p>+H6;{kHuoEB!|h85Qs9qq;&2K9wxyezI@T@>;%}< z4k*lzw?B(20l^A|Vg(~8(Gta>W0x!MZMZX^pl?koRhx$FGvTMYMtj#P=%LWnZLEtbn;pRv5H1nVK^M#?O9xhJO zCrjFjFw1)lQj{%8K#ySyhR8D3a-WJ$cGKy|cTPEy%?aMuSoH;pljLa}UtGd5dlrR4 zG2o0lQ5}=d;g~xPfwjTSYt7s*uF`U~-JQ?YkfE;S>!J0=rD5Ib!MxBcO)|*aiXWU(n=O++5%jOyf9Q4Z<(woL7Y(=3&NI&js9FJ zj|ak_CslnZ!o7-fns~-(^ach;_3YszYM_3(2jmUDC4tB7Df}(z9)t~l+53O~MZj=D z?GeX~;0Uz}cyf|ve3~_0EfHM(VFL2m@4}DmKMx<<2YO6Y@^@=An?{=&GJ)eBUWLCP zG1+~OQd#QYB1~rR1gX92eTs3E=#9!~9TA2DRL;U`c;r~@ z0m5W?fl7^JaS{<}-9AAQ6m8NT5l!Y3dpqzl!Mp=t|h4D9cMd1Ffkr71M z9@VWq@NN|0J)-k?I2UB0+g`H)j464m69#Gx&5~Wrt*`6@Bo7iieeK8+w+twDj`_Ai z%|lT^dV|Bu3d~7fb*!(GW#=VqL*6wT`HoSjro$aJ{=Y#Gm67Ya(*^adBye|eL5VQ}g!buV)}I)uqP7Sj48$1#Q`SxNE_ZVTr!Hw~<90N26WlMT{=!+Nwv zD(lgnqw5htc1>q@gXRykH^3YJH*0zz#B%sL&kKS^|J%a2-N|!NrGJTa2^VRW`AL_CEKbx=Z9+9Yc`=R$2@y?i=f9bV$ z$hE>X{W9a#JofOlq-BqAo@5ggd+y|fkfUX=qWdTiR9n3K>=I~o072MXhK&ahWZ*uC zzaBwxAg)`Q+Ndu{glt6O)kN_-fFh(_eYfak28kN|epp5Ij9>>-i>;$z4;7 zWbh2^pkbvARgA3s#PB6hi|IrfB1_2%w39-qfn z4V;mYo{;4z8f_Azl!XtwWeOM>>qLA3eB8h%2>v1Ev74qr(&kSYo6 zsGoCmLQ_;tgFt3g?KwyN88lwwRVc<%1BW(a$BbrJOI&uOVIn2vb$1S%$?>e6 z)8svz=-l|2?chp;FL-hW(tKjjSEp-=+}u?JYgU!{jlIMo;_I?C@(PX@3EAQ%cc}jR zat&L?C4rgE&{r{EuHk_%mxVKmZd}2|0KrY@=49NPXeA8?613XRks$IxJ)tHC)C;MC zAZF1Ai3kXv=m$Xohg2_A-laBZ%S3W8G zt4k_O5C0&3Yum+Wja+Rt#X^ijdE{W=A!$i6>GzP!AxxfH(>#UJBv*AMn1+A%^G-_} zL6Y#Vp8n-Jg)Sd*KIH7@4MicHq!ZEl%AS@QC*M#-7^3HiG-IK0?Jt#8g4y>*B{7_m z3nmh*`2~?CFihkHzJ7V`o>33mknV#79ggL3f}{uo@c9-xQ&KFlHBwRg+H<*m|U zg4#jE6}PVI2AF&o@VWT|QDP2Wq*Ry%cW(f`>Kwg=2vj`?D2#%qfScGo03Iw$Fmj5Y zEGH-py8Ic$%nayXCV#Svh5Y%yjJ*kS97mEh$e&WHwjBe%hBTFRW7}qS>jF_Cj#3o> zLOu3b8AyT>ta%L%iQ4Jj-@fnp5l3buAa#=fGorFGBRu@}=jm81R&Ym3!T$^&P{IE^ zqW{^M%K;;E1BuMJ?~(}fiOP%$0&aCed(J`;L_ve{fNG;&JzZbY2lI-O1r7`)fi?5` zPdX1C;VYQz;9W|6JAwIJDI6Z0cBJlEz2UIZd^PgOFSB@nI*S63M4BX?c>t<)^L(Kv z=P3>7ngI~czXhivO;yIiflTPyWpR<%fJPFPB@xw!g&@eDsjNwmh{?e~5tvWUQAGR) zb3gQ7mHgAT4PK@{nIGJYyZ4GI^;Sy`f@^+49?~VF8Q`@(kKJ$7tgt>5Q9#E)Jel6dCu$i@6Z(Mm+J>~kl)>YM&68=J2lP6i<#LR z>mM(U(6*QnNHn1n6ub5?q|N|b7h{QJ!4Y=t)fBrjK!R!Uaw?c=pOMF=B!^oOoc90( zz}A?{>1+j!U+|+)>kgkJ5?di!k`tvmTNu&x^Np(9*8*c_dFGZ%%cy=diM|9Dj!?X+ zvvMkX7FhI#IHD`YWFS^jbu0GCcFsp7X?J#(hOp~H`rSMPcv84g0OTkLCbMMMecwy7 zWc?^8$T;|QDC#`pO+zc2hDFFY4J#7L=A@{_;IBBWBy;Pyq?+D3I4;V=1sT>dk&jKb z_vUbkUU}GaB=G$9Aw*&YER`C zhb3xPjQ|beYh3h(RAa}h%{At6@P;(h1uf+qzEBEaTAZ*Y*~?nKXk#qWrKt?y4q^BC z`0;XK*J~4Ww-fFsTed@xvn7ctj_Y$|QZY8OI9&TFvHfnJryCFO6ZOs0Pmk>`9|3IL zQzt~N1aLrl_Wv$t4N1Ow=z||#d&A5R1rV5Q`S;t;@4x)+2?xbw00XLL4c__cSiNlk zi$oBW`=-Akv=-REm8sQYCc}O z*|2^cz5#52HgV)x1FbuiGu7l-)ubCWVjyU`c8BCS>0AKQh#O7>O&(xTbFrynvLO|1 zt7(>_;1q!85L-oOmb7NTQ6e)ChFKeNt)Mh6WE3oPGIzDmU3Qg*lz8Mw;{lyN5hg$E zWofXmu*GS*z{=Y@;Or0;uv@0@t@Iqye*lUk0$^%CKsEPfXi$z4!y*~*g(wYsSk?to z+3hJ?C{MlKNRPVi4v1R#y_dgweYEY}8}sbtHJZ~ex^;ONCD<8RB7Ff;HBdObhjYy5 z);lp3y95cDVZ`d88&@5*%O|q~yC-4mM1B z?vP5vS1ct2l^s9bCqZZE^FufP-9uv4?nm5aF^9&MH8==s=EHInWN+zi6@SZSK7$X9 z87=wt7ACaqaA~S;GK$map`n`w`-9pEr29*8Bsc@U(aUO`i6Tuv4DHSDo10%kIAmOj zBHUz)8jk3|QIpCk3xY^{9}^zR{WZl2t_U7y!~w+f5OvBFjh$nTtuln&nBcY7NCHQ- zKafH^!_W{aYr)ruW44DY=+|j6F38H^mIe*YEsVri7CA@}RegZ0Dcxsoa*BYXR)4qP zgHy}DCskFUMM+@=gGEzK#Uk`bv}6n|&peVzwpt(3*S0SjWNtt-k%fx^CsGrAfJBxS z8A|exUSpM^zC<+$Sr*+6nvNp@Tj3}b0=;`RMvBM!`|;81kTbSV{B8uQN(uebF^d#us?+U2?t-2T2#!6EVN-c_`B)pb_J3-20&3KsPGhsVM|doX|^zt;cBcF zOxATZ;)CwaP8Z7)G{Q~{>EgKVI*dRg2iDjnpUDS&GQ=C6oi;2E;CvRClfP9MDR8Cc z9A`)L2M|wz(gG$8lf+p-+aw!L4&qN~VTc}vZsVx}!Yq&ZdV_4o@^zQQ%~9Em`-kg? z$9w4T?{C75P0wH~SNT&vEO-!A^c3d)Hed7l6kSPdr!e{x!TRIAf$MtSDqWUX2UZgZ zpp6;oxyQpp+RiF#qxv;e5ra-A#gLk~2)PQi&7!MzRv<=E$bNvc(p(!H(B<+C8jfo2 z7X`a#RZXk0E0p4t#C<)~SRbI0$?k?7JJhvt@h)PyH2Sg*L(AFHK7W{hwugb173^@J1&QG>aW3CWh0a@EDU|;}}KPp4u>jQXQvH1Gi zLHKPFep`SWYB@UFsw6OQxJv(m_ZMK9!~$~Z>goz7pAwrT`Lm0|I;#_N5RY)&t1uUk zF>U1(n6P}NNj9cD*GC&uew4cyQ-{z_K~Im)ju&N~rPF}!=wwR^( zWX(aN*;s^PIIeFt=VYIWcyaa!&X>>8TX-m9Veh!Sq-R9i5nCop2c0^9OPLQ6+quDNb2B*?hOQV zAQ3wxG&EH~CDr^jRvwQx&NvUSzZT2mskX8ir@~L-c>F8yq~x|?ut567Xje(kSP#*^O804(d;BC;y z2z;<@zhg^R=HFM(qJ5u;q1z`!k5Nb&N}|v;Xt6uo{3L=P zgw_z;Vw8;spb2F^sHe{Q0glO5%(^*#4N-6icJbt}lSJzzSpySf)hMkkW{I>pT_MOQ zLXbFLMSBl;3~=v4?f0yvf;bOG0W(CfXZVXREzPm*?2X_tM>DY%3s3#nCD-aFE)Kbu zq8XU86XGZf^^hHIDJLi&mxXX8#Zu3T0d_TjdbP}+9$O0)0KdrAmOenkjM{-LW&iNka*cyFfBjh|gDu*!U#>!JFK z*K00k;5`zu<;>)&J((#~K~zYts`Vusyx9Hz?(X5sr?)#=uQ$fs(oU0kxQn(#XhP|s zuEH6{L3dyYIqJ~bwZskqs_Dt)xPqrze+g~HaWS+N$M0+_uJnM!T0OW;N*2+|^iS4W zq8l7)3FR}9b$e1f9YQetVSLJjnhU${T*(1#$mAPygcj|@rKKw2!~SVKl-U&hESPg2 zb*#M?@Da*-FlqOAF=LGqYZBX9`-#jA;1zKC#7YTr!EB^JLd`i!MzR!M10!9Wa9wJA zy+)aontN(U!00{2>xFM}V)YsNJ?ztlG-Xr`!BdCU6jv*B`lMgGx;U12VPRYrOg+Q` ziWD4L$RVaq#}g->Q0>*FSt}VPszRYk1?x1cV9f@c6+4gV8HB1>9rGIv**_Qx>I+-Ke73JXKZ3Sn9zg+%%41KgUEaMRvn6ack zEh#kIU@3GVfUwCO3%-mSeMcF58ONTZDNJi;-1XoK3P<2cMnIQwT?LKwux^mB$c7pq zBTrMNz$p$=MlbC@P>-b4w8F~&)u~p?M zqXGO_twVK^Ol~hU=^qqTj+-FPA-=&Oi`b%sgoxac4+@^amO2JuS(*l44Pypn-$^iU=220)wvpEsKviK1}GIx71Y)h<|M^tS}F7^BM zSES!O(yfn*IAk~jh7=ut(%)qe4MkxR|1+B;1F#ri4yW0OLTG9pXoR;>{+bT@di%Le z^LLdA#NchWQK;g<+wSu)2vrvhJTq5KFZeT=)|QT~WQkO8gdIDzP^4a!@-C`cm#7BY zozIRz5F%eE7sAuQ%+HRII|l6WY6J!p#d5F>ScRG#jv%+Q3Hl^4?uk;`8Xmqa&FJ~C zHaipu<#@utdNbP=fd#rt;ZM>25}DoIokE{%w(`>JzQKvrW}lMnO*AfG6a*Jme!0M$ zY7>++i>X8lFx-JthpWYsTHlgzz+%|C zub_h<66sBNfBXO5P#tOzO&s>lgnTpnUn8;n8&C6dwlIy+mi1}Q(`AZevnPNV=q3E_ z?#sR^cHZtTPTKFtEO3Yvs6UD+&A+%rIYUiKg#lgG0-1PhTz30XVR7cyE*IPIk_(vr)NUjpJl`R@H^-^JLXNXZUxt-bud40G%b*V&b?H zp?v?{uh(~w4bTy3tJA(&Uaet;j;g`X^PvtJe2*&mLF9RvN`5eQmE51JR4wTFjZ}y| zUJP=UEn|g*3~E_aOD8L4j7!R^xi^ z-Kq~eSSzj1D073vkN!X{zRaU0P80Q6vRx{JbGNewCR8_s^>lHf0haC<%s}%hofE*8 zfXe|~qB?l-?(5_3_U^xTxA(i7&)081-n>U5J@CER*%p2csx!ENu6k%5%Civ?X$5Hy z_XzkPRCUFNC4YG~njM)$9gcI1^61|+(ftNlgfyQ~IM0`k`bVg!3y;U;g`Yb0!B1NkpJcSr~OaAwr~OeufDSjLb_0B@x~}MbwPtuLyTS>Ff|>Eb>KmKwF+xEpb{OwQYZHoWqCc_o_O;v9MKLh&Oo5~v2RZXPag-aUM|gRsjln0-A(Onw(l#uyZ? zkZ(ZBa&K7fYa}Q^@~#$jHlyGGhd~G`@-UEf3TuFI^?%|#h%aezb}>69luBH}MA?Te z5&Ow4@!_C{A zxoUWk>Erpb3Q76BXT4rUWs4N)cVs@6u1eu)$bBMlAWXfXOr$^~y z%EQm9k@W^edl$fzT+I9IUB;C`{!3l<$>_+0-xE_H)>S}0F{d{iJv#@vU4jrQ+ygK2 z<{0?N^(sqo0^%=rVe#Jqu5pTN1+YZxU=D7h3hWprDROXO^2yJj#YQs`3&3)z-6`;A zD8T^mVQi!BqNUqMot})-s#69GJ9Vy>hK(1AK8;RN>U#|j6656t;T?FEe@D(DC@|m{ zJ-h(qXr^i7rWpZKfn9VdqO83J=i_absB(b-9y?vvhpzlL}-Tg z0OFJeb3Z*jg&ShIctvjnd7?9M=V;>J*QRZ<8jb6&QZ`4)8r=0Inb(=H2U8AAg?T{{ zXAcxCzN5Bb-2rHzKkcj~nx;I}eEZtS8_;%ibs*Z=jcV87q4%v^U!1G;AK(-!xUMMv zVofQQ&7c-4#ae)(SDwKa7Lgr_^Sny5c2!@&H^JTg+YK@~pkF4Qv-wdzs2@ZGf4)X{ zozul?dfNGP^Xc8!Kjeg%AMN~ND>RY#^jJzV>5f4Z`mN%2Gf`9>vYHxCo|iqA5I9`% z=AtRUEGz8b$&6|tFy6Cb>9zt?hQ-A6_q}Chs$6;f=^}5 zH0J1vI}-^V5uj5o&w?pvifzj*dEv}A>EMR&=Y!f?hkOki6Lbm1_m6DYpp{i{7{Qau z(7aGJfx$@id6ke~^}9DdA$KRkRYhHzTDHNIRti%}`t~G$0=HMld$>4;52Izkzq>gc zzMRM3ogPtR*W;ipFClM9B~Id4Ssp=B-%AN^u4m){Q8fS>cq;lD2d!G7PVEQo2@24P)r3t8dMFFX8+zJZ@zEQM?iN1$s3{>DRuIm!~a zVj9+-ujc5Xttmj0>;NXBBR_-5fUi+{41Jgag^?{`08GYK#Smu784`xhFE*#S*02X- z{qvW*n}6Sz*JI?4M>}w zoL;|(Oohwt$uS#Wgm=4YJrl16%Jd(AS%+Vd=cM6v7ie(3dv-En_1oZv?fWj;6G_q!80 z@UkiauPa}yp}V@XD*N6r^mxr!j*=N&E6@gH!GQU**a1bvJRxNjOj?P)@NC^g%5T|y zT~3TPY{QEnxdv(bq*UYCiuvQ>%tHN*VOcSTcTqPrUv9UE7qEaxG(Si*$=vjx!R6z0 z7Lo^ihX0hi8bx$jp`b*7G&Q0;z554p96#P%qqoT2&AZ3Dd!Uf)@iINrAoS}yI;WnR zm!EarZH7!WHNk+Hf+vf00Iq;a!U*<(3`GhA#H$vIKD+e@hU6U_1iqd*ImZWnGz1+Q zdAruaAadR$)Iu*hgk1YrO4s^M$){yWzyA8i{q_61j{O75GBjx-MP#2jC@wwbbYLeZA3ySzLjhhaL5d{)mHY-z^0TP^L; zV9|FcMbQ+8k(^^~cWu}W2^US3^L4^4x@#2fEa|oCmVM322YFW#Ux2g2bzC_W zsF09^X@f?MmK*94Ngb}GU%)p53=5Sj8s#dhjV``h0{&FyXwqrLl%^sG>#am3GDo&fwh@6 z$AK;>&IRvf6%}o{VQ^3)YmDEJ8|1!>NNkG9s;T6T6YRrjS|~MArpA=Z4`juYDSCrs zckKNM;?7?Y88Zqd@Kw;!RT?6r#H$YrBYJD-OmKNs1FWoY0Xo1INV!7oE)-01HALz| z=5kR!l_sW(mKOe^`-6H+`Y(>Rv;Jc55mD(5vPlQ}tMjWg=?8cvd{($Vl)TflSlF!? zK_v-uuP`IpUy@8=b;`M?he>o3X%oTOa!;n_34Cg>T0*i8?0?DgLRsGQ{OkmdZ%gsF z4hsn5?P1q=6}(!O6As2e(F2x2M}0Ev@A>9%x-#dH5Tn;Zq4mY`)JqOYrTKvFT71pE zAz>NKh3AhE>S`cO6XHi4JWHfO_(1T%!6>9JkYAl)31gMRp_zuG0qrV5YJ+{hn;EMYC!q#W&H{M`q##Hd>>~2t@AJZ z@AqE?Hw*p~Q~Il_QK?Rar>Z>Io2j?B>x*9YDwNE6$Nc_ZJqd3;I(bVxVl_jnb(9a3auE0=;NV)lyh_JmI| zrMot&BpuF3I3lPylgI9hkn!fl_(`r5^eYOW+E_uoD$U|Lxhrhii~gV<{6Rax@&z!J zfNw@_JY+|I!!a^^xt|ELWLy%r4lKjs2RJQYl0EPY&J6n) z%Gl`*f=9QIGB~AYwJF?Y$f(`Sb6C_TW-0w7{OVGke9}ldMyhb0bR2o2ATwEJd3e;w z5*b*|o|LN}%J>rUP98IxqR&VvH6C}yqZf#gt!7a!X!{pMD(kC*!8awQ(L!@BT=d;- z4$0oi`Q*boEFZHn6O};~QAhm*$|H3uG*n3=x8u$bLJW7R(h!_^RfMA>=_P@|fHx;^ zwPIwh28P#4IjPgRsLH9IJUp3)dlEDmH)T?9+0$b`|c+NL4F3#;*kZz^K}jnB)*n%7t_WZB?B?r zq3}PYpJq#@tOE~&o>)AT)}v-U?F}qP12q@s0YJ4Pv|&JwN{Z+1{mqBl&oCUdoui0+ z^Jel!h-szu9S{ld39-0m1utdWh*Ml)T=pe$0HQVEFv~Xyb0Ea8oC~~qIA&2*>1$$i za)`nTqS4;z&snS3CuZp~r_Z<5$`w@?d)lBjLQvapu!_;kPrPEhdHcApK+7yy1^Pyo zD>>q6$xy&abP>*bK)#h(Pd-Oiqa*?Clv#ObX@)$D zETnsM*w!cr-^NHm^fu@4rZJNy4-UCPUl1jF3Sb_VD! zL)Hs6ZbUl)&4Os1iy}vzVE|gSxqr4?%c$v=isVdzIWWsVR$HdlQF2x3+`Tv|e!agT zd@FEuovcn*+jHo-5wMTEmZW0gG1&bS>PfL<{({=E=tBjquGQ5JeuvIL#bLd^67C#}NmFe~N99o38S6%b(|E>)xsOPcc@RAWsl{(9N%QqW z29IroW9S3Vr}49;r=RwK5sGBg6eHA9rh@O_6fTtDyU|Di-_TtP9F{laMXQUPPuGZ) zp^ybXsW9o0VRR=NEhnMyJyquHh-iv2T1j}mc;mW$rzqiRNkX(0f)i%kof6GEJ=;LK zsdFJbTXIqoD1#8xthxuan-GT#%4;bbgF3B&?B-w0&&YClT(4IOY4oZxQ|(o5;m3&g zs4d_I;%UA-DMd0cFm_RuYG68^u(MDJ;{#N^Mp(uv68?+lLhkT! zfF|K=13o<6{`|>+DE>@3do2ULwuNC?T#c|E!dF5v{Asf|x$?|U1E>J^%`}mW{TA40 zgLlg=ifr`!f~v8VVI*!RrBVquvR5IPPI8tSnAB2Eav;={tZx_&9(q+GpV2mJhRq7e zKE0rbNSY4pK#x7t2kOCx+IVKddKU^hCSdgZq{;807-7^P2)eBRyXeG_#p#A2PFK@h zpiO%_YS|trF?jxhFJMG3EBTK*9pQ)re17+b0b2G&`WwjK;5b-+S(!<0th#}iO%aNAE`k(O6j=yXpMrs) z)JOzW0LGT;(F7EG_vOO}G)WiY(z7k__XZ=MDu&UR>dpmF(?mtqsKnJ_LP`5W)$}E< zJyZv*xI5Qs>=p!y-Rf!}s>;krH@3{N$YaNcrp)&t<)4}f)D2Lk@G4S@VV%o-Tv~Xz zAT!^eVsI=30lG5f&!M3(&)Ih|I$+5;mBLu4n+hZ%j#K-1F5C=c2882ZI37W8gF% zm#W`D0C1My?>>86Dy9ggJ8QlJXjd)10~zsmEqqembq%Bocj+wEqtktaY@t`GQBP1I ziG|d2NXvjfKx0_Gp8Usr;3xCtS&%NZ>jl|TpTXN{bQI?XIem!_X&Sf) zeS54vi28~5fJF8nV@gm}weH9~KpOT;jDCJ?Hm2m;b|@(Nt+qyZZAjptu3NvYTl^fe z^C}{KN@Wk*!4};CtjBD*Fr*(4=OE9$>4y_4A+Q3GcK{5T`2}=1ZAQCWz{<<40|0XK z9&|t_Z=+`trRC~XQlJHn=Vj_V?649GVrEX4voKr0vhP5v+aO}Z-osx@fKTix+e|Jw z;nW9&ZjvW-u}X87HK`t>&eZ0R(;X;GxjJi6PiiG#|HcT_^~cAXmjd6Sxf->3N^K;D zH&(8blGNtr{^sttoA(II!;Y6#UM&!~%U|Ve=j~j$N&XpRx7eqL;Ww}b738GE* zEu!y=3tM?tLNP8UlhKsQ>WU;$-wLWCR7}8;n3`S~m+gs*>!?&IrnhKoXQzjV@G8!f z;@cqqOJ-$4mvL#K!9ZLgNPw0ztRA4`aB+j9pNILIgZj!;N)8S>2L`F+uv|>RMOv_L zzoOpN1`E7M_M|?|NyPb^LV|%zWbw2LOiVE$u&yKsDJRa#B$D8H$Pe(>wL?CqM?X6e zm|*@Ns+xaFfQwS9i=*xfNxgT+TiWwFk!X`fsMRIf;u63;v~&eO@&Zh`KabAnM{YC2G%n zLkrgB;rVp&W5Q(`V<}BJ;WC@uRYHee5q*&Z`hnfu_2>5kB;krCf@m=yS4_3;juRko zSFPb`=IYi0>To1Oq=EBFl&w4I47x8qTz|a3VR8$2KyS#9jKq(@@4ox^@jDUIVYjV$ zH!%uo8=j05_uR&1+wHll%t@YDRg8bnZht`tDQGHc%!n?$OX85(W&d7h?CGFsc} zA)pIFsD;2yH2$ZtP>;`np@6sJ|AY@D8X9V|gWN_xWcPT~++e7iq(*KM4*>pC;=%b> zc}8ZAefEh8YfM>ZxfA_#>-$&4%THLyL4mWi{P$791db zSG^IO%jkm^v>2_B5uINaw{mZFEHr`OPini8Gb_Xcp6#GK(~I*n32$?kl0rEiAE0Ox zN*ghN=~ZAoL2<0lDDa>5xm*MF`(S~TtRz13_>l7kF) zeOEOGfinrO0x-nJh^H$lP_YuHIsZ~C0=I<+qV6eEQA(uDwh<@S`nbF$7tEQmmRw;& zvMLBsjF9PoeKNJO`5C#D?Brwsyf=XLySU_w!tJfe86QwNkP{9odi ze)g*v$U|n59sKNK?bN4Z>PWNnR#XfCX7OB1M|mU5#df2~%ttvNNV};*7_hkWG=pOx@@2lQ!ljbIb>-U=n9jR=tzx9YXRgYA&J7MauDu? zJT-EnK%`*pnaRog!oh^$0hZ#NEVSDPN^fAGTV{PslJh-gIy{f3IE&jZ-U9LjA zFq|zqxEWthju#IJD*e_AmZu=?NS&9K`cWTr*4X-aVUU5c#T~s|c?`C3J?;Q=v&2v@ zhZB&S#Sm-&L9dZC6`YE0@$Db?=eMXolar5Ji`Td(zob(s42U`E47wnQ3(0lm<|Iq+ z4_&{k&m8%zBLc5Zp@kz0Cs1?ylmb;hFxPEu~aI#6l%(Zydo3C~INVvuZUjh_=O-{lG)(;NFonzc6Ja}rSON{^* zn)_DlBGVkyeK3B7NOg^8iz6jQO*5y-CIwApDTPg z)_TXsjS(D@Au<`s!#JL@H5W=v% z)$G{K)jCBwTh^;92>MhjqNo&6ANpWzKp#qE;apT|!7zml4SO|foS0IV?tdccgFy0v zg%Dj8c{DD2#j1c#r^47CU=Cw0=$5sSxSbwW(-1I|ZefZ~kuZydjOF|sB!GjyLR3Qh zWeKo(X^yNy^9^O&;YP<*=qHQ!Ju(=ce1PTE`2zdU;rkk+|D3FEN#M0B@ z1CJCZltew*}3hP)Q)N-tl003`UA zyFC;O?RNL!A8FdZ`tuFbX8b~JIen82U z(H#4ui1XBiTS5uMCMCr=I>`j*@I7Jw@mY!gaSw51{uy; zRFMhNlnoUW_U7k>>Yhw;lXXXug=v&HF@P?zj)^i>LgRFgh<^&qQv@@uPRIiJ9QYvI z&>(W8nG^aR2)bG3b754T)|A5DFlL$eP;A8<2~h4av>mp>n1ZgCr!Si6&tC!9N1PKJ zgsL-+A@{)FrIv60TEWR7uF2zh8p3hJDN(k(I%T;|U`~ikf!jkmCjhIxx$*?%$>BRt zXD<2&`+Ru#Oaq6ApLw!=VMG@oL9GR~9ZxrC|D4b%fX!=c-pCX=v(HiK2=c@?Eyw&s zT58LHAE?5x9Hx||L^Ru@)!&WnFhe?>1NJ2Zf~d!C_v`gNvgB_+KHPqmrYXpwA`6Q) zFPx+J7DfYM1)&*JcB}I%xIa*&gun9YtYp9+KYaunmd6huh^qFYh7PU6NrWQCXVo~^ zF5G)jO!AAlR+f#$#dgFhRJ&T2Yk944N*^@jN`Y6!E{Ks=Xe%M5F6sFb6;hhQ#l$Ef z&^T)320-z}0F}B-%IcBTLmmy|qlXVAMy8d%3lHXFA78As^HAOe*Sq0b6hA5lw90+Z ze=0HIHfR*Ws3dlEfbP6|Z7F;hRESqh#D^LH-58%e1<;Lg!4;*Vatas&G6DP)C=@Io zfkRt|oT!Qu^PyaBZVBq+1bGBep{D79fIXI(;!!pV~xyYWwf^Z}vaz|FZw< z%Z?9puJ=1{fmx6Ai~Y{ce&@q}=a>D?uP?=7UcQkRT)t6$wgalFolhn=Y2*e?W+qxB z966a3z5|9=9R)!Pv+`i|Z3aawI)18&wAmn&rw$dlD4b>yi46{DR3PO@Y`iAz$OMV4 zphZ@uuteVOhl}cfH$hAjVxm$+)d*EKa0@|Dt>BD=b2?d#L&}DZ+xRDVFAw;Vg2G&M6 zgj1~QOD#~6k*9#VSfhTageP=BqhhoCN%l2Le-#Nw$VN;wzMSuKP>Hqv|pW&`r*L)C>~&1 zrltlCwz)!^S$k(SF{?o+4@BH@a2#D$an)(As^(3fRmy!KA`H^i50luNFq#mI+x#4< zp0(IPrcArzaPt_-%r53KCHsJRoXM>aoJ{sf9MN`JcSyP`;5@O9Fp$3)AXt7sS{%MO zzkk@hy?wY>^%7k$?tz20w|Splget+S|A(OTI}P|rOF$b2&9q4fVeM5uuDjCN>{0SJR=P&p|R2x7e7m6W6| zyf|RPCdRXi`I67lF?C$2$IRhn{NxFbAcAN}dj!0U#06j|Oc2mNc=7e|fz2+c-|*MH zZ~Gl|Z~O9yc&x9h7^;3$0doOzAzLQnirLqzV5#xC1+&GWv!Ub0g{+clafHXL_z)wJRIq{f~TS;Cd_Hrz(u3i&@}xRP~;O>>{Y3TSs z1dKjPD>0=cMY8od+X9d_d`2`US2JK6L~GUVkdN!(;Bf)2?JMMK)Eq)seEgR)5qnlu zw~n?6LxQL6k59XIUp{@ke%QmW`?sIIe%wbp<=gkt_2&K)`rCZ>14eMI^IhjJ9PfO$ z&tGw|^WFc0Uvzqk9Tvw6j=GbbB_x;R@0 zTxeKONv3-wW|<>j;$NTGbU8aHOpp&MX;lX}s*-jjzDhW5)8vCe!>{OG|L9t5bBpv* zkOnb?9DdM~4p@#I_740Hu=+0tKO79Yqv2>UoD8boU^FBaYHvU{+wO69|Ld2# zhk)4O?v-9Zipl~ny=rWRah3>x%OM{bnts+l+0ZYLt)y1^0?`RDJ$5SGo}n9ir*|L> z(TJqRC%_?MXk^^TkhVn{51+Nl$FR0|E)%QQqZ{?hN~5Z%qCk>P4iB6J0o0oeG&g`P z*eARB9wPAXu%4{s_Z}e92qf(QLcK~f!`e$u$Oz?}HXM_&Crhwf1hz-9do+X~kWD1s z!W1Du(@7nlettxh)S9U@uaD{~2<^_g-JndN4JzoC*)+JCX47<;O(R?j)W`D(;h|YR zwzHyC%xvVq;kBq$igR(cqsrTn&nn$$(%<`4N=+ z@;N3A2fv=gK1dZc$&IAGbkw;|JwzZY8&(`j5L|DRofTuB>Cpqkd04sd!V`a`G(@a5=Pm(i*>kldAOhywM^76@lc2Dt+` zi!h7>oVqY_1Gd*68VVkzPmgAT5;H43x{p2m++mhJbo2r^Cz-;led7MGwqaS571B1lwUrqeCX}C5;0i07OeNid~Y0ctBkR&RLt>{al)Qv%T<{&qJT(0ry7NM$x@&T$Pkfho{Jt~ROlQ|glh&T=5h=yy^*$Rn7 zo2?)NB5hH%9K5jUN9;s@?ySO_8Nf@6mM7Y^6a5RKCP9TG_F+OuK@v?feIyyyGonk0 zxqI82J6O{}o2BcFhMkkzz-cmLeQ>&zNEMfz)LOn?O#peyX`! z-ls@5N^p^cqc{;r0kV6A7_DRpVhEcXr4%OV>-*b{{5A5o3)mz1K^mdonN0MDplyi7 z*H~lh4R7D>mLswuj?bn}rYK&9-eenXJ}A-pGwPN!JpL7>g4U@dS$Z<3DKN|Tk@r?@ zM^6rpKQnElJG8Aa9lqSRkd+|sMol*LN3%L5`_tYT=vy%1@j}SUuo&Suo zCo~Z`Nw=plrn{ndmMmZ@z(KW-rwB>FOWZqoNPQWgGafAf3V>y$@8RijKl-h+AM_bwCAO-ny$sxJMAK-C-WTEz{`lK9>gwGgyr=(!Rt2DG zaR;j>6f6pU0w!Z*rVfjCfMbs@DjIcxaEhY^g`zxuy_rbyWcb9CNzf}H9-+w{j zLn(e_NtABG^t%!Q0QsCD!wjAe$c5#&`y(i+gI^o^s0~*KPevDchhF#jDHWz<^aK8m ztb^s6bQ&#~fYb`J3+|KeyFUyO4!0kf&46Qs6pZCr!h9G$GK<1TmA(;wfB5qGh%ZWKt;ny)?@g%&p3Owd!7;s^uI#!;rViFoXvxLk%gXiSrot zQOiU*1-7ALk7`*Nv@{6gdM`o*#Kf_S3c{n!*(qEfi-f5d%Rm7dF*_PmjPeRlF+}6( z1+vGdyJLVPLb9LWrU+LZug-sl@0q7HBBDm4xw{B*A3g{LsNoZ84+woXk0LPk9lk$_ zo(_8CSo{Vt%>zr%i|C8vwzIE4U4QHBvHSX$5BhWWAb1&2gpZit3*<*6Ql6s7aI=~6 zeIT{TAdWEN<}GCjS}5-jD8z4__&_Et0xq>|L0YqH=NSK+n7y)t$h zWPNYalh6rTHcNjF<)tQ*77StTc2`@dDwg}H?H9ohk-1>AG{@k@4iOfBAxh9?50MvG z_HqSc09fAo_(8Svx@QT^nrh`}w9Z|#IYNn=V~1$QtMz(f#azul5nd{nIxHfS=$u-M*4t92c3~&c4TIz7YH^DP%9Gj zb*`LKLB1q-L*?PZTDDBor8E+6x(7WXSa%!NoHey!GbtH!ZGgFmu^C5nh3HI^6<~te z75vKsK>54wH4W9EJTH--*;%`gww|u8(n_#123)3%mFBax{AJw({8ZTC2RpJ z$1~^a1GVRyi#3p;#>(G;W3s1@ls;lNokMC1F5@~r1aq8@+5{VT?p!lIq{3bzDKQ-WUqoi z4u$*jkPuUvqjgYE-rB$Q^eu$a%IRDCom<@u6*1CyQ`Z?`L$2CI4*9%v)+llki|t2J;`p+EP#oqkjfg8Yx^ zF>oH3>)zBxht`hdrg!T0tfCKWOjnx$Kj3eUYsD%VR)iU6BtqgJ8je2ajlDz?Y=(Ir+X+Z4yf*t27CkYNdVIgDC1re$hBhFG7Pn<{as@ngB|M z5I88n>c}1>b$kWbogjTIws!@yFDRcDwo8Tzx>8{h*8n;)G3>Bc1o>%Z$AI~#ilFZ) z<3kBoX`5o~K2M8hdaO&^=7@eo&9URvYhdy;L z8of_w8psOC-H!3h&yQdIp~X55{HiyX>y{$=>aqs>VQ?LnDAs7iy0{4ObMmfU)jR8E zZFggmBr-l~>{d5Op1;;>TTAHW%%y5coso-HGND%Da!9s-ima6d@X-)T*SuVH(}(v* zjfb~5mn6VmR?5j{=kfsJoscGZG25)L=G}>)2#3f?17S}iCtgdGIc$6VSnDH_u{%i8 z5(me*;zXPpR@5MxXdK>^rewtVS*BERTnNjTOY#e$_-DpklC*#V9q&Alib=3Hb>xS& z1T0UmBiP>~FdR27c(Fi8*|0L1-R=`Cbb2V58F~M3{c!uPgI__cNWli|j$xWv=mYr> z7h5=tWTzF&%8YJBMwdoS@8wR zaFN#Q9u#CLLe;P>!>SrQpJIhMpkUKJkP<|#I@3N7DSL9*NvL&_kkW2HA7z;f3WcP75kVjylq!5F#eL}14KrU?wZ2 zngWrInY?b~XN9v_)}acgv}K~6R~J&A=mJ*+djP> z__FH|NU`SKSNDcX+47+7I3kXRr|?SdeZKy5v;Xz(%L7ti_WoCg|3rp0|4oVb<@3We z8hza%#pT=n{r)G+`JWE1-aiB??t~+i~~wiEsjkp9v>$$}`~vnWEu@ zi1B&32FZsgWOiLwvmrMqPzOlhP}7S{6)!LV2s4DT{K3c5z`*Mx*D|+-Bqd~6 z=#COJVDX7HTeD~&l}NN=a5U1B-@u;->S$CY+jZxxu8WdO!RvrgVX497Q6&>bkvK!~ zs@y2&hBfAa4UuZCULUAQD4G#;|WFvK=JioT~wAa7w^;a*NA=GWi63%E%e} z4PD0=BPypo@u-b2_K`|q+N`i}?kx z8K_dFXH2D~oDyM{0&>^&3O^V%X$H%snoIFX{6Ljtr^HZGP+Wk~O+SZ9W+r*)=Ai3e zvNMHI-5DMqe-^3d3?ip7Qjj*yIb5w&8wcn=;ooJka6${(INo=J=jZ#L&qs*Sp*tl~ zo|ClbXf5?86`|K${A%;$;Ce4~Vv9?4%<`P&!h0m*yOO zm)glQb>4|I6tEO4YNQ}JgiYJ0IF~VtyD?E{kY2Jzl`FHFm1#NxAl`#PQ6_9=eqqM; zyJK2lv=2lXD>xP9=xCfXOcNMQq*en40P%)s+1b8`udUn1jeV)KhJkoSXA%3cG+5Y% z;xyd}GuWzTXDLZl3us-L!B&kzs+$~w^+xK1yE`bb_`MtGy*OHU!1BEO2`A+Yr-`Qq zW1C~SxHWh>OB2OteA(Gfm%G6(effoOxEU^4EJ z{EhXBo=_5RW3=#o<$3ycDqg@DjgU&rQ8E|gCQ$OAm)05{)JCHGblPP2(7f6TcjQ#1 zzoaiM$q8AlS!`M-B2jy`Hod5*LiOII)!8K^irbwg$Ukjqd z;~G>v(L5zSnW&yIiXduWoTCRwHB)-L>gTOCqSJW@nItNI!I>J^V11y4Kct_PJ1Vxu zT)MsM-!;)GFa^o5Y+vx|(=0th-ZcQ1csnFvMK&RUUQ4_%P(j2|d#FfsP3E8h3N4z< zftN|-dcwiLbA|c!)5}+CWk`Ae4@iz{=Yq<)le(c@Z&g&kw3edU&CZZ;r^+M|f7IVb z5Ck|up&o<-n1H~DOD>rri^CPLs)*OirCMVIQXGcN8L)i>l=IedEM5z{3FA2c_?uH$74mXxImr8j z*o)Ga&q{&Q<7QB8>10_*o?Z8h66#GFIrqReS_Yi;6o=)GP83O%vBp|k2KPgT*(6V0WC))5JVYNG z*OhVb$PinGoCZIs;o)RlG7nlwo*6L~Wde-^ybui|nyX4{e~dxcMh0r1?sQldCWt3| ziQ$GF;!6Q8`3)Hj;fn+!4O+>Yv-p+6B%aLh?e>zcE&0-VI5`gM?g~4uyeb_>2<4|e z^u!f-`V7Mt_3M!_Tf-8@rmXNqqcdsJzIqi%%Btds9xFGVbp>8^q%UDQe|jxHIO%kC zC^;uXmm_$}{G7mV$<(cs)!BsPUClM(vJZT?DS6bIPNpb9q=Y94+owM-&*6tmZqPPG zZy>af?UfL_CPBd@Q8n73fsr&BT(`9my$RA#&Ow@?*cz^2K)a;Rq?SJGqbTpWR8@-Em^e=o18*7CXCg&sa+0tVc1q})HK(V#;^>u7 z57-XiMsT`?^DvV>+iY}f-rcNT&Uh*Xj%c%pLJv#)H)K z==B2n+X%83WIsJQns!kMLhm=+M-9|5j#h)|lZpQ_XZR=Pj5x>ZHmadVUaT$=kCV`t zktkBKJ@buXSl-+Mz0OR+ORFM>SME-vKE%weP|6JL!@>DgWvRKD>7`coSIx=)yj4p# zV@#ftnO@8f^)&r}vdqjA7Y=pQ9k|o^9NlPZ!^jM+>xYNC+qaJoH@i>Q9}yMC`FP=N z8WowDWD0jYR3QDkXMJy)*X1>hz|v9qQe}|+uA?!-&YCU&B6$4bZ~+O7K-IpKnX|t9 z!+YQz0(t4b_CCM-_tV1#`)&SjEjO=@$+7k@(D^?*HpHrPqBx+Vqj_}Vzv#^I_W^2~ zwpj}2x?wn|r1qm*9QLJ&=$&qt1F3p-dI7nJ ze|}8FY$iZ$kJwmjdx^^9WAa4sv%1hKObHK!KK7kT(g-0B7w0Q*zW5eG_|%WI?3jEC zyp|+{|C3pAb+@ktP>LiLS}(v6D&uf0&-1LAY($c;(8+i)b*nn$U)w!t4di8 zgSgR}aW6B=UqR9`wORZ`W|#jP;2R$9Smu#h=D*xLAchY-gu6S7D+J9-eFM%Cqj8&B zsa=K(5)+uBitfYMxLG?#*^BiiL0I5^mWBr0f;6`vR75v&3jk{KTnr7sH_=UwM;EP% z>B`6R*XlB>h^e|&V7-X?1|?$s{rKp0>VA|i%LYZ7s2Q%R8;C&)R;q?RNe$p0+Dka% zp23YjI$Th2M#V4`g6Y{B&kix`07NcF)0&3($(y4;7G;QJYF!-DYFjaL{RHX?kT(7Y zhjTI0!?};&=b%vj3&@MiAR?B9aGY?|Ev{xBHG>sL;yh>w3UQ(GLAB9JayEyO$2kxX zB(bTxWtV)n?2_*WmpqxE)0inbjj96b=lZl(R3PV{JdiMF@*}IB$wyS_a$aXmIvVeY zl|_P3coK9gFjcO0Rqtk7sH(4YW-i^(w1o)2QvrVm#iV3?p8sPF z2^S@+oyh(CwuZFG?Hape9~`Id0)oi*wH)7E3#LE@mjS;iA8KLhwMqQ8K=WDk zbqtBrTK2(E5*ur5vXChjjVufa5EQchG;lzr3%Ww%Q}XVKPPoJ#wN&D#)>)$%Kax{8 zPw<3<#VQXM7OZ7rwrCRJWmcU8kX;sf7lD+U#7W^j9p!Y6Uv^T}z_+hobt-F&$$hx> ztfA-wWP3ZS-t0Z1RSER40A7!OzWlEq!0TPXoppyH7lF+h+-l9P<|=Ofk;ug*F|%>H zsFH&!vgH$IpQKgsu~ikFuja748L|x-%K3nv>g+I~u^6vH4Fd0h8FTVfHWh5o&3p=I zG&Uu(kELQUL;cQ+oU$R`hw^7-Gw6RIey8Mqy3-B)!43`}*zlP6L$0_vV-Jwdcua%r z)sVjM7JCeXuc`w925O)2{Ydhm1QICQ5NJtQz)zp57Qg3JpaviF^LX( zWH3$44533G^wlbSKF}>_psBg4J<=U0jgrEo`4z1-GZF)D8Yj95`meU+Jyyf#0d_E_ z5&H-jXFabd2pg+%e%9-|(PY_#L2bg_NU$bISmS7-oN?t7@kywH%xtPHny;`W4A`Rj z21Pc_SS=(TAf!XVV+?t2?@!GU@E5D)LM&*QYXpKm2N3#J9r3`#Xt)fr%->k6-JMA4 zFVrsjkBCW&MgfWOqaAl(O4Gj&Z(7Xy9eIv;T^FoIf1=;S2zl$4?rt>-X2Q^K3bAgl zs;$t28z~>)L%}9tqSOI{tdrKY&Ew*l!&;1`_BID~X-Il;13T;{r{)s4V6 z9Bb5IC@;g85Q4S0aT(_z*?j269C>CtC9#!|oRaA5W$l8qb!0HCVVx+~k=_c}S1h}N zsUQ4sApb27i2tk{qL(ERK#)OsBiZAQlF3VnQ}Y!u4QL>d?uPDRMy%J(H^#F~(Bjd} z*%=r*-X7|BP%c6OMsrRw` zbP_@5N{RJh)o*EKa)?1{|a%ZHpAkssTo1xKG&*f^ut zeM;$*86s|?KpwZrV)z;1=#fvuMPL^cL$r?Nh7Fc@0AFTUQMAsi-Z zP;%Y(zd5S=U-v4f%OIz>5i*__`(ebxCBpOq8aNBE04pYH*`zyyS@mLf3nbKU*NLAB zIsC!=#1p_K3NnNCvlw3J7!*23C>xT?SYTC42WFnE=Ucd*d6t8?VfBD)jYE3)J(2JK z6>WDQArR&>-)|@hklX7FSrsU5qVLiZLL@=^SO_EMqw*2*js5{0ABNB>nV?V!tP1Dj zm(Ra+9zWmT{_^?ez0~9+YuB%>-IQx5*({8KPmkYBfpC|W6E?>9&My8qjCdFGq zLNK5N_0i#(nCcO7#h;wzZ=AYTk~;>{=?x~G-T)T1oX1}9r=4GQkdFlB1I3)(6M``b z3NbKiuTyPvY=p(+`7*FVY1(QojMj0bsq`#FM}~N&&$j65gH|W&Gu~Oxod)|{ji0X1 zC8`YIl1pzA#Prl`lk=o?SRREuk^z&}-8j!-iY-I_jr3$)9akQYFl;`ma)P5ZII%7bHX`QvSvXPEObatzb1o@cg z=%L#zk9N)h+h&E6sPw4SxL$lB8x*4vFjD~hK`1fm7%@()i4pUk{VUyAX_+No={!-N ztKKR%EM(1Wsn07c>C-nBO2^_FCZG8vA5l5UEML?cI^?&b_U(l+abV)3ie+m0!sNy6 z-GA?HKi@vwUVlWyWcS<6yN54#_j@lp@4q0t@~_VCzoM{rj}>@echm_X+<>y;q#yMa z^mJ$1Cl6^UXZILMFzsW`ecEQonzI=VbV>CZEkN`T5vQCIg9?dBQ>7)W8oaK^bhzhZ&(6o4d_27+wz`$%}!@ zVOhkGVfB_pkWjUXe80|q=Z7DDh~3tpYt*Vjh8-U!pgsNyq2RmBqINm0>9PWgV84wWCoZZz4^#qElM4CraRMhN!36Y9v}r% z18WtaqBj8pZ^4As-LcnW(1DYhx{@gh!hp~dwm~2Ij(;&=v)Cs2trQmt{U+syUC)pm zwcukpuhU4TDSaj8YCD-x2czvLbK=GgdoS)E-+uY}aDA7C_4`bwhC5U!qHuBnhfGT& zGc}PJiYzMgPbv-*6T-k{z&i2&;uBK>XS4L!f@#ovMCKsieasz+H#O<1$1Sbt!+{G= znVhG&s8J17m_=GWS+{{eDm}A{HzWMpuJ!;z5<6PT^0!XY!I8@EMS4GUY#N_pplyb=|+17 zO}7Sg|A|>53HU~kLXN7aXqrLVQjTc`SX23rX$Jl~(hQRK2?u_04qqGaPPp8PMOsc0 zR?l^L%(t8pw>Co-+P4%24_vd%b1>s#oMn&?>Aj6E0kqgrOmRqdA>E%gn-^@YR;Uv zN3MLM!{HNIsP zLLa6C5PEJkVpvsdMnWaO*fYIC8&ihr(5?sP8HXBY0P+I|kMi+Cb4h}USODRoHw2e$ z1=U63pv%d7Qp^h1vW5tHgIbLZ~FWk@k}t})l}Mc_WA;JsV5(h^Ni6D#tJm)>9y&_nrk8bfM*l; ztAIQO=*(m7ua3^+N6gEjgJ>(T-aG^8%K}xjb_~cO6}DNKYM$v3?7v|&W+T9+Hm}?r z&-e>OX#;^Xo8X+Xb(-)?MhT1^)DAWlSO|KirBBc+z3$4AK|vxj%0J6AIzlTC71ScY zY9szME@abB%7bvgvW1xB$(B?bykzlmdb8v+a-VQW^~|rDD?UuXnrhi)fWNHzz5T`PjTySab-_^|tj#%x_r z&(<~GV?Er~KK^w`{~-DaFJWz%R~pQK9vyI8#3NvV3W)o3F#{4cPRGNi-S6*#{~}cB zfN|TyO?1#`N;_EdxRNw}JuxX-604&1YOkNyN}Km0YYFV`iweC2$f*DwomFlPI(@*L zhNYL*cQvw;R37en!pMY?GV?i2%_o7)`hCLp>$sHzS`sor(G;T(QXSx&o+2d#n9Y&> zws%ZJZUkfvsXSK1C5mF;kM%0bd_WnTKQnnB*a6(CDBTJ#G(DO3swaXs9Ib{=Hg1)4j|g=>HsTYG9lc{X9sR?FRPN&awN}|UrX6&;b3?n=V~lP5vlhz^48MBey# zd(_)|WbeR}?NKGa1xFTA8d;yU{X6;z#oVRzs*7BAeZ?_*Mr9fz))8fvhef@p3LB7*9wLGDT#|DC;J z%7aoAc6Jb=H7J%w%`&qmkJ*Kn?RP`duD$R3UHIiwF2zD&6iOm~`|1eFO6!oXUTK1? zA9enNLFb?^w|BY(E_jne=7UOc88yQ)P{M#u>WQAHI_ghWzk@tgSWWjFg>7w&2H$){ps&6*17r z8iMYj6)pN8u#3cQZn&K1L$C_cC)vSn{c6dtWG;DjO`nhqR6!+!GmnlPRg>h2@YmUH zCMzy7PyMn;sS#BbKcV=B6;>vajTP3oG)q^R^se`H_Osi4e*E}xcYpKw0Z5M@!M$sB zNB&U)0+MQ&WavqY5tfRK*4=%z~7M`2!80DCea}*VXS}O)xg>CPPewvr3>W5v{gKlAcY0opZU;EZs#p z9Ts)LA%UY`76Dn!dN}5JpKf)1OqxNKlj)EBglW_{wcZSH!Yu<&a(J@Cw6;YyOw=jY zaGscBRY^&{#l!07)=8(XBZPywyheFo7!uurDC= z945=})RB$r;=3wYNEM(uB#+c}RyQi5_lyR?yi<>=^xxgJZ%|hZ*V^WFAnoQ*VFe45bm7R=B`;~k z{7QrmGAn#^xMaQNvzvxB&CZqTT!`*-VOBXl5d2Ti=**e851mBHt zmBs{p=AyWp$hMU{{c98_LP^Bkxz#b%8l>+-zDDH<lFG25Kn?Yim@$+H z8=A1s1i=ACOe*e?h$HDY%*c^n0uQAN(w&ekb7hAUzt*I+(ZTpnG&&dqB*2`sQr#xO zB8qj@<=~=)D`UI5+QDu)yV%SDUqon=gX0!YQmY$D18LwG+ztmAg7$2+Nb**c9dNGo zc4)eNk}B`M^`}qQ!UphLn)%I~w*eAD zCH$?K*?P$dtu`R9l-huS0j3uV&z24AQ}L3fHt=s8ba<_S0hH!EWCQ+4h0n=jk%=mA za`c{PW@ju_(R-wWD8)V=BgFijR?UfD2^bB_{1Ns7wO0}AWSbQ@V^j3{(x#5agf zBb+iOde@n&CLt1?n(Cgr!LFb-pIc8FtA_HjkmWYDO~+wgWyQdMq;X zWD6pfstw9|acj*V5fVklF-9S3C7p~5sCQ|{rr=4)XDAJGkk+>$n+mV<+!N{Y#cp4~ zn1Qx+>7Zz?yg9aTrrLRG1>Uf!fC%V7!f5n>x6G55cHK*#k$$-?lEOn)W_H;LuK5|c z6$Lgnfa$|Xt~*1PfV?fDH4@x_F5Z^dhRDV8`QXN~dN#PRtey>SEGrFeY?p$R4QOSp zibdT6D%oxSS?D^OGO#Xn5WdpPcr4*MU@tVtRgGem(_n)05dsB58xnTc{I0o>Xp2~b z8dnx*tJ46PU!FjT=az0G$c}D%iA*_g5ROI)!a+C4zSb0k150?_rl%j0x}NGW?jG>; zo8=~_Q4KeB11SozM2(EM3C|NsQ`cBVyhe_2yeE>QWrm)=uo!@Q;isXnB4!Q}JzDS= z`y%@1oFl`pk6vGH_13QHO--2g5^m_iv^Rt_{q!~f+X~-PZX=%PutL!-kKXT84nZnj z6bKF+I1q6QrA4Kp+v&>!$qaVd2z(HU&4OV($svqe2n)(|nQl<&?Wemu!5lnhb!475 z?7ABrwd-}8BOgv`Oc}w62~+N%3yifkY&#q4o$zU)taQ;@KJ3b$(?#UjiU*XMtgUm5 z3M8&wjY2ehfMS~CsBkK=UoKFLnwwE!!0r=b%alOeSgn69DZC)d^8T=(%HU1P6H*&J zwi1=VrF`h~e?hUfx5&1frqRwx5dWO_?1LMd zF8WH>hh&l67#T?}Riz18W{n_%^Kkp==I-66uX_)_ecgY=AN(%JGWa*3${v10=;B@H zKhSClgWo=Cz?cyifPH8WlRoHIE3>Pm51UsDR%EetBLwh zW6%kvG(}cd{6Su)_GU9(C+pf6(-n<22Y?xv;g4k}^DPMB?p*SoP>Xzop4^9Al`||40rChFumYO!Y+$CKq+46f1m$ zW^l-EP<~7Y&x&APEBrAMsF?c+UI1~a+f*YWa3mAdje(k^u8-rMi4FpXbh7rfk$4o$ zpQc(DECOUV1uv1lIf`VE#4cJH$MvI3tXBw$2xJ#tQQi;_Tb`uMg<^S{Iywf(5mKJK zyEp|EKE;-+(ZN~@;Gg2ln4>vVPfY6fp1%?2NTyZINrfmWqhST#D@Syh5(}16TZ2Zy zOAf^Kx{p}`f?ancSj4;%aOr{C`BqPsgr}i@$3`I{OKXD#Ayb^j4Rhbr ztV%(HU7xOy>rREnQ~ZO9*R5U%utHPcdZsuWONbdtDpR6>0~HJXaF>Tu=4v9LI;mfH zv3mp06A|+;jyBy3VBscvB@*Bgn6-_)@{x3}RG82gfiH(GhC0JV06yp=k;jb1q#Yz3 zHXfs-why+Xt%?9_n^vPsNjgk{)Rl!VB8UMLgv^~4FFVIgTv|3Li$lxD9Y&V+AgZYw z%qoopizY2g4N76~A+$^^(8x2lmc=yTT=NUT2GQfvbQy`p-^|v56URTq02^61T z9MX+*>yH^9-ed55O&`Z-?|T33{=5D6`#1X^UUsfKfBDN>{CS5z@A2mbe?D-BPp#l) zM*w0JhcQij@B01wJ5gvf@$dfO?(yA2=RBPQ(Gpr_-oEF*tSfgl~AxndfJan2>JM#kyr(gr8@ob3xee$nfc4d$A{Z5pV7kVcnN#= zlA#+MBIHYA1zw$A%%%DG>;m>Si2rhmCE1=^R2AM;@fy`ogcH+CtR>01T_7yQql5PK zPAMv6>9$(pn5t-GMLy-zk!UGBFJ*u`|L*FvFZ8;UiDRLCUh#4g4M7HFw%eFf- z=E-f~H3h2ihmW`axY_&gi8YY>A8zmNAAY;O!|%-EtmOfH_;UB@`T;5sQa9zJyWM`r zKG{h>ibLt#s}bLYMbxlJbKA0-V8`fbs``LJ1zkli6#}9)61PGrB)oWhrp-qM153Ow zOzRWR3K|?Tr68zp(FN1*R?G!up{U3yM81&#$OKDZ>xb2+Qg}+v{#2voWQOvPsBcG~ zH>!iOf}++rdW)1nL4#yO9zXJ!<{GK~kWbt5&Bgp2ZNY`5)OPgi^}B!Eyx;Fwt>0e7 zO242jRs%46F%DoU5Q*Kj#l}EQTda@Ay68igh-c_!Bc+E?oeSD7x@hu0$;zkR8_pc7 zEn)CWLx%a!Weo9TydX=+x*csioyn6BjG&;HE1TO~rHZX>N^_2GiV*CGKS1{G?9^7YCQ>pgl<7@j8S12VSdO!s=vA8O2T`QmebJMU1NKeN&#|5y zG-_+*RGyff>RiCp&QG>anj;wSz_&8CXxJ8RC#TuC_Y=y7Yi) zIl=-KMVoWs8=b!W-lG4McR?nh3*qyV*op8@%sTipUkDTNPu#0Nk>>*UWm;~mYM~c+ zyM4V{oBB6kEO#M!tMw61N&z0!U%N?^gPp+>jDj!kODQ)3qnis*Av(cjDUz?imVy=Iwrh2 z_*b+Fxerz*?3p59{LnsUphxf)9BBP?y(d{?OdpZj7(Y-dov#h)2O?vFne^VwsNYCs^V&VF<8LWwC=$|re|>4gxys$215lQTbM-JhgPjy z7C{TwC{pYit_#4b`A=rA3fvj|eQs|coXv(=ZiUn5XLGu-O~xdQLj%P5&=*5q4Gm#; ze{zSpBhvZe_+qU+s|7xszL9ENbeU9R&&bW(qPXmC(ZF(?Zaiba3rJX|&P+w|Lh&i` z0o|C?YXMdB7=1bk8KwFV;rplX?OWW{g@)2Q8BtF`* z%{*5$8^WU(a$HBWie2gP-7+w3?dnDda_%YwA9f%6*y!x0GwhHCTY8O(Hny!~z*fz2 zE)nX5tfHkV=XqC1^j2LW->I1AoxY)AcqGXb-I1?quN&g_v3!ii1vf7iefnXmUDf?0 zRd~c)8>UWwbX*DsXyl;4j9&hB|Hpm8BD>v-)c z=akSCqVjBkYH-wffyPX|g_nfRhWaZRVq`pd_aD5Q?^9j1<1ve7T;_ocK?XUcq4Yjj z`9=YOy@+NC7UXyf!fu`a@dFt^%qo&+1*b8a-x$+RkC*Uo0xs;X`}`D~Aem zS~`@s>SO_)f?Cc!@aGW;nae9NlxL;Qb=s|K>Vps$wq1X76@vs;ottCy9BG_M2RJ@ zD2}ouS$MNicc5OvpqxqdHp;Hgk>hNPTQE@($suEaF+oo`(pjvEnEZXQdIgW_urFVx zBqYj!!YS9;u;k`Srwsa=dV?XnDXF%H<`eZ3=ulDz&%Uuueqr}$d-riIQD#b+h%Q4ijxk53fOy9XNa^{3C;HU0l#6~>7m`Dl76gk4cR<& z8(KC`5@5`R{eZUKBOw4h3WE4yg#$zGO$1Sr9&FTm{Uk7awWEypN_4_79eL-uJh^?cUwoeq^9Z zf=$;iJAdu$;rH)5P?-Onzt+{uoXZOWOLEE_zl|<%ki7KQCbw{aZiGsiq)+L26y%qZ zLpoFtT7^k`a}~xkrxa|#Jr`V%#5T2F_paRNNNs>Ja)>}Fe>D>AS&h^#tQcaj}B^x8qI9fP(} zPp0T~NDT)k(OWVPPiQ@q*q{OjzgNy5y+n^jdSi|}ItUs06%s^PUldZ$krxZRFO)nJ zY=p=l?%rnlb4@-0%Z*2a=X#bU4ImQs?(5_3;mhvh4NOUZoZwGUs08^?k}8!|n&~$* z+8zz@HK`Obr6^ihKpxBUe+b8qM|mnSs(EOO?YH=LoF?mV^yRGIae0sVG)JynLG6Lw#d&Wc5Dd z8=C`?mLG?D^cq#aDj zxgyjVj9d6I?0U+Nl26GJ8!7dAZpUUB0Wso|8BMtC=IxH%?086_nf&E1-|%O*3!DgB zYTkhDd~#9?T-JfOq|qYgC{K363NY{R0W8mgY$y*GFQ{a`P6hgiQN^!=i@uN>2>F8nNMv5cY;@A(jcsO3?gOhOK!j88PJ2`PB|) zR%g(ctchQ)(PQ|-HKD|X3`{eHszf&kBUThU$yHrXdYx<06ZbikhV(-X>%){bnC<3k zV8M~GQS$iE)+20GX|S+O#c8@pd>wPWc@uj6B(9D=gjA$!4d7sR_!4R9p9Tj**P&zHfy8HO{13U4mXoTEa0X>^VwRM<6VLREloP2Vmcse8#FnpH@ zH;4iNSW~!R6RJjnL)s$)Xd{x1$%GnGIHC4JXxsX|G{qdP9e#{@VeBgrn4n3&*=x^n z?b3PX5A8{{=*|Mx;MfVOnDO^?rE%_I)@=AgbC_m7D&P%`Cb zadF8bRKC{3Uo3iQINw=(Hkcsyy=}zBSz}zt+NH8K9XZ)G zUGgOOEcJEP-pOkThQWa)Kx9BAk9(As1A~JUHVHjRSRiM#Kp#~AKHj_{Q|tQenXbjB zGyN!&G(e{?QA4L%DS$U=iTh3TgOCNKPsuXL)dzXQGde=Gp)jI5w0rm4!`sIXSRNw~H-S&rZ*WYD zmm9?4pE1%HrNV^T4d9lIQ8t^j(yB=sv}TNQG`%;W_B z_SJfIW(xp^mWcRiFLWbBGB4BFUCM<7}rf zTUJCynLUJF%lZS6Er`*&gJk;#bRi=l8?~DOxzN#}rWr$FVqJG90mKfe2n4OCUP;xQ z>>y1P*M5g#NV60O4HGh?8)Hf$wsipHth*E?b{0VEGb;jE_P%!sCF2{!d!JXv@n}9H zVI(vt8;HM_J9y<)K~F9@Rn`aM7Gx*G3yn>Y$)chSF6u%Ky+LE@OOKF&I3Q|9)7pl3 zL8^G#5ykxr;l+{XokGSJ$936-YD}|(p+JIBpM|_2{216^uwLT@szB0VF_6k?vJkQ* ztrQB=OvF+5!~elD5)Lgr1;_PjBJ93-jvGd#dz6EgL+zx9OdlMIy8CG1ry5=GAtfP; zB-$lfJ*$I)b3fayM%YWXnr|L*d&zs}ag}0*4^n%X;iEjEd%#^~(FXx6P^$}jN*4}0 zii0$iMQLVQ>2)W(=BMO&NZr2u=-eqA30q&}Hy-aH$a!~39x`vK-+PUgBiZXx>wU6M zoi1YAG=I#td&yV-fky-QDBYhuuBld-JCW8Ii&HTmj?U60*4d8n{L! z7wju-WL7U93*4;Vh_5tF`_1y~ zi&Ja|2cn{*!t(SLvq2D#PAm?(mf0guIJ!y#Hjh#c43%4BXA;8p6Vq?x8-$TqrqOzu z`r!V~lqU^nYhlV0&#lXu@?_{t8E$cHi5ME78eL@VyEa#9c&Lk9UW(RS!yTcHAY4|~ z<-1161Qm5~vNz}s&U?|Qxo{N?8WwjO)*b=_=-Y5Mf6dSfz4ozE52v}!7pMi)Pk9S< z#|_z+haL@csgW(ep1bK#jI-a!g{9pb&PNdyFjxO9B36t-N*_) z&yp2Yb9|Pj$cqWrF}uj(V3yB|U`Xza)s%umqz&S1qe2N8-d-%5R*ZVGYkDnr1*HY1 zs>kb!CSQb(< zb56wvJhqVAOaq3zHmLpl3gPx4hs+d}EX-sFY9spat~naAY+4n<=TIqLZy-?{(L1@| za;L}5a;^$SxAMR#;)BwI+j(bXolO7a zMx~8kEja2YC7U8|g|#zUr!5D1~PuB)@!%?NC&F6|9&e)hv zJe&>35u+9L1riK7$(o5mZ`^R*n#>zT?`@kVHUfeosn|~*Uf9g(+Esm_C>-6P{>nY- z2btuooyg>5#9Mgv!Y)0x5IJBrHG`D+pDD&huv7yuDCo5CIiY-HC_dbLA_mF3f9$Tm zzD1*4Mkq_(MEIIo23!Rx49k}|x#DQT?%BaVxiXbu1Yg)C4Oxg~>|PF)ic_hy;|O^y z7^M~Fa@I;QJU^{8q&t(iD3(%*yIj*j7qTa;0Y~dJd5ds7RGYZm0CQV?D}HXmfPE{4 zh{(+Li??DvR7` zZs%8Z<&EVeiAlRD)vlw>+3DGZ^Itj?#fKsdFX!_2>m}Sbg^Rv^^`VTu)svrBusQ7~ zV})R5X%=s-OC1RWlu=(~jq}k{Zc>tMR^5$=C^nZk92f_*VhcB1MP&{%70oXnG5u!S z3b;pg*VDLrNbj{jtHk{Ch{R;fJhTZH)`CV=NVgs$2oCpUsFM%%|7H!MUszh!9#B8rwk|;7o{1X6+j8SZ~Kk3;9wNd#B$h^fX*uE8v z0?$Ym0qPQyPxWeTT$wB#IeR*!OUJ8aLr=3L52V2)dhX?d+m|U|J=2AWOWnU=pmbynb_?AF#Z z;H<4WEPRKQD2)kLnrPW7^XRWT@EBO>2GeQ*_!vntb+CCA%}5+%h8Ww1y0gk*J7#jhGCx`thdnf6NNztciEc#R_`4mK?FCfk8 zUbv(zvCU9|3TA~m*9&<2j8j1c;l1(D$}t?eafX%xLL|zIfgqPWR6tbGIh&jyFRq31S8vhMdVYdPyz4Qx z$#&f-t~;tHC+HN)BOzFz9;jYfY>axF#6IdhHu)S~ZPI#~tM5%5y4U@AYoC%QcAL>e z;N^CMr)eCQ+cT<9VtQRO@~E6DaN)88EAn97C^RW*?{3jF_2#ifJ+22UulDKN=d1gc zc;WYdM}yUCv8XJZos?k>A&cZ1sEH~3|261L7iw0*C|%EiVuGhSM$g^riuf$Ot}x@M zf{1t|`CYubwERI}P{bEfv^EPhbgP55hQLiN*&w7F%5lek5;Q|^WP#~``YD9m&Q>UH_qsSWEw)00YO1KGhY@@C{mj}0zl)UuzurCEJfdYV;09m6J$la>?Kq>0m%^L_`M8MjX0FY+f$wuH<< zM9TdQQ(!|wQdc;ZBI3jX&s`9e3GtEK{}ILBN~~qT!ctwB3u$nM&GI~~fB`3hlrN{r z_mG^D8EF6mVy_awm@$Cy^VR+R&Gr2b^r?q0gJ14GUp?M@zTWxMp9cT-Z~r#<)0gWn zclW>l39|3Y&-byZLQVETiCHW6*@L7!L@iec92B5lT^y|sATd#l66c+u!PDg>yj6zg zSV8qAibd#5LuW)X!X;AWU;*Wj(fRSgJ87K)9;lKHZZ~y=D1586U!(=|xcL@aO|cvi zt7NiycMh8bd8WfcPoU>`w++0V!TnW?=wov~4EDP?nV3b6mg`=dxc@(?a zL)GvYV|uZW=IIA=7lPHW)#Yaj2SekMjZR2SkFBLMEI^)#GN&%l*4#CWi%c?Do(|7X zF1H|RebM?Tn7{u`kBt2dkGBHu zEuL@Lf2@*R&42~cv^OypOWzqZBGo83S`VWF0Dl0-8(Bb%J~kuQ{env9kekss`1|_e zj}2Rz<84+eBnKT|TtHP{ogKhFQy_(j8|*QOi*_0*JGrVy#JHx!X*>o~m}(+u?8HC~ zLx$GnhV~X@L3}GwkH$!-))2WQVh$$Wj$6nXKY+3>!MnKR$3@8{&Xv_nA5fK3@pI6` zQF?<)7t)ciS#Z4=PBKpMFX)*l-xG8qx_yF3q#Ovbsc;qcVR^L2!dq-Xcq$+^aLd7r z+M=hPNc!-prJ*-$^jp3pVU0C4y z1YPI!5C!i!620X&gc?Nq0&x`Pt4LMF(n4zhNQqJ!kVtJA<7lp4fNd-!~*DM3TbnnLOQHBPio<# zSrapav>-lQxDeG~-`?p;O9M(=?`X?kY_aTqSR*id6_Mq|OrbTZO~E`?O@JO6{Kc{@ z9)NykmOi(6uO=M@hQUgld_Uk>uyPWzf?9`qZ&yFIOBDV`Z7Ib5p})v~cv@(W;u2`T zBFmLR>Eg%Mgc3v3;BlKV_CSCCH6kIrSWhWm!JV4PkiH8)3G*f-gNf@3tUtiJbZWbo1l~kb4uM`fT%CNj#ap3!(IGf>9?pChRTDbTDaGQh3(^`-;XA@X?&JuL4?%O0z)dGvGqZ?DfQB`P?cCqEOu(>!q@s_$vRnd9J>bpVzUnwR#zsk;pAp0dfTz zD%6GC2Y`&OX|p7ak(bW03MI)s`g~#>^u^{U*nfE17*fW=j;StyaRNus48f24Oi+%ZFa1*y zKbbOqehRf795cF-Pv*y`nVx?*pQJDnu*9PJE>$m+M>eRm;37I!xsrvk*5%!P*Ql#( zB8qrL>WD(OZ>^fasC1sgaVs~RCH#RkdwYs!BZ#|cHNL)A6pk0NEC z&!a~Yn500@+0_aa=i^GdT(9oiqk4g;xwVm0$?cBIX|=$DsDc+Pd#mn@86o;i_EW5I z{72!Shh^zyPHYe8k|RS@VF;8zDhvWR98}ECm~MK?PJ&T58d}Bg`FL^JZ!SqWZ%_ee zksd>^t!8yAr$%QgxK+&MBCb5+8<6x+g0vVT^nn22hkGZj!9yX>Xz9uF2klsiwo%(- zWWgai!=#*(`G%PoB$!b%Mjgme5L7X_0{~y=%E?9FwC<2>m_G&;pH|`{&@w6){Wjep zxsBRA%K3a9QU^GAIQ4B0$C=mLuj-!JlF=z3)%N1P0iGsRO@*w&WmMD@fJ>K^1{Df~ zrlcr-aXcxMmbx1?9HshjM?Z`Q9ekFJ~}(y7)n@dB`mK_ECa?zylR=2XUi@9EzeLzGb@O2Q7ucwWH%3e>$;jc;fYpO0)+n%IsYr@D9 zr9PRUCxc8*yyS~kz2qX`j6)KeMF$q$W1{MmiU)!ZlbOi!#8U=OBJ`=``#WR$)loCC z($C@e;jW3}tbs8p2to@*RUQIr0(0m*td5+;LPSbx?8Jlcd9-~JV3h4!0$lLM&}>1> zXz+Io@~;}(Ue%e-gk*hJK}pNu&w_Z#90zL@0STg}7}51PSsh-?5B@4##rS+pOr&vP z8m6wl$GEVBsGu9-d|!QLFI}W^d<bJYogjtNd^Bg3k6&`DnYbC3Q zL+Xcd@Fo~h;ESTYI4Bv1obn-0_9|yYp7_=ZZLKd(-vu77u4R5G8;;Byr3pp2`frRR zGO-5Dga}iQ4QqyS8*z6}bqS|D6i9=h@@mT#*8>XaB75QFdd~}|GxUY)!dA&lfz$3* zpx&g}{(J7|G9>`CuH7I}CtIl&4mj?&#AKz(4uVp^VO#WXNPmkiC`@)0eMRGP>5!(Y zLx)~;5Hz!n-^Bw*v*>&2GXRX6$3>7B>ggO=gz`r>h?Amh*Usy(kur` z`Ygs%-)ICYfR}+hH6W{YbUEgVA!)9hA!Mg0WJ@bYK>s%f9>W*S4?)*}(@XM@<G{zCStJZo8?JYjeKOHJxke) zjx)fm=+vkIX^u**!~bGAQ1xDgbK^)ovQR8 zmN+_w`au(4Bph2)ILS1wNextK|9D}gMpE>?1r_Bnx*U^5fv|qI!-CBsD&=f_wlc;` z4G*X2DEe2KcbVXJs4#{b+s@G4r2R34ogqCR-bUDxv0?H*aTX6|^d}x3zQW(j;d0Es z2md>ern|%6!2uooAGGzJRCP>MT^>obY6y!M8FjujZ$?tnX9Q>k#J0P2(~CL3%06HM z)p{HNBavuSu7}{N9y_`MNV@uiCm^kz>GA08!Te}_qII0$CkTfSXH$1J)9Pm25~AZ! zuKni2HQVWuC|yeBS=NPc?wKUCEj(YFba{6oJ?Nci_OPeskzV(MRK~!?64cepLpPy( zgtGdcLBB0oTs}pf-WLsAPQmcTx^gT}IHA0YNqV(v>MS~&q9@XIPI2jNk#dhHjCAHM z`!>Jb9AB)Y2lK06u08`F{>$CXhnG9}U)jA`WmSX8UP9s|vLBq0?o`osz4%vC%VY$skQQAKjsf?5a%CGs5Lm&Lv`);e$@j zk;D|poWX00`P~EUK1zR29=Q4D6eaaq*x&8(`fzbbQv!mCH0F6(76j21WQe;er0QrbY9>)C*?sqfkO5`Gg%DkZ;x5|{r;RFmt} zN~lbBkX2a{7-OZJ7S|$_)9NSzuyZ3`t~y%T$of3p%K~;4D5SVvxobH??+2IFc5nDs z(05-@hr`YOlOPjL84aJG(qKwZ4^w~mHmztQ-Fa1Z$9BGnqac7$sNxS1fHMob?FcPVtQo<&$U zRKZw>Evv2y-@@bt(9rL{{@&hSeHr1uf&Np?JMYIyBaf+wl7LLu{2H#gL>X9Mq%*@g zdUc+hU+R?ZU8WN?5KcKzp`6YXC7o#E_FA}qz`&(_D=5N6Go>Cl_0o>qO=wyO@;H;H zMhaysIUd+dD`>|K8pGej*K6alf2IOLV+FcAp`qS6N=Ys-+>0JN6392=%=g4}_dyb< z)_3-LC5(flC~Can%R{v^a+RJz-^dD_kI_Nf7qZUOIWI}>qdGxR0IlF|1CJlfo zJDU%wGpT0=ky6;zhsQ`oz_)ErLo&i(=TEs2F7gn>~D=uYg^S0An%h@Odh zEVyr4LCZ4s5U-Fac7y`W8SP}Kq)#N)@ffECA6J=E*w2U z86`-JG4mk%=<}btwlBjgaNe*aA~ol<|nIQ8`$^PO=&=btJ`MD2pLL0dBoT ziX`iuqg!%*u{k(CJakc%{F9=HPoXY+x|)#73%65L2&0)cDDWvt#CptC8c2^t7O5`KENu%p`4x!8ODZ0V;g)^WEL&LA$_D zVJ(a>jug-jF(ILogTpQF&-jNitdTf2ChF`k&NTvpmYmoPX>mZW*6=Rjqn?TBw?scp zZK?%4RpMsG1C>EEb29@b@a)0HoRp?r%0bt_(xt(afIUjG%*>TQTJx*~kI<5SM7qz1 z<52z_o^|$g(yF#risBubI3J#W*GxB^qa_-)%6F;d!td!~PK?KzpSV7Ubf3Bl(|&j? zPC?ijH|xd6`|IobZ@0HMx1U6gyBjM>r${oBDtQ*LqR8fp&&l`0eV5hq1=N-&Oi#e1 zb<9H`z+iATGm(V4oXyw~!{Y3hR9;o1VrfO`^E+meZ##dy`*QPs=i=qSydD1>TtxZU zws30vJDh#cnlCT(z41M(Asbi`C;07!QN>^Yp4v3Bxm>lH@VBFEq8mspZ<~T1a_M;@f4NOz? z@N|FyZe|S7dV*?kRXK@10Pq3fD;va&I&wLbhRAYRF3%32sAkdl>bQPY2wh39Bs|Rs z>rV8x76UQuH5}&E+AB$=1%{02I9dV~+-;|dWa;Kznf0I;A{RcN-?N+`H#DKw zpesfVzp(4DhRn~^?C!eMqu2r(vxy2ybGQnX_EQ4}KpRTMRWRG);)U{(KBuAvJT;qd z0^}&cjah*bAh)f4UU}Kqr283J6}3;0WI%fpzM7<}e>9)RlZLhu^a_HV86DtnZ)Q32 z${!3rweRol{&901PWIGVuAGMhHkx`HkR#RU+(edgJ_!R-D`dVwdk^&LYcGy2Pf<(A z4ySA}$O1k?1b*uemfTCo8afdwhN18|&a6pmny^_I&YY6FsZ$bF5BLq*`ca_`SUVu= zHAIR)yU9ffky7V|hlW4NOI&e7b0mY~Lr ztQO%Dvvj?)=*IA_%zh1C2@G$#i?LLC_Jj5mNLL3tG!bd1_GW^F;QDGi{Kx{R*q z@O_$DEQMK6+*$}o1B#~e!4`B7RW>Z#NQ&b)?`Y7un%Fok|7(B_>}}T#vN=YXBGp`Y zrh?CL9_mNV7i)C-i^>hm3J*$buqtq|2WV3{r0dEf#TDv0nbZJ+-Yd#iCtZKUbbaoM zsB4M}aPNTbGor@mKZpvb_Iz`~-gKkK0Z4W64knUmJh3+soIKFZm4~47N`i=ijtNzg zPc15r*6W9D&{Yp5eI{2<__#tbXc!(ts$PoH7mhrzuR^t3Ax`pnWYugCu+Oa&$aMbs zj!wh#?`D@rKS=qAc8Rz*>LCICW`u8;QAN5XB`92x92&DsJ3Ne#8Q)p)7A^oKhlv0& zvFr3cJ3y*M#n#nYgalAWZTsu{zyGYRUvP0YJYtj4+=>x{G#M3wj;{#w<@f|310rb} ze@6r>g~z%jmIbS|kQeHPqInt0XbAjd=eyy%=6vty2mc*B^lED>MWK|%+MmwOo2_4G zmON#7qLk4~vHD@4K%^S7R0q^mQdRo4fvO^eVKNgq83~Dxgt}I%ZMQc}XIPm4K*MMm zh6QGAOPD7lH1v`*S3p2oFl{mTIJ2v=vtrfhw<}8)kU$?d_vJFf!|B$fw)1p(K6?%@ zm!z$^5fj-@%fPloWC376FVwRY3!*eiK3TIY8aiZ#vY7dZaUCN|nhIP;g074#(JLqL zxeBZ1(nkG0%4ihN?j7=Ft52Xgo;qFHx0?n0FL32pBjqOs{m!*1vZks35baqY_Rw@t zHh!EGk5pExr8c38GaoRp?I6B7lXNK)eW=|q%IHP(xt&yg;jM(o3f{*?@`5A;-Yq7f zmtC>QEQZl2FO4GA zzDL&h$tE<9I-f&%O1eimi3@8MhDvOlM~P8JriX%HX!H*GKG!FZf~Xb*44xS2$sTqG z%VQviz%A3mB`wobDnk-NU!o66JAelr?Wsw!z&U#N$>}86%rh0fbbGlK*+7QnHCjYw z1_tN19#!aFL$?}Z~k$;^YP0g${N14!n`YLGUuN)o`7$T*fqvi6~?H*1Rd z)Rd=4)YypBe8XBdZ(6Aprhzu+Yt=cjYB`6t(@#~A|HIv7r9JvYJ5kre96sIdTjbtJ z=dCQtF$w-25lLc*rPP*i2B8}9ccY)Qd?(;EOH^uV->i?(&_OV3N#f_~t==d*6PEa4 zLp|rBn8Za)|6ImD58|II6)ujpE5#;dOBfTfQXyrD zhQEKk&I*GA<3cU}Z>tT`UPub$7u3L#0he}_VWZn%0xMAR5al3D2r%uyC2-L03iV$M z0314HKBK+NzJpua(6^ldY;anLv>aE`Q4W#;$&ov&vb{d$ugi5SXUO}S8;%E*o?5jc znxPo%3M*^%7s7iEuA}ME8RKCxWwWalXKl8m9I7ZUb2!G}Da0pT)mwk|)K8xcy!tX4_CayAtl}km za=tm{2|)Y4&B+_!m0-vPL!>@INjjeRIa0$}uk0t^GeTmi-q)tcuEha@4-m+z2=wTr@LiYRV|9#Y8=#m%suDLM?9 zqC8oAM?*BxT#y<}8pW-RIBTkXQWlS5TcY7XXrqhMnQID}0s%!1YVpDGYd_0WF%m`D zThhRkf(Z(S8FlD<$RDaQL7vGCxhpJ&p5ndBA&%@CfI{9p+k zLzpofvX5x*Y(GR*(8qV>Sp{n?>tMO(8j5!43*= zhT&3xL&Dp~{Ipp^NW)$)Sz0o)s!9X+9iV#xumX`H+n)RFTw_+9JB8Q3ZiRF#s#6UHcH zb$1c4+tq-+a7sEpb1*KyI~~%kNxwE0Fm4w=G;k;-8~fxuy_LHcm|kh?2m3m?M}2~R zxDZOnVUuv-xbPn^QSa>>-eadq6)JYwsiZq7o9p6j)~OXl!?Kw$%X7aUvVwNsm;(|f2im9o)6Zv9A;|oz&?0iGK zS4RA)E1%4sTX{yM?MNT%kQ>7P%yIgmqgW`OJ2c#z}EN(X<4^tZrU zeEE8H-+sJpAKMStA8(ldr4q*Tv!Su^PcDx@7OX?PE>M$l>ke>qj-9$s)uKPxHMr-?&xjqo0@l~wV z($G~*th3G}`34e5SR*)!@V9HWaf@q{=#-$J(y`8G=g_7$t(ih7(30njT?_?GSU*@I`weO}8Z2?t;(6Z>H#x^*AlX3blz= z3L1;otLkVzgYT~1LVaTQ!1R# zJfKRF3MofKEoJ?q4AzSW21*f7d{FGJB@8Y(zwVAbJ{u;-(s(T;f^xg%`P%w& zNe-USoy4heAE!FAr(l8R!$NO7gHH4k=dJ}!u-mBaDup6^#VxhoeO9=ap!W-<#(A51 zHR^gxsuxJefVp(`L3{M-6nT7+9E;&JBGrMOMmWO#&Il00M+&@4;c-5o$C+A!M+^{e z7HGoq2i`I|@We=#9qAkZI|TL!Ge+JtNnir_vF(&VWwGqyojfR1G0l(YOi`I=DvW%P z8cd+;a-c%foheGsTz@m{rRX#F+seaqE;|?Pe#Y0`9MWlWg!`hCYX#9KxfB)ze@p1q zAsj8|2vl3x2D*<^E2R_>O?t?>&V4)StU!(lznIp?$wg|D_D#_} zOO9u_2Mnh*Vv4~t*gHpW(YAlTLcgZZcekHt` zfo8VLaR0C>#f4@8NDXo;Sz<)s)vvD~SGQL<>ws8e zn>yE$fTJdnB{yYxlL*uYVueLoXwjHYMvmWNb@3K#2mAD9g?icti4CZcrK2^PL!w4~ zG=p2@`yoQ8Fw&h5ci-@MFGt^xM$_Tmcs8Bk*9f}a^>^dpEYouL63|P~aMOzg({7S6 z2GsbqM+i{_9QhO*rvgI9P#OoXQrsnWv0Ou=nRl1(f=5Wkq`? z>dNxyDB~Oo)~c7%hf3+@!Hqfbp$DL`U&*xk1Cpo|AF=+v^P4ATC?oV2Q@(~bL46O! zv&df3KnF1hIvZ6=fb5pUSep7wj{QMh>dg$^tUWownphX2_1tU$S$m>{W4S;9r!5M8 zB1JAl;H{}g#oIFGf#3Jq1KDFsodv{Vs+(ZJjfcdv|9ZeE*Z)M<|ne-6!N z`Qa=G+n9&s(@FfeD+EH3L1J2Q6WFf_mxt=c3N<#VqUZrH+C#*WXR1hvCiL z9jP#T_k8-g6r;)_guQv2#`Dd5izslJW3xAu6l}{47PG!TbvPT=?=YQOMs$f4S|tt1 zP|iuS4Ye{9kU3f<>P!H0`uC5EEN3*^)x`pY8dA9}#s1puEG;1O2 zCH++0VB}}9(x~xnttH>0a->c)@=19K*Y%Jr>|(vF z2we^oY_$BKc$346fQlH3q*3bXPK!3G^fBBaI|NZX+ z`NTkPaUs`G)$_j<)6&T9UdPMfk=?!M>FARi%^t!d@&aTHh|nXZT?^rKr^r?&>#GOL z%1b8pcHb0Z^lvcE8b@HTms{v<8*Gn9V*R5zfyLs_?0Uo#VLnwH%I#{eEJvJb=Np5hfTVVR;| zL=$2@6Fi|LS{8rwV*>LPxvW;L#PSNjA&wCOLM6WitSog{p#QkqJOuK=-elyPh)XYO zb_`5Ot~DEZgKEcxk>;Od#RvK@B(##9f?6g8bSd|ZRY-{yO_)?mO%7O;i(0@2VS^BO3dTrFC>nkG*`Oe5LQp2g8$9WY zeK_S~TD?YfGJI*YvKz z1)k<)pg`l3XHma|v`p+j9g{6r)Gu{X*Aa-*@QuPd>~(C95PJTu_L-*7>CsUh%Rk)N69*q)85d8pN+!AJp}a zJgPzAw|c@)Gku;*VUE17v%%A>XlcA!u~zZE$vC%0lGc*H*pDp zCZarJfc|AA>eGw40+oFr>e`uhFDi1Wn^3iy=(0EO&yemA~$ z$KYcS0#v|wk-uSoXl7O1BsD(~s�USa$SYsexi8nt*LA27Hw|pOY2hF-@b)8qbZy|d(P$w-7u zh5x0xP_&aqlcBibV9wC?Vei=>0_v%cTv=Fv%G;0xMfOIFsa*F5>x{|+L1F4v`J?1K z|8CqMk=%{jrlVpD?lqJ&%#GV71lTe`pbA1b2V>D5y|@aFvYL*T#;qz{ir|EP+Q+Q+ zpU-S*_91ekDx4$%*SEnsppn+_#LzD;j!-TdKOs$j3TLuDTsfIN6S)Z*>7ii--JLV8=vAhkNNCOvEH{0U?q9A|@_ z@1)BB|0Qm2DnpXjT1MRgTc}3kEjWuQG;_XP; z0Xt`4wYq%52At@*>iOq{>S3y4WHi`QMaGjGmMm>?x;i*!hZa(9Wx(&;8I~LS>1WXe zqh>q1QuvD>GI~F^7Jc(esD6JtITtpC9EV7u)`>=LR4379C{6m3yGk5O?EI(0>gg1f zq~JCxs1S4HALv|B)=&ER<`IyFU#{;TuA!+43UO!W8}j3T7=L7=j_`Lf6a6>hb^XwP z{KsHCf@b|+P_zHoMcM;f%L z#&TOgtEdagfEI5?tM^zsw}m?dFy`)|BqU+y3BF+}*aVd{zz3cH-`r1TK;WR^Pe^Oj zK5~3LO6e^H$~|Q!4DK7fM1gAa@4ZdAY_QxEx?XT(}Rir(;a%NH^=HiN5!vTBzlBha;wVs z6k($0Pjj!jrcBSBn5B?5#SK<5; zCNLu2XtZaPHj0g7rGQ8F73#C2N>VIyc!)T|PHkemsza0&IbO3aQEJy_LoPJR2_iE> z@LRtLc^E=F5@%5cRGA^4G_!Ry40t?RfBG2~KubO+ruLhSCh|^SKmfv2K)EZWG^dZ`^i5nCUNZ{3|yNK4)z&u-2;yW5-S(WQ_@sWv34guXkZux}eKH$N z_EO`^9Ez-2A-Z2!4Lu#n^K@p)11l);wu{rhiF98jXEeYpDa_4D;Zz-TR+J-VB$0<8fb(rdZ1DV^?InZ;PG8(xes zTBvuqo^OBxQsj_Af4QL@t&MFb2Rpq(PHfc)O3HbF2EJ%d9(Jpvqc+3QIcYCMRI}V?BNJrtYAA!%|ISWJ&}bb4O`}i7awjue!RX1Z2IQvbNl7)!*vkisd6qA z-&ya)%wrHWN^7hRGzn6OffQ!GX)R>k^z@gmn$o&P&c!W$87MrEGjRm!+4Oq3;naTo&X{+`id} zo_hvaj+a1Lu(;0lf-D0hfbjNZ@bRF=Q{f91A5SR$ko$Nhxv&!T(TlOU7gwZK7GMOl zl}_>~Dk4TZz$Q#qj3(n}<7Akle&ewGlKpFo(FyK5wAi4QIG2uyf?_mBv26!2bp|>I zI{%=bYUovTycugjfrG*Bo=$bLcJpMl=xMDgU%=9={=SrOTv-~@FJhB z;W+n3YMW(e$nRMDtlZSS@JS7U0GRRM?yxmn{8FUMIrIjuk*G$K76#}W(Tj(_p)+PG zAj5&$Kx!>JHAu~;Q_j+phwm_{8PLA)YHEXvMxqvMtlO}FBRmCwZpf<>353wkuwAT} z!<2xgx`rCyEEnsZlHtLcvq}0)+?K+^kw!A=bPZ9#%%YF%tBjTNf#)qdDavY&f|Y6S z9irEhL$^r|5Uzr(pCe+kUBhj|_GE25}}prXDJC!*T8 z5K$@Ah9q%YeO5b3ST-9um)t(!)!eJCr92TVM<^%Q(#cJ*E28Vm1krl(xM1&8K5c+@ z1vG+s*e~80S*thE?Fb?jqfiN6mA&DdUNCCPT~!&nGM`TrazxOhIuJfS(e_g5gGN_A z2;k(z?S`kO=!TN3!Kjx5fZw41ux8z%%4$_6={m_8%fSKA^%+pEmJ3xcM$=}Yc<867 z=ndH6J9@o^6Ilg}bi|3QIxbYk$D#Vj7o{o^th>ZFIg==ms~5p`4GYp4sjNqLjxI-t z>Qn`O#M)-YX)|=|6belqn8sZxXN0F^ky!9Fs$5os@r`RK*)`qzR7mwosnH)O)G>mb zA{{8xS+0RbO8$(NznV7vGi9SvK7peO)k&&D8bk1$_!OuPAKVG*`|u4rmz^*=gYsQG z9-d5fjN~nN8e^@RSV5`eP?Lf~b;s+SrYBE!nwA6%T}Z7(s7(yQlS^^;s<(wx1eww< zkLfM}COKxqb_aF$^*~sY3G`M;Thhc>)p#j+QJ2dx?#Z7+7A52#*mF5;ow|t{wMK_F z7%QbTf)-v2O^rOYk>EPghxvX)$>Jsj!71X1iUj;kQmyUb?%VzQ>-N+2?e+cD zlf_S{u&@;#8a^tgJ{;ZU(o>lVf!0#oZZOQ?;{&2T!a&J$Ww?lx-G zv*)E7>{W(C27o2hToTi`#1=Zr%$2XCScQ2fhr6leMu3o>Jd}lt&3>5NJV${MhzkAv zSMIF-`8xiIa<57$%yl8}gc%bSGV)FYiitFKO_4e`JQ9TiMf?Cf!KR8$9f=F~9!&wn zBS@{zqP=zs?MhX~@E)n($?1U5da@0#G;p?%-k79wR55kH%Ay&k%fiyA?nWpFO4Fkl z501uBsIeF5(>^EEv?A$ZY#wlLLv;MM+`LoQ^vBb3x)m4th0Ze|?M6CW@ zh@&&2&IQ>`7R1_kpfW>Zhou+uFGpsm_Wj@oz^MdKq%L&m&T{vNes%=ge2xN#I*3#j zZA3TR5BGt3W7J8k02FryV9ZD7hvQIM7`Mo7Y%RZa4}`q<2_6oppm?u#(Ar-o#}^kT zD~I^C!B-#-#XfS>rvgzTS7O{ApPrwrP7&^cbK{?hTl-b=H~gPU!z1a7#G({pP3R#X zw=b|6Xa^(;_c`MxDq5m9?3?bL>#UY$K^KAcTuc~vro$;ijq@Te*ROVWfY?R#qiP-I zd8&Eqj^n@%HN8$KV2?wsMuOLUw04G+xyNTn*FAVmhnOL%r6eS;5ki+vasF7HDEv{m z%tXV-h`34^IdB@)eZghMXnu@mBA*Dh-=#Mc^=1I`;Y7=oyn#x49w-dnr3{iIn1o%Z zg(Z?F4)}AIpG#OeR#BQhkvXDW7;BZ5r6|f7x@*gm7340k2oaR(U{rFm@OsilLrxcL zYK5!|u5GivSTENa!`-^Y!N^6;;%Fvx0^Qtx{r33KKHjwt@2_sd=@4vOd%pc!8*%Qt zV5&+}_JYat0G}<-GnFX3n4%}=I1tI3GXft6^o7BR!RUv3p_I%XYgxD)bB2M8N0yt2 zYiJm=Y+P?hA2qDJq*owY1}g4{bFq7Q&g)sZ@Zs|Mj~4F0F(ekSlp z|Brk1K)2^;fabR|zttFx3H;1~8?i({=8Z?a=jHiN@Wi`Cpo%7ew^&K7%^xg_P$01~ z=?NpFHk*_QGc}cmhtd=15CQYF?v676=RsXhG=xG9;1Eyri0)A5)ZR5b_#0SQMo|`f zL|MFg|LVi5>sKFNp)G=69$dW|{QPS09>Va|;2LcZUJX9gflg?*Xp(;dYRnj6phmHG zjT-TS!j_Tp7-$RzP)L(ZE*6q4{eW^8F(uF;$wAJEfstHw{y=_|eL@(7?vEf`DGE-| zF)kDjr}oN7V0Dgsy5u>^JMlg}1O6=Ku-q(BD^0Ab#wj|d=5R83bM@{V(sbv$hV3Kq zQdwUr#zl}~&0AS5`96tC+S0>e#(%DW2X2noke7+VhKdFwr7DEx!2*Dc;Tjn`OvdG% zGG#GP;1ESLa5F(>Xpo(0e#Iqb`>6yU20j5#-26b&yn%#!yHRnEY;Z6cDQZOA*wLI2 zxWJn_W6GEG)u*j2m4o4|fwkZ&(9q4lW2UVSAAc*pO94u+6x+zU%s<8Uf@W# z*XV!pE8t7*BeGBqw->Nw(BRFuDZ?qi@kr2s2Ja%ac!^}4ka(9}_D8FI zMjkE&VqsIL7NCnD6^E$Nb9Y?}Q4o6Ii`}6LH>^zJcb7cy?ePH+SF{Y&S?Sio;Wm!= z`|y_KxV#UF5nbQkgP;Tug{nh(0dB!iXM?D3*84}o0SE;P8&hf^=%HHJ1YaN8Zw#xW z5}uYNcZLjZ2KNW8AK`K8C}u4Lgvf-jLFATpwcAl_lvyB0yyONp;BQ(E%TqzQ-lE3> zHVW02Ai~mJM4ZFl%}!@IDz^|xJ0HWSV}-5v5BF%c{qqBs3&CB>C##FXnoR2=o@%rB zy}>^#E=SS&nOze$L-~oGPjyR%Ir7*EAwvs+9Wmz$!~_BDmL71G>CD)3k`Wbmxx>AHjXb-Fq77Bc+7_kR5<15NW zCe)hs#ZEG2eZ`XT2JA>~+&f3Vf|``7s6gE=m*A!vBkf$b=^&jzAn>g*R`009T2vQv%?4%d*J z2+;%ZW7WD;qV2=&acXxhSL&{SrZZp|yjqQ-nsI*A=8=pup;!hjs+S|Rrk@eAb1fED zZ0fLrcA$!E=X$QomcxlUI|qPce)a=Ju;-JLEVN>VN+J9WF{FOHBiRHBAos+uTTZgb zj;NOa4G#vgB+&m5XIgKDzY)Q}&qjWZcxMcYGFUnXac7=fJpo>mf$)9yg~^MSGv&KYiV%nFr`y&^{8fA#i$}N- zfpb*58fl^UgpvbR>@A?SlBiPk|2vruo$sO5$7&svsQR$HZ65jTnaHPj`QivRaByQG z04e|b<|v-|a0HHzCw&9`AaDx+2l>7^H&y|rOpEbdqcz*wPGiUUXyUv`>M-Fz882d& z&%j)j7l6A`q|s)mj3|>ZwSUj;d2v3YrmZ@k9c4)hrhjl#-qP;DurJ(L`V;L|jbLn`y(to!eJm z390>xLYf~xJhVUGJU;v<60-ktc6b1%==Q%4{tHzPn-eaqq-Os=0~<*bM6%|d7e!>7Mn}lxq)ME}OiL(QXj(Y`)eFK`8@y>~3U?*Dc(16uQMH%O?E zHuH(E$(4LiH{U>U!wW$zllha3eIo*ydG zoqs9l-9mBZB%d+&J}4tG76%u()Hs`;PPPYB@%s*w3_nTYGGj^dO-&{_w{oKKq$rY_ zQP(-#(#aO3gvTM>poFldUaTpE&`gDFrqgFtNX#K&y*WH@@qgC4q~#u%X689B+{lIN zYR0%EY7nER>*wEhu74vthDNtR30OZklZ!khx$C@8V8e{Yf_|dKn%Vt}|f+@a&VMzRLq!RHL=<#}k zipJ|S4pJT0mo=+xnCM0tUp(BNnqFmp#pVsXU3a)bUp*6*#)2~{@g0w6(EF6FdeAUv z=EI4rY%#Hjk!e&ql-%phTXDPMAGT8Hci6vD(L+|8=3H<{n%cC)%JaQ)SDTodo8|e1 zV=_q!4X6WoKh6NNtV2KN?yE^G0&y$J583zUgDu#*O0Z?ZV9W7#v-9!W?faK7ly5!^ z_@}o$uBlNt*rY!`3?<9OJ7__xN{iiOAf?;lbMpAI5EJD2PMF`L1s|8kr%+hb0lA;3 z3cV#I|3F1a7L=q=cU2VtF#H)*`tFF*KMfBzGU!EGsTaIa+k!pemPf1*j$eK+<(k=u z-V35hFdUA=1w!wu6Qksc{QKu`1Y*!S#&8pB?Twsg9Ftn5^s#5+akV8pB^w-}r z8=fX@F+<)y?gTi8=d^3V30-Kq(_}C3m|~NXeE2Ll1Fp-z1&SWh5P6VfvQPCRC>-D{ zUw$fwGcLj*u(witVd<8-x=&%wjZ|GGvPscmmiD-hip2LIZ&k6*r`NZ^kC^X1on zAE3)UZ*YUFL(24NBtg+7iFhF=y#0NQ=I zIyqQp+%05xAk>j^y?DLG+rp?214&9VzX~xT*z$y_huso$U8sRbq_p7Oat$qanMF+C zaFD+5$LwE>7Y#n#WWXP?HVkDhcy{pw5(TwG3n$EYCZU3Eji5tjWypPpzY$j{0(HGT zmhuhxp0Tz|USoh-&rwbzzOKp${c zfz-m=N4L2v3MMqVRWT_ul8Trax_d9c0W+r0+;%9maMhyrtecWYsuX5pPBYa{2QH_; zVE#m!6f_<>VbnKM8}WORKNjP1NBu6?y+=QwC@HO0{VPa^z6lip(L0sj1jnLdB$2me zU;->RBlPYE?+m~mV9SpG8mN!299M942J}6HmmgoWXXk|e?fksUY1_O51UPsd>JyY| zyPk&z&s%dy!YR>6TD$s4-GgHe@Z{^b6~(B27j;SuHcyodok+=0f}Lcw5q&- z4k%jTk_WXcH7X@854)=Ufd0d#^v=<>^0-!60>$mV2Juhx(3x+J z-kbsQsuD9n&!E)^;eD;G0D=_~#D$Tmwn_r$R%>z})?_S**6C_U9dI~u|I*xE*^HCG zl+C#-U%dZ<&GwR&(e2{W5I`z(hLl41w2&}`j&myosavrmh&+p*A)kc0carn0PBpn1 z8qs&O?{Mh5LzUxRnWP83ZNEOYpT1q)e*j?R=ijx*CF>HmSGTu!1Ps7QnokH;g1P-` zW!u5{-`! z06PZ0W3bb?iG*6e{!D`jfLUqhSUVKgVtYd% zhM(M(j2dX5(EPB9BK|D71$Gn6=A|2*rs1K&kERNYN;TG`B_vv*r}}`CdTp2oketV} z4UJbytkmRnEE_Z)0A3pjS$H6irbggp)g;SWY;t6+SF-3wA!L!8>XXonDuAZ(C@h0P zP{25z2EaV$=h7_+ni#>6Y8euWO4_s40{A>Rd-;G8K;oUiXV6CK*q+}h7_f14NvF~( zib8o=?~=kra3a;_yu^2#*QkRQNghQi_Zjz}nhwzms;CVaWkh)(Ijg9F0H7m}KHBxUVjj=J5a&0rdHIMPi0pr4K!Cn*dhQp~npH1#*;AAnsJZSkNh_(7~MgH_mpW zAe-HtZ!~kXlp~yss8W~=d-+El;7qAf$lr;Vw&|v>dE5=zYtKQqnqk0RxQl=FhDsHw z4go=P-Z!ogCt(gk6Rm~~4$lEn{To=hwX42$-7(ocm*Ye-Q#b6HZQQvtJ5J-}h$r{Jzsp5?-IyBnc;@Gg3Je z-8p(55;Z9>{~R$ZrWA^ej!eOI~a@M2P3;$9*lI*B)$coEQ255J9!K-*Nw^z+rCCu473DR&S67a^sj_2#@s0g zA9VRVzrVS=zj^!}Ugh#q^b-xo@yY$c=h?;lxwEk(mv%w=qb1WK)EoXT;wmIpiPqL< zC&Z;tNDvy(k8OK##P%sC5*Xq#t4|>VnphcU)d1#OEo)+pj2**|}WcM~CHnVK%Zt7Br z#rC8aj_QW!aTQdWa8uFzcP_x7YPj(;TxQWtAi{ z8l$w7Hh}PBQ^br7p;{vQ6;(s+t#aAdsvMN!!-Sp=aOdFg-vhoXtw zjNHV{Y*}3PiUNuFjAtr*K{M2vX!6xOxSMxtRyYloMLwYWm*`!DXh`YV?ls?|Vnnvc z6kvxIp@PY~TFtC{T)#YcQEueD$e~92%EXB8 zouiMI`KpBrn%9@U>adTU#+tg1-cO;}$5`lxe#o~Q(~v2ZadUa|;E&^S^;#v_V1@H1 zTN3UeuSJW5dBVa0b(R?>?)noRn1f5Tv|wNXTnuCWu18hJbRB~}77cV1b2xs3kM<5Z zwN)kv#W`24x!9509=USQ1iydpedJp#Rw~M&v5_G|M|>(b2hir0>S;LscnCZiwYiJ;?}KH@=5wsd>i1*3 zSWg7X$pSI7e~Ol6;+h~#KbjExeNf>2=aIns00Ku(mJpbh%R_mgEUShOr^&%_I;!Kd zkQm>sJG)tqm`bcssgG9=kF$L+_(gA6qES{ z2fw0>r|?#6Y6HYoBpm5hreADyJtI@o8!VdL;4(~Z0N+hGjnIjaARQ>YxL%>~at7Z6 z4+Oy4-ViLg54p_IX8$ROKWCsIyLkj_sRS~kVSJyW3j$DWy}Y71UY@%a4q`_UWZ|R9 zNSZ9fnx7r|a2+9%2#whtp_7;DY>|JwLV!mP2o{EYu#xoGC3TcwC8_hl3?%{AF-}k9 z%|OqitTuuF;%r!q#zDP53@$_b0lvw%~^GVs!S!xdjTQ-*?8 zK-P>-tUszIii(-oRpocbDCH?HgEE|EN~3ZNI%B9{N8u(y^K7jWw;=Nw06Y)$-aDg0 z`TcYC3?N!KKhne@Td`yfyMwxZ;u~kqq5Xl_W^{?S;JyBOR`wbzvbnfCm&b!yWq9?T zNy1~2rPZ}NSY6DQN6EAG%+Lqqc{dI$L5V26oGfByH#e}&MT|3?j+OeeASmSR0o25MHSJ|L2a-i?L zd++YV3k)))$jRGTzRYzD#D4C3=#dHP!X1SyX~|3k0u7uM9KoQ-WU3bHLs~4=G*l6a zMeJEX$V=iBm2#vr5xNt-$A2I2-!=aGi2pvlU;_-z3W}{Tf*{a?rK_J{cEA@&)mVNi z;|aV&8xgTqrRjMLZ5pBGL4WtJh9mIAEIkg$EkLn&wsi)cBGigkXMNXut;Bp@yW{J zWr*Qq`2zyw`o|%6Ip9?Rq)3=UF`}#C=ETTp1E*xQb}V|&sD3m$34=P1BnrM6IZkv_ z1wSP2Y8)+z@-@pbS(>E2%xMR?`o~laP(|8RU@H0H+U1~o-7Tc9q*q|Ih<%k8vZd!MBFU-8`^&+`=I7>&A8M(9kxkr zli>o()Up?a^0jaG*X`BKZ3{1AjeyiYdn3a;$(> zyL0q$lGh72xIEu%K?i~7_s-E<@OUXN9k=vC*@Kqc2%^Z!Wynrai6o3T4bxKf%Bo$5 z%_;{f8`YVjo5Y9bcY(cM!l*b{XflUl*yNSzyy}8^(jk3Ma3&RF%`nEB5cjp>9QoBE z;*iPDWFG1+FA+0uWB4WcS-HM>g zjVA&74g&_?^?J31Po;23D!2qi3_J?0PuDj~o>BO*J4{e|VLu!u73*}DG~!6|!x|mH z3g+b+L8~#Xu~R6~bx5dIF+g{^T&*oqlXkc~b*(D~veAAdij1k1!0J2nOF8ty%KF+r z41W_hH4_Cb zv4mc%wXgUJ${cXgt&5D{YG54XVFEM3T13-71D2mICXSRyjXX60h2M_167~>iRHtzP zSOs|pWd@}`eoMhDr8ep~2F;`|xq|PTi<8+0Tw^RhCug9de|a1_#xq94zJLa@R)SBz z&tZ!>aqahA65Za!=hu~mo1vI>lAaF6IP~N$!ZCUbJ}|(^Ym>M&TL8sE^`1|bqAdl>yC-Gxom7X(wSrQUAvN21IMn?Ugjful!8WCDk?drBioM&} zUf;jJGvgH+BNEoccm++13c#pY*NNmns{{0B&ZTTRA{&=L9UQ_6TfTPHnNxR6*^}y) zZUn-jvwS28syG|W(CakW6ING@`S(?3$QSZRBz%bICOuPHGCp@2PMi5|b~rj-z<6$= z`S$Wflx0*&vD!PR^YZET3tCLR9K=BtbYIaRJsJ0HGOY6f?O~ zLV;>*1``*K;OMGi)R(V1M;0&<{6b6AX4U9V4rKo%=OEULis8N9Do)xI%RJ@||H)86 zqad8JfOE)ivCr?3X7#!IZ;*`!Rbn;IIC>;b-W;};mpf7}tLBZdZ#6s@0TEp`q_neA5 zNYCgZ>dv5bnn-(TRxc`-@0pje@Q!Js*l7G>i9DyB$KStRzxw406$F_=z<G>`s|Rqiq+)`= zNwAjS`8I20G>JVNT+3xa$Pi(w5`DAl==U6dwHt_@lBR~T_eKP)q`=4b{%UILz`YB# zg-?r;e&IJL3a18yuo+MP`tBV9GkwIs3C-+D#HLKydwz;m8;r4S%RQP)8>{N&!1d^l zffhu4os9r(O9+Z&ouRBIHW2` z^{H|f73?Mv`a-OM``c{C6wYBMoQG=c@KVsKug{S$lXn3*OU)O+z=1RhPMpq|t`LQ) zM_5HY$#59EhX+K5sM0k;j8Kyo>~L&PklC7iMRGD^NWUT4DyWH9ho4TLPuiK{c<}z7 zl8dr261ox(O?M!CwE!2ppfA1bf!zE&?W5qYNSnYQW=yf=BE1YTPziCnJ8Sy1w@hqa&(Vo()(Nq1~8z@li3l$$Xf(GjxZ-HFN7l9Y>+6|-RF z`6q}AOkyU8bpR+0x4`ymGD!Mc+kI&mz*s|W>}oA>%dW45%m*bMGB-CO*kKFHfyx$k zrsx(r^AGSz)Q*5gOBz%%W4H&bETOZ`n9>(z4Olf+gNURKatSTlu>WyWo8C=r{=lYU zPJ-@9H5qH3YE+qIixL5Ds4Z?fYVz#Uj?H7Nbox9eoq~~a1s$W2hI)8? z{^qqPD;jTrX3|1a?->Z3r209&i-CJGr!)JC(fcU8m^!^b0w|X91LXMgH6hA(?fb9a zSo7rJ*Q>9{F8R%E5J^uXJI|Zg0Uz4FokI#7uMsLlBOU%g94a&I{aXWxq%BW{M?lPg zGhUuko!+9B5AxU%!WaJ#?^H4zktgA9(f0z5V^}!^DG^I5&L_i0k;@~hD^51?_Chpp zO;~p!g3KX|wm-I^Ks1m;Vm%;=a)}VJ2Sn4{)65Nw{)(cWJIaQ^2=!4l6n`j!bbN6H zZIpcr#iGT*v>4_Pt04{~DJDiAxEV2H0@Pj}qgXvt70 znZ=OF6>8zvY17?)ikjwCnn4g@91l>;To72|>bq!m3TKo_d1ECh}prCYNcl`Ww< zC(MJ6t&m!PeBlKSZ8=`bjC;Y}PLGXDgpgv5X*$)i|qrd#Vmb{>T;lpyk*AMX0jFU|!+ zsC;F(D+(Fi@58|^kf3149`8ndcT^N}8%3oB&DQQ5*)vf(ps1`iK$2|QanfEZK&Y{& z;aI8WbA(##>2T##^-R=5)gGU-LG#Y>=Eqw&lE5&L|~*UGBG1|y?ff`VD6a}LKE%%Te@ zoR??EhsUdfwhb}0c_V7_ShZva^d#4clbB4G%ucs7jLVJ*il79S_*!@t0|U>|)TyP4 zU>S3S!g7bBi57M&zg*d=VuJ1vj9+~qG`(Z}EL??g%@Z!qBR^6i6Rm`FmQN8Hf^m+0EIuBK!pRZA^#B?9|%WjgwB;8QW zbZhLk)Hm(+w~J*@@h0VG>Bhw=ge5D_*O{oxn@9ku7=+iIYgir(DFnzv$Jv@5(DS38%AtcWW`&Lw$ z+tVnq6Z~BfOH&AzV6n=bP->9n8jWF))$@PYKm>2093z!S4r~y~fr$*4UQ9CyqfU_6 z<-2wyx;%Xf=tL~8qP_O)G}ypMG6KZuo9FB=K&4{ zp$DW$qUDpfEk^W38QEUFCi*nQs>)z9pgtIoKW4=Qd+{oGLbZ1Jw6DK|IAdndVfzqhq>Cz{z;n?z~Y)!l+p2 zUvA80A{8==V1DpdKFk z+h{{yyCjdOZn-^8*hQszD%p5MKH;d#Ze_4AVkL#oLLQjzxMaO|$6!^*^f81N^2vo2 zsJ4l06pyWV3r&|_*o$HgfglA{VRQZeAqzLuBK~#vcu1w znxbp&nvZaFZLx>jsyl5$G;DgfqmFUV?3<2}c17=mlfI!1#HZWq57y+#oOk)U*q4c+ zBQB~`b9Y#TER8hJB0CLPsD|dIkcwqqFNHgnL85(nZmqW&rw=h$Q}iI0eD+Xjcm7&5B;t(kuiY`MP zHf)a+*4pZq%oQ_foWIC;DwJTJkr+`;J2_MR4$8!L19<*ufz+TO2u|yfTi|Z~Ff7jU zWC!tlg03JJ-t#b|r1@%{u6sG8AIjb{h`8pKHmQ#t?&oIhQ=0Vm_ZL?INu zk!=+Qrx<7qm8VLA_r0}_TqBE4^^x1v!4K*WJsLjmR7dF>>^X?w;P?Y#bQ64 z!%0P|N44Jk1PbzxX<@+WCJ-P_W`evLiPN1i~+r} z)|hB#`Saapu#Kz7$NQU~zdc@~B_^`4rEg}7B{(_Q5N?Dw)Qm<~@{s+}3|YV$&XLbt&?RWoRrGy zJ9qntb8is^SuT;4i(biSn2Z!Xt5jnaSZ!P$0b%_&d(GJsfJ+WH`%j`NIb}3_eoBKW ziT9uANfbPCB|gMH{kqbfZ>nkjrkf8Nf~32mHE6P)i|R60G;Fh4=(g}JayMd(8gWq8 z=HTYx>gUhb?WfOoKVN-j?HAN~`u6p4=jDJ-`J4Oy*?!O|J2;Mbe4d*C2_=lwv>m#c z&7(CMcF2t`t*X>-UO^@ zwGP6jxLy%7b9t`bsw*iLN39I_M%O+kTTRtF z#3F*SWa=UL`((&~HT1eQI>3IudPG6yG-m0U^dSupam;v)o{h9AS{#zIGFd{`!c>DC=Mf*U@JGc!Y&zqaT6KOe*d!m%v>;7}d(Plb-sz#qo*?oS>-XEEA z`Rq5%p1;j({`_sGPYMlvr{||k5ii%`ZE#Z|$^RH}+ZDTh9dNt!F3(TdJ9zdkdlHx@ zt9L(C@NNW$Z)H>ugQ6Lly$}uqY!gmI&uyfJ&y+8~E@5TiD8q(UMJ#5FAwo)KWnZr8 zBFe4C7HeEI6O4-!RD5>8D!I>2FzwDBBBS#R`k<_%A5%w)s;hdzl|^px;3M7HbooY~_}tOBbxb&s0E0 zv#4<@pEWSVEHsov_(>paSFzeF`3Ot+#B=O9_fMSUrJQ7w^3Aw|$fPK0AbKmn7hHBx zgtaubvgQ`l^aAdcLVieakG-UNQdrW)@#_LE3T98q2Zosoes))`0nAZzRV#tCEji^UL9Bu%2 zCn=3wF2{3dlI&#)+r4B1GKwyxa>AdOg38^`O+jsBYOy2^5Nu<>f*^~c;XV-$VUUkJ zCZdc=F1s(Y1tj}s$r4AUVbVeTn9?wrK5ME>rWG%OG?`W0=PX4+7%>F>p|bm=lN`TX znZ)OZ?Cdn%(6Y&fS*>;D$R@a2(03nMB`4HCLosv}nl8xtt1H&AGWyWqm`UQ**a|26 zE$5f-#)Cgg)FW0L00oC*qtI_wC>LukYX2G`z_`_`jzmoo!TCh50Q_zej=hOL-y0 z<4&ACHsg-IDI96!=18U-H=~f{N2Ux1gy4Z8QSet}5bi|m&XFDQBg^W%s88B)6M{2{ zcQFf4!#9yyO-#Y@PRw5R$&G6@-N0bTrzEYcoS6{@c)}d1qT9c&H zNH(q-g0gAm!>n^>V=A-falSJ+`_0}_Pk54WrtOo1Ui8#7eO0p5;42q7(B-PbiA08- zw1%$F2qO#cm?x_hlY}TTJPKm5Qi29nLH)Wjpw6h=%YjZ)YIa0Kl29yA3Z_eP;mb4H z3wlSdDlj+HZc50!G;DX<#TtD#lt>vdq(PJfi4bHhulOSt*YyEY`1-i0&l`d5C_k1R zn9I>7&juB z$dY^S2W*@5u!!Ngi2|3yqn~OYh@-8vatJI;cPYMMK2265QFUE{GwD2y81+rj<%G3B z{wMnnuWOL0#dRUxT%eXS_&hN<&$4Tg%78kD>kp6Bwx4dly>EZHYCqpRpb)=EhT$$W zXsIKMT(=WtyU6Bams3`Se1|2J+*aYo*?_)7c6e3V9WPKr4o^gvH>0Il7OI~_LFkb^ zSEk77qUNo;-Un*JtPeIwHbjUi=tTTJ#Om<{YwhpBhPx4xPDqe@qJcek*1$f6W|vR^ z$EZ+!g1%=QGdGG!gc)kYlg7oXxJ2c=Gy41IIHNofD5!k4zVsFl0)>akBdFoNqmZsg z-yCbED-nfVRCbVxM)=mI3FVBY(hJX+Zgx_`%NKXYTl9+?*q3rffpl^6!!j})sQ$V@EWO9%syt2;W>%|aYvYbjKyXz43qi-rIo4jskm;~A4^q&#c-H9 z2l*1pun}_16&+T9Y+S#r4e47(_C!UzP{#Gv-6=w7#=z;dHt!M2Z{&QjZ;F1kZNFGh zfB|#~!$)+wb4vR%?IH7Z7HQQuX)#`-*PfY5v$oJpqy1t4%S@CnD5vGQLrp(8*t&;R zuRHJPeo7WGmI*sPgL{!RoLh6r=^+NMU7uV^byZU_%)XC@Ihi8&^!*C;&ao+3&9eFg zU1ddKEQw-e0|u!eu8~Jzu_$&c6XaV(E9i20WLl@XkP6;HfKZncZO%ooYen<`A#LlB zkH%Oz&#EKFQ15^biC>T}In*{A@(rkbR-QXP-BxV$u2E?Zhp#csXFrx6Mh_#)R2pVi zu~4bsKN}1%Z(HO!7a9K%r=_bawdV)~4YO*-n9R-vx9#VLRS+@p>Khfp!;=m{V4@T&DpmAiny{={f%Y9qhYUUN{AXA zROO-7%rt>AqC3b<{Z1Z-@>amCNAc`cSk8OztA#VMf11@**o8cs4Om+zg zcwLP~&okPe2N>7XJ&~P&`13HFDNr~i-77^s5K0UuDW>56q^IEvNOHJ9 zll|&VW&Z=2>`Y{L3BAa5H>=K@UCiZk_JJ%tKnZtyPK?4~*nb{igz2b0lsSm^su4#Naqv#wA zknAfKx-M{bEH`@nA^Mw!`x3ZjU8JaD6Nr+PL8Q!pUr3eg-XUL>>I7LCAE8o-WPMa{ z36wsW1-{JvM6sRIgLd=w?Fxl~1|c1`GvwdtR(+fxU&tV}wLA8%$Cff^Tu!x`?lgVe z;Ak}5YJ6N4Vl4Axp((QUT>ZK~XlGQusUr{0piBnezCL7ij(l3kM;0wpoDlYuZyo-& z3ppSV7j&CoU!a}7I*b$cqu51vAqGQ@1_4&A#3)b?uS$dafd)-frDhCP2vpWHkgO2R zp7e9_mRXLu^wR)R8yYvtIBYIg@RP!5vqui`uOM{m-*RhoG^UM;6g zj;u}SIn&jtd>PL@8#kFpt{K2q3u#B1eFWH(mEQ|xfk+8n*$KZzKj06<0D6afb!!u3 zc?HjLe2`=((dZHfDUljm;xtef`%DT$3TY-N)O=L#=gRm<^a^}7X18~TD(hF7q$@{u z?(BL=c8$vrWmIFBo;;T(_wl42E?^T0$Rg_;(4am{{EDFaLBWyx?FC6TZ>GLSg1x~a zdtr=nAeU&J4@RyUI~S)OwYL3w51r%V=bL|A?|l41=;y1CH}?;Zzg*pGKZFu9{qgSp z%he;?70_n%vu*ooU>_Z%p9RHn=VA3DPG!G>aO#Xywxc^ocZ17*NSEmR@?u>C2Rmb2 z+B8Txbr5Gbrw*5l$>6>{ zKyHNyJSRT_|MEJx3^EH@Er$CUeKdm!Vlim-GYWkQN3bBrNUk)SBDLk~4dKff`U2qK zCr<(jIodpp;gcyWk=u`~lPOOZ+SM--+&3eW3@MH+&|?K1^jDy;#65sT)RGS!q)BCx z(wOL3Ua}mVeu?msoOwUM9k&37XUuFv2HR3gj>LZ?M>}nk5{`9xu{_ern3A8@K~8Be zT+@A{m7atOQGy52C6ml>9fOK#dy47i>}P1O9Zw!3>@n#q=kFcsnn?qSu-rZ4m1EsE zQx{%5L#PEFoNdS~B0h#`dbdQ;qr4F`%=|(Q{}8n~X|YL@l*cyn1BD0gj8q=EJ4YWj zS;oAgTt1+Cl5h@S>t+w-%;gDcJ;NPsQl|*I zp%)VHJB}%#EK4vY5`*v@au%9SYy5PsyGZYRN}kMjHsBExs#16`(UJ zyjVp~CFQvYfgbsM>PHP`FIv|S8P44lE09=r8V+24J7Emm3LOI!4rw7OJy8EdUveXO z^yn3W5JmszkNQ80^vs*R>=V&KYLFFYk2msi%t4aG>X4&Z!&Ff|o=2XStK*E?lj}XS zp3bmiNsrCR{P>iwYu=v3Ytx~JP+!oHFexR4v8SmCXGl#eu`q;z$=7IfjBD(+owG8_ z@6GX^{gafk{2T%BOuKXnvlP~fdk>OpIbTkvM?7Q#Ds{fPCt8r{1_AbhRRwz1BZiKE zuH=^kL2a0n)J3~wemV6c#k2u^Az9MErbs5~3#RHIM`vL_9Hug@jzhr$>AWP${u7#r*X78dZad*U;a1h9ZCm zC3{ac$5*IXd%n1m@2`-{5TbW|*O-M7hZ8<+wb;v#d23vc9rjdlSo{TUoFA0cR78Z+|ar32MrlEJ4giu2o$qOV5KVL#2o2q?)2&)ji zyGkUk6GIbqXMyedJ1T5rPBalitqWGXAPv;wjzCnUikp0VJd8oF{D2}`UIi(&;|RF)Pgdf?%&Dq5gU{sLM$>r@FYzJs5un_tNz5di|v?*5- zl`x(7MNxIV;Nh(+Prk}f=(y~8O}gBOTQ@t-RhEzsjt|z@4|=y`J@wgb5B`xV_(v-9 zk0=?9m5ku1M#a={?xTBVppC&YtJ;yGAPALXNnqA23s*&WQpna_&9eq@XRrqazhf@#P0$ZTJ!Rh7Kkdvm@=HV{$ zFnW%XdG^`L*|pQDUt0}^VcsaX2?c5))$UTA#(ap`V1Z8;rzz(t5E1Q2 zuo0*Lzd)CP{DPu~h4rAnpDvb|WDV)#>nEE}ZyxR+zx?s`Ve{~K_o#(`oc+qf5t1KH zZ^D12SEUguR>jG40Q96=hmE3P4!X0PppIFx>CWwPn7F)>)@{iUtDYj4f+wWrJ0FWc zyY>KYMbjTCT5t|QcLLzXAE;f?stZP_fmBKb>H$;AI!71=&xNpx!UTB$61V2K;n1)_ zp>A7>lNVX6tW@X&t{qBIWd?Db(rI#Q^_3)jbjK`;s3$+E_l-(8esz$m^EDbiTQUL< zw0PM!QbiF!u9%O|9MhF;g0LpdoSBrc1HVyduqFiNg(ecuBSFh>3~8ees8&h+8A!0r z=JS^ipFe*1jB+&4PvZjlmmo6gWKkZ}7jTnQ6+D(gk?w#Hh6Kt+o?hJ~?z_UMJ|BrLI|P{ylZ_PEO6${d(>5U2 z0ctKo2SH*)fi_4ZLF}>A&_OH|RHO2cN1D50L73xa>OjgtBZHTKhCwMSCcLmHfd+?@ ze3`-vx99MXs3a~FY|K?iQXJSQC`j9pF$&-`)Vi+QL(bw-STtO%C0tNeMD8a$USR7a zji4U1!0E{QcLvx-a(Tl!G2JIev|Xwfu=8-vl7_) zB_jNAU4>{}dRZ3&>ti+&5thlSrB`)o!VF=rI%+~0T|OoZjtdpH&XgRfZs3fZ%D(Zf z#!F*E!-=&SdT^nxm|86dQ>!)>%oyB2-FvIKbH>%2Nmj{DmM1uUg#K62^%tZo%E}RE z%RLB0XEiF3@dLS@qV^=<1R`kR_nqU(Sm8_rYKeD{hf_y)qahZ%n?_!}4>NW{*(w8_us-M^iU*ia}GGB7Ucg#}46ggi{An4s&Gi?PDU3F&H z%w(H=QO~b0E?+bGAjI^#C)sI;4x}XW0TNq7pUspAjn&~?6ozHlj1LK$NQ_BULp_J} z&~khh725#CBE&s3-pomx-pS43t0unME(e29!X9q_%b+}rAN6TJHD5jGpIyw4diH@- zXE|zBCNq@<4G#8cEJs#Wvl@*-x!TNxUL|O<=uPVCQ%WY3dq^{fE61`+Kvm4dfi)Xo ziVnPQ&+^sjm@6w3DBQ{{X<3Q_Kfjo+@U>*s9fSUDg(-nBQaybnT7El!ORxLgnzn(6 zG*gKW5uG?3W_I#l4Zg-Lv1m28=EELru))0LB_rWjl&7p{IJ2@1)e zygZ2-Ahlaa12RYDlWSH`bNFJ_E*vRkJpvLlbI4Uk19!*?d-Uuq9HoZk+#Ht2alU6| ze1vqwD1-wp+A84hs6+U6bAR{8=ALM?$9n?j;qCwNNBr|WUH$jH|EJgQ{dbsF4^p(y z=ive-{##Gu0{_jYfBpO4v9PqeymRs0$G;vvJYag>$ruwJf4kq_y+bjT_uI$6Hg}(O zreoTK3Ly)mY4;RALk)N^|I~(Gy}DY_0BPFxa$R{~%CnlP6UjLSjfqPRthG6$H?z~m z{7lIkm%p&;jFg2#tJq@X(=4W^SLZP-+Bu^@x7~AwB>+T$tka%9m9T~)18GRDm+ z6t~>R&M+tVts;00>We)F* zlgbU|#5E_o5}9gL$#i495~Q(Qo}}ETU%ZPvu9gjTF>ImKUjdckIHIkut1B&X-bBv8q1}JhktRlPM)hg zL7y$)qW|8iA^|TG>YWBM3MQJ`iX7EjxPP3cr`m^5oiyqIja}8XhGvIABfyP}o+cY^ zie1AvOkCtuKV&YWg*e<{;PR-aE1qZk{Mp>SEU_DZ{U!eT^DO?3GQR3g#_V5aATBk? z6<3YJrQUf3YAfyP2?POZ*|nQj0#;LaydCPg9rFnz-{Ehm;BN_LpneN--D|EgVxKNj z41hy*JOXfHoNj1}Oy(($ah~c>T*VL&+wD8n>3#g`>-GWAIoMcG9u{j<8G)L74u+4) z=gl*qyY)(dA1=ojUA0{l7qx98d~;OK<>7<2-Jdu{0m|5P5#uOO+=Pq_=Ej7^DQyrY zApD=O9et#X`PTINz5n-#1834_UjOxQ^Wp73#nFLczO;|zA^NvzX(09R2L6=9HO%+h z=1uK6dj90Wi8qukgjF!>^qe3!Fno(2;xMw~pvO7-ElbebYKhwPmq<0dT%aT%&!&ZN zku-p#WZ6Z6g9m8iB*j^_2lepUIR)NsCH|$tLDk40nHya%+H8ftkt{>|v1-uDNfhmB z;Ocy?T@6BZ2p|lX*EihO!@ja0SM8>k>*#ng7dx3yHqON%9~dH4UVa?fxgK7!@GM_X zKC`|^7*WFs41f$c5$npaD)iegpWlD@l?@H<(1G&70jC4K(faCmQ$0WZhqPKJMF>OS zVQla%TFCb03AjujLeV6Rr>K^6MaP5Ow}b2)C+ti_LiP_O-(6f=p1iSO@s%YK$q}a z-AA1+WU^63=E6}TV}~|tGzdRO__ci9zo9uQU%<0xPL>-$UDc2UQi_`0<;9t}0`M~t z){PN8>&!Sho1?xR<`R=Zx1AU>NzPGoTwf5vQP&YjxNE#aPzoqElM($M3u{f%f-YLX zmnU@2CYkmNvgT9@^Xdk%cy)Rp{32C4W&k@fJtP=S^!=;40mn^LH=u9G$|K@i4+aUj zy6FxG3R?2I;igJTBv^>CtPx+wpIpkRBs_$uQOuoXtU)73W z0JUlx?V6^`t^OQMb!ao8?DHhX>4xRVl0EF0p*QEV(?s8(AY@cpv#SLmmXGsw!`AX3 zdN3w=2;2B{R3E^T4@33Fkkuq8n~@t>nS4RlI=9}P345@o>8{-o7Q|_H*CwpH<(V6~ zt?T-v04UCw&2++$%}CfQ)&Uhg=d&hjrT#mdkhLbZ;@FWv;SJqZ9LLhkr1N4&85GZu zuf)UckQl>Ze}r!(ml53#W)A{-TK-At0OdGq1fFmYvXz3+spb+BptwX|1t?ly0jGO= z_i=k45-`Ypk^~I#&Ad1fPKbj~G$~N70hmZ;>a|RN%bv-y06YQY)2NlcVqsSJ*kfgV1bGJ9x6uq}wL45)_8EmR`z|Y` z=ayato*fQ51CZ*$tI_9@g$|R-b4w&?YJ`aqG$Ae_;#sVG4FuEleLjpRS^bWnKnlOla5@d z@vIrR4&+RejyzA&n(Q$iIC=s*hfNoNrABd~%{lrR9>8r)fI5G`Vf}gg$4@|5qr=)y zK(PVN_Rr17yN5^R6Q+%eabK~#S;h++qk&YsBfaWdBt$?`O%LqjB%9j_{8=TBAw$&D z4B0EL>C%vXL*jEGqd7p>AA7P!FPhZh7<$m*N`{~WyJsKSW{Pge%e|s~PgCBZ7 zz(LRdf54Jqg$grbojK+fwa zpW^pj!C#`Fwfe9Ty;Q1?pN9G19wh`Rh}Pa{7v12kC=VC3y^e{bNptIX`QgpW)iwA> zvhA{qjbUA4$%#u80}~6B4dD@^+K`MEp0GSmAJ9D``FS|>8~}oCO$V*2VG~XzK90(3 zd1N|hRfPyrR6**l0^(@Qw=Wz}L)O{}AuRp&a(#Qv<%4Adu7a2kLSKx4B?&S(UDrPj z>f7_@(QJVw3Q^2Tadcjjge=||FFU(w3<{GWDSERK@b7dh^AX)e$^;J((j6#}?wAXJ zVSOe2>41fV&n1m$IaScKcB1#p(g#Q;iF!t(7krnPvgFx!%+lLXiK4)_Vp0XYc-XI5 z;DBppyTrl`{4@enIhmVkvp60ih9f>^$#R5W_39ScUGOQJnvA=RXkXUiS|n2VoHhmy zDsW)eurm&R1Y{)D!Dyz5t>!tuhA&-%=8V<4 zDhZFey{s#wx=gJW#ZXCEF9K_+IwV_#o6H8p#QIB$trOW|b3f?5ANIK)frX2ggtcR3 zOR8Y3$cx0_oy~86j{5L)MmFc#d^-x&IY+6;c@0vV*t`~1$Q5LZ>>;5WlhpsauRAU3qe;XNzCHvE5OX6tDtvWm zu<+f*Y5L`M=7h>E#O~Xvz{PY#x04@9mD^E=a;w)}D6~Ii>pl(&{RP^(_6C;MN`juB zTFrY`tn@{)5q&1NX2O}5zLy*W7YzY-6)GNYPXaT+Xv9|2xUdQ!Fw^7~@X%5qiIJkn zhPVTbfzs+Xr|8O?av}Ai{Qw6&tD3u_TGg?8o@=b=k0ehNT^>f|$|*_beBd}NOAWR9 z-Is5V#ad}7&7#tk)yW+5@%F#IeYo#xfO(gWh9Ht9UkZx~Ym~4rCP^y);uAN5__5(Y zoTh6<;(_;>J0PCxvvaWaL=G3nl`_;Ni())*P_2RZM4}Ub=q3?k=575G>gEiG-@zGT0a6w&hwu?Gu4E$6R@A-_SswKkpeu4mA5D6Ib5Z2L;{f7ohTO!wg{e+``X44zJ7Wmwfr0`epe2bkSBW$p(4Be_08WA60=m4?zLrj&U2f_fAw$)I z=45@ItD6vGVN@xGFYH&gD{%jaKv0CzmOSe`(?=HHuFulkV6IHLA1$&R$&C1`HHhbgP$@GET1 z>*f6H_-wf#xbPUrj8o*YE|!;9$XsNG3VJ14X*T|5smJ8zfy7iPaW`*Nl-2j3=##L< z<>A6ocTD7_t7w()r`G8kOqaGE>8c+B;zI_+S!-eQ?K6WKU~kHst^R_a_Xxvd^J)kO zI9zU*2`B|O$B6@s1@6`}pfuQQK%n0FC8yYYu8^wwwPw{gq)Eg`Cm{_YUGP?tRj+^1 zA?B5%gHW2EQuE4n`sSdXNAeyQ?J-LyuqwkF(4;yXQ8rTF(&$9!RE#G_&(iGN>v3Cw zbZ!O>R*=4AagK~^-B3IaUq&!;_>3XRKDZUv$5bdBCas}~F88oo(031tN$mX+&2cvW03QRr2TPA}C)>Dy_J;0P z^3~Nt4*_PrI$ZX6ahk$vl|bRee*fvq=gsEe?%A8Cy(f}wMDs$QeHMKmC=3#PeW+E_ zd<5TzdVelMRRa?zV(a|5P&A4yA%XuN%S}K-P)KnJTjCO`^1yT|+PwIuF+7+c8uqwtSPY(2N4v_YV#XzBI5uq<;0$2YOSX_j z6c8kS@%SdJ-WURmI7uEE?_z3xRyfTw!1cr0MT6df~xF!J;|pbsRiEU_IV{d;18!{~PMehvm1_l4f!8*w&?Ef~y|B zjjd@SGZTlnd*I3L=zecV-CZ-~R<2rH;{^delQ@f4%?p{({h*&w&(I3l(YdIMmRj8G zdF7u)aY0xT-rWH|p=%_&)E?ALy19nqba_Nx{_O3uch9!Z-aq^G*>BH2Jnh}VkbQ$c zZ}I0H{%rB*J^uWPKfmG62VnrMh?_D2&|L22$2>$ylP4+->oWlai{lGu>Eh~^c;$`3 zMv}-(Zk&-nC4;ig%yL&6gFXr;h`Zqv$DclFg7gnO?)G}MM#WW#a&<-9&7m+RCB~C) zm4N~`X-(myk)IBOcTmFpQVJYww;qWO&dLIVUJWH2iSH7`ItCl1WzkOdWx{XEP3A<# zn6*s6Bw3a8Ou{wIb~XQ4uC5Z!oDlE=m0{pDz+d(S%wVS`Qk*0Am-0}s0ti`0Jz;?6 zgL#`cV3LDvE-u*>XA&;S+iWSx2%eIMm}@QU8^PY4)j&0BVpDWEM9zrsLOc`N8mujY ztD$s05!sKzru4sq7yW+s@!J+2p!{-y3K|oX2FNzaQQ^LUNPz!F(Bv;z(oY?01cH%% z+&|Bn)RI8rfy%;wbF=p6WOstlU>9~DiTprQoFPBCdbcAJYjTBb8Jx3Qi@J`dOrJ%xM3a^AA_YnYl|5=ki zRCl6I!-5^L(qrR#;oF-t^thkXYM zlVls@RT28l$_79TQrH5??1&qbDQXlj(ySr};$&8QH5j@TAI02oFP>TRo2VxQscLfB zn!UjkAmr`l!jNwNQi8g{Yj_8z%%?59Gw;EEOd6nO@9}1K0_aP&5v5_>j+T`$zdi+( zhfObz>qmXjM!q@$NG^*ODzoN`HVQB$-IG}*qH-v;o1E&kpbPn+Y`#Bezv!bmikZ>+0zv@?y`$ixkq5<+qOv5*8uCY?5XRVPVV-=y$;3JE zp(j^>;K9DTxVj8}64bPBcsLw`+PI!84b6|`?wjNF%d-WVpz~;Ha)4(jLEl~SJT;wv zV>HNkb%1keTWyE3~&=s>^SJ>XVvKYn|+J%C+9KV)(V&M{teo5RGmAS{OR z&cjwRsWMlnW1=m(_XK!g8*mzG4$BsjYl(U8Dm0x-iIyIYvavQU`>>q=x*0-qOYj4R z=N<;Ftv#j`q~Sk_?Wy9no}aHT+UK-6LpP`4K_F*kg$11Rt`T31!niyTr663j02sql zgCL8yuFb7El#RMYRUkKRl-=^9ZtmHHj(6-zPD)-fhCxBOh)GY5dXvM#y1UL#P0*Pk zZ8zCd?BjfuEv9kP#tdD|WY?F{_B1%4;WBe;OkB`LD^kA5zx4L}TI#9;IENh&83&wm zT~tqf;mj!P)IQx?$(KR@tQU$|!>I>5q*0jkP%sFY9Fej0rvZyUgF%TTnHiMzL9hqZ z-`5x|s1fCP+*9}&?Ge0kvBur}#TXSOU+I`7WU-m87n_&!Q&c>@+4N*EK{k35=m zBv}}cusrArq?aEipPW^}Vw@tS76b^xW3KSe$&=gpfJKUXfDj<2*@Pj;=y-@63}}rz zrr=xl&cT!Jor6~PlJC)6lv98;H_*YnL@o!YYJ%NJDe3?q~Rf^`?q$Ia>(#mmCiwY)5?newe?pm-y8TFBifcJ!K+tr zEV;2$${og*pcv?F7WDyl61Ue4)*^Mi#p_P-rBT^rOa*BfXf#0I0v9X~7uaCOM1BW? z06?xEOy36R1rj4AIG`R4>R6eBj-Uaqz8I5?H|o078ifoe4e5QlDS#@z1`dhgIzT0Q zP@e?1jgCl)+ooGj*Ub@wbMMrRN*|2J%OVW-VtKU!fT7@s$vC@&8}jVtwV*Og(XOi& zT}bk5s7{nw1ZV&d&8QbFp8$Vy)h~wk7gwtk`IarY>P4KPCycKJwgDB3BZ0_cIRoqg z3vE(fn5PeOp_nhV*$EI1RQk}b7%8@rb=B{vaHiLt(Au98GTQ*^f%;c(e`CuvN#F6X zdUn0_rRe3UJknB6o3|HrH(0Rk6Umhy$K#lpIOAmHjVGk#`UADr!y$`FD&s(g0KFK@ zFD!X8ARz|o&7dypguO)NWHIvHfhc8(AZt&S>)xQH{s1?xnVo2Jgl)7t2}5jdB)unq zQR4Ajco0*fjfu|}tK$`DfM0Ex_uQu|Z-6+43KBBMteguYNRlEUcc^b=a~jSI6$w`S z&oyF1A0&c_wzKx$@sL$=$mpvKuM!rzKNmlEhvIkTpABE-R^u^%q%RU# z&&SBdxJn-RZ}-k{HwQ8Z8;V#EJB{lFdDEQ1Z&V|ws)wjK8asql{x%4OEw7Ttb`>+9 zpwFJ&p6(Z37&bJ^aNSp~^(k40l7)Z>tnxMbPxzneWaX)H7Xg(_`$tepL|P%bNEik3 z6&Yrm^x+)p^6GMQcZ8oaYIMx*_6*C6ijHBA@=U8%pAYxkGzXflo5py@DUC~{i&H$U z38{s|MrJ)`4nT2DHHP(r=51VFELYgg`D@W45E>OcsIo2}td zX}Xdo=oUkUEHM!jb?e#|Le^bpDe~R$;a&=Z{h>GiI8V_ji94h@O9_l_ znd*?mY^vCs2KApd{8QusUOQiAaF#r4u%{>{fOlr|#N{iFHLprU{8by|6QST=tw!annJzX!Q66Hl*)aJiE3XT~mz4cC%RVi5q-W51m*gS^;725>~W@s0Qlo$`rGxPqO9#-(8|0u4W?bgoss-=JRB+12!>T(PRlC z$j?c6l2D)G-YxjnYMco`jd-ZyfJX7fFbC8tUbp77_TwD6_RJNV)fvbU_0opt4XkCu ztD^c9*hR`llS4Pc!9+La-@^p6w0(%pFBsfmK5NF#LF0d zI*I7K0en8eaSij-y2zYAXNlZ|gt{l`-W9l%8hL0^Q*fNhqaG$^ZIYr2#WRSjXbB=k zmG+I9uZJxhEp4d7h@s7FsKbd{uYg?E9gxcrAeYcO!_pCx6k}|S5q;_8T!ER1)V-Yn zPZ3yV+lQ4+6RM3?hrw^;2|5;GD2t%Kj}*XlIyF8ls^3S<^EB86W~WG+T7If zCv&f^XzZ;?cA6f31-2(>QnO~WfQXq%Lt_(~EJ-Dn=Eq!(O4gZW1`|ss8_|VM9YZhS zJ(w=iGPH$J{TQR+R+u2jb{rgUfw+ATjrJ9UGS&l($pZ&w6Exdnoz2)Obk z_Yo6yO`BK!14C)hNq#-;kHYalgAj0WIm4kQYkGJay$Q1_G&|?%Ym4B42$>(eMI05V z&>nCeRBWpGor3+2lx5io4*2I566aty#xP8v0zi|l*npT z{qz*0Eg!2XQ`kB?qAY>uO?H)KC(vx<{OknqMsaULHF*hvy*#*k_wN4L?{^=e9sK^~ z!#nn;JutNLO*Rt_6`8@G{LoWW3i|zN@89t`d|Gt#T2*WMv$euhXn@8L5E{#)!c;t% zs&hgHM;xIM1)~os)yyF5FV)*Mc|ym??d8GeyHDF^clW=3{P6I|p8#3&(t-Rc%v1uR zsBJJsxa!jTi!sKGwK!!7MM0+?Ch}CPNT$gkNoQIUwN!QZs|LEpRxcEhhCX^cdDR7R zJOd6VULRIEisCp_s)Pi($)P>nlXT<3w_d=c1}MIZadEgh+G$O3O5Mj4*pjK2K9J2E zDJp4Am>sk1u}o2k1Py25IgA?elM120yubwgR5z=+l_S$eweE$*3zPJ5DMGUVl!6-owvL<+c@a$c%?fZQ4n^^CE8|P+oCbc;9xCvQ z!X)JvVZDsv#4KYW?yRxGj#>JivX;R;fvQbn7AG>HJR$ionj8sB9_2WqQLw`s36ySs z;E4Gt?@Nd=s;?DvNU(Lrmw?=((Yb!PS_8fpz)_`PeWsiv1m7ur$5)q%z)AQ=+{{6v zcu7fFRXr{EL&SagGZxl&_mZA zV3JUSn-cHwVEd<};d*4ouW!D}NYewutp>`6>xfe>d{ipUrTDq_pb@RA5l5aACPJs` z9l@DtEXwj%7|j5KO5d(HL2rv>X#_k#5GpXxiz@_ct6>ZN=J|mrroJ~%bAaX`e3=lE z3~4Cw6a-!et6UZ*7bav;o6|La1X7J#r7XlIL0KcR1zcN5AdN4)koI4K~02f5DPmKHowVupHRr`E`kg(p|dt2dnu;Kt1UUg&~ zp>k}Q)(&Y@nC$_~LVm$jXZU2bgx}j7uqmLpUqj&yj92xS=rarJ-#MeO1Ko3V4?K28 zEI#~Gum|L~ze~P40M1B;<1Lcj1(9?L3RXze&}jI`bMCkT86E`j@#J_khxB0hsfr2b zk8t3jOs%a!$j;qMcYOt=yU@RmUuh? z3X`J8U+(X>Zy!G(Ay``lfCqa~whA2ztuEW3kNjOyn?dVl=hMsQ&Az)FmyoCZO)5^0 zXaY$_&P+kElBEf!oOATWR2M>@!A#F*kWr5T3lF(4QKm1^zn3qU%a_=@&LKGwu=(2) znK7&>UDT zI1p3xI}Hx|^gFfR?&Y=d0#=~st_jz5LAgK<*4fP&OVXHOidp8oVpzBUVTY^QF6Y>b zegHXRSFdkawn9)v@n1v~rF4t(Xi)FE!=GVXDI+|V7p=@d;&tV`I)ALH4o>#bZ5uMffEJ}kqj1?U~!uAKRpHD=n%exR!m$ywymBrTw6I& z)Z=bm-L6!wBK+V>*Oq63rhzcijn0>DP=%!vyLQmDz1hepHKz1D!d|B%;`!Jb!Gh~XY_gT0u90p#1Y)sJI zQs~@aE0YEYo%<(f48M5^4Qz$K{j^K*%plpb8FJwHBIx#sW}jHh)u6v48H}S6kIX_W z3MXd2Pt^97SDwhxQ->JP60Z=y85WyK>rydSIgx}EwqD>VTT;(yR!C0}>(&L?0FALA zfH5q>Y^v!I7?!$^R#I23Prxye`(ZnZqlH~5&(qBz&%>#RI>W!@12HZccpwg4{!`LMRE=q5m^&7@66 zNi{+BC7g68L&xvKNsFW+SAX*GMLtL9l;4xNZUihMt*TX}22U9dr~D>tF{7kW|FD0F z{vmKflp#g|V)2K=Bh2AV@5dg@wYGXf)HC0dg*hk%QQ|z)O#3}Z!T4_E1Gu5Ixs)Ch zCVD3=t&);=G72l7MFJ-ym%OP$N59i_At2>TM1IcJ;Oxj+Illp?{=Rw65;|tfLMN;~ zoE7UGEeoMGBXN|PJki{7C@0tonv6k-@dXjE3O}jg^Ot~vDR|MJhwajPe`gxyF;<5O zKhTljGIBf$92jsM&48k1X8-iEHyprphJdA)KzV97n(D+Gs1wh@RN~mvYmEb$Ob{l#3QwUVO3W++?SREh;u!7D)iqAtRg-P5AveW6!6>5$GeEbXc{v5|G zzFw7q*8orPcWe$cU$il<=UTR!dgJXS^6YaXl;^%RuKb26HOwDoMLjDb!LwlA1le6b z;vUOwjfdmS!`BM?#RiZgtua`lPeGChb)wA!NM<9oEm-+)bBatK@(z>b${dAe^DcJ7 z!{IS#Ht6IaHI@58A`j{-yX@gV-a2qIrJ=_M3|Jw7VR$l|gMe-YlEP0)3A zxZd>g63H0o433JtT&mO6)#cSKQZV;S@Pl8NCd-c{`G74nPMfd4Y6z@um#PS|cRr*aO7uY~93g+A;{ptvG7zob6bf_+K za|Yr;!UozIFvG*7?;w~W-|-oF2DWKL?aU3Mt*|x00U$xM3IaG7Og*2UF43RE6VRV* zPR_4pSiDUSe*NwJXK`0adnfdMfV(8w9Xhg-5nbuz@Y|Ngz@+yLpbBnYq$U>1ok%sD zNMs5DeF|t`1w?WSeOe8vP=r;%%PRX%iw;@%(_nwbXJB4IFkz>#KnTQ&GL}!o{-gc? z>y0B=s6!NgO@qKc_}=qCfmgyxw#(0Qp;ng5(|#2Ufur6)+b-!YqEIwr%l=kmH-hl;>$>^z zESdXimdIIkY|S=4B(&X>v#m)aW(Yu#npe|Z;}_L!_3)}sBQw1~D14OCi1XRfxEvg6 zKVt1Qe1o9bCP5-qzDZqvkMl&SyHQ};ryzqFOvqh%<^w!w8 zbYh5l{CfHH$?O)H6aN6n036;977r)M7AZg2q9#g`x&o z1G4oB{Ym73WB~>h!U8U=#vPo%AbQ*8#G9*))e>$eS*5Y-lAn|vGxR-Sum;%3*ZVJz zUlcFWliwppfB;Tvk3nv^MvfF_RJXyuVhhdoP~ofb+CYztZx#OGoUe zfBo_TxgMJF-8lmd*!Ry-giFVXmoFN8$884tZ*#ckfkAdyygg5>lP0@gi<8EC));ff z!~I`29`66H!<}D6o{9I`xt}s;_Y>=s;q|UJ*Ev?it7AuP&iCBsTI+c2ywTxz-00{# z?z5Yk^`UR~uk-kJ?>eK#0g~i{LXXD7dIn$WCrFkk!k^ zE(LH0Lq`M_KVDuStS%034y5>!*qA12f)W;|4*BN8Bl@`hzP*3gBI5mxIvfmQgwo}A zG3P@tGnn)#I2Xoj^g5#t276&lsV%pz&Wcy(Pv)3RwX+ zg8G*vEC!z>67`6J;t%O}BEPYt1{W&U(3js-W0r*kwl}zoYxI&+)f;Z6=>qnHxr*KJl|)n0p1<^sfJ>(wmcuqPhn=H!lj_uBRfc|(+6S+Kq)}X32kwcI<#c)jDIt{ zXo+qJb9&f5I=%@Dg zD06go<~V2U&K#E+k~!`nCqRuQc$_8_q_N@hxcezO?;_3$fguc!;6&A+54NB(R9In~ zv<3>d$)dX#^Ce1SD|I(7>zeu3Bjv`8_v!f*Su$pe8|JHXnmi?MjgPA(87g#a(9U`L zZu19f2yQgX3|@2kH%^uc0O&VPk2z8WpsZM^icLdcd|01Ib2w@p_r&wnF+<-_(>69Q zu26)Ui5ix!0gu6Sb+S&f%+#%n2F^}#xgpHf&gR?W``WY2QA>%?IEML~m-YL_kuD*4 zMsZ#MZ`DKZIl7wSV+)qk`5@YBi&KJ73Rbstwdt+ zFswQe%@;t{$*9M2al%Set24G<g1sVs5gThb1H|{=u`1LcriqAeH zGpM(Ew}C8qIOy?8?*P~Ecs)(YH~&$gO;B(h`Ir$q79E>Jpa2LlEOQKS)x)vW#xX~1 zp2O$c>}R1ds$T&yHAld@;Y5)F)tta+Nc?=8Z_t^x8&wjDx`W_4?LD1&o4-SAzysCn&DRf zIM!3PC(whZyaYAKcQ-3QL+M zo_nR+bGUvH%4tU(bu&otA$a8uwscsDAbnU(B9OfY3{Y1SVF%H<5bZ6jXniOZkaYV1 zQ<3CEHaTDzO!v-l?Q)kG^u{8yBz{jSftJVoI7S68I@X11A~=AaK9d3lfX~NdI#^n$ z*Oa9FX9RMRn3Y z+d)dZ~DFU}0g@MM5FObAiIra`n09IRbH56QG_Jh15 zVG|dKL?_!v;aZxaClsmoeD)qHvB*0BSa|kg8KW*p34H&KdTej=_}AC%!$$w*Fy3(s z8z+_724yVKI8@1w^1B+gZsB0CVZ061zXK8QPZb{~|9IAeQlG>`yQ9k>1k8QHLgM`E z#J6&iiiC>zsR$)_fqXI0rLBnE0cZkNDuHCupRgOWv=XkdvPc?H2t^kI?=a?3o+PBo zqh=%|#}J}9JBHbqZs2Ak_0k_V)-)Ee)fBtz1bL%?2u&{6z%b}OFkO@U1g>c=NHCLF z42$BGOt1!8*_plKre;@HH%S>KxADMaLRZMNNm_C-x9zeIL<1|qF_EQvy<^Hj|Eg)$ z654@BNt@{Wi_+;(dA$I3`|!C&V1;gCNoEAo6aIQLU!DaS+qf$Hao zZ&aA1FJ)v%78e+oq?(EfkR~TpmL96K+N4RSR{eQ3g=$5efwB~Y8>=D2NTpOC#jK*v z>ZVM`sGcP`7uH>Vy_zFkH#vXpLH&?P)j??TH|b3hfRI*ZZqt`Ph}8AT1C0GNMK`eZ zLQSBgc^pUWC6cVG1?qmLuh1CM50EA^^pD|!LSDWqrmI)iC5Tyk4JNV z$@=#i*NF<>nieQoR)XFTWsQ9J9-#BP9spIRjns)ka@J)$@%(D4eK*rq(~@@{b5{Z} zMlu62QqT9BNp-StYO@@6OSq8-HuD@hLA-t_{538|H#bkQ^a{790MIW3Gb zD*%j9*F?F%Y!B~St*aacq1N=HYaTQJ+7FCD^DFyN~D)cOc zl9mf0ujtOk4~NpGBn#beYsAX+VmRHyixp3|mt29;Mz>#NSzS}~dyKdAM~Hd=d5&!I zVEB)8ab3NI^U)(^Dt=x5ESdyNI|b|&*8ICmTE1G*xHk7F=9|XYjB9pa_qa@?r_vqvgkgu-0p48 zk|n3=LW3DlO8*&Ft1wnruhJ}Cv3Tk(JT;6z*Ed(!9h}HBDQ%W+Cy$`-b0`QGbg#mw ze#{EI4L8TnXBrV2Hlsb}#f4T-;eQGR&*`f|S?ESiUu&Rg|nAPCW9wSFzYsWR~Ucfu1Qo!^}cp7IYfLv_2 z6ax>+?m<*ZJEl_bp*&BIu#nbbd6RP*fAW3x(nM91NxIY?kEMpad6eqI3})}F>c)8a zo~mvPnD3ubVG20r^kT4HAVAT>Zy;RMS4MKYC9cuA$kClQ;{@rb_JCqh zD=|&k#{^VK^brE15l|zu1g0_7TRR_aL$c||p|py@)(RJRqX~MU%cy_k9(#symgkzF{_O>*@`jEZq#U4r^p#5J;^5vHz;NhFN$1JpQJ@n8MplO8Vt=hPpdN{ZdAp|8?n?RDJWBiyA7*ulZe? zvy~~pMKnKKz?TGb?E-Pi8Ge;lkD{SA(Lj$-Q^8mkyN!D_mpV|*q$|n{=NSa)GUcXy> zR#70cTw7zxl9E?*u9hb{3*k-cDtORQk^|@oWWCMVL{9U@`+s^N?pyIuGrA9y$qUGb zfXfKi^ov-O`*om6Pjj+em(v9f`grw^ymO_buW(_H5y zA-+UVNw{I;@pn3^UCnj4+~wl>3TuUAJ=r&~gbut2)8;Y_HS9C8i(%-stWnia^I5uD zx(k(XJjCEw%Yj8-Qw>wR(;J6dv~Lcp!mVMSr1v&g*T`!J1vm?N*{CXWtx>3p5y}pe*+u*&*{Pq!RGJu00Tcm#U{x~G&Aqx@|Zp%_nN)Et!Twa!X>?vHN8gKD;c&S)LVVXDud8qfhKiH@TD(8*7Zwu}|4N`r-!EKbvv6s^$O zeQ_(P!$6CJ%87!%fm1MaZI3Ve4F<5fxs7>trNP2;6sH-jr9o-Dq`GhS@ZUH|*YNTM z70B)53zQE0au5AAzmUdd0r)&~qTvZJxht3TW(@SdfTM4717Gp+vAhmMfq3VSZ_mYJ z)tJIpX+f;&W)5oNWpDT9Hrq03&)sEO9-%a;2^Pjs$vuG=E4NMs>F!#*J|u#jL`PONz%)>1AuQV0T_I?V${MG-roVg) z`GyD$G)+nl?r(T{pse}iv`hWX)Y&ik3Lp#z#moLYr9pWl*knWE{<;h{4Az>u(P7bX z!cL2h6SR+R_Z^1&zGArVE3D;j_g7ew#f}O4zC!I%>q|tP!dAbr;aelraBA~1p$Y64UI<9M!YIqLEl44zEReCXZ17>5eL=8I;w9~K| zt&zfxwCCuy1X-=B0A#YsO>L@6H3v}4lJ-^wr7jQO))Uv>xN5WnSoBPkpYX)3k-`(V z=jaoY;|@^&?dXZ7`gm+(u_4&$WSus5crtC1n%5iq)EI`4Q9VbWh^3hslfffRTFzsIn&QRKEX=E(v@!+A z)PP6cWjjz^k!%O|SGt|n8dthasF{^yQbB7(E|+z3ycfkld=4NteFznQU&tVj z&DB^KID^;7n1ErC8E;Z6l(1CKIYl;(iAFP4NOwZLu66P~bDq=-KkApk<(=x|1{z(WwGCwvLCAR=iMMFNV9ha12G819a zsszikGl@wInTaqt^g{xe#&T}$xU_Kc;BZ81thL-3RbdZNDg!N>hFIxOZ^%5iWSEuv z1GMvoo7-w-lTakn$arrF;U3PYY)B(a%$QIPi7U<0bGi`%*nHgHJv<(6BEqqf+IXZ2 z(i474THXpJe#so$*Il-kM)^(oX(LUN=S*0_y5W&<03!7rP#>M=s5az%Vzv4h+S%EL zLcuSxy@7*Sa<(@JMk`fQbU8;vmO{9>! zJr()H@+uq&2+?eyAhlXk^f2&E#g9AHemWtvF46zys5~y6ifM&TD?6NMB^@(zzHNxS z1`m{CP(`yjfEE(XKm^bWs{)OVGk8f^Vg~&L#WvJ7G*utRu5VpItrzHh~0SqvVFG&5$x>@B8k6|ejK+M{wadG_^7_Fh&VB)=k@BW~7?QC-!r zC~DlkpsQVkH!3V9=@RR+iv>D}Lt+dscZ7*BxZ5JQ+#N;2=Ou^)Zypi{m;h&W)I`le zwJh|5L%uNu?FMU+kWOPnUrr84=y(HV9qAMDdNp1}ElD@$@*Yp5U(p<{ug*j9xo8D? zFj_@>h&ehljGpANRE!3eqEtX3;P-2~1KAoFczm;1i7rq{~L*?V#~l)7NP| z39ke77bs<(L*wu@Vj=o$ z>+1zi?&f^mJJ>PkkJmXRJSH(22y(#}0CY@j=m&QK$`3MJ;LcnvId#4M2b>u$`zgyB zd`}z9hpj7)7Iv^aPd8HNZ`#jN76;P3&Cousm$kzn9iSfxgQV^t>T>k<4eH>m_XcW+ zDM_Adi|5nop)7|_XZk{UpVSkK#MtOdFPkDLnGheKEJ))6I8vw_zoQu>N3E_rzZeg7 zbq=H&{H4_ZCOWkHhhyN?j9JYkGq+_#CsffWVCL4#jv30`Zs&t@gwj~Z3npPRXnzYG z{2WQMR@$dH15~tc3Lx<^rCCt{x)*Z_K^J{nQ*K1=u1W(+0{*?<{@1q;_sH7X{`vOf zw}%hEZx4R@=_gt$L;!5=K7al~wI6+;Y*?;8pkjr5?j5ATiz(#N3Ch@lyZEuNV|l(@ zUbBy6u8MR_lEasdO+_2K_1xAWfkMJ-*|1#{`_){SFaGVJ2L_*ls92*zj@zsGMH5Eu zHmUP47mmGI<*k8+S>7CXOQ97f=sNl#j?gxH8izm3)Z@RAMzRg-qZ&WYfIhTRaLxH% zW40_fY1%EY1+$Oyy=o1I4>@~D&A#L5*}2+%1Dv_DHA;zWULXY?D1lyebaWJ`QITL> z%p(d4h)_mDchv0YOU({&2mo|;w_U9Ew_U8X?V@Jvwa%}7Nv!}KG8&QFW(^p~0u# zp9_Y@7%gondO;Zx+-$CTzkm4%Ou)x&RBlKhu^1#D93J16uD4z<(nO@`4$MfaCa&&P8$Q$ z3nZ1sMX24>o3ZP$&t}Ly^*YQUx*%zFsO3(Z2bnlF>i86?z|o9|hDfXz=Wyp*?fRuS z*IYYIZfV9@tS;?AR`nskqg%{5-rr{K&fnwCTjyA zAF-^$89aJo%krFr3<(9C@RsnbT(UYuVrUsnx98ZqGa>on`Vh|H?${J3%r|`^4~4eW z*{USAyh4&39|u(#aG1@nFM5d zSsX_#U~m}yin{OLEvOVW_C=W(kRIK9s8dZ_lseuT=0NeX3&g^po!{U}@w8Yg%8|h3 zz!WTHHZ9nJx8>uTBgSWhyW)f?QiGj5nnhtF10I>&1K>R{;F!o&&1>rF{2nC71xRoTVHHlW3AC59$8|{wRJC{I|DNxVQkNmIirW}i>BCsF9A{` z7@yT#F`(ePF(gZDg!$>2(@gRM_XOK(WZJP3dMHWO8q*Vy>FsvYhVEf%W3rqG7&5H% z!t+C^eI_>wl>}mh!J-T<6{feZ&>99J6v1xEuR8>c9;?R{(06BWiK;`Z@1F6fHF|-c zOfPnDr(f?reY)E)9}vGOML_U~Boj!j89Z}oZGMQ&1?2UYaOY$} znsW+Yvun0~r+DXt%YnB*&gIHzb2OZ)AZ&{@5gMaP6Yx&0=2M7SKZg_gg5lNhb&z-( z$*!}sI=zjJGw4KP%-)zmld9yom6i36BAN}k7w2uYW5X7TPE&d(I;mkI^O)ls+GBEm z3eZvA8?o^LX&y%h#}46$9!1%Qw@9!3_~G+5aP(P!7#}5CK>5|2N#q}iiSz6efPyRnZA*WP3!Pi}?^J=O%wSpnBqnYWsN}(7p*uI1jI*J6CA$S$F%PzsC_x zPc(ypXLGb+Ia{xw2{2rujMMtDl*VPnxQ?!8A(vEiWfn}xoGfQ(1RVj;%^_VEb@hv1 z&8oV!im^zTU?!@W2FXOA^R)0-&$Q`?^2BPCg%3waZ^fFLW71l71d;=IU+%X!D~M9= zHck`usuTekR4Szjcy-5^2#u?kQoRLXL#L;NAD?-RM4wam_ww3}QL*=)cI z;oVTU5$jD8URD~`JeH9FIKyIytK3Q5nG@Ugp3k4B$FOUPz7gr2g$ONx6sRJSB1#cT z(>r&7_Cu)vv8Vz$&RPRGNw&r#dC#h$TWJ7hu?m_yRa*m%dp5_x;iebsNguJtE^H-7 zcbBW>jNRpGWrmci6)He3H@UW1X$txk6cJZf;zKw@T-rv887(B7a#MGzQ5o1aay2MN zc#HzDP502i>42FRD|!H%&LW%*zi!=j0@r(ez~;^kX9vkeA>T8rB4^n&;`MyBzPWCk z%eGs1#aT+2C~*7k%O7EFCcX%n1sU^g^R<~Ix?qTiN-(KU)&t18+P&1DngRA8E}+tw z1&Z7h%}~)37*Dj-0_Wy;r2mLdT2?Ad()ZKe%37@jcq^-B^YP2)UwiVG!|zI^ZNzAU z_(qsmSb{weC5j;0!Ye@H!Awypqg^%wk854m$4cK#GE`32@+GKuN3l4)z45e$@FmBy zLtR97OL?^vJ{*dk)6I8rEllhbmB#gU@b5EHH~jh4E4VGX&MGHJu&&$9E>k8uaSJkK zj41*^fc_@W(lDk?_EYU`NM#d{IIGpLPpQ1Y3$2iZzPSKF8Jm{jR% zIBwkTSC0yf+=A!Fmp$+TR@!6A3g>f=OkI=9IyrMdgafSLxL{E3npCiTOa@?i8L46~Cr)^8P@5J7(!ivXl$ReX*HqGg1Baq3EW5-=GpCPDQQNkZO82 zuRwMh8$nT_gfF`5lBO&RNX?G5fE24Zp&^^M3=f)a9g97}U1yqZb}?50rs){Z=FN0t z82T|Hi_e#@Gk-B2VLk^P9z4KQe!Tzo7PUx`?)CPcqR^+9u0aQ;ORqbrlGyT3DWOVq z!NWFCXhTP6tFWVLUp7HLNMbZ5fWReZ`PJL(Hy!m=9e%&U+og(K`r1+^*)!#CL+gcBAH>g%QX5+2#B(JS_SW{ z^lP?))$0v_+-`_5ToFn^1W{5PEy$4aJQW_P<08gPptyi7z67s6 zf@&s%YG@Es%jIHoyf!_F{+p&Yuee$l^&O-7PC*3q4>dDtorEgWYt5q?r>7{3x^4x% z95q_U$QL~drCQW=jb`zQW&&60@+#S#=8(Puu2!L7V@+=g8geq6tUcuANQr`>B7O%} z=1{OQq2AQb%WDWG+a2}J*P&i!W|GyFC#Pp787_NR&sj%Oa5lRBg9Gv!s>%9=nAJp5 zH2srtSkL|D>pk*&-uFL#_~-WE{inyf`(K~E|8W2C`1{>Get#M&S;HWUCDv5+j#Ut) zFE_xrX;*-1@KlS!!)2-~aBBow^#g$pNuXxO*8^XHd|?J&B{N@`K}gRJCypGK=Gyw! zRH`ZsC+aAS?$ZT=*XY5N#c)|f=O|^Z=m7%GDwNMfL*)_u;l8!D1B!0@K}_qCeG)ws zk^jK2vR(Ai0>3KHQ+{Q!OJ-_pF2VA5L@)2}KEDIl;?GB9M!;_;uLH?&^GX_iGgC!0 zF5G1K;zr0Fz#&vVxAm4GT$gG%?S#l zPOFshsF58584M3u6B#^4iw&v=Q_`B0JomI8w5hiMFxa*_ARN%G(c?kzS%_Rde}QCG z_{(ChKyuk1dv<#Q7iA12v;%7{3}bAqi!qJSp34Y5r1)fXK8nmuuZ!G0=#2scaNN6U z3M4P^bFVW6IT4&9JK`pc9Y8%64~;Dl-LK2Dghx^UWn;P?WSwzym!5pa%hs!Fvw)W) zPBw#H2f6gGT%Fz(^x#}8m!=o@RoY2&-m>Dq*edgf9 zG0(PIm2`Q#WNZ)UVu`^~@96%8uGLVycluvs%5E2pOkws(uz>jjqIpmRtk-a#2D3JK z#dL-~u;>o6ej!xAO$si0beM8)f9ty52&xPHRw9J)HL_$br;G{TtNI)TUy0}U zQTBWD_~7fSj_2Z13?4nK46W3gtCN$g4UxnyJhzIm3p|v{shaMrOz4=05TLX1p-`xQ zZasev*E-&U58Ffckfya=A-?KfGM07HvVWR}0LayOIK$0>!WnK&(KAd&F4AUx%0+Eq ztQa>t92P7lId%eUgsl}tG+!Z5EyNXmcMfD<6Gq*2(yHw8ay1n%ImBLM>eZyBb{JPj z+3dY(N|+=1CJ>71l8LBRU6|F?6;Zy}MdYn3+SenB(pv8P>ZJGg9z?2c?QM;2Xi^Q4 z61UUAi@}{$DlonzQ*e=S18+zoE;2IW%Ibn{4gvF%oNM~G_$Q!oH8`V&Bq`h{$dTeX zi^0eEA)VeBl}{KeN|nlnvreMQ8pf6ri@&Zmh)}jJ`C)Gk==WIgG_xIx-pRs5i z$~?J^@0ix-pPnSWc?9b~m4kdMjm!w+%aVcHS73E^w12uy@Vx$(VrfbkzmX85RHR&G` z4IJ2}@Bt9?`U=SmJ#iQWmH7rOYhD45f}V_<*Dm_7Rb#9kmKUgKyWsIDmGVN{Ns7gEz@LJbSa_ zA{QS0IRM=~U{|weckkXIOAhe`EI%)x42WNH9#jRbP4EtI7$&f#ApZsN7xO`^=0Vlh zq6g33J=;Ee|LoUizdif#?0usO)-aqU8`mFccv)+eiz5m76K^777 z4xp5Yp|5SJHNIVvT|A$$7c(dT^=GA|uE!-7-cX$(rq5|SH92FcvKND` z@wlhGnZi+r@)RFPvsv_S(xfGNv{L**kPlPvvTX2leSrwp z-NUCR>=FIx%e!w#sGDcCBiF=DhZK0tUdYu6{D3pi4o#Q-z95U3tc zhM}8g!8$zBAGuDm)%iICgE9Pw$&BA zPfkIN0xj_xFnhwOJrPM0N%iov-z55X7h$vO!U559Dj!8jtUN z%J3Dd~xA*(DvgDceZEyuU2QGdVjH|ir@jY6Rb z;gR|_#vB-4@$*ePM3~41;WpM2I-T*CSP!vdg41i~eMIE?s1+1&23Adumv{z{44m9m zWkH(x^Qt$5*TZcaU2ZV8<*T4%6vhgQMrjtGM|A*rj3E4PPRUZ0{f?i2Bd_64ry)fZ zyf=>3T}61ay~-s9(=2;={AvGCN$K+9tcN%vg)ALTAQk%k(<~pnF{LN1UY51E}^sd25lYRG?N`|w-AK7?pJw*Ws;w4f=<^HIHXP=J{rix;X4bPHIo z9ayqHIM3WQ!>igaEfwy;tKbfT(j+c$s&OQ>YKF3G!IuWz3RM}xUSdTXLxt@uOwt{- z#Y;0iQ{!g6{c5;7R5bTTy}{&3ShAorxCtZG7+}{X+v10=IYoD7b~Xi_PA!V< zB}k50f&>!5%I`HV`^t!j$OdI$NLWN5^5h|1!VXAeUxQ(vomnsL{-n7r^*!&N_Wq-H z@a8FdG_pkJzsWyZ{sz*K@-9legvWW>D;etI=HwjWk?+Tx4pC|PgP2tbJQQ34xms{c zmmgLLI2uc&s+R?hd*2V zd5=H8;?HmHjsx*IMULFv0#=2(`@)G#i5rS42M+vt=3>tp%XQ5XqDeJG#nVfa2N;YC z)PE+h0>Ql3w)+%Iv8|6`QU}`b+oKFGW}(2LbEc#yfeB>9e`sYCCdfnCuGXOvXlCxz z1BW4HW*#p4wni2^GHH}a^ayIKRH8damwZL#$iU_!2;v1? z*VrM{s3rbtdbXM)=Kgvu5&#W$^@t=8to96DIpL|$rul76UmqNOQfO6C{3&L-4-gYd z-^LiJDp8~0r$jYgJQ{hNz6PF)tWbIaq#x7tU}jXH^OZP&Qi_bdeYEPZAAE3W9bu}^z+?GRP?B&$t z9#R)Qm#<18mLcaZz1VQJq#qD@;^96tpJ~XHFcRfT7pACU!P|!6WxmnIRVQ9`O!0tU zfZRyCQly%wAbP5-+>AyqYB@|db8C4`pD0baaH=AML)U8t@^w358ZH6Ty;`k%6K_v9 z=%)@K)Ds!AN8Mi!Hy=;{4+_fJ45ZBbR^i-!U<%_xP|irFH2J*>p|}`Q&AK_}?4|f= zxvw)G)KwA-{S;v64P>nzFg2H;7EY@jWfD1B?QRgEQ+A^AWy%P!p6>iDowENfyCFt$ z7v>pQ*`+?(J@p54Vy{_P5Ed@bDY<={kA)49!m&uDxrRsY;<|=v$c1iRO*bKq$xSxb zi)+FP&Zd_@QKAl#D-AK|PM1xr^ddmN&D-A}KW;yZk|H-mT+o7V@bgIv&1U^h6f(+K zV(K&sx$Kb^)Suj>dVDz|sI&$BF_o#vvauecrWq*a0%zuXX8GcD%#~F#O%a_4kx946 zzFccL&iUm^u|w+7sw+0@1~{^Ydax*=tntRikHMSawbB}+dQc#*T-Fe8wgV467d*6X zL~8vE&oixtRiqIFPt=W6U$IeVVnCas4ta=VBhgxmO<3+wP^Q^F$}NB8O-Kz=S*MjA zg-}HQ!5wcO-UK~igzaVh3doY6>!hB1w>wgt2Q$}kzY=@{tFpOXxHNTcftKkuYh4OLtTMz|Ig9v|lWzUK@4H|c9mw*=L zn{$*Jfb#9)Q!4^qQ;k5hYp6NTipi9i8hQ((zv<_@Pg@o^MOyL-01p>dunWa||FPQs8qVRQza;KLUvh=L1J%GD z3WUW%G%USDB1=p60FFdeMgI=Uqi;$!TF@ZN^Z5RJl=;OP`D`Z;ZXjuBASVZ?Yxu** zAA0y{QM-OPK-9ovkRN!9Dm1DfV*URBvko7F{P#@rJLPwYRH#3R^*o%3QKHfUmTS76 z)V`0`7sl4?H$E0(AzG-rYt(s4#~oz5sd&~vdTAG6W%&RSvRi5leq2aWqlo9)gvgS3B4>D zoYq|6w^mOa<$p#bafZ`JTM)-DBxWV@qFcMML#O;Lg#%zC1PHn?jBOSmJIGb|M zA%xVZn$n7(!4cA%>3*&H3uL2ol{(-s6mFFK)~|FyMF0i)a`TILzBEZI?wxj^N`y&s zNLReIeN)NwH0KW>w2TRu?nNh7Vk9rn{@Px8LLXstPVJJTV>Lgdnr8l)ESEk1x&F;lW-_C0QGIu3#qCYD`b zk$)o-Zg;=d?M}#vs2koKo?QV(Wl8G6KS`@MV-`?lj`>yyP_@BoKH}8h{A5b`iO`~h zGH?Rh`NnX8A9PIQcbJ7WMy)M`yP4yJ^&|v9cr~QK?J|)7ARn%D*bq}OWOW8%Po2nd z59wo3*wW?{-BBmalCKU`Rn&eEo{Jid<<@nf!c$X9_wNA1EEyv|eo#6)P1>eE3dJ40~g=IR2zG|inp_%XjI zJmbe6f?pI8St&BF(=xE|KfxW6ucscXBPe0mI%x2EXYc>#Ow7vHkul~Q)n z6HM3%f;<+sXphUs5|J91LyLoedxTY0zXU=|KMO@eAOs!Wd!S1`c!Akv<2$)sK9diM z<3(VU2N1RI0ZKvKqWDkOh#%?pxV$>`7L%l{H>OypikO!$+<~GrD)AXg1;HV$C(x=# zA1h81x3`edpamLZAaD=?V_6_@&`*ptq@EzR@p^p*8WN#dUH@2(Rua7iode%PfJN8~ ztf2Xor21AntkamFE3Z3TdsH62JVbjdo*Ok@2#-Ov2cXY->V<)xL}y7`6ycpkw!rQ_ zLy>~n?Xjq<1O-cht+W~!9K=x*fG!Z}%nSHQ(9gVyM&%uoY`^v89dOHN2esSwRVSS0 zSRk7iHyq0;KuYK4a&vx0Y#88BPBvf}x98WyxtPKwlP6fX>x-KMAWwl#=imNriKa}S zdPgm@(k!L7a7br7%PXdc!AA7o0~PF^Ryde@KA=>>NfreQmA27p;tVF{{5kY~?sWDD z`zCih$lj0Xww?dI5NDZal3Yu=%!pgccjc=>(rVIapG zEeyhI<_rKMg?DPt(HEfMBAkm(R0OaHY^1N^0nQ^d&P19~Q}twVGF7!|I%4Blh$VX& zOtC^|3FtQ|X$_6Wl#%RWF7#2_AV4GlxtFJ;tuj4DS=$9-KnX2?`ea#B51gM5W18Ll z%kv3JL#W=ZjIdY=Lpgd(+S52MqDGjJ~e*sc`Khk0r%O+y=5z>b%*m_RDcxZzqSyaNn@w zAkq_dSsE-Xba9$4HBXHPf}B#Dz6^TyH4R7{b%?9hC@md`m~zv(2W&=F`=W1bpAMBF zvB74X3FOzE;ESU|^yll->fqaW__TMiKCR`q-21yeUR5RMZ#ASzJ}GQdEi-H z450+^D;vjcSRnl^EiT+KLn+H`yICc5b%v>FmtAWx`DD5~NSf~&c`<;VtmxB4S}d_U z7dNgN(X&eW?ebZ8Qq?M|url$E<`~XEP?56_1=Z|Sg0B@Jv)dPyP0_U>dq^=b6OGvv zI><7XDSF>?jo)62jS7$^IBs}5jwYe=>l1s8S2QcUC>(bXKfs0ND6SidVC*~JD;remH9 zIIG4G$-<80s3io2jG#mnF|nO7sv*t1aD4D!N=TTBXcl%A%8XfQ<+9MI3hm32S!tsX zQcE6S0mK2_bjacRQ}XnJ%m>UM$kg;=Vav1O$lSv_=vx+eJEG9khM**dny@HKA}`q& zg7g#(*OsY7I)No{$k(qgE*6W^(~FCaZcXU~KQe{;$eDpJ*qXWkubZe@*>ydu*c_>< zMF*8KF;>*tZ2oxr+uglt#Lf?Bh6`hUIX#o)ZFx(8*HC2i@yq9jgN;P`-dL9o{0r`w zD;7m%J=7Q#OBFefl-2e$>fxz|&gLbBII{T)E*oj{bN6xc=G%J$Cp>rP`T`OpsQn6k zL_;=jHC-6duT=34!y3iIXkX7y-T7Y_(T~J}9b@@=tM7z|Q9zDVua{4|XGP%L7vfow zy+Z>jkbz7=OEfz|P9fwW+!!3&q>lkp# zEes3gl1{{#LQRf&JGa-s%Zf*#INsG_fJ)G}p z8Rre-0s}_0%UGwAue+j~0}V#p{2-E8F0r=i@pWHjC6yfpxsb=Xpd#;__U6^?%9Zuj zb$hdxhp{7?;%>v>7|JorDkC)BY{n3xGV&ct9!sQ}-I$4^o--;3NWzx0K0_aSS5*KF z2K@${5;TUMUc`M*2pQ8iYDb3@CtJ*w-&Ge5|CZ z2#d=Vve4smbj;A_LE~d+ko>z(SRj9q;(YvtdKi3PT*eSiME*`z`LaAg?=5M^58Jm- za<_d?oc1>h;SR5^5BL)RI8z)D101DT&&VUBU48=B?cp&KebUad~-`5D|` zh(^rdpw#Ye5k7~8Zv&J|n&5>IPIwK+B6^Y|)No4oN}H+5(rfuE@x6$zgF9#S!n}82 zyEujNPbpnBWAK50z|)GCol&#~jqPZF6aFa$r38I~{;SaL!nen)Q5X$PjewkSD4a1! z%}^0HQ;{%H{tIHjUPDsigVvHgt!B%&-OGhZd?{1=&Dk!Pu<*31^78=>2W0n!TS#_v zV=?s!V%{{^SK*VgqNd+fhpob0bi-GN4yn!(H*h(+;bG1p${E5(gTujMA^hP~+b5l( z7^u+>rHS&Mtg*LyAzVB`on~k7vn$Ox%S2Z?=CqOvDVq`K1!kLJ3k+%z+}`5Cc15BE2vSckc7mn} z78!iUoFV7r#;rR}P{Ak53WcR?NMNoK9fHVkLIhj?uBWm`B5Iy!SF^>{Et|_qw~}{X zzP&-+5vceg6sVa%*AMI7xL#QM<_tZZvaG3Z3Q`C#Q#*3)0jHzV=Zq+6b_YnEJP19q z#=+conog0=MSHbsS3UJihotf;{Vb)j&ee$8IZ9Pott!t7LpcazLP4mSb6Sos3_<8I*dkZNfQo~-*$s#Y=70g7PzS*B-^qqZvuWdr`D^Q-IE zEBJD5+N~#OMVJCW{&VQyEBfHvcdA;2RAH2Qi;S`1x#eM4-~E4k%yS&LQX9 zg$crbeRE4NFSgd*J??k!oF(5;)MP{ta>;ju*-oy%4SJ42mKj3KQGS! zI<12)5o52qSU>%?Z-5(wknvpX-=t2HK*GT(UOVV30s7snPJ}n9^w>48H^g9LI4mA@ zsqh@DS1KABdCnKmW(h|CpUZn^LOg{LCl65^;dP)`;kZzRc_-A?UcI`C`fO{Yz`5IV zcvIDng-W@+RsqKBM~w-04Em#T51LctaT%lt`>g;p52Tic^d0@=ht-tmTpkhaoTIn! zT{P-Ul;zjB@tb2$#R*dMQUW8SIyWf7?-aTwL_uIGM?jJt2!o1-YofD?3KT$+925Yy=W+GUG3;AXX1sU@+5bk+mH{4PAOI<^*f0 zu7Z*o{Z>{TL{1^%Canf5*|q%ir9)U7dK<5S(IPj*KU&PtC(Jg&<|K(8l_y;TRrNyL z74BV&@JOH|vCgWI5KN`ABC56JS`yOwN`eyzV&u;)&hGk^ z9YBV4INrubA0|hXd?of_I9p!AT@^qr`GB2!YFeIw1p^2~ml?Kn!&kNIWGuFz8!Xcj zk39_F!D0UxZ|9sO36eG(Q+N|j@)~R_Xz!|u3n1^?$B!FW5MQ@%KfM32ebvmx>zmuv651AOQz2qN0=0s` z`H-Kp!UQ>Iz|)}(Lhq2pr5^tJw0XCEfA{U<;{kqu_TkglkI#PJ-hX(FO_#gO9=ZE6>WKn5x{079J3U*%;Mu75ntvW01IeZ5? zFFN%qmkR*q-+ObGo|t*X^e_+jsA_?|vNr_y+xZ-u~9R z-~RsLfeyTScr+YL{^t+4-Pt8-rxS(y@x$k>f>Lg4lo#vC9;hdVMG-7ri`$FXc+fuR zYRa`2<0T$nC-s19=fp$OhyzM8e#^90CyqU!oOVaXBO|XI#&}N2m3Ame^$ zvU8Q%AXh|=LXzu3oy+~0>1ADr@>uT`9aPHkQM)_?4qqoPNw52}bw4Ff<^hg+ok4>_ z15N$Od>6Rv0S-BA<`;$XswwS(-eaJBI2VEk`%BY~45u9GL(hOeeQlTv8+OzDXN&gi z^k990Ze${f`1V4J zQ6nGp7{l_Nj3y7umjfLgJx_&#C?$FoMc(F&*!buS&1V^D?^Hc>#zOs>gF+S@3yp4U z;U8_L?jWV_n?yp%Ct5;D9MI_|^I-#S$j&jL9M|GZ0)BdMl)4d%5#7TskauFbJqzhw z&h6+~hC%jBmktU#Y^q@${u=2I$Ywc**AsZisbmtXD`I~0lZ%aAaWBW#YJa#$!W6rWFK*7 zQH7pnjY3;CCs}8p*xPdaMdi^@lBAU)bL>G}E#p?pH;w!M04fQhgO#%R2^n2rTta}> z8+PgN*i@&!qM8iTW(Ttz9(ksZBTbAdWsa_jOh>6&?N!P@TXN|YVcC^#Lf(PKl`Gn$d$dE z>l5@LO36NU2b9&lb;sp9h3Oo{tvj!=s0_6 zSgY5J!r&FD%EK$8p?$fr0jL60U22H6p%1u7jM~onoLjT!eOoiQwv0Yk*pgRgpvbc~ zuv{27)LxYtb^uR#%&0n|)$96LdRf;u5AP946E|(Hq|2I<@8NfT{;8R==KS6mD1iaJu8#V5&44>1GyBi=ov)orDdoc`#V zphlOH-yiNG%a-eAwmFFrf__CzYg}X%^XSRVqwe{ptmF-kR1Q?$wlhUvm1hnXCVrm7 z5hIJPZ8rJzbmQYUDHgDBCm@B?!Jm#ebFPk)J;O7%217sCW_kXiUHTir*214sNMKA> z(q!$(1SD~>9oGs;-i@DjrU`8oDl$`qu)=V`_6+#z)Q0&ssuidCT;v99cdXKZXjbGE zqSPsI24I-I6ibJvMe21eLg~f3pb(gROE^Pev7tUh{`w0f=I}rv(IO`a92(k(>>m>C zys$FlNH^vO-VbsTjYl#$iIiy5l{*8uoa}rs%3f5bWcLKYxc*%Z6!$DY=qQ#@z**@B z-hc#$&8xx0z?uu1>B49mF=4>>vPNq{96|dAGdv{xovb2YH$i!d#vpbXjAsS|H8w<@ z%f#%MTD%Dc$-NA^5w1rT4wq2rCH2Ic;q#>;%@F2!dW2V%qmpp$3)E}cmZwMq#;|bG zQQzEC%UI0eVz`<+%cbWC)0~Lqj{T6SHzdYVJL}(-e=^JT`Wi?9gC!g%!3fN zNmah&Vt$|O1N2W_L4(HuYanyDkev)N^_+GtJ9(9#k!9nKSwvlqDb1b^2hVG6VZ{iS{D!Z_C!=rd_Q6=BFdAeDre|! zOOmeRB04z_$A=BneGqjLi0SG;a5agOY3vb6&C$T+@u@kS#_tyHdh$t=W52StKZ1A4 zKQ-}iC;U}Dd3A1oc{_lsnRiORn)w~zth8BM>O#T?I*2J*MM!s4*F`>B_86jr$=E*S zRXWG(QQLP8?MUw#Eq;gYrwLy%`hngba(0;-hQby7l|5!Yl00JP`Bj@DhP=6rMJE#9 zFm^C^5r6phy}iBvulB?J?c?nSRN){Y{Si*@_QTijcj#ytrHgPoSe`gbWQC`)6+|YeH{K69 zig^MB#-#Dpka5!F1%jHPh`L;gL1@UXdPczv#8s?$D`{i7!9?pDMK?;4H(s9|Yda9Q z1+aF>j(0&heaEqEUPaiu;|*#~lJ^LvI$f1smR(~0trebRDjd2S?}c7NfnOPLX;2>) zQZDFRV>=ASz`KvEWiU_W?|xj$3H}xJY4R0SEgbs0ktPE{W?)PQQ zUZLchk_mbkDE9P!BnVitsP3`seJpx%lYAh4*ph;m$BWZ9?dFIIFYnp8?62J!lsBe- zaD^%^=xbJrDC9+X%M!EA8rY<-Ucu&8{hWtWR{)6z0-<;JRU>$eUOqnF-@gC;c!Ln( zE)oZQ2pKb`2*H1om46Kf6L@gshCpQ)OkuSO7z!Y4o&iI_32(j7)s)bY4IhJ=v6D2Mq6vdI1R35%`YI-j7orGF-v z)WHhQu2xLoe4KRiVh5-QsBBFvwK2AQTnh#L?ttp z6f_JWc9`3EUV3x7<8ll&gl`!gmxwkorGwv2cRk)xAHg$_Ywt?H1B*S!iUQ?H@E*H& zRlDIBD-XVPAV`(|yh-X#n1J%JodkI!Cm__LJ)3GSD~BZycSI@&mMFhW- z@gSdJ&=iHfa>sdRr;v_y&fc1)^Vl+$+vT%lF`XokF3UWz?SHg;%t5JMcJA06lw0-q zA{YRnQ87rd*w!v_^@HIX?kp9C zW;{kBeb)HLh)Q>hl&fCfGBKAvu~eQK`I}$=S7>+DzG24+(oXuyaaH7$z(itBghPypE| zQv5+dWUdgpC0~%DHVfh=h^^8~z&3H>z01noolKtH+zAL+j|g0!;&mz>8j^A}pOOqY zjWl~t-;-}(2))Xc)-O-=S zymT<2vFD;l3>|C2PcmW7dyXD#&t+{5TII^ULR{}~O%HS}?FuzC^<*s!xYVzGjvE}~ z2N@DoaPvFJ%#d1QGetemKtxOmT!kfL--h#x4f9poiveB_aGves@85vXc>OaGKHGoZ z-hKRmf82ij?FS#>KalC`du>HMbRtxP9~@w2gzW4nVz8S@5j>knoR)e7Q6B>%QKAe7 zBxQ?Eu#}m3Dobsa9t@)G4E{3g;Fi(AIE4T#Q7QGh??*W*d*SA3v%L`8I%B#)_4S2Bxg$S7#R_oV zV`urJNk__&<-=gDv3y*1@0K6cr~y-BtzGqH>W)7Ll*y_Fd2IbhK> zO%f+7FI&?Oub#wJ$KBUb!EWLb;1kl*4EFmafPCE=Fr~}sx|pTsj#w(8?M@K4$R{#m z9tHJj-b*y*8@N14mX=SmWg*t62d_=9`)YPSC66NVhKKBb@T$+_YpEPn&1mnb&fwNZlPgVdC zn@tLU3e!|OW@%^-NYZatuNRvvq;77leKKMq2vOyPZwe3d7VV-yLec85S|WYgY=%5% z;0d&D2{)82b~yYT=~?J}jxI8}1In!+==XP)Yu2rwA@|^tErf_F20T50idDF%d(2HI z0?@uVOEW!=D$!)jn+Chk0iH@o?>NL!Hrm7OZvD22yzVLbfH@x2z6ZXy;sbKLv zc7t7oK^Kjdm0#P}xQZ5}RY_WO;G+77Cm6N0maPUGWg19P;kwUq2#y_U7*K$M(zn$FKkT z&_0miX>u{_O|*zd&Vl@r?U1C8qZRORuhuKUgkZL-aTSzIULJEOoNQM;G6n+g|(q$^4z!;TozFOu7|;lvPZ($c6q5#IZ6(0NqfWqu>iu zuRih8NbDg_D`C5^$AQ|16X_#sdb?oJvEK@!91e>jhmO(&FYE;y$z6R!HqIKAWPKDA z06AG`b`*GCWUJ%8Sn_!MJR^r%75v>4k>>VG+$^wcX z{Bk0=q#DUu9Po>Z8uxTa)(L!~^I4&9$)r!9@Szj;1}p&D zO#Vvn5oEo(M?A*GWxS#r^v&c0!Zy?KsXXrzUk<;wM3lx0)Dvy+>NXjH*y0A9TQ6dC&pP1v@W(=w` zQ+AI!86a@vY#@c}V12y!39)16l8kFgCewkWG9gViIhCZ*zU48FG#WeW2_7r4)W&YW zWgKZVo;gPfJ8G3<06az8Vm$I-_Of z5AswF)UD^l~yT$u8R zojLNI;`ch)PM&srVS;(SBAM*FqqwA!{pX2fA2h=4Wb}zR3{$HkUH!Dol)%b(MNahG zNfuSVCs-SFz|vfov*IR*_~<#&xvS#so}X)(f|}^T`$dgSZAaNQq#{JwXv*yJ&CpW~ zs1q=*V*MVE*&Y$)@qkEyX|aZF75X(UQ22EKxaM{M!&wVDY44s&`4+hL;uztu1J|nn zt6DWv$8No5N?|h&iWMI=QHMbU_`XCTrH+U23;^M%CnEAzJz&P`X!em2pq(zd>1O#y zN#Rh@)G!(G^H}?&kSF$O*zP#6AjJGrFm%(&V*E$2>i)>A{m~zo1*XqgPRmgYPBc6n z<*Jej{Asq*!3R1NA1D^jl>KJfsYsDX{L};V`Myrk<44Y)EpA*@xBmakHwpU>GlpjnJ0o(9;dkREEO zhtA8C)iwn=4Q6W$0jtlt4SsTAvJW{3!?$hpB!&>_zQUvJ)WN&Bf(8gE=82Ni+Z~ z=HH=)R4@BoJA+|wy99|~Gk2F~6D_(gN^cStnyfn5w!J(;+e;>I%T}-U62|lJJ)<^z zA@DQS?b^ArqM`KZZ9;f{RXrpnl?lILAN>^!C`*!odDlFVqc%(Tv*Ove)QfSVpAoR5 zAV;i^zcbcW0~p)Y{KOTwaiwcX!s=7sUu++nwAS6SeUpYYC7%d6Pv_-=<-&C1Kw3>IyJvdAFn+_Hz z22H~wlXm-hLC_VvCW12XmhelcVv9HE@)U{CtE0ms;G3(n=-oSn!=#{6Cdfad_elOZ zV#bVp+#n}tPiR=*%E$B<&@qMLD9?-%d~HswxohQeT&!YH7Au%Y0S_hoVN~SO_=%a} zPF`p(_0~BR^5faCe8_MtRTtJsb|fv-69hp>r=@~rL+YWHIv`FRE>4b)4Mln^HV;nG zU*EMLL>zdr5c zNlm0hL>E6!ulr+BBBa?>!YQgeHep9NaG5@qvf}PE|Edb#mdUc5%mhc)!yt$mG^aRh z?cL;{0a-*6JJ1;;%NC=*QdQIjHd>TYA?+_-!ZOh>!6@G`a(D2>;C$gDs?umddP0)^ z@pM>8QKcyx!2kCEf1_D~%ZVKR#O;<06Q!_O(lO{==1vH$xS%hu&t~$(GtpGy3<)s@ zb3?5e_zsb*PGQM-yFxi3ehoPhREct+q7!zeaHrIaFY=I}0Fr5!SAFL@W3uH`IYm(u zUy-`KhdZ>ZvkJEdTP* zlU_#gX%$-^zBHw7m{giDJ{}=REFWE`lZ93i#~Vq@V@7n8rmoBEy6&bQX0hIby|!W~ z9=7TMf=L2Uzi9q$sk6A`01fza9ky3FiSDl)%YcNn=P4|phs8lNKzsA(4xaUuRm`3* ztC%5N7EY3R_p`PEsoOv8M`xbgbXd*<;;^rgnd>5DhP3}3G}zWsQg~Z-FHvIr?=SvM zN=sk-=lFjrJISFXT`^G-B{AgV@=X`O_aTZuBI-#oH6|x~HXqRKiVNp1F7nn+PN_(iWgy)^>q1}N@td+w`0-9F} z`VX;TILwGkk({u2NXkk2SYjM5htpV-I2nuq=GP(<^uNB}-rsrzj!7c? zgMZ>5|J*3s0ASrP<3PP$fRuNLQSzLmOuU)kNLNj6_fg#l3QG`_#t_}uX zCGVbDcPW}pFTa@JOYU39<4`+N#h4WV;txvOAQ#t6!K$u2KSo* z$}MfjRKJ!i0p`DkvRW3ZnDr@6tw5#2z1*}=X=O}jOqS!-CdzMuB%E<9xO&57acQ#Z z#7JZ~K;^Ogy=cmkuVxHgq_8UDCU-_ETil(a8_YZ_U@PekfI*aNUD|>M zhpLquK%yt?r8BCO&u7*@49AK8`2Na5=|H+JG}>DOKSXpJO+L!dWyy?tC<<5 zBk7pk-;4lPx~%Yqud6l&3um z=g+4-4AJLB%{VPyF3uh)OpUxzhPubiPz7V889rIwVhbKL1_`;l%Zz|r?8eaq(EpGuH}V8O?B^h^+pRv zW-bVT!|`?l--muEXY;g#nM|(g9*rLNril~biG;qnCiF>qrxa)=PBrbvNv%IS%_w@o z{|NbMQX}bhIvGrY#VU?5d>Bcxp}gAq-7&?&HK|~nn&pKN!xAK#PwyPP zg>DuAX^8Qzt$B`4k1h-@#fU32=w*2c@teiBQKd%h`zofn5j`N?gCyuV1Oe!J@>48) z#V752_6+#RtPIPUOxzyeLZ>YHNEV?os;>`EDXhJN>klCm4&;99TXJ|7tAAV`5xp4a z=@2<+1aWHMof4pd-IrsOYWZu*;g%mtf?Rv8hYxl`o1u-<_W?FWPrmpAm+OnS0Ims= zAUZ%saDbS;leUTx?nV!k`PAj#H2#(E`Kr~%$;qg0O+b-o4s>|+79kHNe&PsHLp?e} zsKVbY*78bE&FW?UvhJYlke;`(X3pZgtw7$LM1fJ#>8sTC-%Tnln8kchSp=gsm0|(L zQNA4vN2Szg9D1Fi2FBC9(~E`in|>fkk$C+E$icAew{PH*PU7Aw#O1s2W8EGa%V8Cl zsvl@T0e|laaMq?_eN`7FlVaI{uV8w$T-`V(?^hU|2u?cpsEjD>+j``{`y+~NS43v1 zKo^N)nn(`LNA(Y>!uX+NU)=>WTrC1zogyznEi5oIG~iTrGYl)G_Hd3_u3syTOpyK( z<$`o}t`YE31-LSzOJMq7bmBY8Wf0xHGb#eSe=grl#kd6=q@wdw3xY#7-wLV2C@jM3 zuZ}Md5WCZa&)Wx>Pt}A^1nlyRPqHoCdTsvWN+!?pC!Fz^4-SDVi%sR9Mp*7%C`V<3 z$TQF`2Pp6RS)_l9B%O@u;?p6oK?ilw@r5}4EFG5izWTQ%kyo&a$s@J=K2zm@dZ8K6 zURVP;s)7=`UPhd3z|T-QEbm2TeYibwYefG-6(PSB7~$Gz_lXI9^Pc<2cVrYIE)-)R zLu4F*K~UZn0qoQmD~F7TO%P*UMNnIeClP-xs*4%=@gN&KA_Ym;)i|PyTB~g2QT=}E z3-;62RT@lPkz<$yAqd({@NT0=m^n%X1vV5Ctjid|{9QLfVx()y28qO%l)vn}7a*N_ z{P8kbWbdg-zSp*&#Z=kql=>yB2bQY6D3fCOM#{?Ah;9MV`%^m%T&Fr(;W+hq{C;wR z;JONhP*vv@i=+(g0e$ldua$WcyCs)&BqsHiY7$$O)_CkmrzU)aF6jzYj<;vZHid!e`yz%!GszTpL*t@R&DjP@gO~b+=SKdTdcU(SZc@^-v z^raxPTQ<1Xlijj82O5@ONVF-|PRX+Lgn~Kr4ES4?!}6@|nr%I816P^Kkh%(}#v&kR zo!EMZ{Clbs-@IDXqBsgV%SYaG^J*3Vxi_u28~1$#%P1>vgVEQh1$@g@H<{iX3HDeR6Kgr!I18YuMlv` z?D!UAJ%_iP#TAS8g!pJ(LNH*0|M1na)}dM>vpi{a@;}VVS5*q_$OH+TTM`9CR+o?{ z$EYYk9WLj~zETgEqcp%2HaX&Oh8P>hb9xztO9>3h2mQe$%>h+v3N>m8DwxbTH$bz9 zV-PC1-<-_twvYDu#NNiN77xJaG&Ue`@ zJuj(uwtNFuGf!8xeO;}vSCGLj+pl=&>9#X{HB#p z>Z+EylscMFFBVAA$=3>tVgSpdo4 zlxMsce7X7Z;oI-Ky!ZmXcwFZ%4pFld{3=GzTRed{h-C)_dNBsSgI*U4K~Nh+PzqBE zl|VM{;H^-_P+C2<=iQD(lL^}oM53aL3!h4kWo6We%Z{|c2ZkIgAq<6 z&70BQ&CA)L_z1v57A??TF5k(jTkW#2mSW*?ggtp-R4;TJc}_!~yf6xdZmiq@uO+?? z;AWBAga$JI=$DfmZT6oMlbkUcK08B{b{gNP4?j9fSJ=_~xyxGmSzqPuyhu%~&#+yn zoGZXCuR&2HyJXjDi7Rj^R}xniOk829@0QZDPo?1KGhhioz@s8iiZZpqO|3EMQJ*7} zo9dKQ;>p9&P&oK-6Lh2C!cDG~9^5z-^Gh4oxC#Ocz~ltt6PAlztE@QMy>iWvjpfK( zN6HX^q)Y=cVhpnWWr_aHuNLQdRS%9sG8TkD_tUZ_F(Is(kIS?k4@A%Z0F3yYFyip_ zK~0&bO2T|FLdJ`_=}}bcDTD?4B3d5;et7lZsU=xU^j=FJk(rUMfHEz~b+2Boy|;cw zURz-&uipa#yhNa+9?ARVXyuh!75MeT@$Si`8JHA+;eio%JjMPEJG!YpMnsXztxEn*wp*~-(guJkT#p@&^`Z_tIB>DkV zRqiud+?=PB?i1B6%<-w*It1m@d-UW~d1Y0>)J{Z5Pwd62&!{$0?vR)UmiF&xGL;d1 zRZk!C-VQ=i{^;WT67M13pgvW;*oVsOsle0vCRDgu?^J$MFn{yc(8mOlY7*|w==AO%3N`%?-b*?j%xl+vouRJYj&0f#Wwk<$%os5S~$p zX4i5LSa^?ktvC^Ct_dLd_WCB!g4mqE{mu#3nHHlSovAyJ>r9<-RW2ztvt$=~uO<1` zd|dmliCl%(3)>wBS?qqh{_syEaq2M!!XF~5MSJTB!6@4!z{#J*b$@SiYU*S0_bBQ+U+Q6^x%9%wmDyxe= zfFm=Q16z?@N_Y-c@qAEu4%GZu?UmFv@bds?I7b59QLPdWi zT(`WQa(Hvw!}WgxT}enWxa2z4my{Dl%PY$Qi2ZIMgMjnXjA9QML4{HGFJC%$<;G1A zjhyZUo21X_4#Ex$v^>o?*n#=egq(y(mQDK2|jM%Tg*>Sf3FH=sgRpa2yE ziFH?JrxKziX-qDKWn=ZA;%lSK^Xx!pBR$7CpTngA2a#Nal;`>rRz{R8w!c4I+@eE? z85`@NGmh?%Y_W1H5wWRr zR|KaZhKu-vET-@EScKf&2rIY{ti zaIak`8GriQ=RXbbhb{btuosC7F&>R`nCIT6BfbQ>F~uik6=WpA6%${>nQ0>Q^pJ&e zsWe$yn3~Bdta_d#jPS``Gn~=9c6V^ogp^)*w;d@hy;z*z^aH^KP$Y5L0k#mlan)ju zyj({wrcd`3OZEFke8H;Yfo!^oN8Bpd_rt6|SOJ$!2LO%p;e5WSLc zh?!2;WTHX$ftC!k$>_*KHcup*pj7~qAvsU=2}&MT5DlWYZ;TEV31;(~sgUto1u-#e zUTETaQkB+|SUZ?0ER!Iu`Obbg713yYaD?K6=%*$9$qVyG*u3m&Wy|t$-6)EO;ra0; zGEhWJc%P3GU>&2h3s)-x;Kho5PwzC!c|s-*TNd^X`FXBPkdq8*05`vgr8yu0Db4YX za!*%xOX3U@Bd$PJwp-c}O7>c&WU8&WIYXOCk~YBwq6<%x+bUD!!BwwYG)>4#jD9S3 zbFuLuH5$DW{7{uu&`?m%z;mmoGM6+d;ECbk^$W{UTX44!>4?iUSg7#6l%B^&izOT- ziT}Y_LxVXOAyHfsr7l_fjyQD`JGZeqV6+I9m05@+UubaE%f340puA`M^UWiU+D(f# z5owzeNeL90=8S~xsMuYCAkFXD=xjRKZKZJG{rB6?kGFT})mq$4xf`HjqQerMtNWt2 z<0jZH^yO61)5jzriQW!pf|W}JZoNbMSFSPDIt#~ z-c?Fo;~O(PK_NUO*<3Tu4T;1;NKP08n~0N?s?ZJfi>O&J*|k?!%#A@f>-^>H^(Li* z&`49+enKJWK>TbhbF$}*M4X}cp}L&naRg5S&6?!kWnKm~dFa@PdPoC3oE65p$ zWH42$Vk5VU6D@q|9d=I36{}8?$A%Ew(tn8v#W7lgonI!rCW-J$m(!VaIkgG&Q%s`^82#m(?Fh@PcVi3I5a|AdxfJjI@9y8&e8#lceX8_}|d-UoV!ft612sophI zNZ^~H3GAS>=K7;DTx(DO#T9?yT@iqxzOY!WE|GJ`K6D^P_`V^lkcG+!G!;|%!P&T{ zWTsA$nW|+m`f%38TKIW*kx-FU>=6sn^_28Eq+x!u6h$`J^H5o3c&rbVAA#zeV#qnY-Z8o}8- zg10$nUWzMlxP?7xDi#$lW-VB04`n_2k!eTm{F=W;F{Vh3dab~i_FjNG`mUIlD(h)&x z+Dm*VJ;iBf9fjEB)e=b~AZnlmdN=4S;1CSeDkB8MzvX)eVvT7Olsfz**q1l2Q zElFz@ZJ|B|Xa*P+)m|+CtGzf3A2Hz?1VI(ffuQIZA!V^pfE6Sb$`ZP@cpj{G`tUb6 zdJ?WLZ>AekB6HwTvZgg0Zr93@$97;}@!dh#<(Dg>u9(2R)x2r)2O+Vu z(=&)4qGKkk&`+2_=wFcv+?8cIOl^vg4qw5c;Zw3K8T4MspiLju-VGyZI*BTBHIG=S z{j>p<&IpIhjzQ`)g{Vbt?LT;=sVOE$pf*eSM)~NP5QQ25w0ZsQ@zV|#@PK7Mui3Cr ziyhGB9@~|kkti-Qd}?1-J&`?=pz!j+wKMY`X^T!^4prt#~juC{_LvCqGqPUfgK6+^84bwu&{pF3gieM{< z;sWp`+bt}FXdxGy)%itrKl2&77-HXmLeUOrPk!Pc{N%*#IbQWi@}{m;MeqIC4h|BM zqc?e&p&mqxi1K>jQ!a)r&;Ti;?Ta99!rA9DQvQUI%JJ{c;X8D~0si_)h8r;EJXm07 zAR_w+N4v5rT*-~!jQ9TDpYYAm=IQ=;GG+E;R=7-I;<)-7E2z$;3jIYDjU*w_QV)-h#sFUQZ&HIsD2EPMbOs&*?xwLHJ36Mo1<3NukSXG%L969Z3^-A<>qK~QSJkbdBOeN-T+M?&fo*5AT2Y@WaO!gX_Vc|NI{R|A7C03{ROToEK;&+cwP!?XRvn8b+N9 z_05qJN;Z>dSd4Akdce<92?Ig>OKn%=tOIgaESNAosLVrahpR5)i1>lvdN1N=XO=uA zk7jJ6cNAepx&ua4tUNwGdUd)+t{YwmzG0SK?OuQUDCs?x%mdo{{^4-bIXCLYoahk zdItRHREFj5)UJ%rSsMeqwlJ(nQ7?$S};GnM5qeSg! z%T#3d{FqnX64b-6JTUfMF%=uoybT9k6cRnL`a^`ELkd!fJXX>@kS^z&KIErV9 zf=Y&v4(aj9WSd!G+c!t&1x9@`;!jukq`xU#vufQau-1^-0sMMP&$tRJW(O}DlbcwT z(CyvrBiu9}zTZFG{(7@ZUp4`U?r$D}5CAw@UO+fCoAhroOK90kW<^hpRgduQFr!Ug z0JP6A3G`d^U6DiREx**`qsddDqaWEDj|71I%9rSx*Sf-#(mcB~_vkTF_Y0z75FCw&yz!YjUSD^@W#jrTr4x_O=y)06`3pu* zYZ5f1Ti86YdG`wKK{VMpJVW9X9W4O647ya1d*VrlKaB4;0HCNTLniYM8He&pV&mG( zg~21^ffJ&Cg4B17z{!S`M<*e2zP&1wbZ_3=J<7TBwQ3Izq2Yz99IkIVq zC2;HyPZoW?+^PE-m*YHpk11u!%#%P}2IwmtE8J0;1cp)JAacf)XfS6UvLKag&$)(k z$*AETM6OK7miLO%mL&Bt=Mmjc%NYUv@>|m?9?D`Q=*S1vPu8|%2h2)u^~d$kyZ^ks z`}l(-stv)s=+JQ3(V{R)p3;OQK*6e3FbDpS^M&k(yOFDcfyNFgcwO z*@U9d8`cq`6x-$5#cO*VVhd4G2*eBlgulJtj4*ndccKnWz~{r7!(9Z=o3R~x&2m(h z6t|;qH6q^mzj(l!_Uz*1ouyI9w-LT@^*S8&m`63&KB)>4J>1W<{z!J(xlKg|YSH-z zb5alC#`lNy`fI1Oa+*A~ia7HO@gJItKi=Z2`s%|m_#{#c#-DT)U|g!lGg%S9mx@q- zxb76)`INkeEBTpMCoWfmnqOCos1Fe*0sjwneq~ISF)t3S&EmJG>i5Ld@BZ#xsOy%p z!I0NjKC`Db7S{`I*W|+pX66)_{xiJ0se~6e(`baU9KYTDjyNdtZ+0)K4x`ClA_?XdP%|*w^SsEN< zXooJckRTngQnG^8f$yo<9~5!oFqWGHc=%v#stfX+x>(5jA8!8_7#izcUY%Y-v$GmX z#16ua>@($JEEyLe(KNYM?nE4t@Q0)+Acdp|e&}USYRF@8(~!1KhMgrTBkwP8>lDaM zYCeUZF={P4O6}CKe7d>E&U0gyVz9lqg&sugq7KM|19Cv$Cx7sHNV|ZohkSz9M~4@? zN1J~DTV|JJwaa2AxRO!J6#kH5xCznJ6FH}2z%UQ~eK02)v!UxDA?!(XJv8`!s=v1& z@J%P@&rTYTp1%?1{Gl72E6eNYOU_4{PA1PiHKsiK(3tc50|8ZUa{k=6VbbPsA$TUy z+0$Ek{|74XS$KbPdq=mT@RaKpga0wuegERc;Qt!z;vfIJ{KKKE0LBbKDrJ30Zs;0E zs!qo=GdVno@4(Zcq^bRgID8OJS;iXPk zy>9gp*;V_P)#w%&BChdym^MM9u%I|KSTuNs0dI;Tbm-L3YM2lZb!cQ$0p$Daum#G{ zE96(v0*`S@w6G53K=s*CTWTr9h(j}lSc7T+stKvr;x;85hdPJdiyYf#0}|IXc4oBN z!;SEYAVL*HW(xTDxjkBtgmws!4aKqM1}CsbZ2m zdE9YS1SI6rH%0fqIG5;JRqMK^J`WkJJYDS`a(7q@hX;@wusJD}zkWhHkdN(`>jyY- zAQDus;`4PYM&L-TgbF4M6^KsD+9jk!=&!7(C?CC7pfDZamnrhJ(=B*#XTcnQONlgmF5x> zW6(Ekd$}CwhKPGJ1@;fd%Shc62}P-4a+t)C_4`$7)L}uv|ufCp;4~r zA_XYRg){qC6iLr9s;ilD7<~n`I}h?8fUY8srX7b0Bl6T59Ye-Q>$6~8a=@hs&vr2^ zLIg=S$N@9FD7X^LVLT1_yu-odc;`I8^8vG+aOwY!AF(13x26vVz&TvMNtUy=5Da`? z2UbEnChGH{RtVxk8)8lf2zbhWvV2SkVU4#V@~;Wux!J?_OK0%qqB#}g>_b@)EhB0H z`Hk%yk6=FhDHB#f4V9;|HQ5iYo@O=x61dhAdnhGI(SkgeHX2#y!0tF7QJ3oN25m=> zd>O2eu|gRpG@9V236I3eK?ALDm-#-p3*87tbLvDu_i-AUJVMnmuD@<5N^Fo15S|2L zLB)+M0)DJp6m54dIhmCMa@$CI8@Q$60>Do^P4%+hs2mJ8vQ1`^qdO5K8%?EjLIyHJ zK2}Cw#%0owf0>*RNmkoloFRBnzg|9K_>_KGOmQG}vxA~9e0M~bJ+d1EtdtKP9^w~_ z59Dfkqx8jdHpjDy`FQl~ls{s)0Ikh2c0D^A`=N>g8c?M#q%J%G^oR5@{)qs+>Ng^g zs#AQGdnU?Si<44rghnQ#)mHs>ekzpXBO9nuCnYVJftfF0D*`R?`_1*e zI0_C%4IBksrGSD=PEp)UYTejo6mIhj+4E*j!UK44F&J>o(^_IzY!ioDtA01(romW8 zjDWejbcQ$ zQMfpY9o`;8P{{@le=E>Gq!79w7I8dbDOAxTxw-Rz)Ezc zuj;_&Epo>$;SY|bZECZids)^fDmWq};(O7eHjNMP9l%I+Xz{4e14 zSd9X&w@~xk8#C<~it0x4Oz(^`@p}HY?yAgSQsiN5(dqI@&XYWBYPo5r5}f37=tuC8 z4R&8j9~b#2jM{eaa)9TwTcjy8!jE`HQ&MDf-}YEcR%&QGwonK={%Jvni+OZ?6Dp+H zJ5}k@sgOuiRNxU}83MFJstuJ#XjB^52NGuiUFG%g$$*nX<#R#~>7%GZM*hq$QbU@= z;FiS80anfiLUHwzc4FI=U+AfK^W_HN>$?xXYc8FuC5*M@)!}5k#i;U1KP{mNupSGi zt9_@doPhTCoq(bEG8`tq-~+|sTfQP=Y%!V2ksORrMvyzQA?}jL$WC8aDV2z-saj5h{)#zl z6guUQKD9tou_?#N0`z%+kXSnyt24&Ao+OL)2I5iK0VXbIAf|?g2q3v|o(rq|8$^g)|kNwbP`O!qz^UVkbm@HjwSfBcMyBr=!NB99kBs2Xp zB@H{yES2Y7a(!#(lIWm zPsO(LFXVuaW)oIYAU8(PFB3xscPK%?4whQeLJ+Xka3u{+5N1?|We z!qY%QP=$e*3Z>yOtr;FEV?hWuYt1B(&XS~@fSZGToWC580QUSwasmhmgJ$=eHvFON zNuGV#pr;}%h|OAL^3X#&Ij0@g11G}}IB`yko(-vg6u1rsMO6mb_*WkeT~Jj-w-2+Gop@J|nyl7UIy zrqY`NuEyM8UBThdSpsV{k-A%`P91L6{}5UY4h95Vh0TQ~LMU>?-^wLW9itwF<#0}q z)I&zX40AEz)*#`RV;c*~8t)>J(eq-E5!%k$7X7?t@!AHy(HQC4S*&2}BU z?DgRao%c+nxO$OhTQ3>=QGHJ$A(j#~DU%A>UJ+E#hrWWN>z#-CrirAK^_z?a%|w%V zEuBo-br#YE%#&wF6tUIOYPCL9feRE)ysZDyMyvTi08aTN#5``){;}n z6Sl)c)B`%9A%qllLi7zJ?jIdPc5}~i#UT-Laf*DmD|M<`EYHp^&Nrw}vyU6rQ_m#1 zfp4;R?qgKab$`OqCyre=z!vr>3##mci6_e>-8JC&2y}yl3l0Up9toGGt!cLUXa_2q>19;WOk3Oe?R>jw)kYXMmq9Mis}6%^?g<*X z1vC#1gPdmF#amp}<>A| z<^axY(mBKwsl`&X4n zX(uVf%~#{&q4a<2rzDv`kGJqWRsjtMQb7S~brGuegX9uKzce5q=m6+Xw1cU^L^}&t zia#z;O?t6HDA};mM#@?uEWbmm$&jTWK5$S985(f zpc#M+lSJCV@ZIdt&|`@Hv5dK&;&^GqtsGqY9zAs?E(C-g(}JT6M@V1tCIOY7?t{b` z?1O|IApjA&ba@JeJO_f76F_5tU#wt|Au5a(1Sb^En2eYB(X<`=)vRBR=i`F|QF3C0 zV1YlE9mEgSsT)^p8~P~87J|hRRfnW5Bpu~emD)|@+?moN)nqY@=~45&CqKIH2nA<{U@(Kb!M$hDexoYJeYU3gl;c`tGQVNV@ruZby9N9sr46IrlKyyA`!wZM1C4AiI zp1t@2;U^$E;m*y_y0$O4FYcR$eq^GGv=`0k7FSCn<8J>=5dAv%A?OOo-%Vq?p z3iwtMjf7w7EcHwoIaDU;+r#O?2LopzV`&=XK=mDVjy6mwG;^6*;-Yy$a+WDq`&?HQW}Huuo2$K?<_@_}O$3j{DpWrWi=)v{ay-RG2t+i@ zJUY<1%%f9YFG#5v&hpQ|?L-MEloK&8H8q~9zYtxr7sZI~0T(jp8g8sI@5UvT`#7-3 zY~5lNACj+ybQW1E&j~-oAAxHs&+LxJ6;9Fx^-qDHMmbcrx&E#KAQ41&|CEX#e_}G9 zCX@*!WqX=wqcAXGc_KqF<&PFBeNFa^K7tc&bx2-hmzXDAB{m^%1CBUz8&hhlo4 zo*dQo)=nl3ZCjBp<$-mlMFrqg0hKakU&+OS=F$&@@MDaCXFkmcNS@hFxa`p*I>10t zOy`1*^A=90tArXWqq&rC1Jm3IOwVd-HgH zfBW_R_VIU^4CN)pEumbz!jB~kN-p!!=__a<5K6?5neD4`A)Gx^P2pX_%UiZ%_w{|C zc{clb5~I$d|C^LOSiC+v4kfp_N1`%M2!{(Jhfq{V2$8ThA-`p$u-2*aA4+s{c~zYv zUt{^$mfPT%R3Vzd+O$;W>k9>j)A61uf12!URtp5O*?z2j3u`h-EJHX(V6eP@7Bjp9 zm6St~M)V;Z8nOpJV2B6~XhjZH61kUVsKxS$K%CIKn`yXG6eI>Z{|apVi8&R%{?u$P zx;`N`S0PZT^@OL3gg;WW5Ud~-L@s=Lc$`3K8Ph3;byp=Z9vMK8htOe*#y34NFN!0t zIah&@6`ia0u{q+C3<*gH#%Y0a#1|9S-o-n(YZhn{SIFG@9C=>1>vN}QSpNEOW#xM& z+M>fvp%9g_n35_jOCQM36?=oG^%+Wq!gRN1mm6psG_@x46Z9H1bp#Ma3+Nyevu5dt zGc3G8IZ%1_&J=y>uq&p>7P=MACqEe7ELR=`Dlf;g#EmdW#Znr;A-)Gqkst*5?g=IWL7EpBN0Qz_b`z%4G98CL71Hq;eVZ4JoPg#hBHM`+yYa^1sdd8WY(jm z6WBCvjb1O$vTMw7zZ#F1pj5k&C*&@KqL+2~?75n0P*wAQsXZ*DAD#86FOYv%@JB_GGh0 zePBJKQN(><2%!c$jX8-%tj@-(4ctCh6@&{N-8HinG?8ibdJP}vVvDRAz{V^u4>h65 zNjx>Ck-aY)9}uF$AN(2sY0!0gc6x}^69I~~=+wwuNCES@nSk?x8gMe0ts*Y8-)5f_ zX>||v_j>?3P&sI#*8%H8JcNk^N^a=e%OL113xZCsUiA=sQYJ|E$|!y_v@XLlD<$Mew?)c@X^OhE{VMk<-HsrDVP-yEqwyrK_x=pUmy&^V*zed?Cc?TmXr8&zIkCCqgV8(H$h z!4bmbnmv$&KB5FThdk3_k`zIU0jLFIpa!30=wNn9zE&k}2C2J}CQo)uC`=Hv0T}pd zykGzjzoq>mey{A`2lgBF+H}OKKDju4yZC7fs4H$vfcIdct*%}Os!Vv9&O!4w= zj7ne1;iOOt8bc-)3E+d=KM-ORea+M}txDF`OrJL^F$Z>v2L23XScn{pK5V7Bt9S%R z=FxD63fFe?8%lW=P(@V)Iu=gHDh_h5+ z;7|_6v+zn+h|{#z97b|Env9EzkDB&ySetnK(UF0RBO9ERTxQx|KBCJJxEtgw+-6)+ zg^(4FnE)3qV{S+g96Tgu9>lDWuJou*YcdyGc{CPw&}FU(<0kbj#r>F_evB0?nkPVDIV!ON ztwiYL1=tOmy(h6<2M?&>R#7U6;Ba;AxPs zKTNwIdo^>J$Hz=c(3zM;p0|;Rvc4(G)W~oWfiJ8KsJ;$4GaMnP1%2vD>I@74D7%>Z z>lf*m66@Z0us4!dfaV5$0s+1gIB89_5TBqw{pw9jXVcKo@f%?v1%r|$GoL#a911#q zVh3QQnb|aMq?#EbQsN-WuEr3h!uRogWbmlZurAAZW3k9GfPUk4mX}wVAbVb#g)`uw zT_C--e%&dkJ1RFbeXnUc*0Q8>H`7c3RI_LoUFki(A?mMoT@cPwaW7IunNOsLjwWs7 zg&doA+mv>CrYP-nV@PU}6B{MEI_T$EpEg?WEjAzDW#(yaK`D}}<6!IQbqF1`O(2JccD_6 z4u=Pns1T^7wme*@6K+oGq4=<#=`arejx7~NqP-%t3<~E5*gp~q4@(=3fMm3?y!Cmu zaro+^)11fZvqsoS%1h^@<&b z_dAsIE$k^&wC-%}#FgJcF+Mm~kC!Z9vKuho5%eaUN4k&E-h_0%I8PSyiCMa?v=&Ba z^*KWSpa5k>njn&uvlct*+VU!gt_c1S8`QgcB92l7(TH&iO~r{Op*6v!86Hkgb+mGN z>htvUP;~;Q5xo$<#TVah@LP4R>X4tE>SuXdbsqq>j9m$!qb^wnU%GZ?!v*sZgbQ~1 zi97CiGg(O7+n=FBOY8zBpZi8<<{Uj%5sYVp;!Isz@^B-}1Tu&g z2SBJopfDj!qpnz%3JvGUVc)E$CdvDO$%vNAh=$pB!o$bn;FnXnKn2sez$rr=lJeK! zVJV0FYbz7v+fspvucd!|eFi6Y{kq?+GAehIov=tO+7cRb6;M7@Ha#`!cP{71Z6AJr zc)a=2e!IOB+y}IXeE`}#O5U)uO1Ks~5br8_47R6d_?d?f@-hEDA^Y;v@}=Y_1Z7o{ zVV~ywO54T+R)nYgWm$U%a&dLHGf*)4a$J0@G#W+@I+2+Y2snD!C^IESGdGsF(Sd$C z7A6%5s*oCTorR$9jItrhO1S}DfsmG(0h9XJ5cTmeM^K8IRYh1J`?+5+5A3slN`;3$ zF`2t&Y4nRm5!+}f?*Xq%&bUb@><=oqujEW2Lm9EPLn>wnu$>9$J#GWdozlz|DY(|q zY?6NBkoQdQzbhtIU2dIq#Dxmg(%7uIXhk7ei2phi6`*#^m$8f%rQ9>0q@v2k)yNGK zC_J>3$Gb&?-S2L1?mv9}5_OrmLU3p#0XI5=0f%>6B70CnhQyjaLab!bGDTR3H^w_!l{RicC-5V74u))7irPNkP;yGoQE>QE3vpc|)|@2A zGM^~~1gunG^1#!Uh;KJQgijLtuTO>5wG7jAovE}rr3*Yha+|R*y~uXLhJzb81Be~5 zi-zw6#;AZ?UIWx*I*L_D92{*Wt5=T{Pn0Yc4znFS@liC|h70+; zd=QVthwly<&or^{)%MY|H_?;8iqq3&gdYno1{a+xzpu{|bjjhg~Ixpp(6(hQ346!!D0|W;83%Z5}&1j)fyuSDoE#e4_LALz5VdZ^?l$o zrd^~tv`lY=GYCFLB&HUgpVe!^Px4zL&SmiY*r1XEzV!gyKC4 zBqt1o^ovuZ(578|eS*ka82N{U3xE(Pqkrhg8T?nNe_XG-iqQR(QVhK?i)KG3m}Z|b zZ{)oqwiWxO(r1ZIJox3!&lo1*@~JlgvjbbPwIeHCNv1DKcDGux8)~XAU%wGscmYB@ zv7U|8FLSSFXjcVRh}>BC3+q)0u*@BaYj{W`E@~VAfB3`DUH-z79wbpYu9;JX=TkVp ztBx7Ri{VQI^CS(R_402jAQVJ}e@S?C>=iR7STX*`%az>WAYsZ)9ap4FcMdmR#ku7a zRpHb`@^sr9Kn3RWql^}zf-Xjtz!~^T26^ywVu7lQK1bJ(-w_+t9&f`dmjjhI?o81a z=0X(kfYPo(xK?dUpTxa2KxS~%y~JDNeiDqSjak$^SI70n9pel zqh*Y>4<8DZml}tF<{Bh0J(~R@Lq90vToW`PQWgQzM%M4 z!e%yT*qa(PrWiBTlkb8E-uL(4KV>=yk#u||OLn2QG?ja5I0`%gkI?I*SFfdpiGKu2 z=$}%di6mWr>Wie3zPF0m*%a8^$469KYt1LrpmcGBAS*Cdk-^Yrrz?QjUXC!YO>8!G6lpoFveZ4PmV;1ajfjfu(qq-|Rz?K4ym{ii=7sRQj zPcka~qunE|2TW_7CHqy7<(FX%g>gtr1jU`NXILhmlvjwN9FpTz>jk2Tm%`UJ8;`G_ z*UmBfJ^5@PTt71{C+LTLVe`ggX3A#gQkNFS0iE`;Y2JK&F{;#v04b%TW{lybhyKw? zP15UqYKCy9GI0w0Q!%gt^1H)pS=$=^Q-GESj{Dv>!N1p-P%%v?jGMBJ!MVj&3jqGL zx%oNR^|PT@GMgH=-&Y>C|9o}WK8nLkmG9(@Fys(Rma^DF$ios|i(>bT{f`F|3oFL} zzx;)q`LY)?m8jY*Sq_!!%(UY)K!HNCz@#LS6G*pvlsmBvXfW;K^kDFVzvL*Ofd@y<^?NmI5B8KnETwU%7gRVV3oH4 z;|e_OrU2m`6_hO0G<65{_K`)94Im+B*@mtufCa>D#ZKay4pC!IK@J@%_ZTh_oe&8^{AB0~BGnR{jy7FTsK18*~soeVq=>9D{% zLrxvm5V^-Mhd=IZmzk5 z&n0|_Vn&3JK`P8eG~Gzmrd6ZAGw1JR|z7X#~`?TE);Y<`j}<)$-ZATW->z#uKw_nZUTU_l6jk(trm> zGc09366lmIJL9kRA#UDl_3@A1Ejdm5cto-62^5vW&!1jt%m{I%;lt?>xB+LUl+RJ=+|C z&T$r;ZRL#zg9X8gFAcHmCF^iPOemtzK1J}3xCS%L=#3>8I5$=4d$a= zmTY)!#Me($kt=N&00YX>0OajWlL9bot({F1QgbsU1D9RNsFTvssXbNHlOly`7BI-W z{#lYCcTA-mc<0$MA{fGah}o@?Ca=v+DKn;2n!y5frjoxfWWPTeJ3-}FtH+g}+K_HQ zR2oJT&-M>GnTf^`T;iVF(kC1-C+h5G(`%ee8Lwe_<|G80X|aND8M}s*M%NP3?t^aR z(%D^KT4E_D=-q+n2plR{=hSODK~7W114sh!9lqf&Ga6e- zvT3U1lM;VnLT;+3CIe3P)nTD}OHb#qRPQQRHAzjw`CTB1yc^wwdls>(w;Oa03Gpi= z#Y+ynb18K>t7FnQk%bj%GxQV!UztG&bQ5aZ&tE@Wf4*tIyl+2zzei`w-*$CBd2&Tt zFZ2m;lP(?edOyYgv{=gZJnQ!r5%z8_y(r9n=?epFr-40kadut7lX{ny{+C zd5+IdPJesc9R*hj!jp-5f36u+VC1e0cBnVVK>&Z?-z-jFO%y^0X$A19kmH~}a z8i}Zqwm6BvsZY>{7GZ?USe`DN^7t2@*t1NB(*ZgpBAfB%BRZNOcb-PGs)%3jes1q? zKHc1-G11NL!|z{yxc+>5{eVAS3~qiyqQo5t{t>O^*~e9``Z%s9)soPq@Na&U*2`xAsv=aT6e^m! zVfEma6ql@XZCF=X*^xqTK}qL>!hR544Ebx!LSkciZT+ddwu$})pt{4sfWGvD)&Blq z`s4UVXkEi*Hk^(i((Z4V>2UL6@SlhR{q^R{`G$H?>?}_*~^C;`HjzhqTEdW{qh^E zhA$ADzrEBxHTd;#_we!ocldnsH-I0xYFZ)-BQZ-=&tcZ{6$e2xwp3elNFu}kQxE>3 z!Y>Q{MLrie8Jv#7m?LgY&m{TQ)DkttP%2r0ha#EtI~K`iAYCBlv)+%4z~HQF9uAZx zkCW3+ECQ|EDY+)lI} z(*zBQL0_D6Mc_luEOB9iKP(^6TSR&T;wL<|WWj;hVy47OAQNx{qeu9KkT;UwI~%eG zR5#O@9wfDrSb?yQG<2pZiYlD zBAx)v%PIwo4EbTJeO73{ZM6~2*H60?d~llFeE#@ikY9u|gje+mcW&;TUUa-n{;gK!jBH`Luzr2x1}fslrjIj4DO@X%we|wJ*afLIWb- z3pcDN&mIvJ?Fg-7c|RyOJb?1N+~<Iq()_9EbO5qxvs zb|a>DhscxD6Uh_=Tebl+G3#BC>(rY^dLGuN4ZebRHr>7x# z6U>uHjS{Pu#Y#f;Z435!aD;woWoeT7JH)`X=r|)($(E{;nY7*W2v+d52xyEymw=zk zt9O#6v=YwKP4~;Xjk7a0b_8MqiUqjGs&a=uZ3SsazA#t3NO1Nzr#v8D=kAH%)Zi?F?wuFG(UH~`}ikmD4v>BGHvCQ0?pq!mZ z8yOlNN5-1jg;F1g)p>kg-#j)79a1QCb86*s%D3~+$g6WzQ3`w{yDdfzN}Lhgd-7@E zqIl|I^-*z9dnY~h)mrgdd8V`dVv1V%(fOhgI2 zx)<+IVi*LIxrNIax(349(Wr8?vjXa!Mjy~W>8wA|dItTPiCLyXdD&l64uDn>gdF@o zgZc-}e%kRYmmJ2!WU{b1qIiTbe(0GV~7F?Rp1tdtC7lcXAVrpVpNy%=ah zo7OAVCsCwl*X(%ZEzH8Mj>cZT1hO&MlJr`!m&uTYffl2zK4;GQ9Jt9Qt8?hh+K2oc zrG)S{I|J~=7jwlL6bIRa2C=9qv7(aD0osvZ@WfJZG?orB(DtM{MfN1g9y2R6gbiGa45@>n4!qd;00+!HDuUYY zckt}~^XB8OcGmLi_~#B?ErY)(zJ;BB*LDn8Fkv*Ly80v~2*9;K5xhL7?z(N?E;gr% zx1W5D^om9v=X3=gA})7vVp0k1w~VEdWbFHR>ufaT3+lf;^jdr$`dxE)BG!l7BOH z>1wS-uWI6F5Z7JlgMkyBK;&8iSon>b{mkdonn#$A} zdc0z4B5kG6(ROBtR=)f{J>_)4ESn^cW`cBD#-epMaEMkbW_!wRP(U|(GgMhC$TmwB zIKpGWsS$Mbf>#Y<*g1iiR(~Ah7xqU~d4jBaOBp&=!qhI5x1AgV<;wUsQ zHO`c5eRrxHj<~5tbmz=Hvx23GL_NS3onFzI3u<;xa}~xJJ7CyBm+B5xw5iG@KBd~a z&3uX!=RNn$_=~5mJ(n!5QADo}61k)0J%WXuV)UjX&u0{(VMj>iu~b)h0UTHeT{O_iX86hU?5b?xaLoOqF+KI737% zVG~y^@nhlQmJ>KaGy}klMm9Xu(Crf0mk+4COE$ZU&F5ixXxY#C6-Ugk%Xa7J;2k$s zSU}G}byw|k^0NA(c|EKb&{xOwrTBG0I;;q<9Q42RvQ7qG=<$$Df43hpR3>OwH(D@4 z%nq@b1PR@xAgy3?Z)4;vRumcMR8Ia=v$-&S;^0Woh?)9sX2L5y!C^6KLZt-Hqq-}9mNEB*vhPA**a=@I-^&x6Abi={; z4_HvPI5|50DX>e5ig>xQV8sMoBiDe;&B~L2J|N;>WrZxML{*0sVw4-^%i?q3+odFh z{ZN7x%s?;@VV@9sHI3B%)H8rykGY>nZymd$XO(r1?5EkRx-;a9vHPY8F!*j{+iXx) zj1L>hMS`tL6PP3#K*t3=65%U~yop$~@e)pyT)H7tZppK1KJu@CP^g708(U!kR@zTpzNxM_XN+rT#NS{-ydnq)tcFu zDvc>UP${i~pI!)X&yEwKoS|=?CpCCCp#NBJ&hk{6*eQr(&f)5xmJOtXy=bl(=)N*m zA^zGdJpua8#4!Uk;a#Vm7vIb~>B%B0=N@j&yhG46+&iQ>z|<(vD~K*jsPBsiEl?k> zJaf-Pee6Uip-D!MZZe)fFOBKw%pq%p)WjR+1y z5t>jv*{U);Jcs)hmcwbxKY+bp&@%be+%V5S0{vZ2phzGn>hvcehZ(6q+V&So`-|Mw zsx4-_*A?WbdtKe5-RtTd?OsV&l&Am=rA6;Z;SH&WSMxE-* zMq0|a2c{C;xJkXu+%lbkUx1QB!WgVxd-)vDpaE};iUI&7Y_&t13sWc!md0gmG=X3u z>E`;2w}uu%M*LV2EbQ@cl|N@teCee^OPGJigT!z_ z_7L64LQg%4iL7Aox1L>`PX>P(K&q#T9Xo&kaT(cDuUX-p71KS{G)TK7d`vWA}Ag9uj2)&3VESIBnDHM0Fqh4sft) zESpvp$P@0&1W|c7*qflR6!}bBv^6*wk6S_9H!IbYw$89D54nne9WBcsk4-&catmxs zaFmZ$6I8j z?m*2wI)ANNmVSs2%KM`Ak6qxRs?k!gz;0rNE$mLALSx z@3)^HZ|@kQh0sCv9tgj3D=q`N6u?`6q@1%Yz$A7azJ9;|aMNCY{CI!!@USBpBJy-F zHjbB!14tPnz6%?@IrFAhz^qr*STItw*AWt}rM)~_eaUYCzRB;Y{f4_l{td^O{FaW& z5E!=3t+wm38a}qbkbQml{_qVT!|nh5^?i36?S;(bL?B2u`z==%U>Lf2yp#}!W%urI z4!%p?RjUUzUt+s`b9AmBwS{cu9Uank$25eY|6$)_nL`qBC!H9M?)5JykPt~m7~L<| z5C81G1+tYc;h;2H2b-}MS@5fN;F+g^n{Udg{4-lI@9o9I@Lpx7;vIh7wfp;U>($eUrySFhh7yGYMtqrXP_YutHy0bt%~x$yuA z{D>bYaBV-`-+&J(cY+%A5t=5b0;-f9KV$`w3uQEf73y6C2;d#R#YeyK1IZKTWXA#U zWt0tdelL>zggE;~FxiI^_> zHO?7$es~@}T*7fa+~083x5}2xr>6gkTh7k}B7PJ>Q_3tm2~?kZ*A9ctQ-hLNkng!t z4_Am%1KF_@gPZjU3#z%1x@N-Vo}8h#e(D~gUOssbvBgTkC;~{uLhq}ItnZFe(2zxJ zuhbQ9q6Vpl5MOxPKph}3_wdUJ)J(~pZ}+!fK?lF{|6?wv2F90go9L zvR%fBGJBRwK(O<|}Xy7Xpm3iAgy2TNI^w~)LH zk2jco!WMczjOF8kON(6jG8$9zhb|yoRDq1GJX_aK_zPHr@)`S$GIselawO$9@Q39$ zoEFfkwpV&HKAs5j2Uniy>Xz@}&;vRHKEm!cXlt{oN?N>)-ASj@8wUb1L&)5G5Q{Dce&cb5l!ikYAZ0VR+wvnV8A zzJC1v*@d6bb?-u)pt%x7z2=z)wGDqOXzaQ4jirfhPY5!|RvFy1mlsuDb5F{k@cE{6Kak z=qFByPD8>K8I9RNTaRyno8RC3yhBJDvtoiZWB(r6@3H-!*zZ02J+`=1fB*cbtM{`IU;;P(>GSo^71I>u!t2c`QbMlQtBW(l3k8d57&T60F^S0DVd2K# z&wxEA`=pjNfR^xIS32j$IHaapL=`EHBHv)Nhk21SjGZtDy17~(>^#CL`B~Y;N9fue zZUUzb=QTo}@1g(cTs^8vL@wx_rXh$NQ&sI$$$m-*gDWBu(_*yDOk%(~oE+L{s=d)+ z)+M7uj9=oFGS7!eDjcbY{&psspQm|mv?IsnX?Et#?pX8Zd2d#` zwoxqbkIOkE#O~K0+l)w-;w&MusUdKI?`6 zWe8O&4q*p4q!0rTw=${z0)ekXIRuCd=|lDl+6WBaPN2E1SBBQW;{67EaV}B8UBI~z zeZi?IeZjdEeHj$a4f8!i(6kvvk&F^m0-UD4d>LJc3hb_#&7$Oq9O-LoDsRe*a+Wol z%ocWc=3yw|g$1)1po6-TAK7KzS|Ui4eHwi`tJ^T>+ESfBINJwm;JQyRa2ZGAe`XPk#}=vvnx$b>kZSY9MR{KAzg^TVO@u4J4hj4V$^*S$83pjX=akWSVnB{Z7lX8fEv zad1N`ujRxB%MFak9|{+FDhZYul`OP+V9zbQmnWk3?VQ%Z|5W9$zKRynD0tby1r!co zTd`%No|j=dQ#A?-^acl)4)lx><&47&Gab2$=dAv~JfowfuJ1zWce2t#mK(Uf0q!W& z1CdBkO^orN+q%5A^=RvIdk3VYxDbC`S$%G?HaWGBp)XN8n_R3fW|qbjpGlFtX@5?Y zXT@vq(_ew9+|H*AhWo5!F9Wx^0g>stVT@HqZ0jjWb}l5-7_Nf2G|E|LwR%|X#9djv z*%U9u%4L(MliD$5sRRac9IN!?BW?#W@U5Y4HZd%Kj0b zL{4kqaJlkkjdvU@WD^bx`a3JFU^UQ5V_>0ta*52J!P8f5ITozVFEx%vT(mqdbV9q! zfooA~_Ey&euCiAkU%}b&#f1=DV-RmTgexlwofbwktz=IK{L#XduBd1b>ps#VRuHhs zgyJ6*SAU3rYM7vCw1{^i8QBp=hgoo=MiR!t8O0vfD|kc7;E<;YT?eT(pt^_{iWrPYJ=78oq!3x97$3T*6c&dg6?MI%I$ zwP(&2l}T{s(g(>_%tWMylx<0+ca$LBKN1kv80hyr9AT-X?)|-F9T*6yG)5q64o`~f zz|D@0rPGivwGKHFDYYp6F$S!+uZ8^BH(YjFv)O^Q?GQnEWN8I1cco9EfpPLBwldxF zL-gf2*+?%u&Mu=V^{cdV30tI_95qH3QR(DS@z^RG+mdue3+T5iTtP>$WJoKxxTMpI zOY<%a_l0`l-CP0xPvT&B2G74Dt%QEd9T+n#l{9KeJ^DvO18R)OsbMlNI7{P}h}3~7 z&^1O5#niM7m{=`H4jY%SA1*wx&7B$}+t}r59d*ddZSW#H_%!4&yskATX0pc2>~yn& zNw^YG#)T60tVX8R7@@ZvV4kjxL54a+p67=Ii;uAkQX@Bya5W;S~r=Y}y}IDLSs~@9yj#bXr)O*@IiMor7)8NLdGrQjowk`M+cp zly)hh_dt|~8UqGAbi`>mje*ZIe|49;mQgwsPsp^^H`Pl~prVMQ{8-kAgQk$agn6ow z^)yB?Gu^!=g~BXMrAsL-3{t|%*e>o_2ori%30P^Ju(>XCI-?BnKF6|VihD2hj64>i zWgcn8Br(#Mn87jvX7o!9j$Z?nj6RFN^g8h7J2rhD1R)cI9(x*Rjtmq5<0_A2 z#DE^WcCdv-7L3fo6OFx17;qeSt8-;@BXoL`c4?fDJT~^S2Zz51gcYsq!6qdkS(bz&Np!Hu+>V2=d!ko zqpZe2kB@0uJvsy~lh2i2+EW!VM?mQ2=vtOA3Rt6V1KZx_u|op3Sq>f+O`5%gb^D`6 zsxh+0WKC4N4jPEEWu@6%j1>^}F6o2S$X*&F;1&isLk&n#^M-Z=TBe*?1lnBdBUCHl z;A$M7HHK>3S=8=ZwyLMa3G1$pegHdUV%>5?OZ~rW9NC3X)J1Dd0vvQVw>oFxVQzExEDBx6Y*PS;g-$yoSs^KIno8`_NXED@6J#s%*jnBiueQ+Z zu#*>ClCaHk3Ik#5LVb1-95K7V2_%S)1GGyY8tLzcTavMnrRjkxE@a`&b+{yn5o9E9 zVCQN4QpH|ziHg1K*tmyCn1(V~42%X_1{bk?m4t23Ypnv>)j_S^IILXq5quswxg@Jv%F1Mq5KC&W2*7RugFeo& ztQg-8$J2dFE2&_nn1=_INKBsBVOqbRbp{I}(EyWQv_Mic1wxj7gO&zB4l<|k zzHERpv6333c;R)bj^yj))v(I9%AhM7LXJh&(d~8Ymi1%VuDB4ncL}Cm#3F{F!^Kyr zYD3XC_LJ!bdttDWm=rCSVyXK;AO^u;+C@4Vs;Btw#l`A*^0W}-derh>h#GkZv7Q-?K<3f zbXwb;KCYKl-m9N+%t^AJdZ020w+U)Qv;O`ohwQYz6Q)6hZTvx4{eq&^j|m#jTg*3vRb>{83)jmS-nZUJXg!e(isORdh=r6OkU+1M*4)J8N@YMK zZdKX~t~Nq@jrS*Kx4hA4p|QB+S`0(MQj@p8*Qz)#6>)b$&?;jhFVfDlL{+Z(=6$4# zZiE-n3l`Ic6M|SH;(aJInRT9WW{yL05b1#j;JnOu;1+{|^jBrZpl`-e+Juj^)u`fw zBP@xwaxNSvCiC595z|Jqox-hZY;0*cReQ)kGDDO>u4Dv?vOJAI7@m=r_IPMNB$@(Y z9OV%0T2)Ct6ey0k5`jD_Ooziybp0bY;26~d*L&uu4o016)Ov?ZPvMlWg3f|nh@7kf zV#jLX8sWh0)E~&yQ)I~Dl15B}ly!f&%yZ+GsUi{ITD5Rzc~Ac(N23czZW4~cSROxA zMerEAju;u>G7M-Au1LQT9@ZX`7>GLrWJHwX`j9CpLu=D<)YWT5Kw3p%QaPaR7Ow7K zHYUK0w{Vw_ka%&YZwuShF7Ivbo~rC|`}5xB4kun=v$Cw@#W<%oR=41RTJ!VWggD$> zF;it-bno%jhh{Ipv|1UqUVh7*2C3pfykV$z61}Gr#V(4GAzB3>W3l7`X@dh#JRy*{ z27CC(R?1WrshXoyP_8k0hRXw*&^FN_a6vq_C}S?D+cwrWJ6wg0J5&3DX2_WXMZ@U| z=ucx(+<(%`dYi}b z5@=ABb8yTDr3~8|hn!Y6K7oUPsZ<#>XB&&e-te&YlJPmOJFE`a7w?6; zpTk6LkUh~x(;m$^F?<@IYU!l2Olc-ioBZuz?@_F_G_v^UNttq8&i71XVOrkiAhFDi%z~#`&gfTp@v}JKi8IYUiNi~6n3^i4@l*+d; zr`H{nD|YcShmmpZ5ZEBK&|HEA58g|0-bY%MDz@ONh{Asf8*bo`3482(Iqd)lO3SG( ztHuV^Wy2te#!+21H%Nj%CkN61Cjw#EMqkGYj;$((a+_+kvDP@pXX@_vR(Kq%82tYH z*D4M}7Bp3DeNsg@YkW}d#l&${)3`vi)Z-D$=vNgdhN7538V8+`dd(2;CQIMgLc z$a6s&lT)H-95a5V1PvxAY4E^4#^=ny6aunTfwqYqid0qpmX4-+tPSWwIekx)LWOa* zOf+!pnX!wPDimx9Y*hy^3`fcZGgeS%g{C=aa`Vm|=PD#3&;4+hQxxh!dlShX0K>4? z_kv(r_aW_skiwdd5kqud{H5 zRO9_a-hh<1I*r43GxoTO6=fcx4g1mRYLuZvT&x17MyFjz^OR;!U{-^3_Qve|@*F%x zFSQ^BD(7U9f0#wINN(*o3%u*+omAs0eb?JKyY<+qcWVDDwdWNxk?zYzFsXo7>ka6o zLd=P|3L=^0HX%cLrHlUvX+nW`eg{y$9>4pcvcOGGfs z9uqT?n5hOTgT2Q8)S7YdHpM7V)PxRumyT9uGD1o-*XjC#2Er5~ZToFhk|T48WGlKt z#@Wl95wKJ}ikf7JcBEsh%`mvv0DiTJY)%b|;-Z#QgD@AvZ8eLn$>pimN@WOJ-)KW0 zD$E4ULR{KeB6;hz>R2$+NoR4RcTSAh_nf9=4Jg#bOqa z`6`USVu;~4jQW*((_oxb!T!Mk(7*-(wwxOAG4{Z=BV0G6cAkYo=B7NdizHJDN`zMd zZ`t(HQfgH;H)B~ez7AA|Zf2oGuq{8<2FI5pJ{2r93ZIgTrOT4PRRHmHh+FMoS0)u~ zNMtfyTeQZ)cN=2iolj4CEZ4CNMz^*YeP!g&&)+LUVwW8foAZd+mr!z&+Ci-w%qExT z=N~px0F1W1N)<0+XyDWXZ2!G;+|;+z3>>z~zy@X^)_NBVf!DltN)HB|82P zNPfU=Y0=Q4Gz+BeC#h9h$b3ClcT$@X;YHY68Drz5bsB9fxCCp8#R?>@Ra*}>vE)`6 z5`z(q_Q)-c)_IF8w}IfgDlBTj9TIn>id|OGlJ13hal{kCJ%~dx-NvC%*#B;Ww zoo9Eyt|LjV+qX+IDuwW7ATY47M!N#My6n*6Fh>QGK#VB z{{A>@N{`Li2YQB|oW>L9e*HrjWZ^DFTOly2@xQ3Ko;s=oc~go~%Lypg!zyJ9xv>z9F)77Y885szyEtK?C&1zeSiN)G%Hulo>og{sIVg7hu>6k z9D}UC7G}@b4yK}9jG-5BYEVRD?{y(E=j;89<3?@^z~RN>L@R83w9&#T4tgHUw4eBY zYN!=HWw$dH#cMY4@C5d)i=vFe{g!=UK$$A&yo~}q@CZLs99N`?<5*`y3RX9wk$MO{ zmg<^uaoAje_T~s3jp}e26gupPoIb%(mm)UcrJ$^uEcE&~#6)ogu{B;96M>;zXnn=W zS>3mhpY^8paSWOESBlvNhrM`NfzBX3&B({ZyRZ~o+#NHhbSv)$%GYt+6KPGk6%os= z5GG*+jo%h8O`suxx89j}(DhTOYksM9M3U$tzG^MpRuZ~z8eEi+q8^soRpJz}*!7Cm zStsd8i=6azVW!+-E!%8UkKcj3OA7TG%)jr8@F=AM-(9b~E_WA*VwLfsA$ z3?62rkpb2g{D1_Ao2=S57gdyV<2h+p6=|nKdo`GQcs)Z<&d>!(M~%Vl5{3D3j)B}P z8NFtrxR5YrBZyS7ctrccbe&Fn3hBP?`Gvr#Or*(5yIh8TFzMp6jm1wBEqdDE&nK@u~ zo9O2S#mN$~qTLA}%$S^mDaw zTQXp*d&`unNpNtFPqlwQh=y&8C{#zbT7R$wH)rRk(9}55%L_f&;lr+!FdaH4w*Q<* z4UJY>ajyXuHy_i|6Y?R(4~@DdMx16`s#V5>JgBma#FQ$O*4X6fEMwZ?WRsh1PqS@q zCRaQ!mA7|X{+H1@M5DyqJ;dcke(EAdlOD3;yg!K zY^;QREIPX!7zu1llYs1twRPvi7G|aU9M=6=M{Oe+R&2MfwHh`SiJc=TF_j^l)Gt-C z9l!VNfNkK?`bA1W?%DEcoGSPaElo|^f)f_sd8h@`faXK=%q4P?{XC~r*nSMph1wI# zIXyimmrtE$OYAzdQN z$aq+ZpxD1=YKT5k%gd>Ay5q)mHyeVaTj%iV86na>TZ|BBI^8d#EC@sX1qea-wziG7 zLteF_gghrDXw!$iZm0tC!95vyBuA533$rL(l{F5dfk|zrekta9SNiGR5D*whMn~ticuJ7<9v63M9+~eVFiriAdUGT`!Ggg4y_m^ z%;J{o*!_ckxeG1??6^ZXM%U4m2cBiZQc4daQHY1_Pcm$jnHKXR0UaEBm~mH_TrZY0 zRJwXZ#jbR7_JE9{ZYy4`np6KEnQ@_QZbs}kE~#szXin=9&o|$#(YLb6sAK?VYp?zp6;JcPEbL_jtMTojAkmmb(cGIdYoxdk`uFP*kjP2 z8&=5lWL6Nm!0|$L9V*;D>7o_VFSbQSdgHd<#aYS>>K|k*5(>IkPN@#d4Pj^$0X1w! z%F=moz$EGtiddLk7HlqlX)y2<$gVt<=&!Zb5zL{Q_6NA-vU9N_i7fUFt6laj=y;-T z=VV`QMas{7v6UvW%Rth6BI(0kQtUsM$$DDe@pE@-#lZ=i3U4(Nno2Hexz{~-A`s3T zu#8q6#`Sz0Ffja%`{8%UdavBXFb(!w)Sm0$R&~W9agQ|epnD0;*32(#{IJTZ1A2bz zK~FEtP1A%a$w!eyJ$!^HCfp*SY++D_h@w#|sUW?wo-j+Yi0e_h!{J1&uJE0dleU`^RvySZtyG~ z1IuZsASiUGJVD2-lgH_h8(0em`Y1%mKVhdnrl8W=r48#uf0~ISJ$EGw8!@MU+FX~6&C7ALT8ZFTVJbY*~ z(+@m8S3HU~$4gT%cgE>r9J(s>e>hA5@5aLfk?_^HPAgpGhGEZr#lbj?B~=l))JNTt zyI|;3=a0~7(Zb`woayHJP*2#6RH$IogvMPH<=59A^Om^rz{EKPksm%4 zBX5t=!b(Mf-;ok&6(x|8&J-;XcB-`jqg&7Sy((RIqQfm8$)t-jzg!Q>4IJz0UgJU6?m;KxW$&%s{q^>K2KUpe z*zO6xK?uHdu)%vOw%R+FyH~O;9#q@nJuArXSA-x^!NjaSkC8BVrsA6#p}lz@Gt z@g25>_NjLa?J=Js}beG?vSLdm<>)V_f|#w}d8wYh<-Ys8PINe9??F%yBkfO`O2 zquf}ZuWmTMrWCpISk|Sl@a@emy<=J(4!BuYBXn@(85~p+Wt_*uakIr$o3e>!!sNuOZP}DN-YZl^X~&PtdVMP>&2wQ@SRO^pOLDaFXEo zOR1_xqJ#rnJSze*sgO3GwA<5AfmR+B(xnU+%TWDOSlg-tF7cM6U+58~_1G$$q8`X` z-H47HdkQUWso}m9oBuZIv{S;ZS0GfB#?)hBEcoi{`gpr8yeHxEO4?0edkH zB~}Mp)u9$BZ&2Wy*plFDTy>6aCe7;pkW#oQngmc(Ljf3eSH~9O3 zi!!iz*4|m$U1y(%Be!jMg${iqxB0Ktg=@1eL_!ho&@^?n#ltQ51cuAix>knclOj)I z6%B?O$I>lMPKJ)C!MDMc-SMn=>>j=*W)3vP)noG5X21SIp#Y!?lGwXDB_js$K zMNU#$IahHku)}a-2NDO4d%-{A16zIjz>H2-l^y$5in9Ld`bQ5sUEVEVl%UX8nO_v_ zp+V{14#i=&USO&4VIXr-GTYOFq|98C(t*8r56#p`>)!5`kRRxQ?dcfp02GFWyuDA! zt8U)XxI_7w#-k)xZ9L+wMVc?1G=I!$Io7!%H2EarC>y zt)_5y%A3dQv+(dLzVE_45XOJF2xN8=8-Z~p3~q4%%QqLs=inb1m#M74 z85|soay;JK?F3ig;@KBXyd$ReD<98{19?>3WGej#0>5mnyT7$6|1V|Vhf5kK;dBwy zVrGWkkfIkBSIkf6IK7l1A~_+OAD@M1ImU-mXN^9w+< z#R@dlkSMH-rZgI0oZ*#7@DPYADd`WA%Np5~{AUyn(J6sqtnl8pHGy7qAAClt`&7(= zv>`xmjUF%^2U!j2anLoqVr3CX*8`GTLsePf zx9FgpcePq9VM<;h;}a1Mf?qD)Qm*w$jE_@Fj&p#55}?@~>_F|=#B~L@&cW`4vrI(V zm>SR}O_M@lYD7p7ax{coFZwom0ocy;l{kPNhFQ9t&y&Q}*AR(0#s*iJ+2q(K$NypI z;WjI3(*7g%INzfC#x>!Dvwbq&f=&|Fsp)M{&!FVskoSjo5C6G zD}4;&F6y)#ZdDIOcD{e-Be;HCTnL%f;ps)r0c1I!FE)@%s(^?UgjqiFMOJX*soAnvz-BSdfKv>@do1zd5+Y7a zU(k}u)Na>Sa%tqHUEGcXPm!zJ>sYS1wzmZf0DRQ!?eCtIAP9}kE=U_H*Sug3yIGe` zL=I&Zh(v5zn(maPAw&7`t;yufNDQj?6;>%36>q z7^za(WuDEju^5D-X~iPh{FwC9NE`cfxevcR92^s7m?dC<1R>Z~pbXLEkCd$MzLqp( z35SL7=%p3TxZru0#uPYPj6`)U-n!VFfe{pY-stOH_((^_nTymZ<-=jCZMU z%h=r8y58Er1P1q3n0(e`jm2eLLDO2nF+4rCpq~Mb&6j6~*%-#w?biAR?m*@cpQdQn zc5$z<$7vckJ-E#KhUNBV9{lm)C}nWq0qDTI(#Eib`h!C>h9l8p3{+^Ti35Vw!D|2L zKp?8lM+6TH-*i%h0eooX^hRWrsO5bpG0N#&M&Z!buaVhzX(r|TaTMx1Og2gTVK%Pov8KA(B zajtEJGh`Jbl>}!_$9jX_g)bd;zx= zkSI$^B4a2Pm&7gSvz(f1A;ZL2t?EsXmS(d~AQgara^ALqJr{Wp^Kwr$wswwhU7#c! z!+tjgRM9a?AhArL*!@OED}`r6nwji+&js!UZ#jia(f)J97S zcd=^q@o{bpn}wM)G+`mzYAH_Zdyolo@pN}{8{60*B7EtI6Z5paDXHmo<5svh+$HBB zBcC>Gs6vqo$L7l`LBZBb*Ia6;$@J_TE#fm+O~dqa9-6=fNC1ArTn@H;So+$$gZX0z zc)MO+773Ojt5K$$4Pxgmv;?*Zls5cWws3Wu)(UhOmDnaPS)^$PVlc;;EUlG~MIx+S z+2nt^3=M^d6b#~cj8_LZ+Dm8RuXRHn8Ty7f1_O~iyu zF~QdQ6u!rL7QT;U;nIaLz*$A4prC$hh12#)i-W5OJ6EK!Ig)V_hWx3Hk$LJ&gL}Jx0!`|hk8zp(xmYa($QKy>AxS|L#=y1%2bQj;~d5CC? z{3GLNj(avXlzWPKjg=DG#S*$)tUWM=2}EnU)@b%3CB;4fT|l%Hjzf&UT<;m<1^cjz zB+nGC!~%bE(5;lSbQM0fazqzQlEP#~c!C=WzKufW{+lRtXyL$Xt9zHsd`rQ`4#V}w z93$GWA|l+xgW(n!C-l(j$RKu;@0=Cq=t?`VM^Gvc&lsxo3SN(`KH6@f|8K08L>(?y!*gYb z4!pIyyGM6OxD`hQOca3m!Az#((>O!aFjY(}eU;o7>=&1>s*-N6a-FTcm&wq6>H6Mk zCnqIM_FQb$7cXK!%;`l756w$~{1@--$a4aA(l}L#>N!loItD0DOSb^`VU|Fn*2DuG zY2~F=o8}Nq?KYpp7&1vk(S57^P<}Do7Su(Fg*^s#j)#ZSmT{uzVceqx&+CQu6k1yc zDexV2&d^DGw=Z^}k>O!@6>^E8aMivYB}WM22_OQqbdDwLhMgXs!WS<>*aa>zt$@SO z#|~&Nl2OQ52udc#Ia)*ay9awDaWD7OcRRhzgOB+FlGe%$jehx_5+qPw|7yX=%qbAP_lkod>0Ep1n9 z3GD8QT`X@nkoFwQOGTHO^BYBbx;8t<3aaa5(^EJe=X+9E!VS3jI>X@|dPAD*SE~?s zWp#k(pUmueX@(+e>)Wu7=Lp29E<71FXX|qhLxYm@2z`M-(8@$eMpK8A*mH}E*crwv zE4f(=I|{j%_2Gg9OH@i&SJqFk|I>*Z-^qK2ph zS(M97I)xW4<|I1{Xvlp_(F~j$jJ+7kD^i7-8`~gNK7R*yCsNf6 zDy^9=#9=vW^O9a-g#8n^x2TQnKsJ_7)Nou3)rwV0>wO6+(IfM$D<;3*nNsZGqSpkQ z3;kjDOhgL9ZJ69glS0&6Y-@}|N0R2GvS=gNXhN5`wi7D1RN zg{g`&O?;NI-6C~s$*GjOWgSNiTV-o0E=y^Pp;AfV+9HZ^ z&l~~a?D7D+FD_%jhBBkOzgrN@*70$-8`q1kdkP4;@E{R~h-#+Qq=0~v0iM$&E|?IZ zR|3G{1&5m?AH5}mN{edmKsHe?DjzJz%Cj>uA+A(QB};Fo6zs5p*HzB7f$tBhPn!Xy z>g*@R2O^JyvRSPEV7|*)67Hb2sVy3{hiD`DL{~O1Uuivd1#7al`newZkhVdgPv9f( zw{n=|$Jcy^@uf!bqq1_$-T~8p-Z+m1cm40M`sf#8pW>9Bb2T zOHdZVBny3yOgA3LiDs;mT=WPQjg`m&E<|jH_ z+$0B^y(yJ@NtRf%FE4UhvKOh6BVVyawD7WovMtDsb4l0ehu6=X@Dkzar`R+$mkzGd zmo_%Huo21k4Z(XTKa{yn-#JNCfRcJ+vEry;9T=6e$uaB)bMI!1tEo zWP1#AZO=a<`|N{id(=>T46aTY#+H9^iZ~MD^DvtZK;D91?9?N<;lGSfHe{ww(L<|| z*GsEIvd60QA+3OOCuJK{TqX3TzKgZlCKB z4U3GhIGhWSKJ1oef*Z6JOjy|byH=to%Um3kw>}a8(Kih zf{w-ci|mBzN$jn?GIsE4YCEa|Wean{o+OB&VsSkeMrL+F#0H8$G;APtwfN+e6x>uk> zD*CXUS6bU!tn`pjSm=>lQ9-@TyDI+AwkDaWjVW+(nIF1_}v2~4m5bZDoxD0o! zE#uHz$lZ37;Rjj&H0*HLMlZrj_~S(u*<8;o%}K{=J*b@%gN45LYO zW)b((&NLRM?dU;>&#k6NLMP!d{u0h+>|brKV{~P155bYJZTZJBm)VJ_3|Fx|kTsWR zL}m=0FAgzcS%!~_g7|eB`?vKI&a+@=H!c;D@aZ{whft2)p-!4KYIvUudT61Z31$j=x z`64Zy%k`xOoTK7K)%mR1Xnr66Js>bHxnEuy#~w}4-qspJp2W%!4y4uGnk$WFJ*#2A z?@~71n3ti384$~ELOOIU=Z|J+4T+1Q2~f?2MSG+zrB5aP$(wnRqB1J#gJ zLhCp7*D^ybo2$ZRu?)*24u&z;C2XPeB^g`BT28`Loz2zfXC|==oCU*A3|Fj`QwkWy zR3#Y3Fc1cZ#U6$Y-db4Mv6@NmB#ACgqN~P0se6GQ#7a?Ecz6oVBO64qvD~Oc9Ne}J^1Y9wY9Tm zK(ieRkW?xaa0ZDR5_kK5nYcHoMnuREF89a`{LWr$(~TA!yk65D7lny6qhd)svU!Mc zrQbvyEvPYHR>FR zrn45LBe|=-RBK?+S71_x=s><=S+U_;?T@$jcZIKDWK7ZGVGDkWJP^Oi$fa$c#`jhy z4j#EwY$6V@N-@-`4jsAiP;A>)3rluuqE56{vGd`2!h__MiMZ;{kT4TEnD%Gb*HoLp zzEg@TNswf8p2qf_BVN#;-QY~+A`R6zz3!m_R&mMoD}cQBI*?V4n=Jq%97U@qtl5)H zB4A9HA#MgoV5V1bEFq=Ecs%hyZg_F&NI)B=1Jd*$%t}lpU4bpGRBd&%9T%uAOk*s@ z(c8w1NP$>8ti3LY77&{`_8!e-vCgGysdRH94UMR@_|6Np2CRKCscJ3lF%OB4f=*B{ zpt?i$LQcg3eIsDaAM3GMr`x*3DM2UWmRz2=<5^!!EO5g(t|H{BLZem3jwf9BY|BPE z8HP9e3>T5CEH-BnvkS& zXA-{So)HG)C&RpMwcEYUXxyG9(T1=)q6KUp<$-wmE9ka5IPl-bZgqRZrd4>XnqEY7 zk^F@nHoqeHMk$f*p31UJk#gjSMRXw|rYZ1n9R+`1ueOBYv` z&So?A#Xxas|54O&b7xn23cj!5WVUhy+wc(DAOxg&s0NSb(CeWg3N9DP zmcWD9pa);W%n%p~Yv>O=w5+f1Bm2#rwSB=JY;#Bv2$3cNBa(XahiQYDm-6&-M^!)r zp!~69VLSU}wRhl!0taSfkrEvmdwZ})xlhcCo9nIaDI8dlFf(#lM}pcLxw#|>o4@zS{ofS=GHXtA~3 zz3YAJr#pp)jGwa>1{}E1Hg14$Yi*$QMIvnr%C!les81c`@UDR3R`)NLuub?X^-P>8 zomR3tOjuFSNc$nyqEaU(spu{Xhgx^xK)C4E;5RMc;*RfCcOIZ#v+mR_wtY$kSI?OiIE(B}_fScHiD1;6%J zM~M`(y9j?ZQ_?8}MROIb!d;E@g~ZIy?v;U8yTKE@%O*+9La8b{P>-3&hF+%Ja-?-vT6L* zjvssCw$B{N?vbzh@3efs^NFLMVn~+V=fXZLVRt|AhELu8gGc{7!pD!_^VAz_$M^8P z&*FU;iT>+R=I!E59I;%6ehlj(>>7SU z+}Hj{mW@eVg}9sKen+O90kGe~m<0rl6JhB&>1G@nPB zS0qiu=@GUW!=8C_mJLamwk6Bu_m}XU^a=642ADJtv@7DrxMSeylgG2{w8V>T4Hi`T zF#LlECtjYfLfR(6{;P!Lc(#WY#N#>SHF*O075h=H?XO5$#=Y^KS@v$EBi@968t_N) z&i+D}&lm8Q>ys15-~QyA*n9hO@t!HhLz}VR60b74)Ne-so)6-$&>w}yAza(Fx9%aF zbYuP=X8Qz~bPVm|zk^*%zgJ$zcV8akdzj_%kL92IF7zSvgJS)P^dS5QWY}{+5Nsyt z2|o{5>ErqW!dVZ7zlxWByw{H3{+T0Sp78zdx2W9n^=AD04*5QG$oI)ZzBdo~zFzoV ztS8%Q2K~5;c;^N0*dK)d8OG-{{%Xh5SQ65`h;+rUVi|;AKt8Wn83C@mRcTd>&$fCQ z@#_d5lX4xcA@7RuN#i>?4j?}JNua&(A-?m`?|HfCWgYXVBkl{x<7vEyc48jC=7I zr6Ubl?&GM}^LP(1UZpM`ZsJ>@!+m&p25{tslJ;QQ&mDuDLR{95X$ilAm(NSuL_^}o zuxH*D#u%+v_>N#3M|!`Xmb~@_(ShdzG8la`A|#+cm?4e{?mXNEreeIe7l3U3NV6l;e=Vwke+bJ-`9`zc^Z1v z9`btv?}yjti0JUl?~924--#c_B*H$w3gA}}e&?UevL@bhZ8@!DelblS;@yLfk08x? zAYH&=>UiIi-|^HPKXmLbpzjycvadGr@!5M-N6M8q74-hzbj;_|`1ciLa31f)e96Zz z;Nv~-Lf&}yI#n?)dFd$Zox}HH z`&SVDMa&KOjNz}WohtawIP332-42OU%$N0kI~w45q^V1qNXMz;_)xL%ZzB9j36D%1 z`J$-^ic^ZO9ejfwnpeXVxW56hds+LkY{r?XqRig%&KL7!dEZ3*#|O1uH=_;X-UosAEaGZE&eNP>eAsu1 z2jMRv?ik*SeI?{Y!G3cf}^=ZU?E8dIrCVU^le*)n_z7c-T!9`j%5&k^FZ&fzwdINF!Kuyl^gAaQN zVV>rUf7o+0WABou!v9AFYmwass{!QM+eCyRoSd7UqZtNS_Z$^1EqyqeLFsYN(d?Lm zSe_})_VuV}jVa2}49b{$jta%ohoe+T+;cR;;Nza7*@;v`e7`;WZ~vR@PJLLPBch*B z9}Y04%-J6l;1HT2H->-lJHnR}GTsCz;c zeEi@+3&gw<{ix&UqxjHn9bl&aP4KgaKNs0Xuf}wjW+9geYZL{`2zAeS+T4Bks}5#3ZSX!ki9s#zPDj7HfFEJ9 zM4%t~b4Al1&EAG^!W4layx)bt8{veRAcVi{>OYL|@Pzu)_OH*8?0XP?7vR(Q5aiXE z3uk?}7NF0OtbzF74VdyP#IL(>#^?HgK3d;nrsESs@?YKIe+BswK9BDK{~5FMv;T~g z;Lr60eKfxr7AlXn-;DyyHG~k(@?UiMcT4i8join7*2ejO|07Xep2&W%B>i(P{*NL2 zrvS4_LjR@>-RJ*h2NTs-9ZY;r@}E3fzq=jG*NTIgo;G!Tls^3qX84eU`Fh^L45y7< zA8RiMGrZ|wzCPk$hSTP*kEY*q@ZSbKK8yAy*+P30*7zzBY5)0F$&`2Q!>Deton)xam6w-$Uk-N9j)+e}I|aGX?lXN6#mKKVe1*^nS$E??+4O z$8`aH6rZm+nE1ZpV3z+RZ2I~req1XEFvDMRFw=7lKp)M2juRAlG(Fb=0xbDEnCZD5 z;KN^bFvGbn;KPr>1|MLC)2<(2=HFj{Ux@n8iR`bJ(1-T^kp5>7J_Y!Bd#KkGXKx=hIQ2I+6XOlKg0&*GJRW z9nAb`pAX@LX`lBn?eiX{eLld_-j4tN8On!@jQyYCw?}>MMD}k>@S}a+=l6vI+#Jh? zKj-rM_a*s#!=?W^!aYoUzU)BD=37zh?{afAhY4`t_(!p2+SmNzZiweT+Zfm4`p)(vOy;=emJU z|6&3Df=fS9lAdb`KK=Q3=i#rp^beM#pDe`RbMQ_HKX6ULm-qPsd@}0CC$jG^DUa(E zKK%z>{LPa1T(9u)*IoR7Q4*i)6F&ai-;;-*aOw9;(sRASr~k5x|A~_LT(|J?ul42O zKXBP~gKHT2D1W_HfKNw#{6zMdlKi<|;nUy#-UKs$u3PAHB>PdM zzXR|LJ_LDu-i0$h*Dv(Z@rG*?0cQVw*};s@^$C3x|3}`JhhHf4-fN45Mbul9n8Zoy8OOXk{{O^^wIYD zTme2tq~(EqlZv=KVLrn32YodC7ah!`TyyZ@f8b!rdMF>>=U|3&-9aDCf5_o;M+rV$ zfAI0YQh>kc(w{6z&vgf%{@8FHzVo{Rd?I^WNqVkD=%e_4tpJa?^zST5&-DqP{+^LM zyzbJ!uOvO!EPVP87U0ji^g|`-xsKt}KT?3d;L?9jNqVkr`1DT};IFy#7fRA|y~C%! z`|bdr$d*gubNwU4XVY^1BfxLL%aBX|k&^UW5ApF|D!}V5eY=pJ`QHPTTGQW_?I0ZL zbmb9z2=i&eA)N7_ck=w>h5U&B`EwdO%$Ms9{{52plP;X;n-1>2A>^g zp|q1&ANe)F^Z0ww;t$=KWnYK>GGy>SMc5Gl90HjBUjzOD2-hnH{|?}D2!Fxg-vc}k zf>UjKC*uE4zz-sRNPp~&uvY;;hBLo=0RPoHbj_OZTTmCDeh}dvo&fv`#)mr6-v#^l zLxu2m0sa`^dx1|V{|5@;PXP|kNtFMW2qS->E&2Zp;CG=BI0Om(3h93x@H;4`CcaI;U%fl=%f|o@yZV0; zaH`Ld>@NfM`1}pP{~hypkX-2X%YZ)#;v-9ee~sao&(UuFoiM(?1h_@m!v6)}x4jEG zCt-yD4q%`Ee+K*x$6x;o@b3b@^OpWC$H1S1seil!@Nd6O_d1atLx6wQ^^Xq%_W92M z2CfyL2YTF=eHd`RYtMDSb--YGq1R?1{Xqf#B;enLfcm`Q$Gw=Fs4w}0_&f)g@<{mw z{S)w;F~4jw9QFSq;7_7`XDt4|0z8cL_Zj>$;BEAuK7;=UU|*m6(4T6?e=P4d#I3CZa5&88j;2*yidkCPXu>21Keiq?~4xTxhjRSrPWl+Cje#?L#M0>ny@ETxW z{%!&OgoBy>DZsFCiG7Rw_tyYZAFm+4yAb{Y;Gac<1blxLuy6k_1D*nXBpmquHsBM` z?*e_k2Ka~3-fuPh{tMv03VwXr;NJ&);P~e@FygjrpF0455}gZ0NPYhpU|+v?0sb-2 znEb%{^aF0Y{&F7hU9ca8@D;%S2FRUfeBj##{2-7+l_mXSfWI}I@V^20$H2dn7XG7v z-;D6r4E{;LcYt3+-Xg!h4fw0?6&WM>{Sx56hyL_wD{l*MD39`)Yui7L{u21-CBQ!k zdIkCXTY$rQH09&h8UK{-X=8oAj=E1_e#7$@gnu95oIh1i9^3Cte`U8Ly{`A4B_onCTJzBY=Mf^5dfh|1@Ba@81FZ8|Z)NG7|rn z0S`ldac>;ce+h6K_>NonzXbe?Xs^=-e--e(?_pex&wZ@R$MyUa(|;2%?H3h|Ma0@`U)G0PN}iPQYHC^aDQf#?*gj0Dm1k9Pr%$jHiMuk^gPk9>XE;0)PK7U_YMy z2;gs_K2IV(@%u@@vzR|~Zyw>l1^8F)N&Nl~0Dl?!*zJ-(^eMmx=ubRr$N2vVFzp8+ z{0{+p`rQH9k*0ekwt5Aa>6-;Cuq2KZsf8+?-dzqb${XHr*r9ZGVrb6+>RZ#`SX z1+86NeJ#gJ*WoFAYxmOXR%;!XZgyI$2iM?&pDxk5?e#NbBO{|YgIW@)g-ake)$<2@ zKXmu625EWfdk z{nf`>3=mJ^oow%*1EL|a{4!hI;!Lj(aACNg{|KLb`jJlB&Qu4^ojYd{;w8k$#}MK`qvRP9m5HC2j8F_c6^|dIP^d20Ral3R($#g%@!| zz!!C(!Z$hj&KK78%6_aX-M-)}dzd8H-aFWDGo`%1?MQbuTYLXmToip7-M#`R!R=0^ z@7mbNsk5uy?e-Sjexa#$&yJqyKil2i-9i`I+&R0tzsd5`uXCc=%(Ki3z3g1ED`)vqAP{TaYpm`{J zC_h?PcelE$xI(EVltoDBR9o#;NWIYa=uQh4E6}|{mh<3)CKqP}_!TFP_+`T)qh3En zWRLCL{r2T8+++;5F~U`?gB> zH;x!G!tpxgoenNCZGCk25^5(-l^E)JCQE zeHklKJ~`T@dR!G3N>-EP0udvhA0*dVd~&~J)S@_4x&V9~PMtbsNLHa{NtDCqzhFvO@*!eYuh z#9Rj;M2T(90bA=7za5at5t$%0q~6}93h(a~4nvu!Nsc2nwD&{dEE3d` zJ9oyp49(HLk)Y_m)VsqK%1ilW8AN99Ja%Q3Y8{a?r;Rxtp#*d;cy-beDw(8v@UE>C zB~#0NFz^bRJbdkb{>_TY`*m9RRMWRj7lp z9VM;PgyBTGABW7+9^qxJPO~VgwWawp@JhJ9dFg<+cAsG{ zU`w7^htAKjbpx{(eP6wF3BKh61a!jds@%Sb#2POTZLhAu8>j`rV_G}B1H14lQ*hV` zUhl(ggfKI>Q&UHxt&e%ohQa4v{J|^@Yq&fWT4P~@iVx$_J_R$1{4(B+EUP?@coo=D z!gJDIXp3Qd#(Ne~7%!^;u!lJD8O0ys>jMorw@c>Jr| zuYAP6KSaDAaU1+XezcW^cgFoI|KaxRlQekQ6T)ai3-1iN``vHI{?dX)a$yJVouNO4 zMc5fG=-CtUcmi;EXVBNLzrmdqN@0Jw5bqEF%p0=Ff1FZ=x4%({SNpyf1kRtOFN literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.hex b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.hex new file mode 100644 index 0000000..b5a5760 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.hex @@ -0,0 +1,928 @@ +:10000000A0220020511C00004D1C00004D1C0000CF +:1000100000000000000000000000000000000000E0 +:100020000000000000000000000000004D1C000067 +:1000300000000000000000004D1C00004D1C0000EE +:100040004D1C00004D1C00004D1C00004D1C00000C +:100050004D1C00004D1C00004D0500004D1C000013 +:100060004D1C000015150000251500003515000079 +:100070004515000055150000651500004D1C0000D9 +:100080004D1C00004D1C00004D1C00004D1C0000CC +:100090004D1C00004D1C00004D1C00004D1C0000BC +:1000A0004D1C00004D1C00004D1C00004D1C0000AC +:1000B0000000000010B5064C2378002B07D1054B3B +:1000C000002B02D0044800E000BF0123237010BDC4 +:1000D000800000200000000060390000084B10B5CF +:1000E000002B03D00749084800E000BF0748036819 +:1000F000002B00D110BD064B002BFBD09847F9E731 +:100100000000000084000020603900006039000019 +:100110000000000010B50022002302704270002190 +:100120004280017103760262C26182600373C0245F +:100130006401C481037443748374C37403752A24F3 +:10014000035543758375063C0355C2840285C1750A +:100150002B23C1540133C15410BD0000F0B5D64665 +:100160004F464646C0B596B0070016000160CB4A20 +:10017000106A80235B02034313620B780520DB07C0 +:1001800005D516B01CBC90469946A246F0BD0B782A +:1001900017309B07F5D473783B71002B04D1C04A0C +:1001A000136C04210B4313643B68984633786A460A +:1001B000137069461E20BB4B98471E20BA4B9847C8 +:1001C0002C23F25C002A54D0013BF55C337BEB1806 +:1001D000DBB2D118C9B28B4221D25219D3B299469F +:1001E000B24B9A4603E00135EDB2A94517D00F2472 +:1001F0002C40337BE4185022AD4902A8D047132C81 +:10020000F1D8A40002ABE05801A900234B70CB70D9 +:100210008B7001330B70C0B2A64B9847E3E7F489AB +:100220005022A34902A8A14B9847132C13D9737DE0 +:100230009B00DBB242461370B37DDB01727813433F +:10024000DBB2424653703379342B00D978E19B00FE +:10025000994AD3589F46A40002ABE05801A9002355 +:100260004B70CB708B7001330B70C0B2914B9847C1 +:10027000DDE7347B50228E4902A88C4B9847132C23 +:1002800015D9F48950228A4902A8884B9847132C23 +:10029000CDD8A40002ABE05801A900234B70CB706D +:1002A0008B7001330B70C0B2824B9847BFE7A4003C +:1002B00002ABE05801A900234B70CB708B70013367 +:1002C0000B70C0B27B4B9847DBE70423102402215C +:1002D0001AE0717C337C102416E0062310240121DF +:1002E00012E00823102400210EE000233024002116 +:1002F0000AE000232024002106E00023002400213E +:1003000002E0022310240121090170220A40134354 +:1003100042469370F37D17203F2B00D931E7D3700D +:100320003A68537E5BB2002BFBDBF37C728813438D +:100330002422B25CD2001343327D92001343B27C7C +:1003400052001343234342469380337E002B20D038 +:10035000102C00D1D6E000D880E0202C00D1B3E0F2 +:10036000302C16D1F27C002A0AD0F2698032172094 +:10037000FF2A00D905E7326A8032FF2A00D900E758 +:100380001720F269FF2A00DDFBE6326AFF2A00DD52 +:10039000F7E639684A7E52B2002AFBDB4246137206 +:1003A0003A68537E5BB2002BFBDBB38B42469383F0 +:1003B0003A68537E5BB2002BFBDB338C42461384DE +:1003C0002C23F35C002B05D0013BDBB217200F2B55 +:1003D00000D9D6E62B22B15C17200F2900D9D0E630 +:1003E0003868427E52B2002AFBDBF289307B02433E +:1003F000B068024309050A431B04134342461361D4 +:100400002A23F35C13750F2393751533F35C002BCC +:1004100012D0F38C294A1720934200D9B1E6424604 +:100420009384328D80231B01D31824499BB28B42C5 +:1004300000D9A6E64346DA84214B1B685B01E02223 +:10044000D20013401F4A516849011268D20E0A4374 +:10045000D2B2134342461385002092E6002C98D175 +:10046000F27C002A0FD0F269802109018C46624497 +:10047000124917208A4200D983E6326A62440F4942 +:100480008A4200D97DE60D4A1720F169914200DDCC +:1004900077E6316A914200DD73E67AE700040040B6 +:1004A00000080040F51A0000691A0000C926000083 +:1004B000A4370000ED1B0000D0360000FF0F000045 +:1004C0002460800020608000F27C002A0FD0F26956 +:1004D000802189008C4662441A4917208A4200D93B +:1004E0004FE6326A624417498A4200D949E6154A02 +:1004F0001720F169914200DD43E6316A914200DD47 +:100500003FE646E7F27C002A0FD0F269802109021B +:100510008C4662440C4917208A4200D931E6326A7F +:10052000624409498A4200D92BE6074A1720F1693B +:10053000914200DD25E6316A914200DD21E628E79F +:1005400017201EE6FF030000FFFF000010B5264B3A +:10055000984726490B8C0F2213409B0024481858BB +:1005600003781A403F23CA540F33CA5CD2B20378CF +:100570001B012049C91849881F4CE3185B88CB1A16 +:100580004361D3070FD501214E23184AD1543E3B76 +:100590004374037CDB0703D54368002B00D09847E6 +:1005A000164B984710BD93070DD502214E230F4AD5 +:1005B000D15400234374037C9B07F1D58368002B3F +:1005C000EED09847ECE75307EAD504214E23074ABB +:1005D000D154483B4374037C5B07E1D5C368002BCF +:1005E000DED09847DCE7C046E11500000048004136 +:1005F0009C000020E0010020B00000202116000037 +:1006000000230370437003228270C370037170472C +:10061000F8B5CE46474680B505000E00454B9847D5 +:10062000454B1B78002B18D1444B5A6920210A43B3 +:100630005A61DA6910390A43DA61414B1A880E3976 +:100640008A431A8001221A803E4A5A633E4A9A63BC +:100650003E4A1A80384B01221A70364B9847364B67 +:100660005B68DA070FD55B08012401221A420BD020 +:100670005B080134E4B2052CF8D1354B9847344B74 +:100680009847142051E000242B4A51680123A340CD +:100690000B435360137A013B13722D4B9847FF2C89 +:1006A000EDD02C700F2398461C40254F30339946CF +:1006B000FC540133FA5C02218A43FA540124FC54AD +:1006C0001C4B98472B78424613404A46BB543B6923 +:1006D0002A789440A3433B6132785201602313404F +:1006E00072781202FC2189010A401343B278920504 +:1006F000C02109040A401343F178002904D00722DD +:100700000A4008210A4313433279002A01D01022FB +:1007100013430B4A53640E4CA0470023AB612B7864 +:100720009B000C4A9D50A04700200CBC9046994667 +:10073000F8BDC046E11500000000002000040040A4 +:1007400000480041E0010020B0000020020F00003E +:10075000211600009C00002070B50400194B98473A +:10076000637C052B08D0A3695B889BB2002B07D163 +:10077000154B9847172070BD134B98470520FAE793 +:100780004026124B1E6022780F231340104D3F224B +:10079000AB5423780F4AD25C072313404D22AB544D +:1007A00005236374207800010B4BC018A1693D3A02 +:1007B0000A4B9847AB5D02221343AB55024B984757 +:1007C0000020D8E7E11500002116000000E100E05C +:1007D0000048004100010020E0010020C92600007F +:1007E000F0B50A780388012632400124A34313435D +:1007F00003804B78048803273B405B000622944328 +:100800001C4304808D7804883D40ED0018239C43F0 +:100810002C430480CA7803883A401202164C2340C5 +:10082000134303800B79048833409B02134A14401E +:100830001C4304804D7904883540ED02104B1C4068 +:100840002C4304808A790388324012030D4C2340E4 +:1008500013430380CB7907221A4003885203DB0439 +:10086000DB0C134303800B894380CB6843600B6927 +:1008700083604B69C360F0BDFFFCFFFFFFFBFFFF20 +:10088000FFF7FFFFFFEFFFFF427C0523052A0BD098 +:100890008369002B0AD0DA68002A03D0DB68DA68A3 +:1008A000002AFBD1D9600023180070478161002322 +:1008B000FAE7F0B5DE4657464E464546E0B587B006 +:1008C0008046049116001F000022002300218B4661 +:1008D0003F2401202100009601975C460394444682 +:1008E000059413E02024641A0500E540A84614E0AE +:1008F000009C019D121BAB415C464D462C43A34618 +:10090000039C45462C430394013925D3202464429B +:100910000C19E7D40500A540A84604008C40A14668 +:1009200092185B4114001D00059E4F463E40B446A0 +:10093000049E47463E40B246664657463E4303D075 +:100940000600264332002B00009C019D9D42DBD80F +:10095000CED19442D8D8CBE7039B5846190007B0B4 +:100960003CBC90469946A246AB46F0BD10B549083E +:1009700040230024814202D2180010BD1C00091A35 +:10098000631C9BB28842F9D94023FF2CF4D8148011 +:100990000023F1E7F0B583B00F00160008AA117824 +:1009A00004004C434022BC4202D9100003B0F0BD09 +:1009B000002B14D1020008000021194CA0470100AF +:1009C0003A0000230020174CA04700220123121AEE +:1009D0008B41120C1B041A4332800022E5E70022EF +:1009E000012BF9D10A00002300210D4CA047020081 +:1009F0000B0000920193380000210A4CA04705002B +:100A000080239B0140229842CFD8790FF800009AAA +:100A1000019BA047EA00821AD2B252032A43DBE7C5 +:100A20000D1F0000B308000010B582B004000E4B8B +:100A30001B78002B07D0002905D10B4B5B78834234 +:100A400010D01D200CE001A90C701320074B984713 +:100A50001320074B9847044B5C7001221A7000204A +:100A600002B010BD0020FBE708010020F51A0000CD +:100A7000691A0000404B984231D00AD93F4B984246 +:100A80004DD03F4B98425AD03E4B984237D0002031 +:100A900070473D4B98420CD03C4B9842F7D101290E +:100AA00017D000295DD0022915D0032915D00020C8 +:100AB000EEE7012907D0002951D0022905D00329EA +:100AC00005D00020E4E73248E2E73248E0E7324868 +:100AD000DEE73248DCE73248DAE73248D8E7012976 +:100AE00007D000293FD0022905D0032905D00020D6 +:100AF000CEE72D48CCE72D48CAE72D48C8E70129A5 +:100B000007D0002931D0022905D0032905D00020C3 +:100B1000BEE72848BCE72848BAE72848B8E70129D3 +:100B200007D0002923D0022905D0032905D00020B1 +:100B3000AEE72348ACE72348AAE72348A8E7012902 +:100B400007D0002915D0022905D0032905D000209F +:100B50009EE71E489CE71E489AE71E4898E71E48F5 +:100B600096E7032094E71D4892E71D4890E71D484B +:100B70008EE71D488CE7C046001000420018004276 +:100B8000001C00420014004200080042000C004219 +:100B90000300050003000600030007000300010036 +:100BA00003001E0003001F000300090003000A00E9 +:100BB00003000B00030011000300120003001300E8 +:100BC00003000D0003000E0003000F0003001700D8 +:100BD00003001800030019000300040003000800CC +:100BE0000300100003000C000300160030B587B0AE +:100BF0000B4B6A4632CB32C232CB32C2009B8342AD +:100C00000BD001239A0069465258824206D0013324 +:100C1000062BF7D1002007B030BD0023D8B2FAE789 +:100C2000F4370000F0B5C64600B50C009046002829 +:100C30000FD1002A11DD8F18094E0A4D30682100AE +:100C40002B6898470134BC42F8D1404604BC90461A +:100C5000F0BD01235B429846F7E78046F5E7C046C2 +:100C60003802002030020020F0B5C64600B50E0064 +:100C700015000138022810D8002A11D000240C4B8E +:100C800098460C4F43461868315D3B6898470028EA +:100C900008DB0134A542F5D106E00124644203E0FB +:100CA000140001E001246442200004BC9046F0BD21 +:100CB000380200203402002030B595B008AC200086 +:100CC0002A4B98470023A360237180229200628000 +:100CD0000122627004212173C0214901E181227542 +:100CE000A374234D220023492800234B98472A68E8 +:100CF000537E5BB2002BFBDB0F23937513761378C7 +:100D000002210B4313701A4B1A68537E5BB2002BFF +:100D1000FBDB06AC2000194B984727236370253B6B +:100D2000A370174D21002800164B984701226B46EF +:100D30001A70002369464B708B704A718B71CB71AE +:100D4000CA700B7110330B81094B1B681A33039364 +:100D50000D4B203304930D4C059420000C4B984709 +:100D6000210028000B4B984715B030BD150100003D +:100D70000C010020004000425D010000010600005F +:100D80001401002011060000300100204002002064 +:100D9000E10700008908000010B5094B1A68537E6E +:100DA0005BB2002BFBDB137B02210B431373537EDF +:100DB0005BB2002BFBDB0348034B984710BDC046DA +:100DC0000C0100201401002059070000054B1900F8 +:100DD000203100201A88801802338B42FAD1000992 +:100DE00080B270473001002010B5054B9847054B85 +:100DF0009847054CE6218905A0470449A04710BD46 +:100E0000CD0D0000B9250000611F000068B30E4041 +:100E100070B582B005000E0000226B46DA80064CE9 +:100E20006B46991D2800A0470028F9D16B46063370 +:100E30001B88337002B070BDA712000070B50500AA +:100E40008CB2034E21002800B0470028FAD170BDB3 +:100E500081120000F8B52C4A8023DB0513600023C3 +:100E60005360FF21118100219372D3720124242049 +:100E7000145401301454013013540130135493624C +:100E8000053013540130135453611382D376117615 +:100E9000117751761323937651771C4B13631C4BB8 +:100EA000536301235B429363D36380235B03D3606B +:100EB000E1235B021362174D174B1D601749184B56 +:100EC00019601849184B196018492800184B9847A1 +:100ED000184F3B6898680021174EB0473B68586828 +:100EE0000021B0472E683000144B98471F2318404C +:100EF0008440134B1C602A68D369002BFCD13368F3 +:100F0000022213433360F8BD500100200200160096 +:100F10000200170050020020380200203D0E0000A1 +:100F200034020020110E00003002002000140042A4 +:100F3000450F00001800002021270000E5140000E4 +:100F400000E100E0F0B5DE4657464E464546E0B5C6 +:100F500091B005000C00160029600800BA4B9847B4 +:100F6000020023680520DB0706D511B03CBC904683 +:100F70009946A246AB46F0BD236817309B07F4D4D0 +:100F8000B249086A971C0123BB4003430B620FA9B7 +:100F90002D27F35D0B701432D3B202931800AC4BC3 +:100FA00098470298AB4B9847F05D0021AA4B9847B1 +:100FB000F37A6B712423F35CAB712523F35CEB7143 +:100FC000F37E2B72337F6B722B68984618009E4B12 +:100FD0009847143000220E2306A98C4663441A80D9 +:100FE000328A02928023DB019A4200D19AE00FD923 +:100FF000C023DB01029A9A4200D18EE080231B02BB +:101000009A4200D01BE1032306930023079308E0D4 +:1010100080239B019A4200D011E1102306930F3BDD +:10102000079333680593F3689B4673690393337E94 +:1010300004932623F35C9A4673689946002B00D1EB +:101040009CE080235B05994500D180E0737E002BF6 +:1010500002D0B37E424693730E2306AA944663449D +:101060001B8842469381059B5A461343039A1343B8 +:101070004A461343029F1F43049B1B021F435346D0 +:101080005B071F432723F35C002B01D104331F436D +:10109000737E9B02327F52021343727F120213430C +:1010A0002422B25C520413432522B25C120413437F +:1010B000B17AF27A114319433389FF2B00D181E0D1 +:1010C00080225204174319432C23F35C002B03D1D5 +:1010D000624B9B789B0701D580231F432A68D36905 +:1010E000002BFCD1434659602A68D369002BFCD100 +:1010F00043461F600EAB80221A7000225A70DA70CD +:101100009A70336B0A93736B0B93B36B0C93F36B03 +:1011100002930D9300270AAE63E008230693073B72 +:1011200007937EE733680593F3689B4673690393DF +:10113000337E04932623F35C9A4673689946002B0A +:1011400018D080235B05994501D0002025E0272396 +:10115000F35C002B00D079E7336A1F00C0B2404B2C +:10116000984701000E2206AB9C46624438003D4B76 +:10117000984712E008230693002307932723F35C84 +:10118000002B0ED0069B0093079B0E2206A98C46CF +:101190006244B16A306A344FB847002800D0E4E6B0 +:1011A00054E7336A1F00C0B22D4B98470100069BDD +:1011B0000093079B0E2206A88446624438002A4FFB +:1011C000B847EAE7F37E002B00D17DE78023DB04FC +:1011D0001F4379E72000254B984707E00137042F8C +:1011E0000DD0F9B2BB0098590028F3D0431CF5D0BC +:1011F0000EA90870000CC0B21D4B9847EEE7002303 +:10120000EB602B616B61AB61EB612B62AB626B627C +:101210000022EB85AB853033EA540133EA540133C5 +:10122000EA540133EA542868074B984704001149EF +:10123000114B9847A400114BE550002095E6102370 +:10124000069300230793ECE6ED0B0000000400403A +:10125000F51A0000691A0000290A00000020004168 +:10126000111B00006D09000095090000750A0000BF +:10127000ED1B000011130000A914000084020020DF +:10128000C2791C23002A01D118007047C28D92B286 +:10129000173B002AF8D1026811850221137E0B4208 +:1012A000FCD00023F0E782791C23002A01D118002A +:1012B0007047828D92B2173B002AF8D10268107EE7 +:1012C0004007F4D5538BDBB298061DD0980703D5A1 +:1012D000022353831833EAE7580703D504235383C3 +:1012E0001A33E4E7D80703D5012353831233DEE72B +:1012F000D80603D5102353833233D8E79B0603D592 +:10130000202353832133D2E7138D0B800023CEE7B4 +:10131000F8B58000624BC5582C68E369002BFCD1FE +:10132000237EA67D1E403023EB5C3122AF5C1F4044 +:10133000F30722D5EB8D9BB2002B1CD0AA6A137841 +:10134000DBB2511CA962697901290ED09BB2DB0581 +:10135000DB0D2385EB8D013B9BB2EB85002B0CD184 +:10136000013323750133A37507E0517809020B435C +:101370000232AA62EBE701232375B30706D50223E5 +:10138000237500223133EA54FB071AD4730765D55D +:10139000AB8D9BB2002B5FD0638BDBB21A0714D4EA +:1013A0003F221340002B34D09A0711D51A22322342 +:1013B000EA54303B63837B0750D528006B6998471C +:1013C0004CE02800EB689847E0E737221340E9E754 +:1013D0005A0705D51E223223EA542E3B6383EAE7DF +:1013E000DA0705D513223223EA54313B6383E2E75F +:1013F000DA0605D542223223EA54223B6383DAE738 +:1014000020221A42D7D021323223EA54123B63837E +:10141000D1E7238DDB05DB0DDAB2696A0A706A6AEF +:10142000511C69626979012910D0AB8D013B9BB2D7 +:10143000AB85002B12D10433237500222E33EA54DE +:10144000BB070BD528002B69984707E01B0A537090 +:101450006B6A01336B62E8E704232375F30604D556 +:10146000102323752376FB060ED4B30604D5202360 +:10147000237523763B070BD4330704D50823237544 +:101480002376BB0608D4F8BD2800EB699847ECE743 +:101490002800AB699847EFE72B6A28009847F2E7E6 +:1014A0008402002070470000F0B50A4B1B78002B27 +:1014B0000CD1094F094E0A4D0024DE515A1914600F +:1014C0000433182BF9D10122024B1A708000024B11 +:1014D000C150F0BD9001002094010020A51400002F +:1014E0008402002000B583B009236A4613700133DB +:1014F0005370013393700133D3700133137101338F +:101500005371034B98476B46185603B000BDC04655 +:10151000ED0B000010B5024B1B680020984710BD72 +:101520009401002010B5024B5B680120984710BD64 +:101530009401002010B5024B9B680220984710BD13 +:101540009401002010B5024BDB680320984710BDC2 +:101550009401002010B5024B1B690420984710BD70 +:101560009401002010B5024B5B690520984710BD1F +:101570009401002070B50020084B98470500084CE6 +:10158000FA218900A047074B186007492800A047A7 +:10159000064B18600522064B1A6070BDDD1900006D +:1015A000F51D00000C00002040420F00100000203C +:1015B00010E000E030B5084B1C68084A0025802187 +:1015C0004902013807D3002CFBD0546095601368A2 +:1015D0000B42FCD0F5E730BD0C00002010E000E02D +:1015E0000C4B1B68002B06D1EFF31083002B07D0A8 +:1015F0000022094B1A70074A1368013313607047C1 +:1016000072B6BFF35F8F0022044B1A700132024B97 +:101610001A70F0E7AC010020B00100201400002097 +:10162000084B1A68013A1A601B68002B09D1064B57 +:101630001B78002B05D00122044B1A70BFF35F8F7B +:1016400062B67047AC010020B001002014000020F9 +:10165000F0B583B001AC012565700027A770257037 +:1016600021003E20064EB0478022D205054B9A61EC +:101670002770657021000F20B04703B0F0BDC04651 +:10168000891600008044004100B583B001AB802280 +:101690001A700A785A704A789A708A78DA70190043 +:1016A000014B984703B000BDED1B000010B50828A2 +:1016B00003D880001C4B1B589F46002032E01B4B78 +:1016C00018692FE01A4B1B6A9B059B0F1948D840DD +:1016D00028E0164B586925E0144B1B6800209B0737 +:1016E00020D513491022CB681A42FCD00F4B1A6840 +:1016F00024231340042B01D00F4813E000200F4B8C +:1017000098470A4B9B681B041B0C58430AE0502364 +:10171000074AD35C00205B0704D5044BD86801E07E +:101720008020000210BDC0460C380000B40100202B +:101730000008004000127A00006CDC02111B00005F +:1017400070B50C490B6A0478857840780122104006 +:1017500080014026B34303432A40D20180208343C3 +:1017600013430322224012020348034013430B6237 +:1017700070BDC04600080040FFFCFFFF082803D8EA +:101780008000254B1B589F46172044E0234A136ACC +:1017900002210B43136200203DE0204A936902219D +:1017A0000B439361002036E01C4A138A02210B434D +:1017B000138200202FE0194A938A02210B4393825F +:1017C000002028E016490B68022213430B60134BDC +:1017D0009A8419000E32CB681A42FCD0104A9168E4 +:1017E0000E4BD96252689A6200229A841900103214 +:1017F000CB681A42FCD00A4B1B689BB2074A938401 +:10180000002008E0054944228B5C022003438B54EE +:10181000002000E000207047303800000008004041 +:10182000B401002030B585B0C222D2001A4B9A60B4 +:101830001A4A53681E218B43536001236A46137072 +:101840000024174DE0B26946A8470134252CF9D190 +:1018500003A800244470012585700470114B98473B +:101860000620114B9847114B9847114B1C725C7224 +:101870009C72DC7201956B465C7006236A4613709D +:1018800014725472694600200A4B984700200A4B94 +:10189000984705B030BDC0460008004000400041F8 +:1018A000F51A0000411700007D170000BD18000068 +:1018B00000040040E118000099190000064A9369ED +:1018C00008210B4393610122044B1A7019000B7815 +:1018D0001342FCD17047C04600040040000C004099 +:1018E00070B5060004000D782D0205434B78002BDF +:1018F00002D08023DB021D434B7A002B02D08023D1 +:101900001B031D434868012810D9431E184234D1D7 +:10191000022830D90223002201325B009842FBD812 +:1019200012023243140080235B031D430B7A002B09 +:1019300002D080239B031D43134A53785BB2002BD4 +:10194000FBDB124B9847124B1E700F4A53785BB269 +:10195000002BFBDB0C4B9C601A0053785BB2002B16 +:10196000FBDB094A5368802149020B401D43556047 +:10197000084B984770BD0022D2E70402344380230D +:101980009B021D43D2E7C046000C0040E115000059 +:10199000080C00402116000010B504000B4A5378D3 +:1019A0005BB2002BFBDB0A4B98470A4B1C70074AC3 +:1019B00053785BB2002BFBDB044A516880235B0247 +:1019C0000B435360044B984710BDC046000C0040C9 +:1019D000E1150000040C00402116000070B5040061 +:1019E0001A4A53785BB2002BFBDB194B9847194B13 +:1019F0001C70164A53785BB2002BFBDB134E7068E9 +:101A0000C004C00E144B98470500124B1C7076683A +:101A1000F602F60F114B1C700C4A53785BB2002B88 +:101A2000FBDB0A4B9C682402240C0D4B9847002ECC +:101A300007D1012C07D9210028000A4B984705003F +:101A400001E00134E540280070BDC046000C0040B4 +:101A5000E1150000040C0040AD160000080C004029 +:101A600021160000F51D000010B50400064B984734 +:101A7000064B1C70064A53888021C9010B435380D2 +:101A8000044B984710BDC046E1150000020C004011 +:101A9000000C00402116000010B504000F4B9847C1 +:101AA0000F4B1C700F4A53881B05180F53880E49A3 +:101AB0000B40538053880D490B4053801100802206 +:101AC000D2014B881342FCD106494A8803020648DA +:101AD000024013434B80064B984710BDE1150000B0 +:101AE000020C0040000C0040FFF0FFFFFFBFFFFFB3 +:101AF0002116000010B50C7824020443024B9847CD +:101B0000A4B2024B5C8010BD991A0000000C00408A +:101B100010B50400064B9847064B1C70064B5C88BA +:101B20002405240F054B98472000054B984710BD0E +:101B3000E1150000020C0040000C004021160000DE +:101B4000DD19000030B5D378002B35D11378802B08 +:101B500029D01B0680246402234354780225AC4319 +:101B600006D19478002C20D18024A4022343416024 +:101B70005478013C012C1CD90D042D0CA024E40543 +:101B80002C431C4384620D0CD02424062C431C439C +:101B90008462D478002C22D15B031CD59378012B6E +:101BA0001ED0416117E00023D7E7C024E40223439D +:101BB000DDE70D4C2340DFE741600C04240CA0233B +:101BC000DB05234383620C0CD0231B062343836273 +:101BD000D378002B03D15378013B012B02D930BDC0 +:101BE0008161F8E78160FAE7FFFFFBFF10B50A00AB +:101BF000C1090023002904D14309DB0105498C46B2 +:101C000063441F242040012181401800024B984763 +:101C100010BDC04600440041451B00007047000055 +:101C200010B5054B9847054B9847054B9847054B12 +:101C30009847054B984710BD251800005116000025 +:101C40001D1C00001D1C00001D1C0000FEE7000004 +:101C5000F8B52A4A2A4B9A4211D01A00294B9A42C7 +:101C60000DD2294A03339B1A9B0801339B000022A3 +:101C7000234822498C58845004329A42FAD1234A8C +:101C8000234B9A420AD2D34321495B1803218B4349 +:101C900004339B18002102C29342FCD11D4AFF214C +:101CA0001D4B8B439360FD3990235B001B4AD15041 +:101CB0001B4AD3780325AB4302242343D370D37844 +:101CC0000C27BB4308263343D370164B987B302236 +:101CD0009043202210439873997BB9433143997301 +:101CE0009A7BAA4322439A730F4A536880210B437D +:101CF00053600E4B98470E4B9847FEE76039000043 +:101D0000000000207C000020040000208000002053 +:101D1000A002002000ED00E0000000000070004183 +:101D20000050004100480041004000418126000071 +:101D3000711D00000300064A1268002A04D0044AFC +:101D40001068C318136070470249014A1160F6E732 +:101D5000CC010020A02200200120404270478023B7 +:101D60009B014B60002070470120704700207047A6 +:101D7000F8B5124B9847124B9847124B9847124BA5 +:101D80009847124B9847124F124EB847051CB04760 +:101D90000400114B9847011C281C104B9847104910 +:101DA000104B9847B047020021000F480F4B98474F +:101DB000FA2040000E4B9847E7E7C046211C000080 +:101DC00075150000550E0000B90C0000990D0000BB +:101DD000E90D0000D924000019250000A121000010 +:101DE00000007A44611F000054380000ED26000016 +:101DF000B5150000002243088B4274D303098B42BF +:101E00005FD3030A8B4244D3030B8B4228D3030CCA +:101E10008B420DD3FF22090212BA030C8B4202D36C +:101E20001212090265D0030B8B4219D300E0090A94 +:101E3000C30B8B4201D3CB03C01A5241830B8B429D +:101E400001D38B03C01A5241430B8B4201D34B0386 +:101E5000C01A5241030B8B4201D30B03C01A5241EB +:101E6000C30A8B4201D3CB02C01A5241830A8B4270 +:101E700001D38B02C01A5241430A8B4201D34B0259 +:101E8000C01A5241030A8B4201D30B02C01A5241BD +:101E9000CDD2C3098B4201D3CB01C01A5241830971 +:101EA0008B4201D38B01C01A524143098B4201D3AB +:101EB0004B01C01A524103098B4201D30B01C01AD6 +:101EC0005241C3088B4201D3CB00C01A5241830850 +:101ED0008B4201D38B00C01A524143088B4201D37D +:101EE0004B00C01A5241411A00D2014652411046DD +:101EF0007047FFE701B5002000F006F802BDC046BC +:101F00000029F7D076E770477047C046F0B5CE4657 +:101F1000474615042D0C2E0080B50704140C3F0C09 +:101F20009946030C7E435D43674363437F19340C3A +:101F3000E4199C46A54203D980235B029846C44419 +:101F40004B46514343433604360C250C2404654468 +:101F5000A4195918491920000CBC90469946F0BDA7 +:101F6000F8B557464E464546DE46E0B547024600C0 +:101F700088467F0A360EC40F002E47D0FF2E24D08D +:101F8000FB008027FF041F43002399469A467F3EAB +:101F900043465D025800DB0F6D0A000E984643D0A1 +:101FA000FF283BD0EB0080250022ED041D437F3845 +:101FB0003618731C41469B464B46614013430F2B1A +:101FC00064D875489B00C3589F46002F42D1082310 +:101FD0009946063BFF269A46DAE74146022A28D070 +:101FE000032A00D1CEE0012A00D0ACE0114000204D +:101FF0000022CCB24002D205400AE407104320433D +:102000003CBC90469946A246AB46F8BD002F15D180 +:1020100004239946033B00269A46B9E7FF20022293 +:10202000002DC5D00322C3E7002D19D100200122C5 +:10203000BEE70124FF220C400020DBE7380000F05F +:1020400001FB7626431F9F4000237642361A9946AD +:102050009A469DE70C239946093BFF269A4697E747 +:10206000280000F0EFFA431F9D4076235B42181AC8 +:1020700000229DE780200024C003FF22BAE73D0034 +:102080005246ABE73D0021005246A7E73B0C9C4679 +:102090002A04120C2B0C1400604665463F043F0CCA +:1020A0007C4342435D437B43270C9B18FF18BA4295 +:1020B00003D980235B029C46654424043A04240C23 +:1020C000121993015C1EA3413F0C920E7D191A4315 +:1020D000AD0115432B0104D501235E466A081D405E +:1020E000154332007F32002A25DD6B0704D00F2311 +:1020F0002B40042B00D004352B0103D53200274B95 +:1021000080321D40FE2A94DC0124A801400AD2B28C +:102110000C406FE78020C003074207D0054205D17D +:1021200028434002400A4446FF2263E73843400206 +:10213000400AFF225EE70124A31A1B2B05DD0C4099 +:102140000022002056E75E46CBE72A002020DA4036 +:10215000C31A9D402B005D1EAB4113435A0704D0A8 +:102160000F221A40042A00D004335A0104D5012456 +:1021700001220C4000203DE701249B01580A0C403D +:10218000002237E780200124C00328434002400A90 +:102190000C40FF222EE7C04668380000FFFFFFF723 +:1021A000F0B54F46D64646464400C0B5C20F47027A +:1021B0004802400A844666467B0A4800C90F9A4690 +:1021C000240E1500DB00000E8946F600FF2800D122 +:1021D0008FE001214F464F403900914266D0221ACC +:1021E000002A00DC9DE000283DD1002E00D18BE0CC +:1021F000511E002900D0B5E001249B1B5A0144D593 +:102200009B019F09380000F01DFA05388740844281 +:1022100000DD96E0041B3A0020200134E240041B5C +:10222000A7403B005F1EBB41002413435A0704D064 +:102230000F221A40042A00D004335A0127D5013452 +:10224000FF2C00D179E001229B015B0AE4B22A4015 +:102250005B02E405580AD207204310431CBC904699 +:102260009946A246F0BDFF2CE0D08021C9040E4360 +:102270001B2A7BDC31002020D140821A9640721E3E +:1022800096410E439B1B5A01BAD45A07D0D1012262 +:10229000DF082A40FF2C33D1002F00D1A8E0802393 +:1022A000DB033B435B025B0AFF24D1E7211A0029D1 +:1022B0004CDD00282AD0FF2CB8D08020C004064373 +:1022C0001B2900DDAFE030002027C840791A8E407E +:1022D000711E8E4106439B195901D6D50134FF2C3E +:1022E00000D185E001227A491A405B080B40134374 +:1022F0009CE7002E00D070E76BE73B1EC5D10022A3 +:102300007B025B0AE4B2A3E714008FE7002E4DD0F6 +:10231000481E002857D19B1901245A01B5D5022423 +:10232000E0E7002A25D1621CD2B2012A72DD9F1B90 +:102330007A0135D5F71A0D0064E7012200232A40FF +:1023400086E73B00634A241A13406FE700296CD1EB +:10235000611CC8B201284EDDFF2949D09B195B08DA +:102360000C0063E7FF2A41D00A0081E701269B1B8E +:1023700089E7002C1CD0FF2821D08024E40452429D +:1023800023431B2A00DD96E01C002025D440AA1A16 +:1023900093405A1E93412343F31A04000D002DE786 +:1023A000002F00D02EE700220024A9E70C003DE713 +:1023B000002B58D0D243002AEED0FF28E1D13300C1 +:1023C000FF240D0032E7FF2910D0010078E7002B31 +:1023D0006ED0FF24002E00D128E780225146D20380 +:1023E000114203D06146114200D13300FF241DE7A2 +:1023F000FF2400232CE7002CE9D1002B63D0002E12 +:1024000000D113E79B195A0100D43EE7314A0C0072 +:1024100013400BE7002C1ED1002B2FD1002E4FD0E4 +:1024200033000D0002E7012655E7002C1FD1002BD9 +:1024300043D0C94300290BD0FF2839D01B2944DCE5 +:102440001C002027CC40791A8B40591E8B41234316 +:102450009B19040040E7002B1AD1002E24D18027BD +:102460000022FF031BE7330004000D00DEE6FF2817 +:102470001ED08024E40449422343DFE7002E00D12C +:10248000D4E69F1B7A0100D437E7F31A0D00CDE69E +:10249000FF24002E00D1C9E680225046D20310420C +:1024A000A4D060461042A1D133000D00FF24BDE648 +:1024B0003300FF24BAE601236EE733000400B5E6DB +:1024C000002700221CE73300B0E60123C0E7C04626 +:1024D000FFFFFF7DFFFFFFFB41024300C20F490AE0 +:1024E0001B0E00207E2B0DDD9D2B0CDC80200004BC +:1024F0000143952B0ADC9620C31AD9404842002A92 +:1025000000D108007047034BD018FBE7963B994079 +:10251000F4E7C046FFFFFF7F70B5002830D0C31737 +:10252000C4185C40C50F200000F08CF89E231B1AD5 +:10253000962B0DDC9622D21A94402A006402640A7B +:10254000DBB26402DB05600AD2071843104370BD9A +:10255000992B19DC9922D21A002A29DD94402200F5 +:10256000144C1440510704D00F210A40042A00D013 +:102570000434620113D4A401640ADBB22A00E0E748 +:10258000002200230024DCE705222100121AD1409A +:10259000B922D21A9440621E94410C43DAE7054BEB +:1025A0002A001C409F23A4011B1A640ADBB2C8E75F +:1025B0002200D5E7FFFFFFFB10B5041E27D000F077 +:1025C00041F89E231B1A962B0ADC9622D21A9440BD +:1025D0006402640ADBB26402DB05600A184310BDC2 +:1025E000992B17DC9922D21A002A27DD9440220069 +:1025F000134C1440510704D00F210A40042A00D084 +:102600000434620112D4A401640ADBB2E3E70023BC +:102610000024E0E7B9222100D21A91400A00511E9D +:102620008A410521091ACC401443DBE7044B1C40C6 +:102630009F23A4011B1A640ADBB2CCE72200D7E770 +:10264000FFFFFFFB1C2101231B04984201D3000C58 +:1026500010391B0A984201D3000A08391B09984215 +:1026600001D30009043902A2105C40187047C0462B +:10267000040302020101010100000000000000004B +:1026800070B500260C4D0D4C641BA410A64209D158 +:10269000002601F055F90A4D0A4C641BA410A6420D +:1026A00005D170BDB300EB5898470136EEE7B30093 +:1026B000EB5898470136F2E74C3900004C390000DE +:1026C0004C39000050390000002310B59A4200D167 +:1026D00010BDCC5CC4540133F8E703008218934268 +:1026E00000D1704719700133F9E700000FB40B4BAC +:1026F00013B51C68002C05D0A369002B02D1200063 +:1027000000F0BCF905AB049AA1682000019300F029 +:1027100091FB16BC08BC04B01847C0461800002046 +:102720004A424A41802310B55200DB0000F002F813 +:1027300010BD0000F0B51D004F4B85B01E680400B1 +:102740000F000092002E05D0B369002B02D130009B +:1027500000F094F9494B9C4250D17468009B022BC5 +:1027600005D0012B00D984E0002D00DA81E02100A2 +:10277000300000F015F9616B002908D023004433C4 +:10278000994202D0300000F085FA002363630023F1 +:10279000A3616360A3891B0603D52169300000F0A3 +:1027A00079FAA389364A1340A381009B022B5AD0A1 +:1027B00003AB02AA2100300000F0F6F9A389184308 +:1027C000A081002D24D1029D280000F059FA019526 +:1027D000071E42D1029B0193AB4239D101204042F6 +:1027E0000223A2891343A3810023A360230047335C +:1027F000236023610123636105B0F0BD214B9C423E +:1028000001D1B468AAE7204B9C42A7D1F468A5E7A0 +:10281000002FD9D0B369002B02D1300000F02EF97F +:10282000009B012B03D1A389009A1A43A28108209F +:10283000A389276027616561184018D001201840DE +:102840000023984211D06D42A360A5611800D3E720 +:10285000019800F015FA071EC0D08023A289019DBF +:102860001343A381D6E70020BAE7A560C4E7A060C0 +:10287000C2E701204042BFE718000020CC3800002A +:102880005CF3FFFFEC380000AC380000F7B58A8934 +:1028900005000C00130760D44B68002B04DC0B6CA4 +:1028A000002B01DC0020FEBDE76A002FFAD00023D8 +:1028B0002E682B6080235B011A4034D0606DA389A1 +:1028C0005B0706D56368C01A636B002B01D0236CCD +:1028D000C01A0200216A00232800E76AB847A189CC +:1028E000431C06D12B681D2B31D82C4ADA40D30764 +:1028F0002DD50023636023692360CB0405D5431CD9 +:1029000002D12B68002B00D16065616B2E6000291D +:10291000C8D023004433994202D0280000F0BAF90D +:1029200000206063BFE70123216A2800B847431CE9 +:10293000C5D12B68002BC2D01D2B01D0162B01D185 +:102940002E60AFE74023A2891343A381ABE7402366 +:102950000B430120A3814042A5E70F69002FA1D0BE +:102960000B680F60DB1B01930023920700D14B69BA +:10297000A360019B002B00DC94E7019B3A00216AD5 +:102980002800A66AB047002803DC4023A28913432D +:10299000DFE7019B3F181B1A0193EAE70100402083 +:1029A0000B6970B505000C00002B01D1002070BD33 +:1029B000002804D08369002B01D100F05FF80B4B95 +:1029C0009C4209D16C680C22A35E002BEED0210042 +:1029D0002800FFF75BFFEAE7054B9C4201D1AC689A +:1029E000F1E7044B9C42EED1EC68ECE7CC380000F8 +:1029F000EC380000AC38000010B5024900F0B2F825 +:102A000010BDC046A1290000002310B504000360DA +:102A10004360836081814366C28103614361836156 +:102A2000190008225C30FFF758FE054B24626362F0 +:102A3000044BA362044BE362044B236310BDC04606 +:102A4000A1330000C9330000013400002D34000020 +:102A500070B568254A1E55430E002900743100F0F8 +:102A600063F9041E08D000212A00016046600C3082 +:102A7000A0606832FFF731FE200070BD836913B596 +:102A80000400002B28D18364C3640365134B144AEC +:102A90001B6882620193984201D101238361200067 +:102AA00000F020F86060200000F01CF8A06020001A +:102AB00000F018F80022E06004216068FFF7A4FF2E +:102AC00001220921A068FFF79FFF02221221E0687E +:102AD000FFF79AFF0123A36113BDC046A838000089 +:102AE000F9290000F8B51E4B07001E68B369002BDA +:102AF00002D13000FFF7C2FF4836B4687368013B6B +:102B000004D53368002B07D03668F6E70C22A55EA3 +:102B1000002D0DD06834F2E704213800FFF798FF4C +:102B200030600028F0D10C2304003B602000F8BD89 +:102B3000012320005B42E3810233A38165662560A7 +:102B4000A560656025616561A561082229005C308A +:102B5000FFF7C3FD6563A563A564E564E6E7C046CA +:102B6000A8380000F7B5040007000026019148349A +:102B7000002C01D13000FEBD6368A5680093009B66 +:102B8000013B009301D52468F2E7AB89012B08D9FA +:102B90000E22AB5E013304D029003800019B984718 +:102BA00006436835EBE7000070B50E001D000E23EC +:102BB000C95E90B01400002907DA00232B60B389A6 +:102BC0001B0611D48023DB000FE001AA00F026FDD4 +:102BD0000028F2DBF022029B12021340054A9B18E8 +:102BE0005A4253412B60EDE740230020236010B090 +:102BF00070BDC04600E0FFFFF7B502268B890500D7 +:102C00000C00334206D023004733236023610123A5 +:102C10006361F7BD01AB6A46FFF7C6FF0099070085 +:102C2000280000F081F8002808D10C22A35E9A0544 +:102C3000EFD4032293431E43A681E4E70F4BAB621C +:102C40008023A28920601343A381009B20616361DC +:102C5000019B002B0DD00E23E15E280000F0F0FC5C +:102C6000002806D00322A38993431A0001231343AB +:102C7000A381A0893843A081CBE7C046F929000091 +:102C800010B5034B0100186800F04EF810BDC046A7 +:102C90001800002070B50500002910D00C1F236813 +:102CA000002B00DAE418280000F0FBFC1D4A136832 +:102CB000002B05D163601460280000F0F3FC70BDA8 +:102CC000A34209D9216860188342F3D118685B6870 +:102CD00041182160EEE713005A68002A01D0A24291 +:102CE000F9D919685818A0420BD12068091858184A +:102CF00019608242E0D110685268411819605A6028 +:102D0000DAE7A04202D90C232B60D5E721686018CE +:102D1000824203D1106852684118216062605C6091 +:102D2000CAE7C046D0010020032370B5CD1C9D43E7 +:102D3000083506000C2D1ED20C25A9421DD83000E6 +:102D400000F0AFFC254A14682100002919D1244C59 +:102D50002368002B03D1300000F010FB2060290015 +:102D6000300000F00BFB431C2CD10C2330003360EF +:102D700000F098FC03E0002DDFDA0C233360002024 +:102D800070BD0B685B1B1AD40B2B03D90B60CC18DE +:102D9000256003E08C420ED163681360300000F0C0 +:102DA00081FC200007220B30231D9043C31AE7D07B +:102DB0005A42E250E4E74B6863600C00EEE70C0017 +:102DC0004968C2E70323C41C9C43A042E0D0211AF7 +:102DD000300000F0D3FA431CDAD1C6E7D00100205E +:102DE000D4010020936810B5013B9360002B05DAF5 +:102DF0009469A34208DBCBB20A2B05D01368581C98 +:102E000010601970C8B210BD00F016FBFBE7F8B5F2 +:102E100006000F001400D518AC4201D1002007E0D5 +:102E200021783A003000FFF7DDFF0134431CF3D175 +:102E3000F8BD0000F0B59FB006000F001400059328 +:102E4000002804D08369002B01D1FFF717FE7F4BC8 +:102E50009F425CD17768BB891B0762D53B69002B19 +:102E60005FD0002306AD6B6120336B761033AB76F9 +:102E70000294029C2378002B5DD1029BE31A0493F9 +:102E80000DD0049B029A39003000FFF7C0FF431CAD +:102E900000D1CCE06A69049B944663446B6123785B +:102EA000002B00D1C3E00122002352426A6002A934 +:102EB0005432521801342B60EB60AB601370AB6579 +:102EC00021780522624800F0E1FB631C9C46002843 +:102ED00035D12968CB0604D5532302AA20309B188C +:102EE00018700B0704D553232B2002AA9B181870C7 +:102EF00023782A2B2CD000200A21099B2278303AF3 +:102F0000092A00D86BE000282AD0099328E0514B09 +:102F10009F4201D1B7689EE74F4B9F429BD1F76814 +:102F200099E73900300000F0F1FA002899D001202B +:102F300040421FB0F0BD252B9FD001349AE7444B8F +:102F40002968C01A012383400B432B606446B7E70E +:102F5000059B181D1B680590002B3ADB09936446FE +:102F600023782E2B0BD16378621C2A2B3FD1059B33 +:102F700002341A1D1B680592002B35DB079321785C +:102F80000322364800F082FB002807D0334B2A6822 +:102F9000C01A4023834013432B6001342178631C03 +:102FA00006222F480293297600F070FB002844D0B7 +:102FB0002C4B002B2FD12968059B0722C90528D54A +:102FC0009B189343083305936B69039A9B186B61B5 +:102FD0004FE75B42EB6002230B432B60BFE74B43A1 +:102FE00001349B18012089E701235B42C6E70023D7 +:102FF00014000A201A006B6021783039092903D99E +:10300000002BBCD00792BAE7424301345218012387 +:10301000F2E70733D5E705AB00933A00124B2900DE +:10302000300000E000BF0390039B0133CCD1BB898B +:103030005B0600D57BE70B987BE705AB00933A0076 +:10304000094B2900300000F07FF8ECE7CC38000095 +:103050000C390000EC380000AC38000012390000D8 +:1030600016390000000000000F2E0000F7B5150013 +:1030700001938A680B6900900C00934200DA1300F8 +:1030800022002B6043321278002A01D001332B60DA +:1030900023689B0602D52B6802332B600627236822 +:1030A0001F4027D0230043331B785A1E93412268C8 +:1030B000920630D42200019943320098089EB0470E +:1030C000431C25D0062320682A68E16803400025B8 +:1030D000042B03D18D1AEB43DB171D40A368226933 +:1030E000934201DD9B1AED180027BD4220D100203C +:1030F00010E00137E3682A689B1A9F42D2DA220067 +:103100000123193201990098089EB047431CF0D161 +:1031100001204042FEBD3020E118433108702100FB +:103120005A1C45310978A218433202331170C1E7A5 +:10313000220001231A3201990098089EB047431CCF +:10314000E6D00137D1E70000F0B58BB006920A0057 +:1031500043320793059004920A7E0C00109B6E2A5E +:1031600000D18FE017D8632A2CD008D8002A00D1CC +:1031700099E0582A54D026004236327029E0642A59 +:1031800001D0692AF7D121681A68080623D5111DD4 +:103190001960156825E0732A00D188E008D86F2AE5 +:1031A00029D0702AE7D1202209680A43226003E06F +:1031B000752A20D0782ADED122007821453211707C +:1031C0006C4A30E00E001A684236111D196013680F +:1031D0003370012379E04906D9D5111D1960002308 +:1031E000D55E002D03DA2D23049A6D421370624BD5 +:1031F0000A2703932FE020681968050603D5081DE8 +:1032000018600D6805E04006F9D50D68081D1860C6 +:10321000ADB2594B082703936F2A18D00A2716E03E +:1032200045310A70544A03921A682168101D1860CB +:103230001568080622D5CB0702D520231943216043 +:103240001027002D03D1202322689A4322602300F7 +:10325000002243331A706368A360002B5CDB0422F6 +:10326000216891432160002D58D1049E002B64D029 +:103270002600039B42361B7833705EE04806DAD5A1 +:10328000ADB2D8E709681A68080605D5111D19609E +:10329000136862691A6006E04906F7D5111D1960C6 +:1032A0001368A28A1A800023049E236154E01A68DE +:1032B000111D1960166800216268300000F0E6F9FF +:1032C000002801D0801B6060636823610023049A9A +:1032D000137041E02369320006990598079DA847BD +:1032E000431C43D0236800259B070FD4099BE0684B +:1032F00098423DDA18003BE022000123193206997A +:103300000598079EB047431C30D00135E368099A01 +:103310009B1A9D42F0DBE9E7002DA9D0049E28000E +:103320003900FEF7EDFD039B013E5B5C2800337026 +:103330003900FEF75FFD051EF1D1082F09D1236882 +:10334000DB0706D563682269934202DC3023013E25 +:103350003370049B9B1B2361079B09AA00932100E8 +:10336000069B0598FFF782FE431CB3D10120404223 +:103370000BB0F0BD2E3900001D390000002370B5E0 +:10338000064C050008002360FEF7D4FC431C03D163 +:103390002368002B00D02B6070BDC0469C0200202B +:1033A00070B50C000E25495F00F07EF9002803DBA4 +:1033B000636D1B18636570BDA389024A1340A38126 +:1033C000F9E7C046FFEFFFFFF8B51F008B89050046 +:1033D0000C001600DB0505D50E23C95E0022022372 +:1033E00000F040F9A389054A28001340A381320068 +:1033F0000E23E15E3B0000F075F8F8BDFFEFFFFF24 +:1034000070B50C000E25495F00F02CF9A389421C11 +:1034100003D1054A1340A38170BD8022520113439A +:10342000A3816065F8E7C046FFEFFFFF10B50E23EC +:10343000C95E00F0E1F810BDF8B505000E001400FB +:10344000002804D08369002B01D1FFF717FB224B22 +:103450009C422DD16C68A369A360A3891B0731D559 +:103460002369002B2ED023682269F7B2981A63696A +:10347000F6B2984205DB21002800FFF791FA0028F8 +:1034800026D1A3680130013BA36023685A1C226047 +:103490001F706369984204D0A389DB071AD50A2EEE +:1034A00018D121002800FFF77BFA002812D00FE086 +:1034B0000A4B9C4201D1AC68CDE7094B9C42CAD172 +:1034C000EC68C8E72100280000F020F80028CAD0E6 +:1034D000012676423000F8BDCC380000EC38000000 +:1034E000AC38000070B50500080011000022064C41 +:1034F00022601A00FDF7B8FB431C03D12368002BA0 +:1035000000D02B6070BDC0469C020020364B70B5C9 +:103510001D6806000C00002D05D0AB69002B02D100 +:103520002800FFF7ABFA314B9C420FD16C680C239B +:10353000E25E93B219072DD4D90611D409230120D4 +:10354000336037331343A381404270BD284B9C4204 +:1035500001D1AC68EBE7274B9C42E8D1EC68E6E789 +:103560005B0713D5616B002908D0230044339942CF +:1035700002D03000FFF78EFB002363632422A3896F +:103580009343A38100236360236923600823A289F6 +:103590001343A3812369002B0BD1A0218022A3898F +:1035A000890092000B40934203D021003000FFF7C6 +:1035B00023FB0123A289134011D00023A360636978 +:1035C0005B42A361002023698342BED10C23E25EEB +:1035D0001306BAD540231343A3810138B5E79207F8 +:1035E00000D46369A360EDE718000020CC38000028 +:1035F000EC380000AC380000002370B5064C050024 +:1036000008002360FEF7A8FB431C03D12368002BAE +:1036100000D02B6070BDC0469C020020002370B516 +:10362000064C0500080011002360FEF798FB431CC0 +:1036300003D12368002B00D02B6070BD9C020020BA +:10364000002370B5064C050008002360FEF78CFBD4 +:10365000431C03D12368002B00D02B6070BDC046F3 +:103660009C02002070B50500080011000022064CE5 +:1036700022601A00FEF77AFB431C03D12368002B5B +:1036800000D02B6070BDC0469C020020C9B28218D9 +:10369000904201D10020704703788B42FBD001306B +:1036A000F6E770477047000070B50500080011008C +:1036B0000022064C22601A00FDF7B4FA431C03D125 +:1036C0002368002B00D02B6070BDC0469C020020F8 +:1036D000FA0200004005000040050000400500001F +:1036E00040050000400500004005000040050000C6 +:1036F00040050000400500004005000040050000B6 +:1037000040050000400500004005000040050000A5 +:10371000E2020000400500004005000040050000F6 +:103720004005000040050000400500004005000085 +:103730004005000040050000400500004005000075 +:103740004005000040050000400500004005000065 +:10375000F2020000400500004005000040050000A6 +:103760004005000040050000400500004005000045 +:103770004005000040050000400500004005000035 +:103780004005000040050000400500004005000025 +:10379000EA02000002030000CA020000DA02000090 +:1037A000D202000002000000030000002800000018 +:1037B00029000000040000000500000006000000D1 +:1037C000070000002000000021000000220000008F +:1037D0002300000024000000250000002600000057 +:1037E0002700000008000000090000000A00000097 +:1037F0000B00000000080042000C004200100042D4 +:103800000014004200180042001C0042BE160000D6 +:10381000BA160000BA16000020170000201700009A +:10382000D2160000C4160000D81600000E170000C3 +:10383000A81700008817000088170000141800005F +:103840009A170000B61700008C170000C41700007C +:1038500004180000566F6C746167653A2025642E69 +:10386000253033640D0A00008C200000DC1F0000AE +:10387000DC1F0000DA1F00007E2000007E20000018 +:1038800074200000DA1F00007E2000007420000079 +:103890007E200000DA1F0000842000008420000049 +:1038A00084200000142100001C0000200000000003 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:10390000000000000000000000000000232D302B0C +:103910002000686C4C0065666745464700303132D0 +:103920003334353637383941424344454600303127 +:103930003233343536373839616263646566000086 +:10394000F8B5C046F8BC08BC9E467047DD000000D4 +:10395000F8B5C046F8BC08BC9E467047B5000000EC +:10396000000000000000000005000000401F0000F3 +:1039700008000000010000001C0000200000000002 +:10398000CC380000EC380000AC380000000000002B +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:0C39D000000000000000000000000000EB +:0400000300001C518C +:00000001FF diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.lss b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.lss new file mode 100644 index 0000000..8f4674e --- /dev/null +++ b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.lss @@ -0,0 +1,9180 @@ + +D21_ADC_with_DMA.elf: file format elf32-littlearm + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00003960 00000000 00000000 00010000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .relocate 0000007c 20000000 00003960 00020000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 2 .bss 00000220 20000080 000039e0 0002007c 2**4 + ALLOC + 3 .stack 00002000 200002a0 00003c00 0002007c 2**0 + ALLOC + 4 .ARM.attributes 00000028 00000000 00000000 0002007c 2**0 + CONTENTS, READONLY + 5 .comment 00000059 00000000 00000000 000200a4 2**0 + CONTENTS, READONLY + 6 .debug_info 0002e296 00000000 00000000 000200fd 2**0 + CONTENTS, READONLY, DEBUGGING + 7 .debug_abbrev 00004281 00000000 00000000 0004e393 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 00004e91 00000000 00000000 00052614 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_aranges 00000548 00000000 00000000 000574a5 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_ranges 00000610 00000000 00000000 000579ed 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_macro 0001ca44 00000000 00000000 00057ffd 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_line 0000e317 00000000 00000000 00074a41 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_str 00090169 00000000 00000000 00082d58 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_frame 000014c8 00000000 00000000 00112ec4 2**2 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00000000 : + 0: a0 22 00 20 51 1c 00 00 4d 1c 00 00 4d 1c 00 00 .". Q...M...M... + ... + 2c: 4d 1c 00 00 00 00 00 00 00 00 00 00 4d 1c 00 00 M...........M... + 3c: 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 M...M...M...M... + 4c: 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 4d 05 00 00 M...M...M...M... + 5c: 4d 1c 00 00 4d 1c 00 00 15 15 00 00 25 15 00 00 M...M.......%... + 6c: 35 15 00 00 45 15 00 00 55 15 00 00 65 15 00 00 5...E...U...e... + 7c: 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 M...M...M...M... + 8c: 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 M...M...M...M... + 9c: 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 4d 1c 00 00 M...M...M...M... + ac: 4d 1c 00 00 00 00 00 00 M....... + +000000b4 <__do_global_dtors_aux>: + b4: b510 push {r4, lr} + b6: 4c06 ldr r4, [pc, #24] ; (d0 <__do_global_dtors_aux+0x1c>) + b8: 7823 ldrb r3, [r4, #0] + ba: 2b00 cmp r3, #0 + bc: d107 bne.n ce <__do_global_dtors_aux+0x1a> + be: 4b05 ldr r3, [pc, #20] ; (d4 <__do_global_dtors_aux+0x20>) + c0: 2b00 cmp r3, #0 + c2: d002 beq.n ca <__do_global_dtors_aux+0x16> + c4: 4804 ldr r0, [pc, #16] ; (d8 <__do_global_dtors_aux+0x24>) + c6: e000 b.n ca <__do_global_dtors_aux+0x16> + c8: bf00 nop + ca: 2301 movs r3, #1 + cc: 7023 strb r3, [r4, #0] + ce: bd10 pop {r4, pc} + d0: 20000080 .word 0x20000080 + d4: 00000000 .word 0x00000000 + d8: 00003960 .word 0x00003960 + +000000dc : + dc: 4b08 ldr r3, [pc, #32] ; (100 ) + de: b510 push {r4, lr} + e0: 2b00 cmp r3, #0 + e2: d003 beq.n ec + e4: 4907 ldr r1, [pc, #28] ; (104 ) + e6: 4808 ldr r0, [pc, #32] ; (108 ) + e8: e000 b.n ec + ea: bf00 nop + ec: 4807 ldr r0, [pc, #28] ; (10c ) + ee: 6803 ldr r3, [r0, #0] + f0: 2b00 cmp r3, #0 + f2: d100 bne.n f6 + f4: bd10 pop {r4, pc} + f6: 4b06 ldr r3, [pc, #24] ; (110 ) + f8: 2b00 cmp r3, #0 + fa: d0fb beq.n f4 + fc: 4798 blx r3 + fe: e7f9 b.n f4 + 100: 00000000 .word 0x00000000 + 104: 20000084 .word 0x20000084 + 108: 00003960 .word 0x00003960 + 10c: 00003960 .word 0x00003960 + 110: 00000000 .word 0x00000000 + +00000114 : + * + * \param[out] config Pointer to configuration struct to initialize to + * default values + */ +void adc_get_config_defaults(struct adc_config *const config) +{ + 114: b510 push {r4, lr} + Assert(config); + config->clock_source = GCLK_GENERATOR_0; + 116: 2200 movs r2, #0 + 118: 2300 movs r3, #0 + 11a: 7002 strb r2, [r0, #0] + config->reference = ADC_REFERENCE_INT1V; + 11c: 7042 strb r2, [r0, #1] + config->clock_prescaler = ADC_CLOCK_PRESCALER_DIV4; + 11e: 2100 movs r1, #0 + 120: 8042 strh r2, [r0, #2] + config->resolution = ADC_RESOLUTION_12BIT; + 122: 7101 strb r1, [r0, #4] + config->window.window_mode = ADC_WINDOW_MODE_DISABLE; + 124: 7603 strb r3, [r0, #24] + config->window.window_upper_value = 0; + 126: 6202 str r2, [r0, #32] + config->window.window_lower_value = 0; + 128: 61c2 str r2, [r0, #28] + config->gain_factor = ADC_GAIN_FACTOR_1X; + 12a: 6082 str r2, [r0, #8] +#if SAMR21 + config->positive_input = ADC_POSITIVE_INPUT_PIN6 ; +#else + config->positive_input = ADC_POSITIVE_INPUT_PIN0 ; + 12c: 7303 strb r3, [r0, #12] +#endif + config->negative_input = ADC_NEGATIVE_INPUT_GND ; + 12e: 24c0 movs r4, #192 ; 0xc0 + 130: 0164 lsls r4, r4, #5 + 132: 81c4 strh r4, [r0, #14] + config->accumulate_samples = ADC_ACCUMULATE_DISABLE; + 134: 7403 strb r3, [r0, #16] + config->divide_result = ADC_DIVIDE_RESULT_DISABLE; + 136: 7443 strb r3, [r0, #17] + config->left_adjust = false; + 138: 7483 strb r3, [r0, #18] + config->differential_mode = false; + 13a: 74c3 strb r3, [r0, #19] + config->freerunning = false; + 13c: 7503 strb r3, [r0, #20] + config->event_action = ADC_EVENT_ACTION_DISABLED; + 13e: 242a movs r4, #42 ; 0x2a + 140: 5503 strb r3, [r0, r4] + config->run_in_standby = false; + 142: 7543 strb r3, [r0, #21] + config->reference_compensation_enable = false; + 144: 7583 strb r3, [r0, #22] + config->correction.correction_enable = false; + 146: 3c06 subs r4, #6 + 148: 5503 strb r3, [r0, r4] + config->correction.gain_correction = ADC_GAINCORR_RESETVALUE; + 14a: 84c2 strh r2, [r0, #38] ; 0x26 + config->correction.offset_correction = ADC_OFFSETCORR_RESETVALUE; + 14c: 8502 strh r2, [r0, #40] ; 0x28 + config->sample_length = 0; + 14e: 75c1 strb r1, [r0, #23] + config->pin_scan.offset_start_scan = 0; + 150: 232b movs r3, #43 ; 0x2b + 152: 54c1 strb r1, [r0, r3] + config->pin_scan.inputs_to_scan = 0; + 154: 3301 adds r3, #1 + 156: 54c1 strb r1, [r0, r3] +} + 158: bd10 pop {r4, pc} + ... + +0000015c : + */ +enum status_code adc_init( + struct adc_module *const module_inst, + Adc *hw, + struct adc_config *config) +{ + 15c: b5f0 push {r4, r5, r6, r7, lr} + 15e: 46d6 mov lr, sl + 160: 464f mov r7, r9 + 162: 4646 mov r6, r8 + 164: b5c0 push {r6, r7, lr} + 166: b096 sub sp, #88 ; 0x58 + 168: 0007 movs r7, r0 + 16a: 0016 movs r6, r2 + Assert(module_inst); + Assert(hw); + Assert(config); + + /* Associate the software module instance with the hardware module */ + module_inst->hw = hw; + 16c: 6001 str r1, [r0, #0] + case SYSTEM_CLOCK_APB_APBB: + PM->APBBMASK.reg |= mask; + break; + + case SYSTEM_CLOCK_APB_APBC: + PM->APBCMASK.reg |= mask; + 16e: 4acb ldr r2, [pc, #812] ; (49c ) + 170: 6a10 ldr r0, [r2, #32] + 172: 2380 movs r3, #128 ; 0x80 + 174: 025b lsls r3, r3, #9 + 176: 4303 orrs r3, r0 + 178: 6213 str r3, [r2, #32] + + /* Turn on the digital interface clock */ + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBC, PM_APBCMASK_ADC); + + if (hw->CTRLA.reg & ADC_CTRLA_SWRST) { + 17a: 780b ldrb r3, [r1, #0] + /* We are in the middle of a reset. Abort. */ + return STATUS_BUSY; + 17c: 2005 movs r0, #5 + if (hw->CTRLA.reg & ADC_CTRLA_SWRST) { + 17e: 07db lsls r3, r3, #31 + 180: d505 bpl.n 18e + } +#endif + + /* Write configuration to module */ + return _adc_set_config(module_inst, config); +} + 182: b016 add sp, #88 ; 0x58 + 184: bc1c pop {r2, r3, r4} + 186: 4690 mov r8, r2 + 188: 4699 mov r9, r3 + 18a: 46a2 mov sl, r4 + 18c: bdf0 pop {r4, r5, r6, r7, pc} + if (hw->CTRLA.reg & ADC_CTRLA_ENABLE) { + 18e: 780b ldrb r3, [r1, #0] + return STATUS_ERR_DENIED; + 190: 3017 adds r0, #23 + if (hw->CTRLA.reg & ADC_CTRLA_ENABLE) { + 192: 079b lsls r3, r3, #30 + 194: d4f5 bmi.n 182 + module_inst->reference = config->reference; + 196: 7873 ldrb r3, [r6, #1] + 198: 713b strb r3, [r7, #4] + if (module_inst->reference == ADC_REFERENCE_INT1V) { + 19a: 2b00 cmp r3, #0 + 19c: d104 bne.n 1a8 + case SYSTEM_VOLTAGE_REFERENCE_TEMPSENSE: + SYSCTRL->VREF.reg |= SYSCTRL_VREF_TSEN; + break; + + case SYSTEM_VOLTAGE_REFERENCE_BANDGAP: + SYSCTRL->VREF.reg |= SYSCTRL_VREF_BGOUTEN; + 19e: 4ac0 ldr r2, [pc, #768] ; (4a0 ) + 1a0: 6c13 ldr r3, [r2, #64] ; 0x40 + 1a2: 2104 movs r1, #4 + 1a4: 430b orrs r3, r1 + 1a6: 6413 str r3, [r2, #64] ; 0x40 + Adc *const adc_module = module_inst->hw; + 1a8: 683b ldr r3, [r7, #0] + 1aa: 4698 mov r8, r3 + gclk_chan_conf.source_generator = config->clock_source; + 1ac: 7833 ldrb r3, [r6, #0] + 1ae: 466a mov r2, sp + 1b0: 7013 strb r3, [r2, #0] + system_gclk_chan_set_config(ADC_GCLK_ID, &gclk_chan_conf); + 1b2: 4669 mov r1, sp + 1b4: 201e movs r0, #30 + 1b6: 4bbb ldr r3, [pc, #748] ; (4a4 ) + 1b8: 4798 blx r3 + system_gclk_chan_enable(ADC_GCLK_ID); + 1ba: 201e movs r0, #30 + 1bc: 4bba ldr r3, [pc, #744] ; (4a8 ) + 1be: 4798 blx r3 + if (config->pin_scan.inputs_to_scan != 0) { + 1c0: 232c movs r3, #44 ; 0x2c + 1c2: 5cf2 ldrb r2, [r6, r3] + 1c4: 2a00 cmp r2, #0 + 1c6: d054 beq.n 272 + uint8_t offset = config->pin_scan.offset_start_scan; + 1c8: 3b01 subs r3, #1 + 1ca: 5cf5 ldrb r5, [r6, r3] + uint8_t start_pin = + 1cc: 7b33 ldrb r3, [r6, #12] + 1ce: 18eb adds r3, r5, r3 + 1d0: b2db uxtb r3, r3 + uint8_t end_pin = + 1d2: 18d1 adds r1, r2, r3 + while (start_pin < end_pin) { + 1d4: b2c9 uxtb r1, r1 + 1d6: 428b cmp r3, r1 + 1d8: d221 bcs.n 21e + 1da: 1952 adds r2, r2, r5 + 1dc: b2d3 uxtb r3, r2 + 1de: 4699 mov r9, r3 + const uint32_t pinmapping[] = { + 1e0: 4bb2 ldr r3, [pc, #712] ; (4ac ) + 1e2: 469a mov sl, r3 + 1e4: e003 b.n 1ee + offset++; + 1e6: 3501 adds r5, #1 + 1e8: b2ed uxtb r5, r5 + while (start_pin < end_pin) { + 1ea: 45a9 cmp r9, r5 + 1ec: d017 beq.n 21e + _adc_configure_ain_pin((offset % 16)+(uint8_t)config->positive_input); + 1ee: 240f movs r4, #15 + 1f0: 402c ands r4, r5 + 1f2: 7b33 ldrb r3, [r6, #12] + 1f4: 18e4 adds r4, r4, r3 + const uint32_t pinmapping[] = { + 1f6: 2250 movs r2, #80 ; 0x50 + 1f8: 49ad ldr r1, [pc, #692] ; (4b0 ) + 1fa: a802 add r0, sp, #8 + 1fc: 47d0 blx sl + if (pin <= ADC_EXTCHANNEL_MSB) { + 1fe: 2c13 cmp r4, #19 + 200: d8f1 bhi.n 1e6 + pin_map_result = pinmapping[pin >> ADC_INPUTCTRL_MUXPOS_Pos]; + 202: 00a4 lsls r4, r4, #2 + 204: ab02 add r3, sp, #8 + 206: 58e0 ldr r0, [r4, r3] + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->mux_position = SYSTEM_PINMUX_GPIO; + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + 208: a901 add r1, sp, #4 + 20a: 2300 movs r3, #0 + 20c: 704b strb r3, [r1, #1] + config->input_pull = SYSTEM_PINMUX_PIN_PULL_UP; + config->powersave = false; + 20e: 70cb strb r3, [r1, #3] + config.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + 210: 708b strb r3, [r1, #2] + config.mux_position = 1; + 212: 3301 adds r3, #1 + 214: 700b strb r3, [r1, #0] + system_pinmux_pin_set_config(pin_map_result, &config); + 216: b2c0 uxtb r0, r0 + 218: 4ba6 ldr r3, [pc, #664] ; (4b4 ) + 21a: 4798 blx r3 + 21c: e7e3 b.n 1e6 + _adc_configure_ain_pin(config->negative_input); + 21e: 89f4 ldrh r4, [r6, #14] + const uint32_t pinmapping[] = { + 220: 2250 movs r2, #80 ; 0x50 + 222: 49a3 ldr r1, [pc, #652] ; (4b0 ) + 224: a802 add r0, sp, #8 + 226: 4ba1 ldr r3, [pc, #644] ; (4ac ) + 228: 4798 blx r3 + if (pin <= ADC_EXTCHANNEL_MSB) { + 22a: 2c13 cmp r4, #19 + 22c: d913 bls.n 256 + adc_module->CTRLA.reg = (config->run_in_standby << ADC_CTRLA_RUNSTDBY_Pos); + 22e: 7d73 ldrb r3, [r6, #21] + 230: 009b lsls r3, r3, #2 + 232: b2db uxtb r3, r3 + 234: 4642 mov r2, r8 + 236: 7013 strb r3, [r2, #0] + (config->reference_compensation_enable << ADC_REFCTRL_REFCOMP_Pos) | + 238: 7db3 ldrb r3, [r6, #22] + 23a: 01db lsls r3, r3, #7 + 23c: 7872 ldrb r2, [r6, #1] + 23e: 4313 orrs r3, r2 + 240: b2db uxtb r3, r3 + adc_module->REFCTRL.reg = + 242: 4642 mov r2, r8 + 244: 7053 strb r3, [r2, #1] + switch (config->resolution) { + 246: 7933 ldrb r3, [r6, #4] + 248: 2b34 cmp r3, #52 ; 0x34 + 24a: d900 bls.n 24e + 24c: e178 b.n 540 + 24e: 009b lsls r3, r3, #2 + 250: 4a99 ldr r2, [pc, #612] ; (4b8 ) + 252: 58d3 ldr r3, [r2, r3] + 254: 469f mov pc, r3 + pin_map_result = pinmapping[pin >> ADC_INPUTCTRL_MUXPOS_Pos]; + 256: 00a4 lsls r4, r4, #2 + 258: ab02 add r3, sp, #8 + 25a: 58e0 ldr r0, [r4, r3] + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + 25c: a901 add r1, sp, #4 + 25e: 2300 movs r3, #0 + 260: 704b strb r3, [r1, #1] + config->powersave = false; + 262: 70cb strb r3, [r1, #3] + config.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + 264: 708b strb r3, [r1, #2] + config.mux_position = 1; + 266: 3301 adds r3, #1 + 268: 700b strb r3, [r1, #0] + system_pinmux_pin_set_config(pin_map_result, &config); + 26a: b2c0 uxtb r0, r0 + 26c: 4b91 ldr r3, [pc, #580] ; (4b4 ) + 26e: 4798 blx r3 + 270: e7dd b.n 22e + _adc_configure_ain_pin(config->positive_input); + 272: 7b34 ldrb r4, [r6, #12] + const uint32_t pinmapping[] = { + 274: 2250 movs r2, #80 ; 0x50 + 276: 498e ldr r1, [pc, #568] ; (4b0 ) + 278: a802 add r0, sp, #8 + 27a: 4b8c ldr r3, [pc, #560] ; (4ac ) + 27c: 4798 blx r3 + if (pin <= ADC_EXTCHANNEL_MSB) { + 27e: 2c13 cmp r4, #19 + 280: d915 bls.n 2ae + _adc_configure_ain_pin(config->negative_input); + 282: 89f4 ldrh r4, [r6, #14] + const uint32_t pinmapping[] = { + 284: 2250 movs r2, #80 ; 0x50 + 286: 498a ldr r1, [pc, #552] ; (4b0 ) + 288: a802 add r0, sp, #8 + 28a: 4b88 ldr r3, [pc, #544] ; (4ac ) + 28c: 4798 blx r3 + if (pin <= ADC_EXTCHANNEL_MSB) { + 28e: 2c13 cmp r4, #19 + 290: d8cd bhi.n 22e + pin_map_result = pinmapping[pin >> ADC_INPUTCTRL_MUXPOS_Pos]; + 292: 00a4 lsls r4, r4, #2 + 294: ab02 add r3, sp, #8 + 296: 58e0 ldr r0, [r4, r3] + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + 298: a901 add r1, sp, #4 + 29a: 2300 movs r3, #0 + 29c: 704b strb r3, [r1, #1] + config->powersave = false; + 29e: 70cb strb r3, [r1, #3] + config.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + 2a0: 708b strb r3, [r1, #2] + config.mux_position = 1; + 2a2: 3301 adds r3, #1 + 2a4: 700b strb r3, [r1, #0] + system_pinmux_pin_set_config(pin_map_result, &config); + 2a6: b2c0 uxtb r0, r0 + 2a8: 4b82 ldr r3, [pc, #520] ; (4b4 ) + 2aa: 4798 blx r3 + 2ac: e7bf b.n 22e + pin_map_result = pinmapping[pin >> ADC_INPUTCTRL_MUXPOS_Pos]; + 2ae: 00a4 lsls r4, r4, #2 + 2b0: ab02 add r3, sp, #8 + 2b2: 58e0 ldr r0, [r4, r3] + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + 2b4: a901 add r1, sp, #4 + 2b6: 2300 movs r3, #0 + 2b8: 704b strb r3, [r1, #1] + config->powersave = false; + 2ba: 70cb strb r3, [r1, #3] + config.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + 2bc: 708b strb r3, [r1, #2] + config.mux_position = 1; + 2be: 3301 adds r3, #1 + 2c0: 700b strb r3, [r1, #0] + system_pinmux_pin_set_config(pin_map_result, &config); + 2c2: b2c0 uxtb r0, r0 + 2c4: 4b7b ldr r3, [pc, #492] ; (4b4 ) + 2c6: 4798 blx r3 + 2c8: e7db b.n 282 + accumulate = ADC_ACCUMULATE_SAMPLES_16; + 2ca: 2304 movs r3, #4 + resolution = ADC_RESOLUTION_16BIT; + 2cc: 2410 movs r4, #16 + adjres = ADC_DIVIDE_RESULT_4; + 2ce: 2102 movs r1, #2 + 2d0: e01a b.n 308 + adjres = config->divide_result; + 2d2: 7c71 ldrb r1, [r6, #17] + accumulate = config->accumulate_samples; + 2d4: 7c33 ldrb r3, [r6, #16] + resolution = ADC_RESOLUTION_16BIT; + 2d6: 2410 movs r4, #16 + 2d8: e016 b.n 308 + accumulate = ADC_ACCUMULATE_SAMPLES_64; + 2da: 2306 movs r3, #6 + resolution = ADC_RESOLUTION_16BIT; + 2dc: 2410 movs r4, #16 + adjres = ADC_DIVIDE_RESULT_2; + 2de: 2101 movs r1, #1 + 2e0: e012 b.n 308 + accumulate = ADC_ACCUMULATE_SAMPLES_256; + 2e2: 2308 movs r3, #8 + resolution = ADC_RESOLUTION_16BIT; + 2e4: 2410 movs r4, #16 + adjres = ADC_DIVIDE_RESULT_DISABLE; + 2e6: 2100 movs r1, #0 + 2e8: e00e b.n 308 + enum adc_accumulate_samples accumulate = ADC_ACCUMULATE_DISABLE; + 2ea: 2300 movs r3, #0 + resolution = ADC_RESOLUTION_8BIT; + 2ec: 2430 movs r4, #48 ; 0x30 + uint8_t adjres = 0; + 2ee: 2100 movs r1, #0 + 2f0: e00a b.n 308 + enum adc_accumulate_samples accumulate = ADC_ACCUMULATE_DISABLE; + 2f2: 2300 movs r3, #0 + resolution = ADC_RESOLUTION_10BIT; + 2f4: 2420 movs r4, #32 + uint8_t adjres = 0; + 2f6: 2100 movs r1, #0 + 2f8: e006 b.n 308 + enum adc_accumulate_samples accumulate = ADC_ACCUMULATE_DISABLE; + 2fa: 2300 movs r3, #0 + resolution = ADC_RESOLUTION_12BIT; + 2fc: 2400 movs r4, #0 + uint8_t adjres = 0; + 2fe: 2100 movs r1, #0 + 300: e002 b.n 308 + accumulate = ADC_ACCUMULATE_SAMPLES_4; + 302: 2302 movs r3, #2 + resolution = ADC_RESOLUTION_16BIT; + 304: 2410 movs r4, #16 + adjres = ADC_DIVIDE_RESULT_2; + 306: 2101 movs r1, #1 + adc_module->AVGCTRL.reg = ADC_AVGCTRL_ADJRES(adjres) | accumulate; + 308: 0109 lsls r1, r1, #4 + 30a: 2270 movs r2, #112 ; 0x70 + 30c: 400a ands r2, r1 + 30e: 4313 orrs r3, r2 + 310: 4642 mov r2, r8 + 312: 7093 strb r3, [r2, #2] + if (config->sample_length > 63) { + 314: 7df3 ldrb r3, [r6, #23] + return STATUS_ERR_INVALID_ARG; + 316: 2017 movs r0, #23 + if (config->sample_length > 63) { + 318: 2b3f cmp r3, #63 ; 0x3f + 31a: d900 bls.n 31e + 31c: e731 b.n 182 + adc_module->SAMPCTRL.reg = + 31e: 70d3 strb r3, [r2, #3] + struct adc_module *const module_inst) +{ + /* Sanity check arguments */ + Assert(module_inst); + + Adc *const adc_module = module_inst->hw; + 320: 683a ldr r2, [r7, #0] + + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + 322: 7e53 ldrb r3, [r2, #25] + while (adc_is_syncing(module_inst)) { + 324: b25b sxtb r3, r3 + 326: 2b00 cmp r3, #0 + 328: dbfb blt.n 322 + (config->differential_mode << ADC_CTRLB_DIFFMODE_Pos); + 32a: 7cf3 ldrb r3, [r6, #19] + (config->left_adjust << ADC_CTRLB_LEFTADJ_Pos) | + 32c: 8872 ldrh r2, [r6, #2] + 32e: 4313 orrs r3, r2 + (config->correction.correction_enable << ADC_CTRLB_CORREN_Pos) | + 330: 2224 movs r2, #36 ; 0x24 + 332: 5cb2 ldrb r2, [r6, r2] + 334: 00d2 lsls r2, r2, #3 + (config->left_adjust << ADC_CTRLB_LEFTADJ_Pos) | + 336: 4313 orrs r3, r2 + (config->freerunning << ADC_CTRLB_FREERUN_Pos) | + 338: 7d32 ldrb r2, [r6, #20] + 33a: 0092 lsls r2, r2, #2 + (config->left_adjust << ADC_CTRLB_LEFTADJ_Pos) | + 33c: 4313 orrs r3, r2 + 33e: 7cb2 ldrb r2, [r6, #18] + 340: 0052 lsls r2, r2, #1 + 342: 4313 orrs r3, r2 + 344: 4323 orrs r3, r4 + adc_module->CTRLB.reg = + 346: 4642 mov r2, r8 + 348: 8093 strh r3, [r2, #4] + if (config->window.window_mode != ADC_WINDOW_MODE_DISABLE) { + 34a: 7e33 ldrb r3, [r6, #24] + 34c: 2b00 cmp r3, #0 + 34e: d020 beq.n 392 + switch (resolution) { + 350: 2c10 cmp r4, #16 + 352: d100 bne.n 356 + 354: e0d6 b.n 504 + 356: d800 bhi.n 35a + 358: e080 b.n 45c + 35a: 2c20 cmp r4, #32 + 35c: d100 bne.n 360 + 35e: e0b3 b.n 4c8 + 360: 2c30 cmp r4, #48 ; 0x30 + 362: d116 bne.n 392 + if (config->differential_mode && + 364: 7cf2 ldrb r2, [r6, #19] + 366: 2a00 cmp r2, #0 + 368: d00a beq.n 380 + (config->window.window_lower_value > 127 || + 36a: 69f2 ldr r2, [r6, #28] + 36c: 3280 adds r2, #128 ; 0x80 + return STATUS_ERR_INVALID_ARG; + 36e: 2017 movs r0, #23 + if (config->differential_mode && + 370: 2aff cmp r2, #255 ; 0xff + 372: d900 bls.n 376 + 374: e705 b.n 182 + config->window.window_lower_value < -128 || + 376: 6a32 ldr r2, [r6, #32] + 378: 3280 adds r2, #128 ; 0x80 + 37a: 2aff cmp r2, #255 ; 0xff + 37c: d900 bls.n 380 + 37e: e700 b.n 182 + return STATUS_ERR_INVALID_ARG; + 380: 2017 movs r0, #23 + } else if (config->window.window_lower_value > 255 || + 382: 69f2 ldr r2, [r6, #28] + 384: 2aff cmp r2, #255 ; 0xff + 386: dd00 ble.n 38a + 388: e6fb b.n 182 + 38a: 6a32 ldr r2, [r6, #32] + 38c: 2aff cmp r2, #255 ; 0xff + 38e: dd00 ble.n 392 + 390: e6f7 b.n 182 + Adc *const adc_module = module_inst->hw; + 392: 6839 ldr r1, [r7, #0] + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + 394: 7e4a ldrb r2, [r1, #25] + while (adc_is_syncing(module_inst)) { + 396: b252 sxtb r2, r2 + 398: 2a00 cmp r2, #0 + 39a: dbfb blt.n 394 + adc_module->WINCTRL.reg = config->window.window_mode; + 39c: 4642 mov r2, r8 + 39e: 7213 strb r3, [r2, #8] + Adc *const adc_module = module_inst->hw; + 3a0: 683a ldr r2, [r7, #0] + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + 3a2: 7e53 ldrb r3, [r2, #25] + while (adc_is_syncing(module_inst)) { + 3a4: b25b sxtb r3, r3 + 3a6: 2b00 cmp r3, #0 + 3a8: dbfb blt.n 3a2 + adc_module->WINLT.reg = + 3aa: 8bb3 ldrh r3, [r6, #28] + 3ac: 4642 mov r2, r8 + 3ae: 8393 strh r3, [r2, #28] + Adc *const adc_module = module_inst->hw; + 3b0: 683a ldr r2, [r7, #0] + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + 3b2: 7e53 ldrb r3, [r2, #25] + while (adc_is_syncing(module_inst)) { + 3b4: b25b sxtb r3, r3 + 3b6: 2b00 cmp r3, #0 + 3b8: dbfb blt.n 3b2 + adc_module->WINUT.reg = config->window.window_upper_value << + 3ba: 8c33 ldrh r3, [r6, #32] + 3bc: 4642 mov r2, r8 + 3be: 8413 strh r3, [r2, #32] + uint8_t inputs_to_scan = config->pin_scan.inputs_to_scan; + 3c0: 232c movs r3, #44 ; 0x2c + 3c2: 5cf3 ldrb r3, [r6, r3] + if (inputs_to_scan > 0) { + 3c4: 2b00 cmp r3, #0 + 3c6: d005 beq.n 3d4 + inputs_to_scan--; + 3c8: 3b01 subs r3, #1 + 3ca: b2db uxtb r3, r3 + return STATUS_ERR_INVALID_ARG; + 3cc: 2017 movs r0, #23 + if (inputs_to_scan > (ADC_INPUTCTRL_INPUTSCAN_Msk >> ADC_INPUTCTRL_INPUTSCAN_Pos) || + 3ce: 2b0f cmp r3, #15 + 3d0: d900 bls.n 3d4 + 3d2: e6d6 b.n 182 + config->pin_scan.offset_start_scan > (ADC_INPUTCTRL_INPUTOFFSET_Msk >> ADC_INPUTCTRL_INPUTOFFSET_Pos)) { + 3d4: 222b movs r2, #43 ; 0x2b + 3d6: 5cb1 ldrb r1, [r6, r2] + return STATUS_ERR_INVALID_ARG; + 3d8: 2017 movs r0, #23 + if (inputs_to_scan > (ADC_INPUTCTRL_INPUTSCAN_Msk >> ADC_INPUTCTRL_INPUTSCAN_Pos) || + 3da: 290f cmp r1, #15 + 3dc: d900 bls.n 3e0 + 3de: e6d0 b.n 182 + Adc *const adc_module = module_inst->hw; + 3e0: 6838 ldr r0, [r7, #0] + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + 3e2: 7e42 ldrb r2, [r0, #25] + while (adc_is_syncing(module_inst)) { + 3e4: b252 sxtb r2, r2 + 3e6: 2a00 cmp r2, #0 + 3e8: dbfb blt.n 3e2 + config->negative_input | + 3ea: 89f2 ldrh r2, [r6, #14] + config->positive_input; + 3ec: 7b30 ldrb r0, [r6, #12] + config->negative_input | + 3ee: 4302 orrs r2, r0 + 3f0: 68b0 ldr r0, [r6, #8] + 3f2: 4302 orrs r2, r0 + (config->pin_scan.offset_start_scan << + 3f4: 0509 lsls r1, r1, #20 + config->negative_input | + 3f6: 430a orrs r2, r1 + (inputs_to_scan << ADC_INPUTCTRL_INPUTSCAN_Pos) | + 3f8: 041b lsls r3, r3, #16 + config->negative_input | + 3fa: 4313 orrs r3, r2 + adc_module->INPUTCTRL.reg = + 3fc: 4642 mov r2, r8 + 3fe: 6113 str r3, [r2, #16] + adc_module->EVCTRL.reg = config->event_action; + 400: 232a movs r3, #42 ; 0x2a + 402: 5cf3 ldrb r3, [r6, r3] + 404: 7513 strb r3, [r2, #20] + adc_module->INTENCLR.reg = + 406: 230f movs r3, #15 + 408: 7593 strb r3, [r2, #22] + if (config->correction.correction_enable){ + 40a: 3315 adds r3, #21 + 40c: 5cf3 ldrb r3, [r6, r3] + 40e: 2b00 cmp r3, #0 + 410: d012 beq.n 438 + if (config->correction.gain_correction > ADC_GAINCORR_GAINCORR_Msk) { + 412: 8cf3 ldrh r3, [r6, #38] ; 0x26 + 414: 4a29 ldr r2, [pc, #164] ; (4bc ) + return STATUS_ERR_INVALID_ARG; + 416: 2017 movs r0, #23 + if (config->correction.gain_correction > ADC_GAINCORR_GAINCORR_Msk) { + 418: 4293 cmp r3, r2 + 41a: d900 bls.n 41e + 41c: e6b1 b.n 182 + adc_module->GAINCORR.reg = config->correction.gain_correction << + 41e: 4642 mov r2, r8 + 420: 8493 strh r3, [r2, #36] ; 0x24 + if (config->correction.offset_correction > 2047 || + 422: 8d32 ldrh r2, [r6, #40] ; 0x28 + 424: 2380 movs r3, #128 ; 0x80 + 426: 011b lsls r3, r3, #4 + 428: 18d3 adds r3, r2, r3 + 42a: 4924 ldr r1, [pc, #144] ; (4bc ) + 42c: b29b uxth r3, r3 + 42e: 428b cmp r3, r1 + 430: d900 bls.n 434 + 432: e6a6 b.n 182 + adc_module->OFFSETCORR.reg = config->correction.offset_correction << + 434: 4643 mov r3, r8 + 436: 84da strh r2, [r3, #38] ; 0x26 + ADC_CALIB_BIAS_CAL( + 438: 4b21 ldr r3, [pc, #132] ; (4c0 ) + 43a: 681b ldr r3, [r3, #0] + 43c: 015b lsls r3, r3, #5 + 43e: 22e0 movs r2, #224 ; 0xe0 + 440: 00d2 lsls r2, r2, #3 + 442: 4013 ands r3, r2 + ADC_CALIB_LINEARITY_CAL( + 444: 4a1f ldr r2, [pc, #124] ; (4c4 ) + 446: 6851 ldr r1, [r2, #4] + 448: 0149 lsls r1, r1, #5 + 44a: 6812 ldr r2, [r2, #0] + 44c: 0ed2 lsrs r2, r2, #27 + 44e: 430a orrs r2, r1 + 450: b2d2 uxtb r2, r2 + ) | + 452: 4313 orrs r3, r2 + adc_module->CALIB.reg = + 454: 4642 mov r2, r8 + 456: 8513 strh r3, [r2, #40] ; 0x28 + return STATUS_OK; + 458: 2000 movs r0, #0 + 45a: e692 b.n 182 + switch (resolution) { + 45c: 2c00 cmp r4, #0 + 45e: d198 bne.n 392 + if (config->differential_mode && + 460: 7cf2 ldrb r2, [r6, #19] + 462: 2a00 cmp r2, #0 + 464: d00f beq.n 486 + (config->window.window_lower_value > 2047 || + 466: 69f2 ldr r2, [r6, #28] + 468: 2180 movs r1, #128 ; 0x80 + 46a: 0109 lsls r1, r1, #4 + 46c: 468c mov ip, r1 + 46e: 4462 add r2, ip + if (config->differential_mode && + 470: 4912 ldr r1, [pc, #72] ; (4bc ) + return STATUS_ERR_INVALID_ARG; + 472: 2017 movs r0, #23 + if (config->differential_mode && + 474: 428a cmp r2, r1 + 476: d900 bls.n 47a + 478: e683 b.n 182 + config->window.window_lower_value < -2048 || + 47a: 6a32 ldr r2, [r6, #32] + 47c: 4462 add r2, ip + 47e: 490f ldr r1, [pc, #60] ; (4bc ) + 480: 428a cmp r2, r1 + 482: d900 bls.n 486 + 484: e67d b.n 182 + } else if (config->window.window_lower_value > 4095 || + 486: 4a0d ldr r2, [pc, #52] ; (4bc ) + return STATUS_ERR_INVALID_ARG; + 488: 2017 movs r0, #23 + } else if (config->window.window_lower_value > 4095 || + 48a: 69f1 ldr r1, [r6, #28] + 48c: 4291 cmp r1, r2 + 48e: dd00 ble.n 492 + 490: e677 b.n 182 + 492: 6a31 ldr r1, [r6, #32] + 494: 4291 cmp r1, r2 + 496: dd00 ble.n 49a + 498: e673 b.n 182 + 49a: e77a b.n 392 + 49c: 40000400 .word 0x40000400 + 4a0: 40000800 .word 0x40000800 + 4a4: 00001af5 .word 0x00001af5 + 4a8: 00001a69 .word 0x00001a69 + 4ac: 000026c9 .word 0x000026c9 + 4b0: 000037a4 .word 0x000037a4 + 4b4: 00001bed .word 0x00001bed + 4b8: 000036d0 .word 0x000036d0 + 4bc: 00000fff .word 0x00000fff + 4c0: 00806024 .word 0x00806024 + 4c4: 00806020 .word 0x00806020 + if (config->differential_mode && + 4c8: 7cf2 ldrb r2, [r6, #19] + 4ca: 2a00 cmp r2, #0 + 4cc: d00f beq.n 4ee + (config->window.window_lower_value > 511 || + 4ce: 69f2 ldr r2, [r6, #28] + 4d0: 2180 movs r1, #128 ; 0x80 + 4d2: 0089 lsls r1, r1, #2 + 4d4: 468c mov ip, r1 + 4d6: 4462 add r2, ip + if (config->differential_mode && + 4d8: 491a ldr r1, [pc, #104] ; (544 ) + return STATUS_ERR_INVALID_ARG; + 4da: 2017 movs r0, #23 + if (config->differential_mode && + 4dc: 428a cmp r2, r1 + 4de: d900 bls.n 4e2 + 4e0: e64f b.n 182 + config->window.window_lower_value < -512 || + 4e2: 6a32 ldr r2, [r6, #32] + 4e4: 4462 add r2, ip + 4e6: 4917 ldr r1, [pc, #92] ; (544 ) + 4e8: 428a cmp r2, r1 + 4ea: d900 bls.n 4ee + 4ec: e649 b.n 182 + } else if (config->window.window_lower_value > 1023 || + 4ee: 4a15 ldr r2, [pc, #84] ; (544 ) + return STATUS_ERR_INVALID_ARG; + 4f0: 2017 movs r0, #23 + } else if (config->window.window_lower_value > 1023 || + 4f2: 69f1 ldr r1, [r6, #28] + 4f4: 4291 cmp r1, r2 + 4f6: dd00 ble.n 4fa + 4f8: e643 b.n 182 + 4fa: 6a31 ldr r1, [r6, #32] + 4fc: 4291 cmp r1, r2 + 4fe: dd00 ble.n 502 + 500: e63f b.n 182 + 502: e746 b.n 392 + if (config->differential_mode && + 504: 7cf2 ldrb r2, [r6, #19] + 506: 2a00 cmp r2, #0 + 508: d00f beq.n 52a + (config->window.window_lower_value > 32767 || + 50a: 69f2 ldr r2, [r6, #28] + 50c: 2180 movs r1, #128 ; 0x80 + 50e: 0209 lsls r1, r1, #8 + 510: 468c mov ip, r1 + 512: 4462 add r2, ip + if (config->differential_mode && + 514: 490c ldr r1, [pc, #48] ; (548 ) + return STATUS_ERR_INVALID_ARG; + 516: 2017 movs r0, #23 + if (config->differential_mode && + 518: 428a cmp r2, r1 + 51a: d900 bls.n 51e + 51c: e631 b.n 182 + config->window.window_lower_value < -32768 || + 51e: 6a32 ldr r2, [r6, #32] + 520: 4462 add r2, ip + 522: 4909 ldr r1, [pc, #36] ; (548 ) + 524: 428a cmp r2, r1 + 526: d900 bls.n 52a + 528: e62b b.n 182 + } else if (config->window.window_lower_value > 65535 || + 52a: 4a07 ldr r2, [pc, #28] ; (548 ) + return STATUS_ERR_INVALID_ARG; + 52c: 2017 movs r0, #23 + } else if (config->window.window_lower_value > 65535 || + 52e: 69f1 ldr r1, [r6, #28] + 530: 4291 cmp r1, r2 + 532: dd00 ble.n 536 + 534: e625 b.n 182 + 536: 6a31 ldr r1, [r6, #32] + 538: 4291 cmp r1, r2 + 53a: dd00 ble.n 53e + 53c: e621 b.n 182 + 53e: e728 b.n 392 + return STATUS_ERR_INVALID_ARG; + 540: 2017 movs r0, #23 + 542: e61e b.n 182 + 544: 000003ff .word 0x000003ff + 548: 0000ffff .word 0x0000ffff + +0000054c : +/** + * \brief DMA interrupt service routine. + * + */ +void DMAC_Handler( void ) +{ + 54c: b510 push {r4, lr} + * are only re-enabled upon leaving the outermost nested critical section. + * + */ +static inline void system_interrupt_enter_critical_section(void) +{ + cpu_irq_enter_critical(); + 54e: 4b26 ldr r3, [pc, #152] ; (5e8 ) + 550: 4798 blx r3 + uint32_t total_size; + + system_interrupt_enter_critical_section(); + + /* Get Pending channel */ + active_channel = DMAC->INTPEND.reg & DMAC_INTPEND_ID_Msk; + 552: 4926 ldr r1, [pc, #152] ; (5ec ) + 554: 8c0b ldrh r3, [r1, #32] + 556: 220f movs r2, #15 + + Assert(_dma_active_resource[active_channel]); + + /* Get active DMA resource based on channel */ + resource = _dma_active_resource[active_channel]; + 558: 4013 ands r3, r2 + 55a: 009b lsls r3, r3, #2 + 55c: 4824 ldr r0, [pc, #144] ; (5f0 ) + 55e: 5818 ldr r0, [r3, r0] + + /* Select the active channel */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + 560: 7803 ldrb r3, [r0, #0] + 562: 401a ands r2, r3 + 564: 233f movs r3, #63 ; 0x3f + 566: 54ca strb r2, [r1, r3] + isr = DMAC->CHINTFLAG.reg; + 568: 330f adds r3, #15 + 56a: 5cca ldrb r2, [r1, r3] + 56c: b2d2 uxtb r2, r2 + + /* Calculate block transfer size of the DMA transfer */ + total_size = descriptor_section[resource->channel_id].BTCNT.reg; + 56e: 7803 ldrb r3, [r0, #0] + 570: 011b lsls r3, r3, #4 + 572: 4920 ldr r1, [pc, #128] ; (5f4 ) + 574: 18c9 adds r1, r1, r3 + 576: 8849 ldrh r1, [r1, #2] + write_size = _write_back_section[resource->channel_id].BTCNT.reg; + 578: 4c1f ldr r4, [pc, #124] ; (5f8 ) + 57a: 18e3 adds r3, r4, r3 + 57c: 885b ldrh r3, [r3, #2] + resource->transfered_size = total_size - write_size; + 57e: 1acb subs r3, r1, r3 + 580: 6143 str r3, [r0, #20] + + /* DMA channel interrupt handler */ + if (isr & DMAC_CHINTENCLR_TERR) { + 582: 07d3 lsls r3, r2, #31 + 584: d50f bpl.n 5a6 + /* Clear transfer error flag */ + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_TERR; + 586: 2101 movs r1, #1 + 588: 234e movs r3, #78 ; 0x4e + 58a: 4a18 ldr r2, [pc, #96] ; (5ec ) + 58c: 54d1 strb r1, [r2, r3] + + /* Set I/O ERROR status */ + resource->job_status = STATUS_ERR_IO; + 58e: 3b3e subs r3, #62 ; 0x3e + 590: 7443 strb r3, [r0, #17] + + /* Execute the callback function */ + if ((resource->callback_enable & (1< + (resource->callback[DMA_CALLBACK_TRANSFER_ERROR])) { + 598: 6843 ldr r3, [r0, #4] + if ((resource->callback_enable & (1< + resource->callback[DMA_CALLBACK_TRANSFER_ERROR](resource); + 59e: 4798 blx r3 + * are only re-enabled upon leaving the outermost nested critical section. + * + */ +static inline void system_interrupt_leave_critical_section(void) +{ + cpu_irq_leave_critical(); + 5a0: 4b16 ldr r3, [pc, #88] ; (5fc ) + 5a2: 4798 blx r3 + resource->callback[DMA_CALLBACK_CHANNEL_SUSPEND](resource); + } + } + + system_interrupt_leave_critical_section(); +} + 5a4: bd10 pop {r4, pc} + } else if (isr & DMAC_CHINTENCLR_TCMPL) { + 5a6: 0793 lsls r3, r2, #30 + 5a8: d50d bpl.n 5c6 + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_TCMPL; + 5aa: 2102 movs r1, #2 + 5ac: 234e movs r3, #78 ; 0x4e + 5ae: 4a0f ldr r2, [pc, #60] ; (5ec ) + 5b0: 54d1 strb r1, [r2, r3] + resource->job_status = STATUS_OK; + 5b2: 2300 movs r3, #0 + 5b4: 7443 strb r3, [r0, #17] + if ((resource->callback_enable & (1 << DMA_CALLBACK_TRANSFER_DONE)) && + 5b6: 7c03 ldrb r3, [r0, #16] + 5b8: 079b lsls r3, r3, #30 + 5ba: d5f1 bpl.n 5a0 + (resource->callback[DMA_CALLBACK_TRANSFER_DONE])) { + 5bc: 6883 ldr r3, [r0, #8] + if ((resource->callback_enable & (1 << DMA_CALLBACK_TRANSFER_DONE)) && + 5be: 2b00 cmp r3, #0 + 5c0: d0ee beq.n 5a0 + resource->callback[DMA_CALLBACK_TRANSFER_DONE](resource); + 5c2: 4798 blx r3 + 5c4: e7ec b.n 5a0 + } else if (isr & DMAC_CHINTENCLR_SUSP) { + 5c6: 0753 lsls r3, r2, #29 + 5c8: d5ea bpl.n 5a0 + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_SUSP; + 5ca: 2104 movs r1, #4 + 5cc: 234e movs r3, #78 ; 0x4e + 5ce: 4a07 ldr r2, [pc, #28] ; (5ec ) + 5d0: 54d1 strb r1, [r2, r3] + resource->job_status = STATUS_SUSPEND; + 5d2: 3b48 subs r3, #72 ; 0x48 + 5d4: 7443 strb r3, [r0, #17] + if ((resource->callback_enable & (1 << DMA_CALLBACK_CHANNEL_SUSPEND)) && + 5d6: 7c03 ldrb r3, [r0, #16] + 5d8: 075b lsls r3, r3, #29 + 5da: d5e1 bpl.n 5a0 + (resource->callback[DMA_CALLBACK_CHANNEL_SUSPEND])){ + 5dc: 68c3 ldr r3, [r0, #12] + if ((resource->callback_enable & (1 << DMA_CALLBACK_CHANNEL_SUSPEND)) && + 5de: 2b00 cmp r3, #0 + 5e0: d0de beq.n 5a0 + resource->callback[DMA_CALLBACK_CHANNEL_SUSPEND](resource); + 5e2: 4798 blx r3 + 5e4: e7dc b.n 5a0 + 5e6: 46c0 nop ; (mov r8, r8) + 5e8: 000015e1 .word 0x000015e1 + 5ec: 41004800 .word 0x41004800 + 5f0: 2000009c .word 0x2000009c + 5f4: 200001e0 .word 0x200001e0 + 5f8: 200000b0 .word 0x200000b0 + 5fc: 00001621 .word 0x00001621 + +00000600 : + */ +void dma_get_config_defaults(struct dma_resource_config *config) +{ + Assert(config); + /* Set as priority 0 */ + config->priority = DMA_PRIORITY_LEVEL_0; + 600: 2300 movs r3, #0 + 602: 7003 strb r3, [r0, #0] + /* Only software/event trigger */ + config->peripheral_trigger = 0; + 604: 7043 strb r3, [r0, #1] + /* Transaction trigger */ + config->trigger_action = DMA_TRIGGER_ACTION_TRANSACTION; + 606: 2203 movs r2, #3 + 608: 7082 strb r2, [r0, #2] + + /* Event configurations, no event input/output */ + config->event_config.input_action = DMA_EVENT_INPUT_NOACT; + 60a: 70c3 strb r3, [r0, #3] + config->event_config.event_output_enable = false; + 60c: 7103 strb r3, [r0, #4] +#ifdef FEATURE_DMA_CHANNEL_STANDBY + config->run_in_standby = false; +#endif +} + 60e: 4770 bx lr + +00000610 : + * \retval STATUS_OK The DMA resource was allocated successfully + * \retval STATUS_ERR_NOT_FOUND DMA resource allocation failed + */ +enum status_code dma_allocate(struct dma_resource *resource, + struct dma_resource_config *config) +{ + 610: b5f8 push {r3, r4, r5, r6, r7, lr} + 612: 46ce mov lr, r9 + 614: 4647 mov r7, r8 + 616: b580 push {r7, lr} + 618: 0005 movs r5, r0 + 61a: 000e movs r6, r1 + cpu_irq_enter_critical(); + 61c: 4b45 ldr r3, [pc, #276] ; (734 ) + 61e: 4798 blx r3 + + Assert(resource); + + system_interrupt_enter_critical_section(); + + if (!_dma_inst._dma_init) { + 620: 4b45 ldr r3, [pc, #276] ; (738 ) + 622: 781b ldrb r3, [r3, #0] + 624: 2b00 cmp r3, #0 + 626: d118 bne.n 65a + PM->AHBMASK.reg |= ahb_mask; + 628: 4b44 ldr r3, [pc, #272] ; (73c ) + 62a: 695a ldr r2, [r3, #20] + 62c: 2120 movs r1, #32 + 62e: 430a orrs r2, r1 + 630: 615a str r2, [r3, #20] + PM->APBBMASK.reg |= mask; + 632: 69da ldr r2, [r3, #28] + 634: 3910 subs r1, #16 + 636: 430a orrs r2, r1 + 638: 61da str r2, [r3, #28] + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBB, + PM_APBBMASK_DMAC); +#endif + + /* Perform a software reset before enable DMA controller */ + DMAC->CTRL.reg &= ~DMAC_CTRL_DMAENABLE; + 63a: 4b41 ldr r3, [pc, #260] ; (740 ) + 63c: 881a ldrh r2, [r3, #0] + 63e: 390e subs r1, #14 + 640: 438a bics r2, r1 + 642: 801a strh r2, [r3, #0] + DMAC->CTRL.reg = DMAC_CTRL_SWRST; + 644: 2201 movs r2, #1 + 646: 801a strh r2, [r3, #0] + + /* Setup descriptor base address and write back section base + * address */ + DMAC->BASEADDR.reg = (uint32_t)descriptor_section; + 648: 4a3e ldr r2, [pc, #248] ; (744 ) + 64a: 635a str r2, [r3, #52] ; 0x34 + DMAC->WRBADDR.reg = (uint32_t)_write_back_section; + 64c: 4a3e ldr r2, [pc, #248] ; (748 ) + 64e: 639a str r2, [r3, #56] ; 0x38 + + /* Enable all priority level at the same time */ + DMAC->CTRL.reg = DMAC_CTRL_DMAENABLE | DMAC_CTRL_LVLEN(0xf); + 650: 4a3e ldr r2, [pc, #248] ; (74c ) + 652: 801a strh r2, [r3, #0] + + _dma_inst._dma_init = true; + 654: 4b38 ldr r3, [pc, #224] ; (738 ) + 656: 2201 movs r2, #1 + 658: 701a strb r2, [r3, #0] + 65a: 4b36 ldr r3, [pc, #216] ; (734 ) + 65c: 4798 blx r3 + tmp = _dma_inst.allocated_channels; + 65e: 4b36 ldr r3, [pc, #216] ; (738 ) + 660: 685b ldr r3, [r3, #4] + if (!(tmp & 0x00000001)) { + 662: 07da lsls r2, r3, #31 + 664: d50f bpl.n 686 + tmp = tmp >> 1; + 666: 085b lsrs r3, r3, #1 + for (count = 0; count < CONF_MAX_USED_CHANNEL_NUM; ++count) { + 668: 2401 movs r4, #1 + if (!(tmp & 0x00000001)) { + 66a: 2201 movs r2, #1 + 66c: 421a tst r2, r3 + 66e: d00b beq.n 688 + tmp = tmp >> 1; + 670: 085b lsrs r3, r3, #1 + for (count = 0; count < CONF_MAX_USED_CHANNEL_NUM; ++count) { + 672: 3401 adds r4, #1 + 674: b2e4 uxtb r4, r4 + 676: 2c05 cmp r4, #5 + 678: d1f8 bne.n 66c + cpu_irq_leave_critical(); + 67a: 4b35 ldr r3, [pc, #212] ; (750 ) + 67c: 4798 blx r3 + 67e: 4b34 ldr r3, [pc, #208] ; (750 ) + 680: 4798 blx r3 + + /* If no channel available, return not found */ + if (new_channel == DMA_INVALID_CHANNEL) { + system_interrupt_leave_critical_section(); + + return STATUS_ERR_NOT_FOUND; + 682: 2014 movs r0, #20 + 684: e051 b.n 72a + for (count = 0; count < CONF_MAX_USED_CHANNEL_NUM; ++count) { + 686: 2400 movs r4, #0 + _dma_inst.allocated_channels |= 1 << count; + 688: 4a2b ldr r2, [pc, #172] ; (738 ) + 68a: 6851 ldr r1, [r2, #4] + 68c: 2301 movs r3, #1 + 68e: 40a3 lsls r3, r4 + 690: 430b orrs r3, r1 + 692: 6053 str r3, [r2, #4] + _dma_inst.free_channels--; + 694: 7a13 ldrb r3, [r2, #8] + 696: 3b01 subs r3, #1 + 698: 7213 strb r3, [r2, #8] + 69a: 4b2d ldr r3, [pc, #180] ; (750 ) + 69c: 4798 blx r3 + if (new_channel == DMA_INVALID_CHANNEL) { + 69e: 2cff cmp r4, #255 ; 0xff + 6a0: d0ed beq.n 67e + } + + /* Set the channel */ + resource->channel_id = new_channel; + 6a2: 702c strb r4, [r5, #0] + + /** Perform a reset for the allocated channel */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + 6a4: 230f movs r3, #15 + 6a6: 4698 mov r8, r3 + 6a8: 401c ands r4, r3 + 6aa: 4f25 ldr r7, [pc, #148] ; (740 ) + 6ac: 3330 adds r3, #48 ; 0x30 + 6ae: 4699 mov r9, r3 + 6b0: 54fc strb r4, [r7, r3] + DMAC->CHCTRLA.reg &= ~DMAC_CHCTRLA_ENABLE; + 6b2: 3301 adds r3, #1 + 6b4: 5cfa ldrb r2, [r7, r3] + 6b6: 2102 movs r1, #2 + 6b8: 438a bics r2, r1 + 6ba: 54fa strb r2, [r7, r3] + DMAC->CHCTRLA.reg = DMAC_CHCTRLA_SWRST; + 6bc: 2401 movs r4, #1 + 6be: 54fc strb r4, [r7, r3] + cpu_irq_enter_critical(); + 6c0: 4b1c ldr r3, [pc, #112] ; (734 ) + 6c2: 4798 blx r3 + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + 6c4: 782b ldrb r3, [r5, #0] + 6c6: 4642 mov r2, r8 + 6c8: 4013 ands r3, r2 + 6ca: 464a mov r2, r9 + 6cc: 54bb strb r3, [r7, r2] + DMAC->SWTRIGCTRL.reg &= (uint32_t)(~(1 << resource->channel_id)); + 6ce: 693b ldr r3, [r7, #16] + 6d0: 782a ldrb r2, [r5, #0] + 6d2: 4094 lsls r4, r2 + 6d4: 43a3 bics r3, r4 + 6d6: 613b str r3, [r7, #16] + temp_CHCTRLB_reg = DMAC_CHCTRLB_LVL(resource_config->priority) | \ + 6d8: 7832 ldrb r2, [r6, #0] + 6da: 0152 lsls r2, r2, #5 + 6dc: 2360 movs r3, #96 ; 0x60 + 6de: 4013 ands r3, r2 + DMAC_CHCTRLB_TRIGSRC(resource_config->peripheral_trigger) | \ + 6e0: 7872 ldrb r2, [r6, #1] + 6e2: 0212 lsls r2, r2, #8 + 6e4: 21fc movs r1, #252 ; 0xfc + 6e6: 0189 lsls r1, r1, #6 + 6e8: 400a ands r2, r1 + temp_CHCTRLB_reg = DMAC_CHCTRLB_LVL(resource_config->priority) | \ + 6ea: 4313 orrs r3, r2 + DMAC_CHCTRLB_TRIGACT(resource_config->trigger_action); + 6ec: 78b2 ldrb r2, [r6, #2] + 6ee: 0592 lsls r2, r2, #22 + 6f0: 21c0 movs r1, #192 ; 0xc0 + 6f2: 0409 lsls r1, r1, #16 + 6f4: 400a ands r2, r1 + temp_CHCTRLB_reg = DMAC_CHCTRLB_LVL(resource_config->priority) | \ + 6f6: 4313 orrs r3, r2 + if(resource_config->event_config.input_action){ + 6f8: 78f1 ldrb r1, [r6, #3] + 6fa: 2900 cmp r1, #0 + 6fc: d004 beq.n 708 + temp_CHCTRLB_reg |= DMAC_CHCTRLB_EVIE | DMAC_CHCTRLB_EVACT( + 6fe: 2207 movs r2, #7 + 700: 400a ands r2, r1 + 702: 2108 movs r1, #8 + 704: 430a orrs r2, r1 + 706: 4313 orrs r3, r2 + if (resource_config->event_config.event_output_enable) { + 708: 7932 ldrb r2, [r6, #4] + 70a: 2a00 cmp r2, #0 + 70c: d001 beq.n 712 + temp_CHCTRLB_reg |= DMAC_CHCTRLB_EVOE; + 70e: 2210 movs r2, #16 + 710: 4313 orrs r3, r2 + DMAC->CHCTRLB.reg = temp_CHCTRLB_reg; + 712: 4a0b ldr r2, [pc, #44] ; (740 ) + 714: 6453 str r3, [r2, #68] ; 0x44 + cpu_irq_leave_critical(); + 716: 4c0e ldr r4, [pc, #56] ; (750 ) + 718: 47a0 blx r4 +#endif + + /** Configure the DMA control,channel registers and descriptors here */ + _dma_set_config(resource, config); + + resource->descriptor = NULL; + 71a: 2300 movs r3, #0 + 71c: 61ab str r3, [r5, #24] + + /* Log the DMA resource into the internal DMA resource pool */ + _dma_active_resource[resource->channel_id] = resource; + 71e: 782b ldrb r3, [r5, #0] + 720: 009b lsls r3, r3, #2 + 722: 4a0c ldr r2, [pc, #48] ; (754 ) + 724: 509d str r5, [r3, r2] + 726: 47a0 blx r4 + + system_interrupt_leave_critical_section(); + + return STATUS_OK; + 728: 2000 movs r0, #0 +} + 72a: bc0c pop {r2, r3} + 72c: 4690 mov r8, r2 + 72e: 4699 mov r9, r3 + 730: bdf8 pop {r3, r4, r5, r6, r7, pc} + 732: 46c0 nop ; (mov r8, r8) + 734: 000015e1 .word 0x000015e1 + 738: 20000000 .word 0x20000000 + 73c: 40000400 .word 0x40000400 + 740: 41004800 .word 0x41004800 + 744: 200001e0 .word 0x200001e0 + 748: 200000b0 .word 0x200000b0 + 74c: 00000f02 .word 0x00000f02 + 750: 00001621 .word 0x00001621 + 754: 2000009c .word 0x2000009c + +00000758 : + * \retval STATUS_OK The transfer was started successfully + * \retval STATUS_BUSY The DMA resource was busy and the transfer was not started + * \retval STATUS_ERR_INVALID_ARG Transfer size is 0 and transfer was not started + */ +enum status_code dma_start_transfer_job(struct dma_resource *resource) +{ + 758: b570 push {r4, r5, r6, lr} + 75a: 0004 movs r4, r0 + cpu_irq_enter_critical(); + 75c: 4b19 ldr r3, [pc, #100] ; (7c4 ) + 75e: 4798 blx r3 + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + system_interrupt_enter_critical_section(); + + /* Check if resource was busy */ + if (resource->job_status == STATUS_BUSY) { + 760: 7c63 ldrb r3, [r4, #17] + 762: 2b05 cmp r3, #5 + 764: d008 beq.n 778 + system_interrupt_leave_critical_section(); + return STATUS_BUSY; + } + + /* Check if transfer size is valid */ + if (resource->descriptor->BTCNT.reg == 0) { + 766: 69a3 ldr r3, [r4, #24] + 768: 885b ldrh r3, [r3, #2] + 76a: b29b uxth r3, r3 + 76c: 2b00 cmp r3, #0 + 76e: d107 bne.n 780 + cpu_irq_leave_critical(); + 770: 4b15 ldr r3, [pc, #84] ; (7c8 ) + 772: 4798 blx r3 + system_interrupt_leave_critical_section(); + return STATUS_ERR_INVALID_ARG; + 774: 2017 movs r0, #23 + DMAC->CHCTRLA.reg |= DMAC_CHCTRLA_ENABLE; + + system_interrupt_leave_critical_section(); + + return STATUS_OK; +} + 776: bd70 pop {r4, r5, r6, pc} + 778: 4b13 ldr r3, [pc, #76] ; (7c8 ) + 77a: 4798 blx r3 + return STATUS_BUSY; + 77c: 2005 movs r0, #5 + 77e: e7fa b.n 776 + * \param[in] vector Interrupt vector to enable + */ +static inline void system_interrupt_enable( + const enum system_interrupt_vector vector) +{ + NVIC->ISER[0] = (uint32_t)(1 << ((uint32_t)vector & 0x0000001f)); + 780: 2640 movs r6, #64 ; 0x40 + 782: 4b12 ldr r3, [pc, #72] ; (7cc ) + 784: 601e str r6, [r3, #0] + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + 786: 7822 ldrb r2, [r4, #0] + 788: 230f movs r3, #15 + 78a: 4013 ands r3, r2 + 78c: 4d10 ldr r5, [pc, #64] ; (7d0 ) + 78e: 223f movs r2, #63 ; 0x3f + 790: 54ab strb r3, [r5, r2] + DMAC->CHINTENSET.reg = (DMAC_CHINTENSET_MASK & g_chan_interrupt_flag[resource->channel_id]); + 792: 7823 ldrb r3, [r4, #0] + 794: 4a0f ldr r2, [pc, #60] ; (7d4 ) + 796: 5cd2 ldrb r2, [r2, r3] + 798: 2307 movs r3, #7 + 79a: 4013 ands r3, r2 + 79c: 224d movs r2, #77 ; 0x4d + 79e: 54ab strb r3, [r5, r2] + resource->job_status = STATUS_BUSY; + 7a0: 2305 movs r3, #5 + 7a2: 7463 strb r3, [r4, #17] + memcpy(&descriptor_section[resource->channel_id], resource->descriptor, + 7a4: 7820 ldrb r0, [r4, #0] + 7a6: 0100 lsls r0, r0, #4 + 7a8: 4b0b ldr r3, [pc, #44] ; (7d8 ) + 7aa: 18c0 adds r0, r0, r3 + 7ac: 69a1 ldr r1, [r4, #24] + 7ae: 3a3d subs r2, #61 ; 0x3d + 7b0: 4b0a ldr r3, [pc, #40] ; (7dc ) + 7b2: 4798 blx r3 + DMAC->CHCTRLA.reg |= DMAC_CHCTRLA_ENABLE; + 7b4: 5dab ldrb r3, [r5, r6] + 7b6: 2202 movs r2, #2 + 7b8: 4313 orrs r3, r2 + 7ba: 55ab strb r3, [r5, r6] + cpu_irq_leave_critical(); + 7bc: 4b02 ldr r3, [pc, #8] ; (7c8 ) + 7be: 4798 blx r3 + return STATUS_OK; + 7c0: 2000 movs r0, #0 + 7c2: e7d8 b.n 776 + 7c4: 000015e1 .word 0x000015e1 + 7c8: 00001621 .word 0x00001621 + 7cc: e000e100 .word 0xe000e100 + 7d0: 41004800 .word 0x41004800 + 7d4: 20000100 .word 0x20000100 + 7d8: 200001e0 .word 0x200001e0 + 7dc: 000026c9 .word 0x000026c9 + +000007e0 : + * \param[in] config Pointer to the descriptor configuration structure + * + */ +void dma_descriptor_create(DmacDescriptor* descriptor, + struct dma_descriptor_config *config) +{ + 7e0: b5f0 push {r4, r5, r6, r7, lr} + /* Set block transfer control */ + descriptor->BTCTRL.bit.VALID = config->descriptor_valid; + 7e2: 780a ldrb r2, [r1, #0] + 7e4: 8803 ldrh r3, [r0, #0] + 7e6: 2601 movs r6, #1 + 7e8: 4032 ands r2, r6 + 7ea: 2401 movs r4, #1 + 7ec: 43a3 bics r3, r4 + 7ee: 4313 orrs r3, r2 + 7f0: 8003 strh r3, [r0, #0] + descriptor->BTCTRL.bit.EVOSEL = config->event_output_selection; + 7f2: 784b ldrb r3, [r1, #1] + 7f4: 8804 ldrh r4, [r0, #0] + 7f6: 2703 movs r7, #3 + 7f8: 403b ands r3, r7 + 7fa: 005b lsls r3, r3, #1 + 7fc: 2206 movs r2, #6 + 7fe: 4394 bics r4, r2 + 800: 431c orrs r4, r3 + 802: 8004 strh r4, [r0, #0] + descriptor->BTCTRL.bit.BLOCKACT = config->block_action; + 804: 788d ldrb r5, [r1, #2] + 806: 8804 ldrh r4, [r0, #0] + 808: 403d ands r5, r7 + 80a: 00ed lsls r5, r5, #3 + 80c: 2318 movs r3, #24 + 80e: 439c bics r4, r3 + 810: 432c orrs r4, r5 + 812: 8004 strh r4, [r0, #0] + descriptor->BTCTRL.bit.BEATSIZE = config->beat_size; + 814: 78ca ldrb r2, [r1, #3] + 816: 8803 ldrh r3, [r0, #0] + 818: 403a ands r2, r7 + 81a: 0212 lsls r2, r2, #8 + 81c: 4c16 ldr r4, [pc, #88] ; (878 ) + 81e: 4023 ands r3, r4 + 820: 4313 orrs r3, r2 + 822: 8003 strh r3, [r0, #0] + descriptor->BTCTRL.bit.SRCINC = config->src_increment_enable; + 824: 790b ldrb r3, [r1, #4] + 826: 8804 ldrh r4, [r0, #0] + 828: 4033 ands r3, r6 + 82a: 029b lsls r3, r3, #10 + 82c: 4a13 ldr r2, [pc, #76] ; (87c ) + 82e: 4014 ands r4, r2 + 830: 431c orrs r4, r3 + 832: 8004 strh r4, [r0, #0] + descriptor->BTCTRL.bit.DSTINC = config->dst_increment_enable; + 834: 794d ldrb r5, [r1, #5] + 836: 8804 ldrh r4, [r0, #0] + 838: 4035 ands r5, r6 + 83a: 02ed lsls r5, r5, #11 + 83c: 4b10 ldr r3, [pc, #64] ; (880 ) + 83e: 401c ands r4, r3 + 840: 432c orrs r4, r5 + 842: 8004 strh r4, [r0, #0] + descriptor->BTCTRL.bit.STEPSEL = config->step_selection; + 844: 798a ldrb r2, [r1, #6] + 846: 8803 ldrh r3, [r0, #0] + 848: 4032 ands r2, r6 + 84a: 0312 lsls r2, r2, #12 + 84c: 4c0d ldr r4, [pc, #52] ; (884 ) + 84e: 4023 ands r3, r4 + 850: 4313 orrs r3, r2 + 852: 8003 strh r3, [r0, #0] + descriptor->BTCTRL.bit.STEPSIZE = config->step_size; + 854: 79cb ldrb r3, [r1, #7] + 856: 2207 movs r2, #7 + 858: 401a ands r2, r3 + 85a: 8803 ldrh r3, [r0, #0] + 85c: 0352 lsls r2, r2, #13 + 85e: 04db lsls r3, r3, #19 + 860: 0cdb lsrs r3, r3, #19 + 862: 4313 orrs r3, r2 + 864: 8003 strh r3, [r0, #0] + + /* Set transfer size, source address and destination address */ + descriptor->BTCNT.reg = config->block_transfer_count; + 866: 890b ldrh r3, [r1, #8] + 868: 8043 strh r3, [r0, #2] + descriptor->SRCADDR.reg = config->source_address; + 86a: 68cb ldr r3, [r1, #12] + 86c: 6043 str r3, [r0, #4] + descriptor->DSTADDR.reg = config->destination_address; + 86e: 690b ldr r3, [r1, #16] + 870: 6083 str r3, [r0, #8] + + /* Set next transfer descriptor address */ + descriptor->DESCADDR.reg = config->next_descriptor_address; + 872: 694b ldr r3, [r1, #20] + 874: 60c3 str r3, [r0, #12] +} + 876: bdf0 pop {r4, r5, r6, r7, pc} + 878: fffffcff .word 0xfffffcff + 87c: fffffbff .word 0xfffffbff + 880: fffff7ff .word 0xfffff7ff + 884: ffffefff .word 0xffffefff + +00000888 : +enum status_code dma_add_descriptor(struct dma_resource *resource, + DmacDescriptor* descriptor) +{ + DmacDescriptor* desc = resource->descriptor; + + if (resource->job_status == STATUS_BUSY) { + 888: 7c42 ldrb r2, [r0, #17] + return STATUS_BUSY; + 88a: 2305 movs r3, #5 + if (resource->job_status == STATUS_BUSY) { + 88c: 2a05 cmp r2, #5 + 88e: d00b beq.n 8a8 + DmacDescriptor* desc = resource->descriptor; + 890: 6983 ldr r3, [r0, #24] + } + + /* Look up for an empty space for the descriptor */ + if (desc == NULL) { + 892: 2b00 cmp r3, #0 + 894: d00a beq.n 8ac + resource->descriptor = descriptor; + } else { + /* Looking for end of descriptor link */ + while(desc->DESCADDR.reg != 0) { + 896: 68da ldr r2, [r3, #12] + 898: 2a00 cmp r2, #0 + 89a: d003 beq.n 8a4 + desc = (DmacDescriptor*)(desc->DESCADDR.reg); + 89c: 68db ldr r3, [r3, #12] + while(desc->DESCADDR.reg != 0) { + 89e: 68da ldr r2, [r3, #12] + 8a0: 2a00 cmp r2, #0 + 8a2: d1fb bne.n 89c + } + + /* Set to the end of descriptor list */ + desc->DESCADDR.reg = (uint32_t)descriptor; + 8a4: 60d9 str r1, [r3, #12] + } + + return STATUS_OK; + 8a6: 2300 movs r3, #0 +} + 8a8: 0018 movs r0, r3 + 8aa: 4770 bx lr + resource->descriptor = descriptor; + 8ac: 6181 str r1, [r0, #24] + return STATUS_OK; + 8ae: 2300 movs r3, #0 + 8b0: e7fa b.n 8a8 + +000008b2 : +/** + * \internal Calculate 64 bit division, ref can be found in + * http://en.wikipedia.org/wiki/Division_algorithm#Long_division + */ +static uint64_t long_division(uint64_t n, uint64_t d) +{ + 8b2: b5f0 push {r4, r5, r6, r7, lr} + 8b4: 46de mov lr, fp + 8b6: 4657 mov r7, sl + 8b8: 464e mov r6, r9 + 8ba: 4645 mov r5, r8 + 8bc: b5e0 push {r5, r6, r7, lr} + 8be: b087 sub sp, #28 + 8c0: 4680 mov r8, r0 + 8c2: 9104 str r1, [sp, #16] + 8c4: 0016 movs r6, r2 + 8c6: 001f movs r7, r3 + int32_t i; + uint64_t q = 0, r = 0, bit_shift; + 8c8: 2200 movs r2, #0 + 8ca: 2300 movs r3, #0 + 8cc: 2100 movs r1, #0 + 8ce: 468b mov fp, r1 + for (i = 63; i >= 0; i--) { + 8d0: 243f movs r4, #63 ; 0x3f + bit_shift = (uint64_t)1 << i; + 8d2: 2001 movs r0, #1 + 8d4: 0021 movs r1, r4 + 8d6: 9600 str r6, [sp, #0] + 8d8: 9701 str r7, [sp, #4] + 8da: 465c mov r4, fp + 8dc: 9403 str r4, [sp, #12] + 8de: 4644 mov r4, r8 + 8e0: 9405 str r4, [sp, #20] + 8e2: e013 b.n 90c + 8e4: 2420 movs r4, #32 + 8e6: 1a64 subs r4, r4, r1 + 8e8: 0005 movs r5, r0 + 8ea: 40e5 lsrs r5, r4 + 8ec: 46a8 mov r8, r5 + 8ee: e014 b.n 91a + if (n & bit_shift) { + r |= 0x01; + } + + if (r >= d) { + r = r - d; + 8f0: 9c00 ldr r4, [sp, #0] + 8f2: 9d01 ldr r5, [sp, #4] + 8f4: 1b12 subs r2, r2, r4 + 8f6: 41ab sbcs r3, r5 + q |= bit_shift; + 8f8: 465c mov r4, fp + 8fa: 464d mov r5, r9 + 8fc: 432c orrs r4, r5 + 8fe: 46a3 mov fp, r4 + 900: 9c03 ldr r4, [sp, #12] + 902: 4645 mov r5, r8 + 904: 432c orrs r4, r5 + 906: 9403 str r4, [sp, #12] + for (i = 63; i >= 0; i--) { + 908: 3901 subs r1, #1 + 90a: d325 bcc.n 958 + bit_shift = (uint64_t)1 << i; + 90c: 2420 movs r4, #32 + 90e: 4264 negs r4, r4 + 910: 190c adds r4, r1, r4 + 912: d4e7 bmi.n 8e4 + 914: 0005 movs r5, r0 + 916: 40a5 lsls r5, r4 + 918: 46a8 mov r8, r5 + 91a: 0004 movs r4, r0 + 91c: 408c lsls r4, r1 + 91e: 46a1 mov r9, r4 + r = r << 1; + 920: 1892 adds r2, r2, r2 + 922: 415b adcs r3, r3 + 924: 0014 movs r4, r2 + 926: 001d movs r5, r3 + if (n & bit_shift) { + 928: 9e05 ldr r6, [sp, #20] + 92a: 464f mov r7, r9 + 92c: 403e ands r6, r7 + 92e: 46b4 mov ip, r6 + 930: 9e04 ldr r6, [sp, #16] + 932: 4647 mov r7, r8 + 934: 403e ands r6, r7 + 936: 46b2 mov sl, r6 + 938: 4666 mov r6, ip + 93a: 4657 mov r7, sl + 93c: 433e orrs r6, r7 + 93e: d003 beq.n 948 + r |= 0x01; + 940: 0006 movs r6, r0 + 942: 4326 orrs r6, r4 + 944: 0032 movs r2, r6 + 946: 002b movs r3, r5 + if (r >= d) { + 948: 9c00 ldr r4, [sp, #0] + 94a: 9d01 ldr r5, [sp, #4] + 94c: 429d cmp r5, r3 + 94e: d8db bhi.n 908 + 950: d1ce bne.n 8f0 + 952: 4294 cmp r4, r2 + 954: d8d8 bhi.n 908 + 956: e7cb b.n 8f0 + 958: 9b03 ldr r3, [sp, #12] + } + } + + return q; +} + 95a: 4658 mov r0, fp + 95c: 0019 movs r1, r3 + 95e: b007 add sp, #28 + 960: bc3c pop {r2, r3, r4, r5} + 962: 4690 mov r8, r2 + 964: 4699 mov r9, r3 + 966: 46a2 mov sl, r4 + 968: 46ab mov fp, r5 + 96a: bdf0 pop {r4, r5, r6, r7, pc} + +0000096c <_sercom_get_sync_baud_val>: + */ +enum status_code _sercom_get_sync_baud_val( + const uint32_t baudrate, + const uint32_t external_clock, + uint16_t *const baudvalue) +{ + 96c: b510 push {r4, lr} + uint16_t baud_calculated = 0; + uint32_t clock_value = external_clock; + + + /* Check if baudrate is outside of valid range */ + if (baudrate > (external_clock / 2)) { + 96e: 0849 lsrs r1, r1, #1 + /* Return with error code */ + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + 970: 2340 movs r3, #64 ; 0x40 + 972: 2400 movs r4, #0 + if (baudrate > (external_clock / 2)) { + 974: 4281 cmp r1, r0 + 976: d202 bcs.n 97e <_sercom_get_sync_baud_val+0x12> + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + } else { + *baudvalue = baud_calculated; + return STATUS_OK; + } +} + 978: 0018 movs r0, r3 + 97a: bd10 pop {r4, pc} + baud_calculated++; + 97c: 001c movs r4, r3 + clock_value = clock_value - baudrate; + 97e: 1a09 subs r1, r1, r0 + baud_calculated++; + 980: 1c63 adds r3, r4, #1 + 982: b29b uxth r3, r3 + while (clock_value >= baudrate) { + 984: 4288 cmp r0, r1 + 986: d9f9 bls.n 97c <_sercom_get_sync_baud_val+0x10> + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + 988: 2340 movs r3, #64 ; 0x40 + if (baud_calculated > 0xFF) { + 98a: 2cff cmp r4, #255 ; 0xff + 98c: d8f4 bhi.n 978 <_sercom_get_sync_baud_val+0xc> + *baudvalue = baud_calculated; + 98e: 8014 strh r4, [r2, #0] + return STATUS_OK; + 990: 2300 movs r3, #0 + 992: e7f1 b.n 978 <_sercom_get_sync_baud_val+0xc> + +00000994 <_sercom_get_async_baud_val>: + const uint32_t baudrate, + const uint32_t peripheral_clock, + uint16_t *const baudval, + enum sercom_asynchronous_operation_mode mode, + enum sercom_asynchronous_sample_num sample_num) +{ + 994: b5f0 push {r4, r5, r6, r7, lr} + 996: b083 sub sp, #12 + 998: 000f movs r7, r1 + 99a: 0016 movs r6, r2 + 99c: aa08 add r2, sp, #32 + 99e: 7811 ldrb r1, [r2, #0] + uint8_t baud_fp; + uint32_t baud_int = 0; + uint64_t temp1; + + /* Check if the baudrate is outside of valid range */ + if ((baudrate * sample_num) > peripheral_clock) { + 9a0: 0004 movs r4, r0 + 9a2: 434c muls r4, r1 + /* Return with error code */ + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + 9a4: 2240 movs r2, #64 ; 0x40 + if ((baudrate * sample_num) > peripheral_clock) { + 9a6: 42bc cmp r4, r7 + 9a8: d902 bls.n 9b0 <_sercom_get_async_baud_val+0x1c> + baud_calculated = baud_int | (baud_fp << 13); + } + + *baudval = baud_calculated; + return STATUS_OK; +} + 9aa: 0010 movs r0, r2 + 9ac: b003 add sp, #12 + 9ae: bdf0 pop {r4, r5, r6, r7, pc} + if(mode == SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC) { + 9b0: 2b00 cmp r3, #0 + 9b2: d114 bne.n 9de <_sercom_get_async_baud_val+0x4a> + temp1 = ((sample_num * (uint64_t)baudrate) << SHIFT); + 9b4: 0002 movs r2, r0 + 9b6: 0008 movs r0, r1 + 9b8: 2100 movs r1, #0 + 9ba: 4c19 ldr r4, [pc, #100] ; (a20 <_sercom_get_async_baud_val+0x8c>) + 9bc: 47a0 blx r4 + 9be: 0001 movs r1, r0 + ratio = long_division(temp1, peripheral_clock); + 9c0: 003a movs r2, r7 + 9c2: 2300 movs r3, #0 + 9c4: 2000 movs r0, #0 + 9c6: 4c17 ldr r4, [pc, #92] ; (a24 <_sercom_get_async_baud_val+0x90>) + 9c8: 47a0 blx r4 + scale = ((uint64_t)1 << SHIFT) - ratio; + 9ca: 2200 movs r2, #0 + 9cc: 2301 movs r3, #1 + 9ce: 1a12 subs r2, r2, r0 + 9d0: 418b sbcs r3, r1 + baud_calculated = (65536 * scale) >> SHIFT; + 9d2: 0c12 lsrs r2, r2, #16 + 9d4: 041b lsls r3, r3, #16 + 9d6: 431a orrs r2, r3 + *baudval = baud_calculated; + 9d8: 8032 strh r2, [r6, #0] + return STATUS_OK; + 9da: 2200 movs r2, #0 + 9dc: e7e5 b.n 9aa <_sercom_get_async_baud_val+0x16> + uint64_t baud_calculated = 0; + 9de: 2200 movs r2, #0 + } else if(mode == SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL) { + 9e0: 2b01 cmp r3, #1 + 9e2: d1f9 bne.n 9d8 <_sercom_get_async_baud_val+0x44> + temp1 = ((uint64_t)baudrate * sample_num); + 9e4: 000a movs r2, r1 + 9e6: 2300 movs r3, #0 + 9e8: 2100 movs r1, #0 + 9ea: 4c0d ldr r4, [pc, #52] ; (a20 <_sercom_get_async_baud_val+0x8c>) + 9ec: 47a0 blx r4 + 9ee: 0002 movs r2, r0 + 9f0: 000b movs r3, r1 + 9f2: 9200 str r2, [sp, #0] + 9f4: 9301 str r3, [sp, #4] + baud_int = long_division( peripheral_clock, temp1); + 9f6: 0038 movs r0, r7 + 9f8: 2100 movs r1, #0 + 9fa: 4c0a ldr r4, [pc, #40] ; (a24 <_sercom_get_async_baud_val+0x90>) + 9fc: 47a0 blx r4 + 9fe: 0005 movs r5, r0 + if(baud_int > BAUD_INT_MAX) { + a00: 2380 movs r3, #128 ; 0x80 + a02: 019b lsls r3, r3, #6 + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + a04: 2240 movs r2, #64 ; 0x40 + if(baud_int > BAUD_INT_MAX) { + a06: 4298 cmp r0, r3 + a08: d8cf bhi.n 9aa <_sercom_get_async_baud_val+0x16> + temp1 = long_division( 8 * (uint64_t)peripheral_clock, temp1); + a0a: 0f79 lsrs r1, r7, #29 + a0c: 00f8 lsls r0, r7, #3 + a0e: 9a00 ldr r2, [sp, #0] + a10: 9b01 ldr r3, [sp, #4] + a12: 47a0 blx r4 + baud_fp = temp1 - 8 * baud_int; + a14: 00ea lsls r2, r5, #3 + a16: 1a82 subs r2, r0, r2 + baud_calculated = baud_int | (baud_fp << 13); + a18: b2d2 uxtb r2, r2 + a1a: 0352 lsls r2, r2, #13 + a1c: 432a orrs r2, r5 + a1e: e7db b.n 9d8 <_sercom_get_async_baud_val+0x44> + a20: 00001f0d .word 0x00001f0d + a24: 000008b3 .word 0x000008b3 + +00000a28 : + * forced. + */ +enum status_code sercom_set_gclk_generator( + const enum gclk_generator generator_source, + const bool force_change) +{ + a28: b510 push {r4, lr} + a2a: b082 sub sp, #8 + a2c: 0004 movs r4, r0 + /* Check if valid option */ + if (!_sercom_config.generator_is_set || force_change) { + a2e: 4b0e ldr r3, [pc, #56] ; (a68 ) + a30: 781b ldrb r3, [r3, #0] + a32: 2b00 cmp r3, #0 + a34: d007 beq.n a46 + a36: 2900 cmp r1, #0 + a38: d105 bne.n a46 + /* Save config */ + _sercom_config.generator_source = generator_source; + _sercom_config.generator_is_set = true; + + return STATUS_OK; + } else if (generator_source == _sercom_config.generator_source) { + a3a: 4b0b ldr r3, [pc, #44] ; (a68 ) + a3c: 785b ldrb r3, [r3, #1] + a3e: 4283 cmp r3, r0 + a40: d010 beq.n a64 + /* Return status OK if same config */ + return STATUS_OK; + } + + /* Return invalid config to already initialized GCLK */ + return STATUS_ERR_ALREADY_INITIALIZED; + a42: 201d movs r0, #29 + a44: e00c b.n a60 + gclk_chan_conf.source_generator = generator_source; + a46: a901 add r1, sp, #4 + a48: 700c strb r4, [r1, #0] + system_gclk_chan_set_config(SERCOM_GCLK_ID, &gclk_chan_conf); + a4a: 2013 movs r0, #19 + a4c: 4b07 ldr r3, [pc, #28] ; (a6c ) + a4e: 4798 blx r3 + system_gclk_chan_enable(SERCOM_GCLK_ID); + a50: 2013 movs r0, #19 + a52: 4b07 ldr r3, [pc, #28] ; (a70 ) + a54: 4798 blx r3 + _sercom_config.generator_source = generator_source; + a56: 4b04 ldr r3, [pc, #16] ; (a68 ) + a58: 705c strb r4, [r3, #1] + _sercom_config.generator_is_set = true; + a5a: 2201 movs r2, #1 + a5c: 701a strb r2, [r3, #0] + return STATUS_OK; + a5e: 2000 movs r0, #0 +} + a60: b002 add sp, #8 + a62: bd10 pop {r4, pc} + return STATUS_OK; + a64: 2000 movs r0, #0 + a66: e7fb b.n a60 + a68: 20000108 .word 0x20000108 + a6c: 00001af5 .word 0x00001af5 + a70: 00001a69 .word 0x00001a69 + +00000a74 <_sercom_get_default_pad>: + */ +uint32_t _sercom_get_default_pad( + Sercom *const sercom_module, + const uint8_t pad) +{ + switch ((uintptr_t)sercom_module) { + a74: 4b40 ldr r3, [pc, #256] ; (b78 <_sercom_get_default_pad+0x104>) + a76: 4298 cmp r0, r3 + a78: d031 beq.n ade <_sercom_get_default_pad+0x6a> + a7a: d90a bls.n a92 <_sercom_get_default_pad+0x1e> + a7c: 4b3f ldr r3, [pc, #252] ; (b7c <_sercom_get_default_pad+0x108>) + a7e: 4298 cmp r0, r3 + a80: d04d beq.n b1e <_sercom_get_default_pad+0xaa> + a82: 4b3f ldr r3, [pc, #252] ; (b80 <_sercom_get_default_pad+0x10c>) + a84: 4298 cmp r0, r3 + a86: d05a beq.n b3e <_sercom_get_default_pad+0xca> + a88: 4b3e ldr r3, [pc, #248] ; (b84 <_sercom_get_default_pad+0x110>) + a8a: 4298 cmp r0, r3 + a8c: d037 beq.n afe <_sercom_get_default_pad+0x8a> + /* Auto-generate a lookup table for the default SERCOM pad defaults */ + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + } + + Assert(false); + return 0; + a8e: 2000 movs r0, #0 +} + a90: 4770 bx lr + switch ((uintptr_t)sercom_module) { + a92: 4b3d ldr r3, [pc, #244] ; (b88 <_sercom_get_default_pad+0x114>) + a94: 4298 cmp r0, r3 + a96: d00c beq.n ab2 <_sercom_get_default_pad+0x3e> + a98: 4b3c ldr r3, [pc, #240] ; (b8c <_sercom_get_default_pad+0x118>) + a9a: 4298 cmp r0, r3 + a9c: d1f7 bne.n a8e <_sercom_get_default_pad+0x1a> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + a9e: 2901 cmp r1, #1 + aa0: d017 beq.n ad2 <_sercom_get_default_pad+0x5e> + aa2: 2900 cmp r1, #0 + aa4: d05d beq.n b62 <_sercom_get_default_pad+0xee> + aa6: 2902 cmp r1, #2 + aa8: d015 beq.n ad6 <_sercom_get_default_pad+0x62> + aaa: 2903 cmp r1, #3 + aac: d015 beq.n ada <_sercom_get_default_pad+0x66> + return 0; + aae: 2000 movs r0, #0 + ab0: e7ee b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + ab2: 2901 cmp r1, #1 + ab4: d007 beq.n ac6 <_sercom_get_default_pad+0x52> + ab6: 2900 cmp r1, #0 + ab8: d051 beq.n b5e <_sercom_get_default_pad+0xea> + aba: 2902 cmp r1, #2 + abc: d005 beq.n aca <_sercom_get_default_pad+0x56> + abe: 2903 cmp r1, #3 + ac0: d005 beq.n ace <_sercom_get_default_pad+0x5a> + return 0; + ac2: 2000 movs r0, #0 + ac4: e7e4 b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + ac6: 4832 ldr r0, [pc, #200] ; (b90 <_sercom_get_default_pad+0x11c>) + ac8: e7e2 b.n a90 <_sercom_get_default_pad+0x1c> + aca: 4832 ldr r0, [pc, #200] ; (b94 <_sercom_get_default_pad+0x120>) + acc: e7e0 b.n a90 <_sercom_get_default_pad+0x1c> + ace: 4832 ldr r0, [pc, #200] ; (b98 <_sercom_get_default_pad+0x124>) + ad0: e7de b.n a90 <_sercom_get_default_pad+0x1c> + ad2: 4832 ldr r0, [pc, #200] ; (b9c <_sercom_get_default_pad+0x128>) + ad4: e7dc b.n a90 <_sercom_get_default_pad+0x1c> + ad6: 4832 ldr r0, [pc, #200] ; (ba0 <_sercom_get_default_pad+0x12c>) + ad8: e7da b.n a90 <_sercom_get_default_pad+0x1c> + ada: 4832 ldr r0, [pc, #200] ; (ba4 <_sercom_get_default_pad+0x130>) + adc: e7d8 b.n a90 <_sercom_get_default_pad+0x1c> + ade: 2901 cmp r1, #1 + ae0: d007 beq.n af2 <_sercom_get_default_pad+0x7e> + ae2: 2900 cmp r1, #0 + ae4: d03f beq.n b66 <_sercom_get_default_pad+0xf2> + ae6: 2902 cmp r1, #2 + ae8: d005 beq.n af6 <_sercom_get_default_pad+0x82> + aea: 2903 cmp r1, #3 + aec: d005 beq.n afa <_sercom_get_default_pad+0x86> + return 0; + aee: 2000 movs r0, #0 + af0: e7ce b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + af2: 482d ldr r0, [pc, #180] ; (ba8 <_sercom_get_default_pad+0x134>) + af4: e7cc b.n a90 <_sercom_get_default_pad+0x1c> + af6: 482d ldr r0, [pc, #180] ; (bac <_sercom_get_default_pad+0x138>) + af8: e7ca b.n a90 <_sercom_get_default_pad+0x1c> + afa: 482d ldr r0, [pc, #180] ; (bb0 <_sercom_get_default_pad+0x13c>) + afc: e7c8 b.n a90 <_sercom_get_default_pad+0x1c> + afe: 2901 cmp r1, #1 + b00: d007 beq.n b12 <_sercom_get_default_pad+0x9e> + b02: 2900 cmp r1, #0 + b04: d031 beq.n b6a <_sercom_get_default_pad+0xf6> + b06: 2902 cmp r1, #2 + b08: d005 beq.n b16 <_sercom_get_default_pad+0xa2> + b0a: 2903 cmp r1, #3 + b0c: d005 beq.n b1a <_sercom_get_default_pad+0xa6> + return 0; + b0e: 2000 movs r0, #0 + b10: e7be b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + b12: 4828 ldr r0, [pc, #160] ; (bb4 <_sercom_get_default_pad+0x140>) + b14: e7bc b.n a90 <_sercom_get_default_pad+0x1c> + b16: 4828 ldr r0, [pc, #160] ; (bb8 <_sercom_get_default_pad+0x144>) + b18: e7ba b.n a90 <_sercom_get_default_pad+0x1c> + b1a: 4828 ldr r0, [pc, #160] ; (bbc <_sercom_get_default_pad+0x148>) + b1c: e7b8 b.n a90 <_sercom_get_default_pad+0x1c> + b1e: 2901 cmp r1, #1 + b20: d007 beq.n b32 <_sercom_get_default_pad+0xbe> + b22: 2900 cmp r1, #0 + b24: d023 beq.n b6e <_sercom_get_default_pad+0xfa> + b26: 2902 cmp r1, #2 + b28: d005 beq.n b36 <_sercom_get_default_pad+0xc2> + b2a: 2903 cmp r1, #3 + b2c: d005 beq.n b3a <_sercom_get_default_pad+0xc6> + return 0; + b2e: 2000 movs r0, #0 + b30: e7ae b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + b32: 4823 ldr r0, [pc, #140] ; (bc0 <_sercom_get_default_pad+0x14c>) + b34: e7ac b.n a90 <_sercom_get_default_pad+0x1c> + b36: 4823 ldr r0, [pc, #140] ; (bc4 <_sercom_get_default_pad+0x150>) + b38: e7aa b.n a90 <_sercom_get_default_pad+0x1c> + b3a: 4823 ldr r0, [pc, #140] ; (bc8 <_sercom_get_default_pad+0x154>) + b3c: e7a8 b.n a90 <_sercom_get_default_pad+0x1c> + b3e: 2901 cmp r1, #1 + b40: d007 beq.n b52 <_sercom_get_default_pad+0xde> + b42: 2900 cmp r1, #0 + b44: d015 beq.n b72 <_sercom_get_default_pad+0xfe> + b46: 2902 cmp r1, #2 + b48: d005 beq.n b56 <_sercom_get_default_pad+0xe2> + b4a: 2903 cmp r1, #3 + b4c: d005 beq.n b5a <_sercom_get_default_pad+0xe6> + return 0; + b4e: 2000 movs r0, #0 + b50: e79e b.n a90 <_sercom_get_default_pad+0x1c> + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + b52: 481e ldr r0, [pc, #120] ; (bcc <_sercom_get_default_pad+0x158>) + b54: e79c b.n a90 <_sercom_get_default_pad+0x1c> + b56: 481e ldr r0, [pc, #120] ; (bd0 <_sercom_get_default_pad+0x15c>) + b58: e79a b.n a90 <_sercom_get_default_pad+0x1c> + b5a: 481e ldr r0, [pc, #120] ; (bd4 <_sercom_get_default_pad+0x160>) + b5c: e798 b.n a90 <_sercom_get_default_pad+0x1c> + b5e: 481e ldr r0, [pc, #120] ; (bd8 <_sercom_get_default_pad+0x164>) + b60: e796 b.n a90 <_sercom_get_default_pad+0x1c> + b62: 2003 movs r0, #3 + b64: e794 b.n a90 <_sercom_get_default_pad+0x1c> + b66: 481d ldr r0, [pc, #116] ; (bdc <_sercom_get_default_pad+0x168>) + b68: e792 b.n a90 <_sercom_get_default_pad+0x1c> + b6a: 481d ldr r0, [pc, #116] ; (be0 <_sercom_get_default_pad+0x16c>) + b6c: e790 b.n a90 <_sercom_get_default_pad+0x1c> + b6e: 481d ldr r0, [pc, #116] ; (be4 <_sercom_get_default_pad+0x170>) + b70: e78e b.n a90 <_sercom_get_default_pad+0x1c> + b72: 481d ldr r0, [pc, #116] ; (be8 <_sercom_get_default_pad+0x174>) + b74: e78c b.n a90 <_sercom_get_default_pad+0x1c> + b76: 46c0 nop ; (mov r8, r8) + b78: 42001000 .word 0x42001000 + b7c: 42001800 .word 0x42001800 + b80: 42001c00 .word 0x42001c00 + b84: 42001400 .word 0x42001400 + b88: 42000800 .word 0x42000800 + b8c: 42000c00 .word 0x42000c00 + b90: 00050003 .word 0x00050003 + b94: 00060003 .word 0x00060003 + b98: 00070003 .word 0x00070003 + b9c: 00010003 .word 0x00010003 + ba0: 001e0003 .word 0x001e0003 + ba4: 001f0003 .word 0x001f0003 + ba8: 00090003 .word 0x00090003 + bac: 000a0003 .word 0x000a0003 + bb0: 000b0003 .word 0x000b0003 + bb4: 00110003 .word 0x00110003 + bb8: 00120003 .word 0x00120003 + bbc: 00130003 .word 0x00130003 + bc0: 000d0003 .word 0x000d0003 + bc4: 000e0003 .word 0x000e0003 + bc8: 000f0003 .word 0x000f0003 + bcc: 00170003 .word 0x00170003 + bd0: 00180003 .word 0x00180003 + bd4: 00190003 .word 0x00190003 + bd8: 00040003 .word 0x00040003 + bdc: 00080003 .word 0x00080003 + be0: 00100003 .word 0x00100003 + be4: 000c0003 .word 0x000c0003 + be8: 00160003 .word 0x00160003 + +00000bec <_sercom_get_sercom_inst_index>: + * + * \return Index of given instance. + */ +uint8_t _sercom_get_sercom_inst_index( + Sercom *const sercom_instance) +{ + bec: b530 push {r4, r5, lr} + bee: b087 sub sp, #28 + /* Save all available SERCOM instances for compare */ + Sercom *sercom_instances[SERCOM_INST_NUM] = SERCOM_INSTS; + bf0: 4b0b ldr r3, [pc, #44] ; (c20 <_sercom_get_sercom_inst_index+0x34>) + bf2: 466a mov r2, sp + bf4: cb32 ldmia r3!, {r1, r4, r5} + bf6: c232 stmia r2!, {r1, r4, r5} + bf8: cb32 ldmia r3!, {r1, r4, r5} + bfa: c232 stmia r2!, {r1, r4, r5} + + /* Find index for sercom instance */ + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + if ((uintptr_t)sercom_instance == (uintptr_t)sercom_instances[i]) { + bfc: 9b00 ldr r3, [sp, #0] + bfe: 4283 cmp r3, r0 + c00: d00b beq.n c1a <_sercom_get_sercom_inst_index+0x2e> + c02: 2301 movs r3, #1 + c04: 009a lsls r2, r3, #2 + c06: 4669 mov r1, sp + c08: 5852 ldr r2, [r2, r1] + c0a: 4282 cmp r2, r0 + c0c: d006 beq.n c1c <_sercom_get_sercom_inst_index+0x30> + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + c0e: 3301 adds r3, #1 + c10: 2b06 cmp r3, #6 + c12: d1f7 bne.n c04 <_sercom_get_sercom_inst_index+0x18> + } + } + + /* Invalid data given */ + Assert(false); + return 0; + c14: 2000 movs r0, #0 +} + c16: b007 add sp, #28 + c18: bd30 pop {r4, r5, pc} + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + c1a: 2300 movs r3, #0 + return i; + c1c: b2d8 uxtb r0, r3 + c1e: e7fa b.n c16 <_sercom_get_sercom_inst_index+0x2a> + c20: 000037f4 .word 0x000037f4 + +00000c24 <_read>: +int __attribute__((weak)) +_read (int file, char * ptr, int len); // Remove GCC compiler warning + +int __attribute__((weak)) +_read (int file, char * ptr, int len) +{ + c24: b5f0 push {r4, r5, r6, r7, lr} + c26: 46c6 mov lr, r8 + c28: b500 push {lr} + c2a: 000c movs r4, r1 + c2c: 4690 mov r8, r2 + int nChars = 0; + + if (file != 0) { + c2e: 2800 cmp r0, #0 + c30: d10f bne.n c52 <_read+0x2e> + return -1; + } + + for (; len > 0; --len) { + c32: 2a00 cmp r2, #0 + c34: dd11 ble.n c5a <_read+0x36> + c36: 188f adds r7, r1, r2 + ptr_get(stdio_base, ptr); + c38: 4e09 ldr r6, [pc, #36] ; (c60 <_read+0x3c>) + c3a: 4d0a ldr r5, [pc, #40] ; (c64 <_read+0x40>) + c3c: 6830 ldr r0, [r6, #0] + c3e: 0021 movs r1, r4 + c40: 682b ldr r3, [r5, #0] + c42: 4798 blx r3 + ptr++; + c44: 3401 adds r4, #1 + for (; len > 0; --len) { + c46: 42bc cmp r4, r7 + c48: d1f8 bne.n c3c <_read+0x18> + nChars++; + } + return nChars; +} + c4a: 4640 mov r0, r8 + c4c: bc04 pop {r2} + c4e: 4690 mov r8, r2 + c50: bdf0 pop {r4, r5, r6, r7, pc} + return -1; + c52: 2301 movs r3, #1 + c54: 425b negs r3, r3 + c56: 4698 mov r8, r3 + c58: e7f7 b.n c4a <_read+0x26> + for (; len > 0; --len) { + c5a: 4680 mov r8, r0 + c5c: e7f5 b.n c4a <_read+0x26> + c5e: 46c0 nop ; (mov r8, r8) + c60: 20000238 .word 0x20000238 + c64: 20000230 .word 0x20000230 + +00000c68 <_write>: +int __attribute__((weak)) +_write (int file, char * ptr, int len); + +int __attribute__((weak)) +_write (int file, char * ptr, int len) +{ + c68: b5f0 push {r4, r5, r6, r7, lr} + c6a: 46c6 mov lr, r8 + c6c: b500 push {lr} + c6e: 000e movs r6, r1 + c70: 0015 movs r5, r2 + int nChars = 0; + + if ((file != 1) && (file != 2) && (file!=3)) { + c72: 3801 subs r0, #1 + c74: 2802 cmp r0, #2 + c76: d810 bhi.n c9a <_write+0x32> + return -1; + } + + for (; len != 0; --len) { + c78: 2a00 cmp r2, #0 + c7a: d011 beq.n ca0 <_write+0x38> + c7c: 2400 movs r4, #0 + if (ptr_put(stdio_base, *ptr++) < 0) { + c7e: 4b0c ldr r3, [pc, #48] ; (cb0 <_write+0x48>) + c80: 4698 mov r8, r3 + c82: 4f0c ldr r7, [pc, #48] ; (cb4 <_write+0x4c>) + c84: 4643 mov r3, r8 + c86: 6818 ldr r0, [r3, #0] + c88: 5d31 ldrb r1, [r6, r4] + c8a: 683b ldr r3, [r7, #0] + c8c: 4798 blx r3 + c8e: 2800 cmp r0, #0 + c90: db08 blt.n ca4 <_write+0x3c> + return -1; + } + ++nChars; + c92: 3401 adds r4, #1 + for (; len != 0; --len) { + c94: 42a5 cmp r5, r4 + c96: d1f5 bne.n c84 <_write+0x1c> + c98: e006 b.n ca8 <_write+0x40> + return -1; + c9a: 2401 movs r4, #1 + c9c: 4264 negs r4, r4 + c9e: e003 b.n ca8 <_write+0x40> + for (; len != 0; --len) { + ca0: 0014 movs r4, r2 + ca2: e001 b.n ca8 <_write+0x40> + return -1; + ca4: 2401 movs r4, #1 + ca6: 4264 negs r4, r4 + } + return nChars; +} + ca8: 0020 movs r0, r4 + caa: bc04 pop {r2} + cac: 4690 mov r8, r2 + cae: bdf0 pop {r4, r5, r6, r7, pc} + cb0: 20000238 .word 0x20000238 + cb4: 20000234 .word 0x20000234 + +00000cb8 : + +COMPILER_ALIGNED(16) +DmacDescriptor adc_descriptor SECTION_DMAC_DESCRIPTOR; + +void padc_init(void) +{ + cb8: b530 push {r4, r5, lr} + cba: b095 sub sp, #84 ; 0x54 + // configure adc + struct adc_config adc_conf; + adc_get_config_defaults(&adc_conf); + cbc: ac08 add r4, sp, #32 + cbe: 0020 movs r0, r4 + cc0: 4b2a ldr r3, [pc, #168] ; (d6c ) + cc2: 4798 blx r3 + adc_conf.gain_factor = P_ADC_GAIN_FACTOR; + cc4: 2300 movs r3, #0 + cc6: 60a3 str r3, [r4, #8] + adc_conf.resolution = P_ADC_RESOLUTION; + cc8: 7123 strb r3, [r4, #4] + adc_conf.clock_prescaler = P_ADC_CLOCK_PRESCALAR; + cca: 2280 movs r2, #128 ; 0x80 + ccc: 0092 lsls r2, r2, #2 + cce: 8062 strh r2, [r4, #2] + adc_conf.reference = P_ADC_VREF; + cd0: 2201 movs r2, #1 + cd2: 7062 strb r2, [r4, #1] + adc_conf.positive_input = P_ADC_POSITIVE_INPUT_PIN; + cd4: 2104 movs r1, #4 + cd6: 7321 strb r1, [r4, #12] + adc_conf.negative_input = P_ADC_NEGATIVE_INPUT_PIN; + cd8: 21c0 movs r1, #192 ; 0xc0 + cda: 0149 lsls r1, r1, #5 + cdc: 81e1 strh r1, [r4, #14] + adc_conf.freerunning = true; + cde: 7522 strb r2, [r4, #20] + adc_conf.left_adjust = false; + ce0: 74a3 strb r3, [r4, #18] + + adc_init(&adc_mod, ADC, &adc_conf); + ce2: 4d23 ldr r5, [pc, #140] ; (d70 ) + ce4: 0022 movs r2, r4 + ce6: 4923 ldr r1, [pc, #140] ; (d74 ) + ce8: 0028 movs r0, r5 + cea: 4b23 ldr r3, [pc, #140] ; (d78 ) + cec: 4798 blx r3 + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + cee: 682a ldr r2, [r5, #0] + cf0: 7e53 ldrb r3, [r2, #25] + + while (adc_is_syncing(module_inst)) { + cf2: b25b sxtb r3, r3 + cf4: 2b00 cmp r3, #0 + cf6: dbfb blt.n cf0 + system_interrupt_enable(SYSTEM_INTERRUPT_MODULE_ADC); +# endif +#endif + + /* Disbale interrupt */ + adc_module->INTENCLR.reg = ADC_INTENCLR_MASK; + cf8: 230f movs r3, #15 + cfa: 7593 strb r3, [r2, #22] + /* Clear interrupt flag */ + adc_module->INTFLAG.reg = ADC_INTFLAG_MASK; + cfc: 7613 strb r3, [r2, #24] + + adc_module->CTRLA.reg |= ADC_CTRLA_ENABLE; + cfe: 7813 ldrb r3, [r2, #0] + d00: 2102 movs r1, #2 + d02: 430b orrs r3, r1 + d04: 7013 strb r3, [r2, #0] + Adc *const adc_module = module_inst->hw; + d06: 4b1a ldr r3, [pc, #104] ; (d70 ) + d08: 681a ldr r2, [r3, #0] + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + d0a: 7e53 ldrb r3, [r2, #25] + + while (adc_is_syncing(module_inst)) { + d0c: b25b sxtb r3, r3 + d0e: 2b00 cmp r3, #0 + d10: dbfb blt.n d0a + adc_enable(&adc_mod); + + // configure dma resource + struct dma_resource_config dma_resource_conf; + dma_get_config_defaults(&dma_resource_conf); + d12: ac06 add r4, sp, #24 + d14: 0020 movs r0, r4 + d16: 4b19 ldr r3, [pc, #100] ; (d7c ) + d18: 4798 blx r3 + dma_resource_conf.peripheral_trigger = ADC_DMAC_ID_RESRDY; + d1a: 2327 movs r3, #39 ; 0x27 + d1c: 7063 strb r3, [r4, #1] + dma_resource_conf.trigger_action = DMA_TRIGGER_ACTION_BEAT; + d1e: 3b25 subs r3, #37 ; 0x25 + d20: 70a3 strb r3, [r4, #2] + // allocate dma resource + dma_allocate(&adc_resource, &dma_resource_conf); + d22: 4d17 ldr r5, [pc, #92] ; (d80 ) + d24: 0021 movs r1, r4 + d26: 0028 movs r0, r5 + d28: 4b16 ldr r3, [pc, #88] ; (d84 ) + d2a: 4798 blx r3 +static inline void dma_descriptor_get_config_defaults(struct dma_descriptor_config *config) +{ + Assert(config); + + /* Set descriptor as valid */ + config->descriptor_valid = true; + d2c: 2201 movs r2, #1 + d2e: 466b mov r3, sp + d30: 701a strb r2, [r3, #0] + /* Disable event output */ + config->event_output_selection = DMA_EVENT_OUTPUT_DISABLE; + d32: 2300 movs r3, #0 + d34: 4669 mov r1, sp + d36: 704b strb r3, [r1, #1] + /* No block action */ + config->block_action = DMA_BLOCK_ACTION_NOACT; + d38: 708b strb r3, [r1, #2] + /* Set beat size to one byte */ + config->beat_size = DMA_BEAT_SIZE_BYTE; + /* Enable source increment */ + config->src_increment_enable = true; + /* Enable destination increment */ + config->dst_increment_enable = true; + d3a: 714a strb r2, [r1, #5] + /* Step size is applied to the destination address */ + config->step_selection = DMA_STEPSEL_DST; + d3c: 718b strb r3, [r1, #6] + /* Address increment is beat size multiplied by 1*/ + config->step_size = DMA_ADDRESS_INCREMENT_STEP_SIZE_1; + d3e: 71cb strb r3, [r1, #7] + // setup transfer descriptor + struct dma_descriptor_config dma_desc_conf; + dma_descriptor_get_config_defaults(&dma_desc_conf); + dma_desc_conf.beat_size = DMA_BEAT_SIZE_HWORD; // 16 bit + d40: 70ca strb r2, [r1, #3] + dma_desc_conf.dst_increment_enable = true; + dma_desc_conf.src_increment_enable = false; + d42: 710b strb r3, [r1, #4] + dma_desc_conf.block_transfer_count = sizeof(raw_adc_values) / 2; + d44: 3310 adds r3, #16 + d46: 810b strh r3, [r1, #8] + dma_desc_conf.source_address = (uint32_t)(&adc_mod.hw->RESULT.reg); + d48: 4b09 ldr r3, [pc, #36] ; (d70 ) + d4a: 681b ldr r3, [r3, #0] + d4c: 331a adds r3, #26 + d4e: 9303 str r3, [sp, #12] + dma_desc_conf.destination_address = (uint32_t)(raw_adc_values + (sizeof(raw_adc_values) / 2)); + d50: 4b0d ldr r3, [pc, #52] ; (d88 ) + d52: 3320 adds r3, #32 + d54: 9304 str r3, [sp, #16] + dma_desc_conf.next_descriptor_address = (uint32_t)&adc_descriptor; + d56: 4c0d ldr r4, [pc, #52] ; (d8c ) + d58: 9405 str r4, [sp, #20] + dma_descriptor_create(&adc_descriptor, &dma_desc_conf); + d5a: 0020 movs r0, r4 + d5c: 4b0c ldr r3, [pc, #48] ; (d90 ) + d5e: 4798 blx r3 + dma_add_descriptor(&adc_resource, &adc_descriptor); + d60: 0021 movs r1, r4 + d62: 0028 movs r0, r5 + d64: 4b0b ldr r3, [pc, #44] ; (d94 ) + d66: 4798 blx r3 +} + d68: b015 add sp, #84 ; 0x54 + d6a: bd30 pop {r4, r5, pc} + d6c: 00000115 .word 0x00000115 + d70: 2000010c .word 0x2000010c + d74: 42004000 .word 0x42004000 + d78: 0000015d .word 0x0000015d + d7c: 00000601 .word 0x00000601 + d80: 20000114 .word 0x20000114 + d84: 00000611 .word 0x00000611 + d88: 20000130 .word 0x20000130 + d8c: 20000240 .word 0x20000240 + d90: 000007e1 .word 0x000007e1 + d94: 00000889 .word 0x00000889 + +00000d98 : + +void padc_start(void) +{ + d98: b510 push {r4, lr} + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + d9a: 4b09 ldr r3, [pc, #36] ; (dc0 ) + d9c: 681a ldr r2, [r3, #0] + d9e: 7e53 ldrb r3, [r2, #25] + + while (adc_is_syncing(module_inst)) { + da0: b25b sxtb r3, r3 + da2: 2b00 cmp r3, #0 + da4: dbfb blt.n d9e + /* Wait for synchronization */ + } + + adc_module->SWTRIG.reg |= ADC_SWTRIG_START; + da6: 7b13 ldrb r3, [r2, #12] + da8: 2102 movs r1, #2 + daa: 430b orrs r3, r1 + dac: 7313 strb r3, [r2, #12] + dae: 7e53 ldrb r3, [r2, #25] + + while (adc_is_syncing(module_inst)) { + db0: b25b sxtb r3, r3 + db2: 2b00 cmp r3, #0 + db4: dbfb blt.n dae + adc_start_conversion(&adc_mod); + dma_start_transfer_job(&adc_resource); + db6: 4803 ldr r0, [pc, #12] ; (dc4 ) + db8: 4b03 ldr r3, [pc, #12] ; (dc8 ) + dba: 4798 blx r3 +} + dbc: bd10 pop {r4, pc} + dbe: 46c0 nop ; (mov r8, r8) + dc0: 2000010c .word 0x2000010c + dc4: 20000114 .word 0x20000114 + dc8: 00000759 .word 0x00000759 + +00000dcc : + uint16_t raw = padc_get_raw(); + return (float)((float)raw / 4096.0f * P_ADC_VREF_VAL); +} + +uint16_t padc_get_raw(void) +{ + dcc: 4b05 ldr r3, [pc, #20] ; (de4 ) + dce: 0019 movs r1, r3 + dd0: 3120 adds r1, #32 + uint32_t sum = 0; + dd2: 2000 movs r0, #0 + for(int ind = 0; ind < P_ADC_OVERSAMPLE_RATE; ind++) + { + sum += (uint32_t)raw_adc_values[ind]; + dd4: 881a ldrh r2, [r3, #0] + dd6: 1880 adds r0, r0, r2 + dd8: 3302 adds r3, #2 + for(int ind = 0; ind < P_ADC_OVERSAMPLE_RATE; ind++) + dda: 428b cmp r3, r1 + ddc: d1fa bne.n dd4 + } + sum /= P_ADC_OVERSAMPLE_RATE; + dde: 0900 lsrs r0, r0, #4 + return (uint16_t)sum; + de0: b280 uxth r0, r0 + de2: 4770 bx lr + de4: 20000130 .word 0x20000130 + +00000de8 : +{ + de8: b510 push {r4, lr} + uint16_t raw = padc_get_raw(); + dea: 4b05 ldr r3, [pc, #20] ; (e00 ) + dec: 4798 blx r3 + return (float)((float)raw / 4096.0f * P_ADC_VREF_VAL); + dee: 4b05 ldr r3, [pc, #20] ; (e04 ) + df0: 4798 blx r3 + df2: 4c05 ldr r4, [pc, #20] ; (e08 ) + df4: 21e6 movs r1, #230 ; 0xe6 + df6: 0589 lsls r1, r1, #22 + df8: 47a0 blx r4 + dfa: 4904 ldr r1, [pc, #16] ; (e0c ) + dfc: 47a0 blx r4 +} + dfe: bd10 pop {r4, pc} + e00: 00000dcd .word 0x00000dcd + e04: 000025b9 .word 0x000025b9 + e08: 00001f61 .word 0x00001f61 + e0c: 400eb368 .word 0x400eb368 + +00000e10 : + * \param[out] c Destination for the read character. + */ +static inline void usart_serial_getchar( + struct usart_module *const module, + uint8_t *c) +{ + e10: b570 push {r4, r5, r6, lr} + e12: b082 sub sp, #8 + e14: 0005 movs r5, r0 + e16: 000e movs r6, r1 + uint16_t temp = 0; + e18: 2200 movs r2, #0 + e1a: 466b mov r3, sp + e1c: 80da strh r2, [r3, #6] + + while(STATUS_OK != usart_read_wait(module, &temp)); + e1e: 4c06 ldr r4, [pc, #24] ; (e38 ) + e20: 466b mov r3, sp + e22: 1d99 adds r1, r3, #6 + e24: 0028 movs r0, r5 + e26: 47a0 blx r4 + e28: 2800 cmp r0, #0 + e2a: d1f9 bne.n e20 + + *c = temp; + e2c: 466b mov r3, sp + e2e: 3306 adds r3, #6 + e30: 881b ldrh r3, [r3, #0] + e32: 7033 strb r3, [r6, #0] +} + e34: b002 add sp, #8 + e36: bd70 pop {r4, r5, r6, pc} + e38: 000012a7 .word 0x000012a7 + +00000e3c : +{ + e3c: b570 push {r4, r5, r6, lr} + e3e: 0005 movs r5, r0 + while(STATUS_OK !=usart_write_wait(module, c)); + e40: b28c uxth r4, r1 + e42: 4e03 ldr r6, [pc, #12] ; (e50 ) + e44: 0021 movs r1, r4 + e46: 0028 movs r0, r5 + e48: 47b0 blx r6 + e4a: 2800 cmp r0, #0 + e4c: d1fa bne.n e44 +} + e4e: bd70 pop {r4, r5, r6, pc} + e50: 00001281 .word 0x00001281 + +00000e54 : +#include "pusart.h" + +void pusart_init(void) +{ + e54: b5f8 push {r3, r4, r5, r6, r7, lr} +{ + /* Sanity check arguments */ + Assert(config); + + /* Set default config in the config struct */ + config->data_order = USART_DATAORDER_LSB; + e56: 4a2c ldr r2, [pc, #176] ; (f08 ) + e58: 2380 movs r3, #128 ; 0x80 + e5a: 05db lsls r3, r3, #23 + e5c: 6013 str r3, [r2, #0] + config->transfer_mode = USART_TRANSFER_ASYNCHRONOUSLY; + e5e: 2300 movs r3, #0 + e60: 6053 str r3, [r2, #4] + config->parity = USART_PARITY_NONE; + e62: 21ff movs r1, #255 ; 0xff + e64: 8111 strh r1, [r2, #8] + config->stopbits = USART_STOPBITS_1; + e66: 2100 movs r1, #0 + e68: 7293 strb r3, [r2, #10] + config->character_size = USART_CHARACTER_SIZE_8BIT; + e6a: 72d3 strb r3, [r2, #11] + config->baudrate = 9600; + config->receiver_enable = true; + e6c: 2401 movs r4, #1 + e6e: 2024 movs r0, #36 ; 0x24 + e70: 5414 strb r4, [r2, r0] + config->transmitter_enable = true; + e72: 3001 adds r0, #1 + e74: 5414 strb r4, [r2, r0] + config->clock_polarity_inverted = false; + e76: 3001 adds r0, #1 + e78: 5413 strb r3, [r2, r0] + config->use_external_clock = false; + e7a: 3001 adds r0, #1 + e7c: 5413 strb r3, [r2, r0] + config->ext_clock_freq = 0; + e7e: 6293 str r3, [r2, #40] ; 0x28 + config->mux_setting = USART_RX_1_TX_2_XCK_3; + config->run_in_standby = false; + e80: 3005 adds r0, #5 + e82: 5413 strb r3, [r2, r0] + config->generator_source = GCLK_GENERATOR_0; + e84: 3001 adds r0, #1 + e86: 5413 strb r3, [r2, r0] + config->pinmux_pad0 = PINMUX_DEFAULT; + config->pinmux_pad1 = PINMUX_DEFAULT; + config->pinmux_pad2 = PINMUX_DEFAULT; + config->pinmux_pad3 = PINMUX_DEFAULT; +#ifdef FEATURE_USART_OVER_SAMPLE + config->sample_adjustment = USART_SAMPLE_ADJUSTMENT_7_8_9; + e88: 6153 str r3, [r2, #20] + config->sample_rate = USART_SAMPLE_RATE_16X_ARITHMETIC; + e8a: 8213 strh r3, [r2, #16] +#endif +#ifdef FEATURE_USART_LIN_SLAVE + config->lin_slave_enable = false; + e8c: 76d3 strb r3, [r2, #27] + config->lin_header_delay = LIN_MASTER_HEADER_DELAY_0; + config->lin_break_length = LIN_MASTER_BREAK_LENGTH_13_BIT; +#endif + +#ifdef FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATION + config->immediate_buffer_overflow_notification = false; + e8e: 7611 strb r1, [r2, #24] +#endif +#ifdef FEATURE_USART_START_FRAME_DECTION + config->start_frame_detection_enable = false; + e90: 7711 strb r1, [r2, #28] +#endif +#ifdef FEATURE_USART_IRDA + config->encoding_format_enable = false; + e92: 7651 strb r1, [r2, #25] + config->receive_pulse_length = 19; + e94: 2313 movs r3, #19 + e96: 7693 strb r3, [r2, #26] + config->iso7816_config.inhibit_nack = ISO7816_INHIBIT_NACK_DISABLE; + config->iso7816_config.successive_recv_nack = ISO7816_SUCCESSIVE_RECV_NACK_DISABLE; + config->iso7816_config.max_iterations = 7; +#endif +#ifdef FEATURE_USART_COLLISION_DECTION + config->collision_detection_enable = false; + e98: 7751 strb r1, [r2, #29] + static struct usart_config uconf; + usart_get_config_defaults(&uconf); + uconf.pinmux_pad0 = DEBUG_USART_PINMUX_PAD0; + e9a: 4b1c ldr r3, [pc, #112] ; (f0c ) + e9c: 6313 str r3, [r2, #48] ; 0x30 + uconf.pinmux_pad1 = DEBUG_USART_PINMUX_PAD1; + e9e: 4b1c ldr r3, [pc, #112] ; (f10 ) + ea0: 6353 str r3, [r2, #52] ; 0x34 + uconf.pinmux_pad2 = DEBUG_USART_PINMUX_PAD2; + ea2: 2301 movs r3, #1 + ea4: 425b negs r3, r3 + ea6: 6393 str r3, [r2, #56] ; 0x38 + uconf.pinmux_pad3 = DEBUG_USART_PINMUX_PAD3; + ea8: 63d3 str r3, [r2, #60] ; 0x3c + uconf.mux_setting = DEBUG_USART_MUX_SETTING; + eaa: 2380 movs r3, #128 ; 0x80 + eac: 035b lsls r3, r3, #13 + eae: 60d3 str r3, [r2, #12] + uconf.baudrate = DEBUG_USART_BAUDRATE; + eb0: 23e1 movs r3, #225 ; 0xe1 + eb2: 025b lsls r3, r3, #9 + eb4: 6213 str r3, [r2, #32] +static inline void stdio_serial_init( + struct usart_module *const module, + usart_inst_t const hw, + const struct usart_config *const config) +{ + stdio_base = (void *)module; + eb6: 4d17 ldr r5, [pc, #92] ; (f14 ) + eb8: 4b17 ldr r3, [pc, #92] ; (f18 ) + eba: 601d str r5, [r3, #0] + ptr_put = (int (*)(void volatile*,char))&usart_serial_putchar; + ebc: 4917 ldr r1, [pc, #92] ; (f1c ) + ebe: 4b18 ldr r3, [pc, #96] ; (f20 ) + ec0: 6019 str r1, [r3, #0] + ptr_get = (void (*)(void volatile*,char*))&usart_serial_getchar; + ec2: 4918 ldr r1, [pc, #96] ; (f24 ) + ec4: 4b18 ldr r3, [pc, #96] ; (f28 ) + ec6: 6019 str r1, [r3, #0] + if (usart_init(module, hw, config) == STATUS_OK) { + ec8: 4918 ldr r1, [pc, #96] ; (f2c ) + eca: 0028 movs r0, r5 + ecc: 4b18 ldr r3, [pc, #96] ; (f30 ) + ece: 4798 blx r3 + + usart_serial_init(module, hw, config); +# if defined(__GNUC__) + // Specify that stdout and stdin should not be buffered. + setbuf(stdout, NULL); + ed0: 4f18 ldr r7, [pc, #96] ; (f34 ) + ed2: 683b ldr r3, [r7, #0] + ed4: 6898 ldr r0, [r3, #8] + ed6: 2100 movs r1, #0 + ed8: 4e17 ldr r6, [pc, #92] ; (f38 ) + eda: 47b0 blx r6 + setbuf(stdin, NULL); + edc: 683b ldr r3, [r7, #0] + ede: 6858 ldr r0, [r3, #4] + ee0: 2100 movs r1, #0 + ee2: 47b0 blx r6 + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + ee4: 682e ldr r6, [r5, #0] + +#if USART_CALLBACK_MODE == true + /* Enable Global interrupt for module */ + system_interrupt_enable(_sercom_get_interrupt_vector(module->hw)); + ee6: 0030 movs r0, r6 + ee8: 4b14 ldr r3, [pc, #80] ; (f3c ) + eea: 4798 blx r3 + NVIC->ISER[0] = (uint32_t)(1 << ((uint32_t)vector & 0x0000001f)); + eec: 231f movs r3, #31 + eee: 4018 ands r0, r3 + ef0: 4084 lsls r4, r0 + ef2: 4b13 ldr r3, [pc, #76] ; (f40 ) + ef4: 601c str r4, [r3, #0] + SercomUsart *const usart_hw = &(module->hw->USART); + ef6: 682a ldr r2, [r5, #0] + return (usart_hw->SYNCBUSY.reg); + ef8: 69d3 ldr r3, [r2, #28] + while (usart_is_syncing(module)) { + efa: 2b00 cmp r3, #0 + efc: d1fc bne.n ef8 + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Enable USART module */ + usart_hw->CTRLA.reg |= SERCOM_USART_CTRLA_ENABLE; + efe: 6833 ldr r3, [r6, #0] + f00: 2202 movs r2, #2 + f02: 4313 orrs r3, r2 + f04: 6033 str r3, [r6, #0] + stdio_serial_init(&umod, DEBUG_USART_MODULE, &uconf); + usart_enable(&umod); +} + f06: bdf8 pop {r3, r4, r5, r6, r7, pc} + f08: 20000150 .word 0x20000150 + f0c: 00160002 .word 0x00160002 + f10: 00170002 .word 0x00170002 + f14: 20000250 .word 0x20000250 + f18: 20000238 .word 0x20000238 + f1c: 00000e3d .word 0x00000e3d + f20: 20000234 .word 0x20000234 + f24: 00000e11 .word 0x00000e11 + f28: 20000230 .word 0x20000230 + f2c: 42001400 .word 0x42001400 + f30: 00000f45 .word 0x00000f45 + f34: 20000018 .word 0x20000018 + f38: 00002721 .word 0x00002721 + f3c: 000014e5 .word 0x000014e5 + f40: e000e100 .word 0xe000e100 + +00000f44 : + */ +enum status_code usart_init( + struct usart_module *const module, + Sercom *const hw, + const struct usart_config *const config) +{ + f44: b5f0 push {r4, r5, r6, r7, lr} + f46: 46de mov lr, fp + f48: 4657 mov r7, sl + f4a: 464e mov r6, r9 + f4c: 4645 mov r5, r8 + f4e: b5e0 push {r5, r6, r7, lr} + f50: b091 sub sp, #68 ; 0x44 + f52: 0005 movs r5, r0 + f54: 000c movs r4, r1 + f56: 0016 movs r6, r2 + Assert(config); + + enum status_code status_code = STATUS_OK; + + /* Assign module pointer to software instance struct */ + module->hw = hw; + f58: 6029 str r1, [r5, #0] + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + uint32_t sercom_index = _sercom_get_sercom_inst_index(module->hw); + f5a: 0008 movs r0, r1 + f5c: 4bba ldr r3, [pc, #744] ; (1248 ) + f5e: 4798 blx r3 + f60: 0002 movs r2, r0 +#else + pm_index = sercom_index + PM_APBCMASK_SERCOM0_Pos; + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; +#endif + + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_SWRST) { + f62: 6823 ldr r3, [r4, #0] + /* The module is busy resetting itself */ + return STATUS_BUSY; + f64: 2005 movs r0, #5 + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_SWRST) { + f66: 07db lsls r3, r3, #31 + f68: d506 bpl.n f78 + _sercom_set_handler(instance_index, _usart_interrupt_handler); + _sercom_instances[instance_index] = module; +#endif + + return status_code; +} + f6a: b011 add sp, #68 ; 0x44 + f6c: bc3c pop {r2, r3, r4, r5} + f6e: 4690 mov r8, r2 + f70: 4699 mov r9, r3 + f72: 46a2 mov sl, r4 + f74: 46ab mov fp, r5 + f76: bdf0 pop {r4, r5, r6, r7, pc} + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_ENABLE) { + f78: 6823 ldr r3, [r4, #0] + return STATUS_ERR_DENIED; + f7a: 3017 adds r0, #23 + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_ENABLE) { + f7c: 079b lsls r3, r3, #30 + f7e: d4f4 bmi.n f6a + PM->APBCMASK.reg |= mask; + f80: 49b2 ldr r1, [pc, #712] ; (124c ) + f82: 6a08 ldr r0, [r1, #32] + pm_index = sercom_index + PM_APBCMASK_SERCOM0_Pos; + f84: 1c97 adds r7, r2, #2 + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBC, 1 << pm_index); + f86: 2301 movs r3, #1 + f88: 40bb lsls r3, r7 + f8a: 4303 orrs r3, r0 + f8c: 620b str r3, [r1, #32] + gclk_chan_conf.source_generator = config->generator_source; + f8e: a90f add r1, sp, #60 ; 0x3c + f90: 272d movs r7, #45 ; 0x2d + f92: 5df3 ldrb r3, [r6, r7] + f94: 700b strb r3, [r1, #0] + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; + f96: 3214 adds r2, #20 + system_gclk_chan_set_config(gclk_index, &gclk_chan_conf); + f98: b2d3 uxtb r3, r2 + f9a: 9302 str r3, [sp, #8] + f9c: 0018 movs r0, r3 + f9e: 4bac ldr r3, [pc, #688] ; (1250 ) + fa0: 4798 blx r3 + system_gclk_chan_enable(gclk_index); + fa2: 9802 ldr r0, [sp, #8] + fa4: 4bab ldr r3, [pc, #684] ; (1254 ) + fa6: 4798 blx r3 + sercom_set_gclk_generator(config->generator_source, false); + fa8: 5df0 ldrb r0, [r6, r7] + faa: 2100 movs r1, #0 + fac: 4baa ldr r3, [pc, #680] ; (1258 ) + fae: 4798 blx r3 + module->character_size = config->character_size; + fb0: 7af3 ldrb r3, [r6, #11] + fb2: 716b strb r3, [r5, #5] + module->receiver_enabled = config->receiver_enable; + fb4: 2324 movs r3, #36 ; 0x24 + fb6: 5cf3 ldrb r3, [r6, r3] + fb8: 71ab strb r3, [r5, #6] + module->transmitter_enabled = config->transmitter_enable; + fba: 2325 movs r3, #37 ; 0x25 + fbc: 5cf3 ldrb r3, [r6, r3] + fbe: 71eb strb r3, [r5, #7] + module->lin_slave_enabled = config->lin_slave_enable; + fc0: 7ef3 ldrb r3, [r6, #27] + fc2: 722b strb r3, [r5, #8] + module->start_frame_detection_enabled = config->start_frame_detection_enable; + fc4: 7f33 ldrb r3, [r6, #28] + fc6: 726b strb r3, [r5, #9] + SercomUsart *const usart_hw = &(module->hw->USART); + fc8: 682b ldr r3, [r5, #0] + fca: 4698 mov r8, r3 + uint32_t sercom_index = _sercom_get_sercom_inst_index(module->hw); + fcc: 0018 movs r0, r3 + fce: 4b9e ldr r3, [pc, #632] ; (1248 ) + fd0: 4798 blx r3 + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; + fd2: 3014 adds r0, #20 + uint16_t baud = 0; + fd4: 2200 movs r2, #0 + fd6: 230e movs r3, #14 + fd8: a906 add r1, sp, #24 + fda: 468c mov ip, r1 + fdc: 4463 add r3, ip + fde: 801a strh r2, [r3, #0] + switch (config->sample_rate) { + fe0: 8a32 ldrh r2, [r6, #16] + fe2: 9202 str r2, [sp, #8] + fe4: 2380 movs r3, #128 ; 0x80 + fe6: 01db lsls r3, r3, #7 + fe8: 429a cmp r2, r3 + fea: d100 bne.n fee + fec: e09a b.n 1124 + fee: d90f bls.n 1010 + ff0: 23c0 movs r3, #192 ; 0xc0 + ff2: 01db lsls r3, r3, #7 + ff4: 9a02 ldr r2, [sp, #8] + ff6: 429a cmp r2, r3 + ff8: d100 bne.n ffc + ffa: e08e b.n 111a + ffc: 2380 movs r3, #128 ; 0x80 + ffe: 021b lsls r3, r3, #8 + 1000: 429a cmp r2, r3 + 1002: d000 beq.n 1006 + 1004: e11b b.n 123e + sample_num = SERCOM_ASYNC_SAMPLE_NUM_3; + 1006: 2303 movs r3, #3 + 1008: 9306 str r3, [sp, #24] + mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + 100a: 2300 movs r3, #0 + 100c: 9307 str r3, [sp, #28] + 100e: e008 b.n 1022 + switch (config->sample_rate) { + 1010: 2380 movs r3, #128 ; 0x80 + 1012: 019b lsls r3, r3, #6 + 1014: 429a cmp r2, r3 + 1016: d000 beq.n 101a + 1018: e111 b.n 123e + sample_num = SERCOM_ASYNC_SAMPLE_NUM_16; + 101a: 2310 movs r3, #16 + 101c: 9306 str r3, [sp, #24] + mode = SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL; + 101e: 3b0f subs r3, #15 + 1020: 9307 str r3, [sp, #28] + ctrla = (uint32_t)config->data_order | + 1022: 6833 ldr r3, [r6, #0] + 1024: 9305 str r3, [sp, #20] + (uint32_t)config->mux_setting | + 1026: 68f3 ldr r3, [r6, #12] + 1028: 469b mov fp, r3 + config->sample_adjustment | + 102a: 6973 ldr r3, [r6, #20] + 102c: 9303 str r3, [sp, #12] + (config->immediate_buffer_overflow_notification << SERCOM_USART_CTRLA_IBON_Pos) | + 102e: 7e33 ldrb r3, [r6, #24] + 1030: 9304 str r3, [sp, #16] + (config->clock_polarity_inverted << SERCOM_USART_CTRLA_CPOL_Pos); + 1032: 2326 movs r3, #38 ; 0x26 + 1034: 5cf3 ldrb r3, [r6, r3] + 1036: 469a mov sl, r3 + transfer_mode = (uint32_t)config->transfer_mode; + 1038: 6873 ldr r3, [r6, #4] + 103a: 4699 mov r9, r3 + switch (transfer_mode) + 103c: 2b00 cmp r3, #0 + 103e: d100 bne.n 1042 + 1040: e09c b.n 117c + 1042: 2380 movs r3, #128 ; 0x80 + 1044: 055b lsls r3, r3, #21 + 1046: 4599 cmp r9, r3 + 1048: d100 bne.n 104c + 104a: e080 b.n 114e + if(config->encoding_format_enable) { + 104c: 7e73 ldrb r3, [r6, #25] + 104e: 2b00 cmp r3, #0 + 1050: d002 beq.n 1058 + usart_hw->RXPL.reg = config->receive_pulse_length; + 1052: 7eb3 ldrb r3, [r6, #26] + 1054: 4642 mov r2, r8 + 1056: 7393 strb r3, [r2, #14] + usart_hw->BAUD.reg = baud; + 1058: 230e movs r3, #14 + 105a: aa06 add r2, sp, #24 + 105c: 4694 mov ip, r2 + 105e: 4463 add r3, ip + 1060: 881b ldrh r3, [r3, #0] + 1062: 4642 mov r2, r8 + 1064: 8193 strh r3, [r2, #12] + ctrla |= transfer_mode; + 1066: 9b05 ldr r3, [sp, #20] + 1068: 465a mov r2, fp + 106a: 4313 orrs r3, r2 + 106c: 9a03 ldr r2, [sp, #12] + 106e: 4313 orrs r3, r2 + 1070: 464a mov r2, r9 + 1072: 4313 orrs r3, r2 + 1074: 9f02 ldr r7, [sp, #8] + 1076: 431f orrs r7, r3 + (config->immediate_buffer_overflow_notification << SERCOM_USART_CTRLA_IBON_Pos) | + 1078: 9b04 ldr r3, [sp, #16] + 107a: 021b lsls r3, r3, #8 + ctrla |= transfer_mode; + 107c: 431f orrs r7, r3 + (config->clock_polarity_inverted << SERCOM_USART_CTRLA_CPOL_Pos); + 107e: 4653 mov r3, sl + 1080: 075b lsls r3, r3, #29 + ctrla |= transfer_mode; + 1082: 431f orrs r7, r3 + if (config->use_external_clock == false) { + 1084: 2327 movs r3, #39 ; 0x27 + 1086: 5cf3 ldrb r3, [r6, r3] + 1088: 2b00 cmp r3, #0 + 108a: d101 bne.n 1090 + ctrla |= SERCOM_USART_CTRLA_MODE(0x1); + 108c: 3304 adds r3, #4 + 108e: 431f orrs r7, r3 + (config->encoding_format_enable << SERCOM_USART_CTRLB_ENC_Pos) | + 1090: 7e73 ldrb r3, [r6, #25] + 1092: 029b lsls r3, r3, #10 + (config->start_frame_detection_enable << SERCOM_USART_CTRLB_SFDE_Pos) | + 1094: 7f32 ldrb r2, [r6, #28] + 1096: 0252 lsls r2, r2, #9 + (config->encoding_format_enable << SERCOM_USART_CTRLB_ENC_Pos) | + 1098: 4313 orrs r3, r2 + (config->collision_detection_enable << SERCOM_USART_CTRLB_COLDEN_Pos) | + 109a: 7f72 ldrb r2, [r6, #29] + 109c: 0212 lsls r2, r2, #8 + (config->start_frame_detection_enable << SERCOM_USART_CTRLB_SFDE_Pos) | + 109e: 4313 orrs r3, r2 + (config->receiver_enable << SERCOM_USART_CTRLB_RXEN_Pos) | + 10a0: 2224 movs r2, #36 ; 0x24 + 10a2: 5cb2 ldrb r2, [r6, r2] + 10a4: 0452 lsls r2, r2, #17 + (config->collision_detection_enable << SERCOM_USART_CTRLB_COLDEN_Pos) | + 10a6: 4313 orrs r3, r2 + (config->transmitter_enable << SERCOM_USART_CTRLB_TXEN_Pos); + 10a8: 2225 movs r2, #37 ; 0x25 + 10aa: 5cb2 ldrb r2, [r6, r2] + 10ac: 0412 lsls r2, r2, #16 + (config->receiver_enable << SERCOM_USART_CTRLB_RXEN_Pos) | + 10ae: 4313 orrs r3, r2 + ctrlb |= (uint32_t)config->character_size; + 10b0: 7ab1 ldrb r1, [r6, #10] + 10b2: 7af2 ldrb r2, [r6, #11] + 10b4: 4311 orrs r1, r2 + 10b6: 4319 orrs r1, r3 + if (config->parity != USART_PARITY_NONE) { + 10b8: 8933 ldrh r3, [r6, #8] + 10ba: 2bff cmp r3, #255 ; 0xff + 10bc: d100 bne.n 10c0 + 10be: e081 b.n 11c4 + ctrla |= SERCOM_USART_CTRLA_FORM(1); + 10c0: 2280 movs r2, #128 ; 0x80 + 10c2: 0452 lsls r2, r2, #17 + 10c4: 4317 orrs r7, r2 + ctrlb |= config->parity; + 10c6: 4319 orrs r1, r3 + if (config->run_in_standby || system_is_debugger_present()) { + 10c8: 232c movs r3, #44 ; 0x2c + 10ca: 5cf3 ldrb r3, [r6, r3] + 10cc: 2b00 cmp r3, #0 + 10ce: d103 bne.n 10d8 + * \retval false Debugger is not connected to the system + * + */ +static inline bool system_is_debugger_present(void) +{ + return DSU->STATUSB.reg & DSU_STATUSB_DBGPRES; + 10d0: 4b62 ldr r3, [pc, #392] ; (125c ) + 10d2: 789b ldrb r3, [r3, #2] + 10d4: 079b lsls r3, r3, #30 + 10d6: d501 bpl.n 10dc + ctrla |= SERCOM_USART_CTRLA_RUNSTDBY; + 10d8: 2380 movs r3, #128 ; 0x80 + 10da: 431f orrs r7, r3 + SercomUsart *const usart_hw = &(module->hw->USART); + 10dc: 682a ldr r2, [r5, #0] + return (usart_hw->SYNCBUSY.reg); + 10de: 69d3 ldr r3, [r2, #28] + while (usart_is_syncing(module)) { + 10e0: 2b00 cmp r3, #0 + 10e2: d1fc bne.n 10de + usart_hw->CTRLB.reg = ctrlb; + 10e4: 4643 mov r3, r8 + 10e6: 6059 str r1, [r3, #4] + SercomUsart *const usart_hw = &(module->hw->USART); + 10e8: 682a ldr r2, [r5, #0] + return (usart_hw->SYNCBUSY.reg); + 10ea: 69d3 ldr r3, [r2, #28] + while (usart_is_syncing(module)) { + 10ec: 2b00 cmp r3, #0 + 10ee: d1fc bne.n 10ea + usart_hw->CTRLA.reg = ctrla; + 10f0: 4643 mov r3, r8 + 10f2: 601f str r7, [r3, #0] + config->mux_position = SYSTEM_PINMUX_GPIO; + 10f4: ab0e add r3, sp, #56 ; 0x38 + 10f6: 2280 movs r2, #128 ; 0x80 + 10f8: 701a strb r2, [r3, #0] + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + 10fa: 2200 movs r2, #0 + 10fc: 705a strb r2, [r3, #1] + config->powersave = false; + 10fe: 70da strb r2, [r3, #3] + pin_conf.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + 1100: 709a strb r2, [r3, #2] + uint32_t pad_pinmuxes[] = { + 1102: 6b33 ldr r3, [r6, #48] ; 0x30 + 1104: 930a str r3, [sp, #40] ; 0x28 + 1106: 6b73 ldr r3, [r6, #52] ; 0x34 + 1108: 930b str r3, [sp, #44] ; 0x2c + 110a: 6bb3 ldr r3, [r6, #56] ; 0x38 + 110c: 930c str r3, [sp, #48] ; 0x30 + 110e: 6bf3 ldr r3, [r6, #60] ; 0x3c + 1110: 9302 str r3, [sp, #8] + 1112: 930d str r3, [sp, #52] ; 0x34 + 1114: 2700 movs r7, #0 + uint32_t current_pinmux = pad_pinmuxes[pad]; + 1116: ae0a add r6, sp, #40 ; 0x28 + 1118: e063 b.n 11e2 + sample_num = SERCOM_ASYNC_SAMPLE_NUM_8; + 111a: 2308 movs r3, #8 + 111c: 9306 str r3, [sp, #24] + mode = SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL; + 111e: 3b07 subs r3, #7 + 1120: 9307 str r3, [sp, #28] + 1122: e77e b.n 1022 + ctrla = (uint32_t)config->data_order | + 1124: 6833 ldr r3, [r6, #0] + 1126: 9305 str r3, [sp, #20] + (uint32_t)config->mux_setting | + 1128: 68f3 ldr r3, [r6, #12] + 112a: 469b mov fp, r3 + config->sample_adjustment | + 112c: 6973 ldr r3, [r6, #20] + 112e: 9303 str r3, [sp, #12] + (config->immediate_buffer_overflow_notification << SERCOM_USART_CTRLA_IBON_Pos) | + 1130: 7e33 ldrb r3, [r6, #24] + 1132: 9304 str r3, [sp, #16] + (config->clock_polarity_inverted << SERCOM_USART_CTRLA_CPOL_Pos); + 1134: 2326 movs r3, #38 ; 0x26 + 1136: 5cf3 ldrb r3, [r6, r3] + 1138: 469a mov sl, r3 + transfer_mode = (uint32_t)config->transfer_mode; + 113a: 6873 ldr r3, [r6, #4] + 113c: 4699 mov r9, r3 + switch (transfer_mode) + 113e: 2b00 cmp r3, #0 + 1140: d018 beq.n 1174 + 1142: 2380 movs r3, #128 ; 0x80 + 1144: 055b lsls r3, r3, #21 + 1146: 4599 cmp r9, r3 + 1148: d001 beq.n 114e + enum status_code status_code = STATUS_OK; + 114a: 2000 movs r0, #0 + 114c: e025 b.n 119a + if (!config->use_external_clock) { + 114e: 2327 movs r3, #39 ; 0x27 + 1150: 5cf3 ldrb r3, [r6, r3] + 1152: 2b00 cmp r3, #0 + 1154: d000 beq.n 1158 + 1156: e779 b.n 104c + status_code = _sercom_get_sync_baud_val(config->baudrate, + 1158: 6a33 ldr r3, [r6, #32] + 115a: 001f movs r7, r3 + 115c: b2c0 uxtb r0, r0 + 115e: 4b40 ldr r3, [pc, #256] ; (1260 ) + 1160: 4798 blx r3 + 1162: 0001 movs r1, r0 + 1164: 220e movs r2, #14 + 1166: ab06 add r3, sp, #24 + 1168: 469c mov ip, r3 + 116a: 4462 add r2, ip + 116c: 0038 movs r0, r7 + 116e: 4b3d ldr r3, [pc, #244] ; (1264 ) + 1170: 4798 blx r3 + 1172: e012 b.n 119a + sample_num = SERCOM_ASYNC_SAMPLE_NUM_8; + 1174: 2308 movs r3, #8 + 1176: 9306 str r3, [sp, #24] + mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + 1178: 2300 movs r3, #0 + 117a: 9307 str r3, [sp, #28] + if (config->use_external_clock) { + 117c: 2327 movs r3, #39 ; 0x27 + 117e: 5cf3 ldrb r3, [r6, r3] + 1180: 2b00 cmp r3, #0 + 1182: d00e beq.n 11a2 + status_code = + 1184: 9b06 ldr r3, [sp, #24] + 1186: 9300 str r3, [sp, #0] + 1188: 9b07 ldr r3, [sp, #28] + 118a: 220e movs r2, #14 + 118c: a906 add r1, sp, #24 + 118e: 468c mov ip, r1 + 1190: 4462 add r2, ip + 1192: 6ab1 ldr r1, [r6, #40] ; 0x28 + 1194: 6a30 ldr r0, [r6, #32] + 1196: 4f34 ldr r7, [pc, #208] ; (1268 ) + 1198: 47b8 blx r7 + if (status_code != STATUS_OK) { + 119a: 2800 cmp r0, #0 + 119c: d000 beq.n 11a0 + 119e: e6e4 b.n f6a + 11a0: e754 b.n 104c + _sercom_get_async_baud_val(config->baudrate, + 11a2: 6a33 ldr r3, [r6, #32] + 11a4: 001f movs r7, r3 + 11a6: b2c0 uxtb r0, r0 + 11a8: 4b2d ldr r3, [pc, #180] ; (1260 ) + 11aa: 4798 blx r3 + 11ac: 0001 movs r1, r0 + status_code = + 11ae: 9b06 ldr r3, [sp, #24] + 11b0: 9300 str r3, [sp, #0] + 11b2: 9b07 ldr r3, [sp, #28] + 11b4: 220e movs r2, #14 + 11b6: a806 add r0, sp, #24 + 11b8: 4684 mov ip, r0 + 11ba: 4462 add r2, ip + 11bc: 0038 movs r0, r7 + 11be: 4f2a ldr r7, [pc, #168] ; (1268 ) + 11c0: 47b8 blx r7 + 11c2: e7ea b.n 119a + if(config->lin_slave_enable) { + 11c4: 7ef3 ldrb r3, [r6, #27] + 11c6: 2b00 cmp r3, #0 + 11c8: d100 bne.n 11cc + 11ca: e77d b.n 10c8 + ctrla |= SERCOM_USART_CTRLA_FORM(0x4); + 11cc: 2380 movs r3, #128 ; 0x80 + 11ce: 04db lsls r3, r3, #19 + 11d0: 431f orrs r7, r3 + 11d2: e779 b.n 10c8 + current_pinmux = _sercom_get_default_pad(hw, pad); + 11d4: 0020 movs r0, r4 + 11d6: 4b25 ldr r3, [pc, #148] ; (126c ) + 11d8: 4798 blx r3 + 11da: e007 b.n 11ec + 11dc: 3701 adds r7, #1 + for (uint8_t pad = 0; pad < 4; pad++) { + 11de: 2f04 cmp r7, #4 + 11e0: d00d beq.n 11fe + 11e2: b2f9 uxtb r1, r7 + uint32_t current_pinmux = pad_pinmuxes[pad]; + 11e4: 00bb lsls r3, r7, #2 + 11e6: 5998 ldr r0, [r3, r6] + if (current_pinmux == PINMUX_DEFAULT) { + 11e8: 2800 cmp r0, #0 + 11ea: d0f3 beq.n 11d4 + if (current_pinmux != PINMUX_UNUSED) { + 11ec: 1c43 adds r3, r0, #1 + 11ee: d0f5 beq.n 11dc + pin_conf.mux_position = current_pinmux & 0xFFFF; + 11f0: a90e add r1, sp, #56 ; 0x38 + 11f2: 7008 strb r0, [r1, #0] + system_pinmux_pin_set_config(current_pinmux >> 16, &pin_conf); + 11f4: 0c00 lsrs r0, r0, #16 + 11f6: b2c0 uxtb r0, r0 + 11f8: 4b1d ldr r3, [pc, #116] ; (1270 ) + 11fa: 4798 blx r3 + 11fc: e7ee b.n 11dc + module->callback[i] = NULL; + 11fe: 2300 movs r3, #0 + 1200: 60eb str r3, [r5, #12] + 1202: 612b str r3, [r5, #16] + 1204: 616b str r3, [r5, #20] + 1206: 61ab str r3, [r5, #24] + 1208: 61eb str r3, [r5, #28] + 120a: 622b str r3, [r5, #32] + module->tx_buffer_ptr = NULL; + 120c: 62ab str r3, [r5, #40] ; 0x28 + module->rx_buffer_ptr = NULL; + 120e: 626b str r3, [r5, #36] ; 0x24 + module->remaining_tx_buffer_length = 0x0000; + 1210: 2200 movs r2, #0 + 1212: 85eb strh r3, [r5, #46] ; 0x2e + module->remaining_rx_buffer_length = 0x0000; + 1214: 85ab strh r3, [r5, #44] ; 0x2c + module->callback_reg_mask = 0x00; + 1216: 3330 adds r3, #48 ; 0x30 + 1218: 54ea strb r2, [r5, r3] + module->callback_enable_mask = 0x00; + 121a: 3301 adds r3, #1 + 121c: 54ea strb r2, [r5, r3] + module->rx_status = STATUS_OK; + 121e: 3301 adds r3, #1 + 1220: 54ea strb r2, [r5, r3] + module->tx_status = STATUS_OK; + 1222: 3301 adds r3, #1 + 1224: 54ea strb r2, [r5, r3] + uint8_t instance_index = _sercom_get_sercom_inst_index(module->hw); + 1226: 6828 ldr r0, [r5, #0] + 1228: 4b07 ldr r3, [pc, #28] ; (1248 ) + 122a: 4798 blx r3 + 122c: 0004 movs r4, r0 + _sercom_set_handler(instance_index, _usart_interrupt_handler); + 122e: 4911 ldr r1, [pc, #68] ; (1274 ) + 1230: 4b11 ldr r3, [pc, #68] ; (1278 ) + 1232: 4798 blx r3 + _sercom_instances[instance_index] = module; + 1234: 00a4 lsls r4, r4, #2 + 1236: 4b11 ldr r3, [pc, #68] ; (127c ) + 1238: 50e5 str r5, [r4, r3] + return status_code; + 123a: 2000 movs r0, #0 + 123c: e695 b.n f6a + enum sercom_asynchronous_sample_num sample_num = SERCOM_ASYNC_SAMPLE_NUM_16; + 123e: 2310 movs r3, #16 + 1240: 9306 str r3, [sp, #24] + enum sercom_asynchronous_operation_mode mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + 1242: 2300 movs r3, #0 + 1244: 9307 str r3, [sp, #28] + 1246: e6ec b.n 1022 + 1248: 00000bed .word 0x00000bed + 124c: 40000400 .word 0x40000400 + 1250: 00001af5 .word 0x00001af5 + 1254: 00001a69 .word 0x00001a69 + 1258: 00000a29 .word 0x00000a29 + 125c: 41002000 .word 0x41002000 + 1260: 00001b11 .word 0x00001b11 + 1264: 0000096d .word 0x0000096d + 1268: 00000995 .word 0x00000995 + 126c: 00000a75 .word 0x00000a75 + 1270: 00001bed .word 0x00001bed + 1274: 00001311 .word 0x00001311 + 1278: 000014a9 .word 0x000014a9 + 127c: 20000284 .word 0x20000284 + +00001280 : + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Check that the transmitter is enabled */ + if (!(module->transmitter_enabled)) { + 1280: 79c2 ldrb r2, [r0, #7] + return STATUS_ERR_DENIED; + 1282: 231c movs r3, #28 + if (!(module->transmitter_enabled)) { + 1284: 2a00 cmp r2, #0 + 1286: d101 bne.n 128c + while (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_TXC)) { + /* Wait until data is sent */ + } + + return STATUS_OK; +} + 1288: 0018 movs r0, r3 + 128a: 4770 bx lr + if (module->remaining_tx_buffer_length > 0) { + 128c: 8dc2 ldrh r2, [r0, #46] ; 0x2e + 128e: b292 uxth r2, r2 + return STATUS_BUSY; + 1290: 3b17 subs r3, #23 + if (module->remaining_tx_buffer_length > 0) { + 1292: 2a00 cmp r2, #0 + 1294: d1f8 bne.n 1288 + SercomUsart *const usart_hw = &(module->hw->USART); + 1296: 6802 ldr r2, [r0, #0] + usart_hw->DATA.reg = tx_data; + 1298: 8511 strh r1, [r2, #40] ; 0x28 + while (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_TXC)) { + 129a: 2102 movs r1, #2 + 129c: 7e13 ldrb r3, [r2, #24] + 129e: 420b tst r3, r1 + 12a0: d0fc beq.n 129c + return STATUS_OK; + 12a2: 2300 movs r3, #0 + 12a4: e7f0 b.n 1288 + +000012a6 : + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Check that the receiver is enabled */ + if (!(module->receiver_enabled)) { + 12a6: 7982 ldrb r2, [r0, #6] + return STATUS_ERR_DENIED; + 12a8: 231c movs r3, #28 + if (!(module->receiver_enabled)) { + 12aa: 2a00 cmp r2, #0 + 12ac: d101 bne.n 12b2 + + /* Read data from USART module */ + *rx_data = usart_hw->DATA.reg; + + return STATUS_OK; +} + 12ae: 0018 movs r0, r3 + 12b0: 4770 bx lr + if (module->remaining_rx_buffer_length > 0) { + 12b2: 8d82 ldrh r2, [r0, #44] ; 0x2c + 12b4: b292 uxth r2, r2 + return STATUS_BUSY; + 12b6: 3b17 subs r3, #23 + if (module->remaining_rx_buffer_length > 0) { + 12b8: 2a00 cmp r2, #0 + 12ba: d1f8 bne.n 12ae + SercomUsart *const usart_hw = &(module->hw->USART); + 12bc: 6802 ldr r2, [r0, #0] + if (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_RXC)) { + 12be: 7e10 ldrb r0, [r2, #24] + 12c0: 0740 lsls r0, r0, #29 + 12c2: d5f4 bpl.n 12ae + error_code = (uint8_t)(usart_hw->STATUS.reg & SERCOM_USART_STATUS_MASK); + 12c4: 8b53 ldrh r3, [r2, #26] + 12c6: b2db uxtb r3, r3 + if (error_code) { + 12c8: 0698 lsls r0, r3, #26 + 12ca: d01d beq.n 1308 + if (error_code & SERCOM_USART_STATUS_FERR) { + 12cc: 0798 lsls r0, r3, #30 + 12ce: d503 bpl.n 12d8 + usart_hw->STATUS.reg = SERCOM_USART_STATUS_FERR; + 12d0: 2302 movs r3, #2 + 12d2: 8353 strh r3, [r2, #26] + return STATUS_ERR_BAD_FORMAT; + 12d4: 3318 adds r3, #24 + 12d6: e7ea b.n 12ae + } else if (error_code & SERCOM_USART_STATUS_BUFOVF) { + 12d8: 0758 lsls r0, r3, #29 + 12da: d503 bpl.n 12e4 + usart_hw->STATUS.reg = SERCOM_USART_STATUS_BUFOVF; + 12dc: 2304 movs r3, #4 + 12de: 8353 strh r3, [r2, #26] + return STATUS_ERR_OVERFLOW; + 12e0: 331a adds r3, #26 + 12e2: e7e4 b.n 12ae + } else if (error_code & SERCOM_USART_STATUS_PERR) { + 12e4: 07d8 lsls r0, r3, #31 + 12e6: d503 bpl.n 12f0 + usart_hw->STATUS.reg = SERCOM_USART_STATUS_PERR; + 12e8: 2301 movs r3, #1 + 12ea: 8353 strh r3, [r2, #26] + return STATUS_ERR_BAD_DATA; + 12ec: 3312 adds r3, #18 + 12ee: e7de b.n 12ae + else if (error_code & SERCOM_USART_STATUS_ISF) { + 12f0: 06d8 lsls r0, r3, #27 + 12f2: d503 bpl.n 12fc + usart_hw->STATUS.reg = SERCOM_USART_STATUS_ISF; + 12f4: 2310 movs r3, #16 + 12f6: 8353 strh r3, [r2, #26] + return STATUS_ERR_PROTOCOL; + 12f8: 3332 adds r3, #50 ; 0x32 + 12fa: e7d8 b.n 12ae + else if (error_code & SERCOM_USART_STATUS_COLL) { + 12fc: 069b lsls r3, r3, #26 + 12fe: d503 bpl.n 1308 + usart_hw->STATUS.reg = SERCOM_USART_STATUS_COLL; + 1300: 2320 movs r3, #32 + 1302: 8353 strh r3, [r2, #26] + return STATUS_ERR_PACKET_COLLISION; + 1304: 3321 adds r3, #33 ; 0x21 + 1306: e7d2 b.n 12ae + *rx_data = usart_hw->DATA.reg; + 1308: 8d13 ldrh r3, [r2, #40] ; 0x28 + 130a: 800b strh r3, [r1, #0] + return STATUS_OK; + 130c: 2300 movs r3, #0 + 130e: e7ce b.n 12ae + +00001310 <_usart_interrupt_handler>: + * \param[in] instance ID of the SERCOM instance calling the interrupt + * handler. + */ +void _usart_interrupt_handler( + uint8_t instance) +{ + 1310: b5f8 push {r3, r4, r5, r6, r7, lr} + uint16_t callback_status; + uint8_t error_code; + + + /* Get device instance from the look-up table */ + struct usart_module *module + 1312: 0080 lsls r0, r0, #2 + 1314: 4b62 ldr r3, [pc, #392] ; (14a0 <_usart_interrupt_handler+0x190>) + 1316: 58c5 ldr r5, [r0, r3] + = (struct usart_module *)_sercom_instances[instance]; + + /* Pointer to the hardware module instance */ + SercomUsart *const usart_hw + = &(module->hw->USART); + 1318: 682c ldr r4, [r5, #0] + return (usart_hw->SYNCBUSY.reg); + 131a: 69e3 ldr r3, [r4, #28] + while (usart_is_syncing(module)) { + 131c: 2b00 cmp r3, #0 + 131e: d1fc bne.n 131a <_usart_interrupt_handler+0xa> + + /* Wait for the synchronization to complete */ + _usart_wait_for_sync(module); + + /* Read and mask interrupt flag register */ + interrupt_status = usart_hw->INTFLAG.reg; + 1320: 7e23 ldrb r3, [r4, #24] + interrupt_status &= usart_hw->INTENSET.reg; + 1322: 7da6 ldrb r6, [r4, #22] + 1324: 401e ands r6, r3 + callback_status = module->callback_reg_mask & + 1326: 2330 movs r3, #48 ; 0x30 + 1328: 5ceb ldrb r3, [r5, r3] + 132a: 2231 movs r2, #49 ; 0x31 + 132c: 5caf ldrb r7, [r5, r2] + 132e: 401f ands r7, r3 + module->callback_enable_mask; + + /* Check if a DATA READY interrupt has occurred, + * and if there is more to transfer */ + if (interrupt_status & SERCOM_USART_INTFLAG_DRE) { + 1330: 07f3 lsls r3, r6, #31 + 1332: d522 bpl.n 137a <_usart_interrupt_handler+0x6a> + if (module->remaining_tx_buffer_length) { + 1334: 8deb ldrh r3, [r5, #46] ; 0x2e + 1336: b29b uxth r3, r3 + 1338: 2b00 cmp r3, #0 + 133a: d01c beq.n 1376 <_usart_interrupt_handler+0x66> + /* Write value will be at least 8-bits long */ + uint16_t data_to_send = *(module->tx_buffer_ptr); + 133c: 6aaa ldr r2, [r5, #40] ; 0x28 + 133e: 7813 ldrb r3, [r2, #0] + 1340: b2db uxtb r3, r3 + /* Increment 8-bit pointer */ + (module->tx_buffer_ptr)++; + 1342: 1c51 adds r1, r2, #1 + 1344: 62a9 str r1, [r5, #40] ; 0x28 + + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + 1346: 7969 ldrb r1, [r5, #5] + 1348: 2901 cmp r1, #1 + 134a: d00e beq.n 136a <_usart_interrupt_handler+0x5a> + uint16_t data_to_send = *(module->tx_buffer_ptr); + 134c: b29b uxth r3, r3 + data_to_send |= (*(module->tx_buffer_ptr) << 8); + /* Increment 8-bit pointer */ + (module->tx_buffer_ptr)++; + } + /* Write the data to send */ + usart_hw->DATA.reg = (data_to_send & SERCOM_USART_DATA_MASK); + 134e: 05db lsls r3, r3, #23 + 1350: 0ddb lsrs r3, r3, #23 + 1352: 8523 strh r3, [r4, #40] ; 0x28 + + if (--(module->remaining_tx_buffer_length) == 0) { + 1354: 8deb ldrh r3, [r5, #46] ; 0x2e + 1356: 3b01 subs r3, #1 + 1358: b29b uxth r3, r3 + 135a: 85eb strh r3, [r5, #46] ; 0x2e + 135c: 2b00 cmp r3, #0 + 135e: d10c bne.n 137a <_usart_interrupt_handler+0x6a> + /* Disable the Data Register Empty Interrupt */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_DRE; + 1360: 3301 adds r3, #1 + 1362: 7523 strb r3, [r4, #20] + /* Enable Transmission Complete interrupt */ + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_TXC; + 1364: 3301 adds r3, #1 + 1366: 75a3 strb r3, [r4, #22] + 1368: e007 b.n 137a <_usart_interrupt_handler+0x6a> + data_to_send |= (*(module->tx_buffer_ptr) << 8); + 136a: 7851 ldrb r1, [r2, #1] + 136c: 0209 lsls r1, r1, #8 + 136e: 430b orrs r3, r1 + (module->tx_buffer_ptr)++; + 1370: 3202 adds r2, #2 + 1372: 62aa str r2, [r5, #40] ; 0x28 + 1374: e7eb b.n 134e <_usart_interrupt_handler+0x3e> + + } + } else { + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_DRE; + 1376: 2301 movs r3, #1 + 1378: 7523 strb r3, [r4, #20] + } + } + + /* Check if the Transmission Complete interrupt has occurred and + * that the transmit buffer is empty */ + if (interrupt_status & SERCOM_USART_INTFLAG_TXC) { + 137a: 07b3 lsls r3, r6, #30 + 137c: d506 bpl.n 138c <_usart_interrupt_handler+0x7c> + + /* Disable TX Complete Interrupt, and set STATUS_OK */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_TXC; + 137e: 2302 movs r3, #2 + 1380: 7523 strb r3, [r4, #20] + module->tx_status = STATUS_OK; + 1382: 2200 movs r2, #0 + 1384: 3331 adds r3, #49 ; 0x31 + 1386: 54ea strb r2, [r5, r3] + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_BUFFER_TRANSMITTED)) { + 1388: 07fb lsls r3, r7, #31 + 138a: d41a bmi.n 13c2 <_usart_interrupt_handler+0xb2> + } + } + + /* Check if the Receive Complete interrupt has occurred, and that + * there's more data to receive */ + if (interrupt_status & SERCOM_USART_INTFLAG_RXC) { + 138c: 0773 lsls r3, r6, #29 + 138e: d565 bpl.n 145c <_usart_interrupt_handler+0x14c> + + if (module->remaining_rx_buffer_length) { + 1390: 8dab ldrh r3, [r5, #44] ; 0x2c + 1392: b29b uxth r3, r3 + 1394: 2b00 cmp r3, #0 + 1396: d05f beq.n 1458 <_usart_interrupt_handler+0x148> + /* Read out the status code and mask away all but the 4 LSBs*/ + error_code = (uint8_t)(usart_hw->STATUS.reg & SERCOM_USART_STATUS_MASK); + 1398: 8b63 ldrh r3, [r4, #26] + 139a: b2db uxtb r3, r3 +#if !SAMD20 + /* CTS status should not be considered as an error */ + if(error_code & SERCOM_USART_STATUS_CTS) { + 139c: 071a lsls r2, r3, #28 + 139e: d414 bmi.n 13ca <_usart_interrupt_handler+0xba> + error_code = (uint8_t)(usart_hw->STATUS.reg & SERCOM_USART_STATUS_MASK); + 13a0: 223f movs r2, #63 ; 0x3f + 13a2: 4013 ands r3, r2 + if(error_code & SERCOM_USART_STATUS_TXE) { + error_code &= ~SERCOM_USART_STATUS_TXE; + } +#endif + /* Check if an error has occurred during the receiving */ + if (error_code) { + 13a4: 2b00 cmp r3, #0 + 13a6: d034 beq.n 1412 <_usart_interrupt_handler+0x102> + /* Check which error occurred */ + if (error_code & SERCOM_USART_STATUS_FERR) { + 13a8: 079a lsls r2, r3, #30 + 13aa: d511 bpl.n 13d0 <_usart_interrupt_handler+0xc0> + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_BAD_FORMAT; + 13ac: 221a movs r2, #26 + 13ae: 2332 movs r3, #50 ; 0x32 + 13b0: 54ea strb r2, [r5, r3] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_FERR; + 13b2: 3b30 subs r3, #48 ; 0x30 + 13b4: 8363 strh r3, [r4, #26] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_COLL; + } +#endif + + /* Run callback if registered and enabled */ + if (callback_status + 13b6: 077b lsls r3, r7, #29 + 13b8: d550 bpl.n 145c <_usart_interrupt_handler+0x14c> + & (1 << USART_CALLBACK_ERROR)) { + (*(module->callback[USART_CALLBACK_ERROR]))(module); + 13ba: 0028 movs r0, r5 + 13bc: 696b ldr r3, [r5, #20] + 13be: 4798 blx r3 + 13c0: e04c b.n 145c <_usart_interrupt_handler+0x14c> + (*(module->callback[USART_CALLBACK_BUFFER_TRANSMITTED]))(module); + 13c2: 0028 movs r0, r5 + 13c4: 68eb ldr r3, [r5, #12] + 13c6: 4798 blx r3 + 13c8: e7e0 b.n 138c <_usart_interrupt_handler+0x7c> + error_code &= ~SERCOM_USART_STATUS_CTS; + 13ca: 2237 movs r2, #55 ; 0x37 + 13cc: 4013 ands r3, r2 + 13ce: e7e9 b.n 13a4 <_usart_interrupt_handler+0x94> + } else if (error_code & SERCOM_USART_STATUS_BUFOVF) { + 13d0: 075a lsls r2, r3, #29 + 13d2: d505 bpl.n 13e0 <_usart_interrupt_handler+0xd0> + module->rx_status = STATUS_ERR_OVERFLOW; + 13d4: 221e movs r2, #30 + 13d6: 2332 movs r3, #50 ; 0x32 + 13d8: 54ea strb r2, [r5, r3] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_BUFOVF; + 13da: 3b2e subs r3, #46 ; 0x2e + 13dc: 8363 strh r3, [r4, #26] + 13de: e7ea b.n 13b6 <_usart_interrupt_handler+0xa6> + } else if (error_code & SERCOM_USART_STATUS_PERR) { + 13e0: 07da lsls r2, r3, #31 + 13e2: d505 bpl.n 13f0 <_usart_interrupt_handler+0xe0> + module->rx_status = STATUS_ERR_BAD_DATA; + 13e4: 2213 movs r2, #19 + 13e6: 2332 movs r3, #50 ; 0x32 + 13e8: 54ea strb r2, [r5, r3] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_PERR; + 13ea: 3b31 subs r3, #49 ; 0x31 + 13ec: 8363 strh r3, [r4, #26] + 13ee: e7e2 b.n 13b6 <_usart_interrupt_handler+0xa6> + else if (error_code & SERCOM_USART_STATUS_ISF) { + 13f0: 06da lsls r2, r3, #27 + 13f2: d505 bpl.n 1400 <_usart_interrupt_handler+0xf0> + module->rx_status = STATUS_ERR_PROTOCOL; + 13f4: 2242 movs r2, #66 ; 0x42 + 13f6: 2332 movs r3, #50 ; 0x32 + 13f8: 54ea strb r2, [r5, r3] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_ISF; + 13fa: 3b22 subs r3, #34 ; 0x22 + 13fc: 8363 strh r3, [r4, #26] + 13fe: e7da b.n 13b6 <_usart_interrupt_handler+0xa6> + else if (error_code & SERCOM_USART_STATUS_COLL) { + 1400: 2220 movs r2, #32 + 1402: 421a tst r2, r3 + 1404: d0d7 beq.n 13b6 <_usart_interrupt_handler+0xa6> + module->rx_status = STATUS_ERR_PACKET_COLLISION; + 1406: 3221 adds r2, #33 ; 0x21 + 1408: 2332 movs r3, #50 ; 0x32 + 140a: 54ea strb r2, [r5, r3] + usart_hw->STATUS.reg = SERCOM_USART_STATUS_COLL; + 140c: 3b12 subs r3, #18 + 140e: 8363 strh r3, [r4, #26] + 1410: e7d1 b.n 13b6 <_usart_interrupt_handler+0xa6> + + } else { + + /* Read current packet from DATA register, + * increment buffer pointer and decrement buffer length */ + uint16_t received_data = (usart_hw->DATA.reg & SERCOM_USART_DATA_MASK); + 1412: 8d23 ldrh r3, [r4, #40] ; 0x28 + 1414: 05db lsls r3, r3, #23 + 1416: 0ddb lsrs r3, r3, #23 + + /* Read value will be at least 8-bits long */ + *(module->rx_buffer_ptr) = received_data; + 1418: b2da uxtb r2, r3 + 141a: 6a69 ldr r1, [r5, #36] ; 0x24 + 141c: 700a strb r2, [r1, #0] + /* Increment 8-bit pointer */ + module->rx_buffer_ptr += 1; + 141e: 6a6a ldr r2, [r5, #36] ; 0x24 + 1420: 1c51 adds r1, r2, #1 + 1422: 6269 str r1, [r5, #36] ; 0x24 + + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + 1424: 7969 ldrb r1, [r5, #5] + 1426: 2901 cmp r1, #1 + 1428: d010 beq.n 144c <_usart_interrupt_handler+0x13c> + /* Increment 8-bit pointer */ + module->rx_buffer_ptr += 1; + } + + /* Check if the last character have been received */ + if(--(module->remaining_rx_buffer_length) == 0) { + 142a: 8dab ldrh r3, [r5, #44] ; 0x2c + 142c: 3b01 subs r3, #1 + 142e: b29b uxth r3, r3 + 1430: 85ab strh r3, [r5, #44] ; 0x2c + 1432: 2b00 cmp r3, #0 + 1434: d112 bne.n 145c <_usart_interrupt_handler+0x14c> + /* Disable RX Complete Interrupt, + * and set STATUS_OK */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_RXC; + 1436: 3304 adds r3, #4 + 1438: 7523 strb r3, [r4, #20] + module->rx_status = STATUS_OK; + 143a: 2200 movs r2, #0 + 143c: 332e adds r3, #46 ; 0x2e + 143e: 54ea strb r2, [r5, r3] + + /* Run callback if registered and enabled */ + if (callback_status + 1440: 07bb lsls r3, r7, #30 + 1442: d50b bpl.n 145c <_usart_interrupt_handler+0x14c> + & (1 << USART_CALLBACK_BUFFER_RECEIVED)) { + (*(module->callback[USART_CALLBACK_BUFFER_RECEIVED]))(module); + 1444: 0028 movs r0, r5 + 1446: 692b ldr r3, [r5, #16] + 1448: 4798 blx r3 + 144a: e007 b.n 145c <_usart_interrupt_handler+0x14c> + *(module->rx_buffer_ptr) = (received_data >> 8); + 144c: 0a1b lsrs r3, r3, #8 + 144e: 7053 strb r3, [r2, #1] + module->rx_buffer_ptr += 1; + 1450: 6a6b ldr r3, [r5, #36] ; 0x24 + 1452: 3301 adds r3, #1 + 1454: 626b str r3, [r5, #36] ; 0x24 + 1456: e7e8 b.n 142a <_usart_interrupt_handler+0x11a> + } + } + } + } else { + /* This should not happen. Disable Receive Complete interrupt. */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_RXC; + 1458: 2304 movs r3, #4 + 145a: 7523 strb r3, [r4, #20] + } + } + +#ifdef FEATURE_USART_HARDWARE_FLOW_CONTROL + if (interrupt_status & SERCOM_USART_INTFLAG_CTSIC) { + 145c: 06f3 lsls r3, r6, #27 + 145e: d504 bpl.n 146a <_usart_interrupt_handler+0x15a> + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_CTSIC; + 1460: 2310 movs r3, #16 + 1462: 7523 strb r3, [r4, #20] + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_CTSIC; + 1464: 7623 strb r3, [r4, #24] + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_CTS_INPUT_CHANGE)) { + 1466: 06fb lsls r3, r7, #27 + 1468: d40e bmi.n 1488 <_usart_interrupt_handler+0x178> + } + } +#endif + +#ifdef FEATURE_USART_LIN_SLAVE + if (interrupt_status & SERCOM_USART_INTFLAG_RXBRK) { + 146a: 06b3 lsls r3, r6, #26 + 146c: d504 bpl.n 1478 <_usart_interrupt_handler+0x168> + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_RXBRK; + 146e: 2320 movs r3, #32 + 1470: 7523 strb r3, [r4, #20] + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_RXBRK; + 1472: 7623 strb r3, [r4, #24] + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_BREAK_RECEIVED)) { + 1474: 073b lsls r3, r7, #28 + 1476: d40b bmi.n 1490 <_usart_interrupt_handler+0x180> + } + } +#endif + +#ifdef FEATURE_USART_START_FRAME_DECTION + if (interrupt_status & SERCOM_USART_INTFLAG_RXS) { + 1478: 0733 lsls r3, r6, #28 + 147a: d504 bpl.n 1486 <_usart_interrupt_handler+0x176> + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_RXS; + 147c: 2308 movs r3, #8 + 147e: 7523 strb r3, [r4, #20] + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_RXS; + 1480: 7623 strb r3, [r4, #24] + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_START_RECEIVED)) { + 1482: 06bb lsls r3, r7, #26 + 1484: d408 bmi.n 1498 <_usart_interrupt_handler+0x188> + (*(module->callback[USART_CALLBACK_START_RECEIVED]))(module); + } + } +#endif +} + 1486: bdf8 pop {r3, r4, r5, r6, r7, pc} + (*(module->callback[USART_CALLBACK_CTS_INPUT_CHANGE]))(module); + 1488: 0028 movs r0, r5 + 148a: 69eb ldr r3, [r5, #28] + 148c: 4798 blx r3 + 148e: e7ec b.n 146a <_usart_interrupt_handler+0x15a> + (*(module->callback[USART_CALLBACK_BREAK_RECEIVED]))(module); + 1490: 0028 movs r0, r5 + 1492: 69ab ldr r3, [r5, #24] + 1494: 4798 blx r3 + 1496: e7ef b.n 1478 <_usart_interrupt_handler+0x168> + (*(module->callback[USART_CALLBACK_START_RECEIVED]))(module); + 1498: 6a2b ldr r3, [r5, #32] + 149a: 0028 movs r0, r5 + 149c: 4798 blx r3 +} + 149e: e7f2 b.n 1486 <_usart_interrupt_handler+0x176> + 14a0: 20000284 .word 0x20000284 + +000014a4 <_sercom_default_handler>: + */ +static void _sercom_default_handler( + const uint8_t instance) +{ + Assert(false); +} + 14a4: 4770 bx lr + ... + +000014a8 <_sercom_set_handler>: + * \param[in] interrupt_handler Pointer to instance callback handler. + */ +void _sercom_set_handler( + const uint8_t instance, + const sercom_handler_t interrupt_handler) +{ + 14a8: b5f0 push {r4, r5, r6, r7, lr} + /* Initialize handlers with default handler and device instances with 0 */ + if (_handler_table_initialized == false) { + 14aa: 4b0a ldr r3, [pc, #40] ; (14d4 <_sercom_set_handler+0x2c>) + 14ac: 781b ldrb r3, [r3, #0] + 14ae: 2b00 cmp r3, #0 + 14b0: d10c bne.n 14cc <_sercom_set_handler+0x24> + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + _sercom_interrupt_handlers[i] = &_sercom_default_handler; + 14b2: 4f09 ldr r7, [pc, #36] ; (14d8 <_sercom_set_handler+0x30>) + 14b4: 4e09 ldr r6, [pc, #36] ; (14dc <_sercom_set_handler+0x34>) + _sercom_instances[i] = NULL; + 14b6: 4d0a ldr r5, [pc, #40] ; (14e0 <_sercom_set_handler+0x38>) + 14b8: 2400 movs r4, #0 + _sercom_interrupt_handlers[i] = &_sercom_default_handler; + 14ba: 51de str r6, [r3, r7] + _sercom_instances[i] = NULL; + 14bc: 195a adds r2, r3, r5 + 14be: 6014 str r4, [r2, #0] + 14c0: 3304 adds r3, #4 + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + 14c2: 2b18 cmp r3, #24 + 14c4: d1f9 bne.n 14ba <_sercom_set_handler+0x12> + } + + _handler_table_initialized = true; + 14c6: 2201 movs r2, #1 + 14c8: 4b02 ldr r3, [pc, #8] ; (14d4 <_sercom_set_handler+0x2c>) + 14ca: 701a strb r2, [r3, #0] + } + + /* Save interrupt handler */ + _sercom_interrupt_handlers[instance] = interrupt_handler; + 14cc: 0080 lsls r0, r0, #2 + 14ce: 4b02 ldr r3, [pc, #8] ; (14d8 <_sercom_set_handler+0x30>) + 14d0: 50c1 str r1, [r0, r3] +} + 14d2: bdf0 pop {r4, r5, r6, r7, pc} + 14d4: 20000190 .word 0x20000190 + 14d8: 20000194 .word 0x20000194 + 14dc: 000014a5 .word 0x000014a5 + 14e0: 20000284 .word 0x20000284 + +000014e4 <_sercom_get_interrupt_vector>: + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM6 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM7 + */ +enum system_interrupt_vector _sercom_get_interrupt_vector( + Sercom *const sercom_instance) +{ + 14e4: b500 push {lr} + 14e6: b083 sub sp, #12 + const uint8_t sercom_int_vectors[SERCOM_INST_NUM] = + 14e8: 2309 movs r3, #9 + 14ea: 466a mov r2, sp + 14ec: 7013 strb r3, [r2, #0] + 14ee: 3301 adds r3, #1 + 14f0: 7053 strb r3, [r2, #1] + 14f2: 3301 adds r3, #1 + 14f4: 7093 strb r3, [r2, #2] + 14f6: 3301 adds r3, #1 + 14f8: 70d3 strb r3, [r2, #3] + 14fa: 3301 adds r3, #1 + 14fc: 7113 strb r3, [r2, #4] + 14fe: 3301 adds r3, #1 + 1500: 7153 strb r3, [r2, #5] + { + MREPEAT(SERCOM_INST_NUM, _SERCOM_INTERRUPT_VECT_NUM, ~) + }; + + /* Retrieve the index of the SERCOM being requested */ + uint8_t instance_index = _sercom_get_sercom_inst_index(sercom_instance); + 1502: 4b03 ldr r3, [pc, #12] ; (1510 <_sercom_get_interrupt_vector+0x2c>) + 1504: 4798 blx r3 + + /* Get the vector number from the lookup table for the requested SERCOM */ + return (enum system_interrupt_vector)sercom_int_vectors[instance_index]; + 1506: 466b mov r3, sp + 1508: 5618 ldrsb r0, [r3, r0] +} + 150a: b003 add sp, #12 + 150c: bd00 pop {pc} + 150e: 46c0 nop ; (mov r8, r8) + 1510: 00000bed .word 0x00000bed + +00001514 : + +/** Auto-generate a set of interrupt handlers for each SERCOM in the device */ +MREPEAT(SERCOM_INST_NUM, _SERCOM_INTERRUPT_HANDLER, ~) + 1514: b510 push {r4, lr} + 1516: 4b02 ldr r3, [pc, #8] ; (1520 ) + 1518: 681b ldr r3, [r3, #0] + 151a: 2000 movs r0, #0 + 151c: 4798 blx r3 + 151e: bd10 pop {r4, pc} + 1520: 20000194 .word 0x20000194 + +00001524 : + 1524: b510 push {r4, lr} + 1526: 4b02 ldr r3, [pc, #8] ; (1530 ) + 1528: 685b ldr r3, [r3, #4] + 152a: 2001 movs r0, #1 + 152c: 4798 blx r3 + 152e: bd10 pop {r4, pc} + 1530: 20000194 .word 0x20000194 + +00001534 : + 1534: b510 push {r4, lr} + 1536: 4b02 ldr r3, [pc, #8] ; (1540 ) + 1538: 689b ldr r3, [r3, #8] + 153a: 2002 movs r0, #2 + 153c: 4798 blx r3 + 153e: bd10 pop {r4, pc} + 1540: 20000194 .word 0x20000194 + +00001544 : + 1544: b510 push {r4, lr} + 1546: 4b02 ldr r3, [pc, #8] ; (1550 ) + 1548: 68db ldr r3, [r3, #12] + 154a: 2003 movs r0, #3 + 154c: 4798 blx r3 + 154e: bd10 pop {r4, pc} + 1550: 20000194 .word 0x20000194 + +00001554 : + 1554: b510 push {r4, lr} + 1556: 4b02 ldr r3, [pc, #8] ; (1560 ) + 1558: 691b ldr r3, [r3, #16] + 155a: 2004 movs r0, #4 + 155c: 4798 blx r3 + 155e: bd10 pop {r4, pc} + 1560: 20000194 .word 0x20000194 + +00001564 : + 1564: b510 push {r4, lr} + 1566: 4b02 ldr r3, [pc, #8] ; (1570 ) + 1568: 695b ldr r3, [r3, #20] + 156a: 2005 movs r0, #5 + 156c: 4798 blx r3 + 156e: bd10 pop {r4, pc} + 1570: 20000194 .word 0x20000194 + +00001574 : + * + * This must be called during start up to initialize the delay routine with + * the current used main clock. It must run any time the main CPU clock is changed. + */ +void delay_init(void) +{ + 1574: b570 push {r4, r5, r6, lr} + cycles_per_ms = system_gclk_gen_get_hz(0); + 1576: 2000 movs r0, #0 + 1578: 4b08 ldr r3, [pc, #32] ; (159c ) + 157a: 4798 blx r3 + 157c: 0005 movs r5, r0 + cycles_per_ms /= 1000; + 157e: 4c08 ldr r4, [pc, #32] ; (15a0 ) + 1580: 21fa movs r1, #250 ; 0xfa + 1582: 0089 lsls r1, r1, #2 + 1584: 47a0 blx r4 + 1586: 4b07 ldr r3, [pc, #28] ; (15a4 ) + 1588: 6018 str r0, [r3, #0] + cycles_per_us = cycles_per_ms / 1000; + 158a: 4907 ldr r1, [pc, #28] ; (15a8 ) + 158c: 0028 movs r0, r5 + 158e: 47a0 blx r4 + 1590: 4b06 ldr r3, [pc, #24] ; (15ac ) + 1592: 6018 str r0, [r3, #0] + + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | SysTick_CTRL_ENABLE_Msk; + 1594: 2205 movs r2, #5 + 1596: 4b06 ldr r3, [pc, #24] ; (15b0 ) + 1598: 601a str r2, [r3, #0] +} + 159a: bd70 pop {r4, r5, r6, pc} + 159c: 000019dd .word 0x000019dd + 15a0: 00001df5 .word 0x00001df5 + 15a4: 2000000c .word 0x2000000c + 15a8: 000f4240 .word 0x000f4240 + 15ac: 20000010 .word 0x20000010 + 15b0: e000e010 .word 0xe000e010 + +000015b4 : + * + * \param n Number of milliseconds to wait + */ +void delay_cycles_ms( + uint32_t n) +{ + 15b4: b530 push {r4, r5, lr} + while (n--) { + /* Devide up to blocks of 1ms */ + delay_cycles(cycles_per_ms); + 15b6: 4b08 ldr r3, [pc, #32] ; (15d8 ) + 15b8: 681c ldr r4, [r3, #0] + */ +static inline void delay_cycles( + const uint32_t n) +{ + if (n > 0) { + SysTick->LOAD = n; + 15ba: 4a08 ldr r2, [pc, #32] ; (15dc ) + SysTick->VAL = 0; + 15bc: 2500 movs r5, #0 + + while (!(SysTick->CTRL & SysTick_CTRL_COUNTFLAG_Msk)) { + 15be: 2180 movs r1, #128 ; 0x80 + 15c0: 0249 lsls r1, r1, #9 + while (n--) { + 15c2: 3801 subs r0, #1 + 15c4: d307 bcc.n 15d6 + if (n > 0) { + 15c6: 2c00 cmp r4, #0 + 15c8: d0fb beq.n 15c2 + SysTick->LOAD = n; + 15ca: 6054 str r4, [r2, #4] + SysTick->VAL = 0; + 15cc: 6095 str r5, [r2, #8] + while (!(SysTick->CTRL & SysTick_CTRL_COUNTFLAG_Msk)) { + 15ce: 6813 ldr r3, [r2, #0] + 15d0: 420b tst r3, r1 + 15d2: d0fc beq.n 15ce + 15d4: e7f5 b.n 15c2 + } +} + 15d6: bd30 pop {r4, r5, pc} + 15d8: 2000000c .word 0x2000000c + 15dc: e000e010 .word 0xe000e010 + +000015e0 : +volatile bool g_interrupt_enabled = true; +#endif + +void cpu_irq_enter_critical(void) +{ + if (cpu_irq_critical_section_counter == 0) { + 15e0: 4b0c ldr r3, [pc, #48] ; (1614 ) + 15e2: 681b ldr r3, [r3, #0] + 15e4: 2b00 cmp r3, #0 + 15e6: d106 bne.n 15f6 + */ +__STATIC_FORCEINLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) :: "memory"); + 15e8: f3ef 8310 mrs r3, PRIMASK + if (cpu_irq_is_enabled()) { + 15ec: 2b00 cmp r3, #0 + 15ee: d007 beq.n 1600 + cpu_irq_disable(); + cpu_irq_prev_interrupt_state = true; + } else { + /* Make sure the to save the prev state as false */ + cpu_irq_prev_interrupt_state = false; + 15f0: 2200 movs r2, #0 + 15f2: 4b09 ldr r3, [pc, #36] ; (1618 ) + 15f4: 701a strb r2, [r3, #0] + } + + } + + cpu_irq_critical_section_counter++; + 15f6: 4a07 ldr r2, [pc, #28] ; (1614 ) + 15f8: 6813 ldr r3, [r2, #0] + 15fa: 3301 adds r3, #1 + 15fc: 6013 str r3, [r2, #0] +} + 15fe: 4770 bx lr + __ASM volatile ("cpsid i" : : : "memory"); + 1600: b672 cpsid i + \details Ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__STATIC_FORCEINLINE void __DMB(void) +{ + __ASM volatile ("dmb 0xF":::"memory"); + 1602: f3bf 8f5f dmb sy + cpu_irq_disable(); + 1606: 2200 movs r2, #0 + 1608: 4b04 ldr r3, [pc, #16] ; (161c ) + 160a: 701a strb r2, [r3, #0] + cpu_irq_prev_interrupt_state = true; + 160c: 3201 adds r2, #1 + 160e: 4b02 ldr r3, [pc, #8] ; (1618 ) + 1610: 701a strb r2, [r3, #0] + 1612: e7f0 b.n 15f6 + 1614: 200001ac .word 0x200001ac + 1618: 200001b0 .word 0x200001b0 + 161c: 20000014 .word 0x20000014 + +00001620 : +void cpu_irq_leave_critical(void) +{ + /* Check if the user is trying to leave a critical section when not in a critical section */ + Assert(cpu_irq_critical_section_counter > 0); + + cpu_irq_critical_section_counter--; + 1620: 4b08 ldr r3, [pc, #32] ; (1644 ) + 1622: 681a ldr r2, [r3, #0] + 1624: 3a01 subs r2, #1 + 1626: 601a str r2, [r3, #0] + + /* Only enable global interrupts when the counter reaches 0 and the state of the global interrupt flag + was enabled when entering critical state */ + if ((cpu_irq_critical_section_counter == 0) && (cpu_irq_prev_interrupt_state)) { + 1628: 681b ldr r3, [r3, #0] + 162a: 2b00 cmp r3, #0 + 162c: d109 bne.n 1642 + 162e: 4b06 ldr r3, [pc, #24] ; (1648 ) + 1630: 781b ldrb r3, [r3, #0] + 1632: 2b00 cmp r3, #0 + 1634: d005 beq.n 1642 + cpu_irq_enable(); + 1636: 2201 movs r2, #1 + 1638: 4b04 ldr r3, [pc, #16] ; (164c ) + 163a: 701a strb r2, [r3, #0] + 163c: f3bf 8f5f dmb sy + __ASM volatile ("cpsie i" : : : "memory"); + 1640: b662 cpsie i + } +} + 1642: 4770 bx lr + 1644: 200001ac .word 0x200001ac + 1648: 200001b0 .word 0x200001b0 + 164c: 20000014 .word 0x20000014 + +00001650 : +void board_init(void); +# pragma weak board_init=system_board_init +#endif + +void system_board_init(void) +{ + 1650: b5f0 push {r4, r5, r6, r7, lr} + 1652: b083 sub sp, #12 + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->direction = PORT_PIN_DIR_INPUT; + config->input_pull = PORT_PIN_PULL_UP; + 1654: ac01 add r4, sp, #4 + 1656: 2501 movs r5, #1 + 1658: 7065 strb r5, [r4, #1] + config->powersave = false; + 165a: 2700 movs r7, #0 + 165c: 70a7 strb r7, [r4, #2] + struct port_config pin_conf; + port_get_config_defaults(&pin_conf); + + /* Configure LEDs as outputs, turn them off */ + pin_conf.direction = PORT_PIN_DIR_OUTPUT; + 165e: 7025 strb r5, [r4, #0] + port_pin_set_config(LED_0_PIN, &pin_conf); + 1660: 0021 movs r1, r4 + 1662: 203e movs r0, #62 ; 0x3e + 1664: 4e06 ldr r6, [pc, #24] ; (1680 ) + 1666: 47b0 blx r6 + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + /* Set the pin to high or low atomically based on the requested level */ + if (level) { + port_base->OUTSET.reg = pin_mask; + 1668: 2280 movs r2, #128 ; 0x80 + 166a: 05d2 lsls r2, r2, #23 + 166c: 4b05 ldr r3, [pc, #20] ; (1684 ) + 166e: 619a str r2, [r3, #24] + port_pin_set_output_level(LED_0_PIN, LED_0_INACTIVE); + + /* Set buttons as inputs */ + pin_conf.direction = PORT_PIN_DIR_INPUT; + 1670: 7027 strb r7, [r4, #0] + pin_conf.input_pull = PORT_PIN_PULL_UP; + 1672: 7065 strb r5, [r4, #1] + port_pin_set_config(BUTTON_0_PIN, &pin_conf); + 1674: 0021 movs r1, r4 + 1676: 200f movs r0, #15 + 1678: 47b0 blx r6 + port_pin_set_output_level(AT86RFX_RST_PIN, true); + port_pin_set_output_level(AT86RFX_SLP_PIN, true); + pin_conf.direction = PORT_PIN_DIR_INPUT; + port_pin_set_config(AT86RFX_SPI_MISO, &pin_conf); +#endif +} + 167a: b003 add sp, #12 + 167c: bdf0 pop {r4, r5, r6, r7, pc} + 167e: 46c0 nop ; (mov r8, r8) + 1680: 00001689 .word 0x00001689 + 1684: 41004480 .word 0x41004480 + +00001688 : + * \param[in] config Configuration settings for the pin + */ +void port_pin_set_config( + const uint8_t gpio_pin, + const struct port_config *const config) +{ + 1688: b500 push {lr} + 168a: b083 sub sp, #12 + config->mux_position = SYSTEM_PINMUX_GPIO; + 168c: ab01 add r3, sp, #4 + 168e: 2280 movs r2, #128 ; 0x80 + 1690: 701a strb r2, [r3, #0] + + struct system_pinmux_config pinmux_config; + system_pinmux_get_config_defaults(&pinmux_config); + + pinmux_config.mux_position = SYSTEM_PINMUX_GPIO; + pinmux_config.direction = (enum system_pinmux_pin_dir)config->direction; + 1692: 780a ldrb r2, [r1, #0] + 1694: 705a strb r2, [r3, #1] + pinmux_config.input_pull = (enum system_pinmux_pin_pull)config->input_pull; + 1696: 784a ldrb r2, [r1, #1] + 1698: 709a strb r2, [r3, #2] + pinmux_config.powersave = config->powersave; + 169a: 788a ldrb r2, [r1, #2] + 169c: 70da strb r2, [r3, #3] + + system_pinmux_pin_set_config(gpio_pin, &pinmux_config); + 169e: 0019 movs r1, r3 + 16a0: 4b01 ldr r3, [pc, #4] ; (16a8 ) + 16a2: 4798 blx r3 +} + 16a4: b003 add sp, #12 + 16a6: bd00 pop {pc} + 16a8: 00001bed .word 0x00001bed + +000016ac : + * + * \returns Frequency of the given clock source, in Hz. + */ +uint32_t system_clock_source_get_hz( + const enum system_clock_source clock_source) +{ + 16ac: b510 push {r4, lr} + switch (clock_source) { + 16ae: 2808 cmp r0, #8 + 16b0: d803 bhi.n 16ba + 16b2: 0080 lsls r0, r0, #2 + 16b4: 4b1c ldr r3, [pc, #112] ; (1728 ) + 16b6: 581b ldr r3, [r3, r0] + 16b8: 469f mov pc, r3 + + return _system_clock_inst.dpll.frequency; +#endif + + default: + return 0; + 16ba: 2000 movs r0, #0 + 16bc: e032 b.n 1724 + return _system_clock_inst.xosc.frequency; + 16be: 4b1b ldr r3, [pc, #108] ; (172c ) + 16c0: 6918 ldr r0, [r3, #16] + 16c2: e02f b.n 1724 + return 8000000UL >> SYSCTRL->OSC8M.bit.PRESC; + 16c4: 4b1a ldr r3, [pc, #104] ; (1730 ) + 16c6: 6a1b ldr r3, [r3, #32] + 16c8: 059b lsls r3, r3, #22 + 16ca: 0f9b lsrs r3, r3, #30 + 16cc: 4819 ldr r0, [pc, #100] ; (1734 ) + 16ce: 40d8 lsrs r0, r3 + 16d0: e028 b.n 1724 + return _system_clock_inst.xosc32k.frequency; + 16d2: 4b16 ldr r3, [pc, #88] ; (172c ) + 16d4: 6958 ldr r0, [r3, #20] + 16d6: e025 b.n 1724 + if (!(_system_clock_inst.dfll.control & SYSCTRL_DFLLCTRL_ENABLE)) + 16d8: 4b14 ldr r3, [pc, #80] ; (172c ) + 16da: 681b ldr r3, [r3, #0] + return 0; + 16dc: 2000 movs r0, #0 + if (!(_system_clock_inst.dfll.control & SYSCTRL_DFLLCTRL_ENABLE)) + 16de: 079b lsls r3, r3, #30 + 16e0: d520 bpl.n 1724 + while (!(SYSCTRL->PCLKSR.reg & SYSCTRL_PCLKSR_DFLLRDY)) { + 16e2: 4913 ldr r1, [pc, #76] ; (1730 ) + 16e4: 2210 movs r2, #16 + 16e6: 68cb ldr r3, [r1, #12] + 16e8: 421a tst r2, r3 + 16ea: d0fc beq.n 16e6 + switch(_system_clock_inst.dfll.control & + 16ec: 4b0f ldr r3, [pc, #60] ; (172c ) + 16ee: 681a ldr r2, [r3, #0] + 16f0: 2324 movs r3, #36 ; 0x24 + 16f2: 4013 ands r3, r2 + 16f4: 2b04 cmp r3, #4 + 16f6: d001 beq.n 16fc + return 48000000UL; + 16f8: 480f ldr r0, [pc, #60] ; (1738 ) + 16fa: e013 b.n 1724 + return system_gclk_chan_get_hz(SYSCTRL_GCLK_ID_DFLL48) * + 16fc: 2000 movs r0, #0 + 16fe: 4b0f ldr r3, [pc, #60] ; (173c ) + 1700: 4798 blx r3 + (_system_clock_inst.dfll.mul & 0xffff); + 1702: 4b0a ldr r3, [pc, #40] ; (172c ) + 1704: 689b ldr r3, [r3, #8] + 1706: 041b lsls r3, r3, #16 + 1708: 0c1b lsrs r3, r3, #16 + return system_gclk_chan_get_hz(SYSCTRL_GCLK_ID_DFLL48) * + 170a: 4358 muls r0, r3 + 170c: e00a b.n 1724 + if (!(SYSCTRL->DPLLSTATUS.reg & SYSCTRL_DPLLSTATUS_ENABLE)) { + 170e: 2350 movs r3, #80 ; 0x50 + 1710: 4a07 ldr r2, [pc, #28] ; (1730 ) + 1712: 5cd3 ldrb r3, [r2, r3] + return 0; + 1714: 2000 movs r0, #0 + if (!(SYSCTRL->DPLLSTATUS.reg & SYSCTRL_DPLLSTATUS_ENABLE)) { + 1716: 075b lsls r3, r3, #29 + 1718: d504 bpl.n 1724 + return _system_clock_inst.dpll.frequency; + 171a: 4b04 ldr r3, [pc, #16] ; (172c ) + 171c: 68d8 ldr r0, [r3, #12] + 171e: e001 b.n 1724 + return 32768UL; + 1720: 2080 movs r0, #128 ; 0x80 + 1722: 0200 lsls r0, r0, #8 + } +} + 1724: bd10 pop {r4, pc} + 1726: 46c0 nop ; (mov r8, r8) + 1728: 0000380c .word 0x0000380c + 172c: 200001b4 .word 0x200001b4 + 1730: 40000800 .word 0x40000800 + 1734: 007a1200 .word 0x007a1200 + 1738: 02dc6c00 .word 0x02dc6c00 + 173c: 00001b11 .word 0x00001b11 + +00001740 : + * + * \param[in] config OSC8M configuration structure containing the new config + */ +void system_clock_source_osc8m_set_config( + struct system_clock_source_osc8m_config *const config) +{ + 1740: b570 push {r4, r5, r6, lr} + SYSCTRL_OSC8M_Type temp = SYSCTRL->OSC8M; + 1742: 490c ldr r1, [pc, #48] ; (1774 ) + 1744: 6a0b ldr r3, [r1, #32] + + /* Use temporary struct to reduce register access */ + temp.bit.PRESC = config->prescaler; + 1746: 7804 ldrb r4, [r0, #0] + temp.bit.ONDEMAND = config->on_demand; + 1748: 7885 ldrb r5, [r0, #2] + temp.bit.RUNSTDBY = config->run_in_standby; + + SYSCTRL->OSC8M = temp; + 174a: 7840 ldrb r0, [r0, #1] + 174c: 2201 movs r2, #1 + 174e: 4010 ands r0, r2 + 1750: 0180 lsls r0, r0, #6 + 1752: 2640 movs r6, #64 ; 0x40 + 1754: 43b3 bics r3, r6 + 1756: 4303 orrs r3, r0 + 1758: 402a ands r2, r5 + 175a: 01d2 lsls r2, r2, #7 + 175c: 2080 movs r0, #128 ; 0x80 + 175e: 4383 bics r3, r0 + 1760: 4313 orrs r3, r2 + 1762: 2203 movs r2, #3 + 1764: 4022 ands r2, r4 + 1766: 0212 lsls r2, r2, #8 + 1768: 4803 ldr r0, [pc, #12] ; (1778 ) + 176a: 4003 ands r3, r0 + 176c: 4313 orrs r3, r2 + 176e: 620b str r3, [r1, #32] +} + 1770: bd70 pop {r4, r5, r6, pc} + 1772: 46c0 nop ; (mov r8, r8) + 1774: 40000800 .word 0x40000800 + 1778: fffffcff .word 0xfffffcff + +0000177c : + * device + */ +enum status_code system_clock_source_enable( + const enum system_clock_source clock_source) +{ + switch (clock_source) { + 177c: 2808 cmp r0, #8 + 177e: d803 bhi.n 1788 + 1780: 0080 lsls r0, r0, #2 + 1782: 4b25 ldr r3, [pc, #148] ; (1818 ) + 1784: 581b ldr r3, [r3, r0] + 1786: 469f mov pc, r3 + /* Always enabled */ + return STATUS_OK; + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + 1788: 2017 movs r0, #23 + 178a: e044 b.n 1816 + SYSCTRL->OSC8M.reg |= SYSCTRL_OSC8M_ENABLE; + 178c: 4a23 ldr r2, [pc, #140] ; (181c ) + 178e: 6a13 ldr r3, [r2, #32] + 1790: 2102 movs r1, #2 + 1792: 430b orrs r3, r1 + 1794: 6213 str r3, [r2, #32] + return STATUS_OK; + 1796: 2000 movs r0, #0 + 1798: e03d b.n 1816 + SYSCTRL->OSC32K.reg |= SYSCTRL_OSC32K_ENABLE; + 179a: 4a20 ldr r2, [pc, #128] ; (181c ) + 179c: 6993 ldr r3, [r2, #24] + 179e: 2102 movs r1, #2 + 17a0: 430b orrs r3, r1 + 17a2: 6193 str r3, [r2, #24] + } + + return STATUS_OK; + 17a4: 2000 movs r0, #0 + break; + 17a6: e036 b.n 1816 + SYSCTRL->XOSC.reg |= SYSCTRL_XOSC_ENABLE; + 17a8: 4a1c ldr r2, [pc, #112] ; (181c ) + 17aa: 8a13 ldrh r3, [r2, #16] + 17ac: 2102 movs r1, #2 + 17ae: 430b orrs r3, r1 + 17b0: 8213 strh r3, [r2, #16] + return STATUS_OK; + 17b2: 2000 movs r0, #0 + break; + 17b4: e02f b.n 1816 + SYSCTRL->XOSC32K.reg |= SYSCTRL_XOSC32K_ENABLE; + 17b6: 4a19 ldr r2, [pc, #100] ; (181c ) + 17b8: 8a93 ldrh r3, [r2, #20] + 17ba: 2102 movs r1, #2 + 17bc: 430b orrs r3, r1 + 17be: 8293 strh r3, [r2, #20] + return STATUS_OK; + 17c0: 2000 movs r0, #0 + break; + 17c2: e028 b.n 1816 + _system_clock_inst.dfll.control |= SYSCTRL_DFLLCTRL_ENABLE; + 17c4: 4916 ldr r1, [pc, #88] ; (1820 ) + 17c6: 680b ldr r3, [r1, #0] + 17c8: 2202 movs r2, #2 + 17ca: 4313 orrs r3, r2 + 17cc: 600b str r3, [r1, #0] + SYSCTRL->DFLLCTRL.reg = SYSCTRL_DFLLCTRL_ENABLE; + 17ce: 4b13 ldr r3, [pc, #76] ; (181c ) + 17d0: 849a strh r2, [r3, #36] ; 0x24 + while (!(SYSCTRL->PCLKSR.reg & SYSCTRL_PCLKSR_DFLLRDY)) { + 17d2: 0019 movs r1, r3 + 17d4: 320e adds r2, #14 + 17d6: 68cb ldr r3, [r1, #12] + 17d8: 421a tst r2, r3 + 17da: d0fc beq.n 17d6 + SYSCTRL->DFLLMUL.reg = _system_clock_inst.dfll.mul; + 17dc: 4a10 ldr r2, [pc, #64] ; (1820 ) + 17de: 6891 ldr r1, [r2, #8] + 17e0: 4b0e ldr r3, [pc, #56] ; (181c ) + 17e2: 62d9 str r1, [r3, #44] ; 0x2c + SYSCTRL->DFLLVAL.reg = _system_clock_inst.dfll.val; + 17e4: 6852 ldr r2, [r2, #4] + 17e6: 629a str r2, [r3, #40] ; 0x28 + SYSCTRL->DFLLCTRL.reg = 0; + 17e8: 2200 movs r2, #0 + 17ea: 849a strh r2, [r3, #36] ; 0x24 + while (!(SYSCTRL->PCLKSR.reg & SYSCTRL_PCLKSR_DFLLRDY)) { + 17ec: 0019 movs r1, r3 + 17ee: 3210 adds r2, #16 + 17f0: 68cb ldr r3, [r1, #12] + 17f2: 421a tst r2, r3 + 17f4: d0fc beq.n 17f0 + SYSCTRL->DFLLCTRL.reg = _system_clock_inst.dfll.control; + 17f6: 4b0a ldr r3, [pc, #40] ; (1820 ) + 17f8: 681b ldr r3, [r3, #0] + 17fa: b29b uxth r3, r3 + 17fc: 4a07 ldr r2, [pc, #28] ; (181c ) + 17fe: 8493 strh r3, [r2, #36] ; 0x24 + return STATUS_OK; + 1800: 2000 movs r0, #0 + 1802: e008 b.n 1816 + SYSCTRL->DPLLCTRLA.reg |= SYSCTRL_DPLLCTRLA_ENABLE; + 1804: 4905 ldr r1, [pc, #20] ; (181c ) + 1806: 2244 movs r2, #68 ; 0x44 + 1808: 5c8b ldrb r3, [r1, r2] + 180a: 2002 movs r0, #2 + 180c: 4303 orrs r3, r0 + 180e: 548b strb r3, [r1, r2] + return STATUS_OK; + 1810: 2000 movs r0, #0 + break; + 1812: e000 b.n 1816 + return STATUS_OK; + 1814: 2000 movs r0, #0 +} + 1816: 4770 bx lr + 1818: 00003830 .word 0x00003830 + 181c: 40000800 .word 0x40000800 + 1820: 200001b4 .word 0x200001b4 + +00001824 : + * \note OSC8M is always enabled and if user selects other clocks for GCLK generators, + * the OSC8M default enable can be disabled after system_clock_init. Make sure the + * clock switch successfully before disabling OSC8M. + */ +void system_clock_init(void) +{ + 1824: b530 push {r4, r5, lr} + 1826: b085 sub sp, #20 + /* Various bits in the INTFLAG register can be set to one at startup. + This will ensure that these bits are cleared */ + SYSCTRL->INTFLAG.reg = SYSCTRL_INTFLAG_BOD33RDY | SYSCTRL_INTFLAG_BOD33DET | + 1828: 22c2 movs r2, #194 ; 0xc2 + 182a: 00d2 lsls r2, r2, #3 + 182c: 4b1a ldr r3, [pc, #104] ; (1898 ) + 182e: 609a str r2, [r3, #8] +static inline void system_flash_set_waitstates(uint8_t wait_states) +{ + Assert(NVMCTRL_CTRLB_RWS((uint32_t)wait_states) == + ((uint32_t)wait_states << NVMCTRL_CTRLB_RWS_Pos)); + + NVMCTRL->CTRLB.bit.RWS = wait_states; + 1830: 4a1a ldr r2, [pc, #104] ; (189c ) + 1832: 6853 ldr r3, [r2, #4] + 1834: 211e movs r1, #30 + 1836: 438b bics r3, r1 + 1838: 6053 str r3, [r2, #4] + gclk_conf.source_generator = GCLK_GENERATOR_1; + 183a: 2301 movs r3, #1 + 183c: 466a mov r2, sp + 183e: 7013 strb r3, [r2, #0] + for (gclk_id = 0; gclk_id < GCLK_NUM; gclk_id++) { + 1840: 2400 movs r4, #0 + system_gclk_chan_set_config(gclk_id, &gclk_conf); + 1842: 4d17 ldr r5, [pc, #92] ; (18a0 ) + 1844: b2e0 uxtb r0, r4 + 1846: 4669 mov r1, sp + 1848: 47a8 blx r5 + for (gclk_id = 0; gclk_id < GCLK_NUM; gclk_id++) { + 184a: 3401 adds r4, #1 + 184c: 2c25 cmp r4, #37 ; 0x25 + 184e: d1f9 bne.n 1844 + config->run_in_standby = false; + 1850: a803 add r0, sp, #12 + 1852: 2400 movs r4, #0 + 1854: 7044 strb r4, [r0, #1] + config->on_demand = true; + 1856: 2501 movs r5, #1 + 1858: 7085 strb r5, [r0, #2] + + /* OSC8M */ + struct system_clock_source_osc8m_config osc8m_conf; + system_clock_source_osc8m_get_config_defaults(&osc8m_conf); + + osc8m_conf.prescaler = CONF_CLOCK_OSC8M_PRESCALER; + 185a: 7004 strb r4, [r0, #0] + osc8m_conf.on_demand = CONF_CLOCK_OSC8M_ON_DEMAND; + osc8m_conf.run_in_standby = CONF_CLOCK_OSC8M_RUN_IN_STANDBY; + + system_clock_source_osc8m_set_config(&osc8m_conf); + 185c: 4b11 ldr r3, [pc, #68] ; (18a4 ) + 185e: 4798 blx r3 + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_OSC8M); + 1860: 2006 movs r0, #6 + 1862: 4b11 ldr r3, [pc, #68] ; (18a8 ) + 1864: 4798 blx r3 + + + /* GCLK */ +#if CONF_CLOCK_CONFIGURE_GCLK == true + system_gclk_init(); + 1866: 4b11 ldr r3, [pc, #68] ; (18ac ) + 1868: 4798 blx r3 + PM->CPUSEL.reg = (uint32_t)divider; + 186a: 4b11 ldr r3, [pc, #68] ; (18b0 ) + 186c: 721c strb r4, [r3, #8] + PM->APBASEL.reg = (uint32_t)divider; + 186e: 725c strb r4, [r3, #9] + PM->APBBSEL.reg = (uint32_t)divider; + 1870: 729c strb r4, [r3, #10] + PM->APBCSEL.reg = (uint32_t)divider; + 1872: 72dc strb r4, [r3, #11] +{ + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->division_factor = 1; + 1874: 9501 str r5, [sp, #4] + config->high_when_disabled = false; + 1876: 466b mov r3, sp + 1878: 705c strb r4, [r3, #1] +#if SAML21 || SAML22 || SAMR30 || SAMR34 || SAMR35 + config->source_clock = GCLK_SOURCE_OSC16M; +#elif (SAMC20) || (SAMC21) + config->source_clock = GCLK_SOURCE_OSC48M; +#else + config->source_clock = GCLK_SOURCE_OSC8M; + 187a: 2306 movs r3, #6 + 187c: 466a mov r2, sp + 187e: 7013 strb r3, [r2, #0] +#endif + config->run_in_standby = false; + 1880: 7214 strb r4, [r2, #8] + config->output_enable = false; + 1882: 7254 strb r4, [r2, #9] + system_apb_clock_set_divider(SYSTEM_CLOCK_APB_APBC, CONF_CLOCK_APBC_DIVIDER); + + /* GCLK 0 */ +#if CONF_CLOCK_CONFIGURE_GCLK == true + /* Configure the main GCLK last as it might depend on other generators */ + _CONF_CLOCK_GCLK_CONFIG(0, ~); + 1884: 4669 mov r1, sp + 1886: 2000 movs r0, #0 + 1888: 4b0a ldr r3, [pc, #40] ; (18b4 ) + 188a: 4798 blx r3 + 188c: 2000 movs r0, #0 + 188e: 4b0a ldr r3, [pc, #40] ; (18b8 ) + 1890: 4798 blx r3 +#endif +} + 1892: b005 add sp, #20 + 1894: bd30 pop {r4, r5, pc} + 1896: 46c0 nop ; (mov r8, r8) + 1898: 40000800 .word 0x40000800 + 189c: 41004000 .word 0x41004000 + 18a0: 00001af5 .word 0x00001af5 + 18a4: 00001741 .word 0x00001741 + 18a8: 0000177d .word 0x0000177d + 18ac: 000018bd .word 0x000018bd + 18b0: 40000400 .word 0x40000400 + 18b4: 000018e1 .word 0x000018e1 + 18b8: 00001999 .word 0x00001999 + +000018bc : + PM->APBAMASK.reg |= mask; + 18bc: 4a06 ldr r2, [pc, #24] ; (18d8 ) + 18be: 6993 ldr r3, [r2, #24] + 18c0: 2108 movs r1, #8 + 18c2: 430b orrs r3, r1 + 18c4: 6193 str r3, [r2, #24] +{ + /* Turn on the digital interface clock */ + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBA, PM_APBAMASK_GCLK); + + /* Software reset the module to ensure it is re-initialized correctly */ + GCLK->CTRL.reg = GCLK_CTRL_SWRST; + 18c6: 2201 movs r2, #1 + 18c8: 4b04 ldr r3, [pc, #16] ; (18dc ) + 18ca: 701a strb r2, [r3, #0] + while (GCLK->CTRL.reg & GCLK_CTRL_SWRST) { + 18cc: 0019 movs r1, r3 + 18ce: 780b ldrb r3, [r1, #0] + 18d0: 4213 tst r3, r2 + 18d2: d1fc bne.n 18ce + /* Wait for reset to complete */ + } +} + 18d4: 4770 bx lr + 18d6: 46c0 nop ; (mov r8, r8) + 18d8: 40000400 .word 0x40000400 + 18dc: 40000c00 .word 0x40000c00 + +000018e0 : + * \param[in] config Configuration settings for the generator + */ +void system_gclk_gen_set_config( + const uint8_t generator, + struct system_gclk_gen_config *const config) +{ + 18e0: b570 push {r4, r5, r6, lr} + 18e2: 0006 movs r6, r0 + /* Sanity check arguments */ + Assert(config); + + /* Cache new register configurations to minimize sync requirements. */ + uint32_t new_genctrl_config = (generator << GCLK_GENCTRL_ID_Pos); + 18e4: 0004 movs r4, r0 + uint32_t new_gendiv_config = (generator << GCLK_GENDIV_ID_Pos); + + /* Select the requested source clock for the generator */ + new_genctrl_config |= config->source_clock << GCLK_GENCTRL_SRC_Pos; + 18e6: 780d ldrb r5, [r1, #0] + 18e8: 022d lsls r5, r5, #8 + 18ea: 4305 orrs r5, r0 + + /* Configure the clock to be either high or low when disabled */ + if (config->high_when_disabled) { + 18ec: 784b ldrb r3, [r1, #1] + 18ee: 2b00 cmp r3, #0 + 18f0: d002 beq.n 18f8 + new_genctrl_config |= GCLK_GENCTRL_OOV; + 18f2: 2380 movs r3, #128 ; 0x80 + 18f4: 02db lsls r3, r3, #11 + 18f6: 431d orrs r5, r3 + } + + /* Configure if the clock output to I/O pin should be enabled. */ + if (config->output_enable) { + 18f8: 7a4b ldrb r3, [r1, #9] + 18fa: 2b00 cmp r3, #0 + 18fc: d002 beq.n 1904 + new_genctrl_config |= GCLK_GENCTRL_OE; + 18fe: 2380 movs r3, #128 ; 0x80 + 1900: 031b lsls r3, r3, #12 + 1902: 431d orrs r5, r3 + } + + /* Set division factor */ + if (config->division_factor > 1) { + 1904: 6848 ldr r0, [r1, #4] + 1906: 2801 cmp r0, #1 + 1908: d910 bls.n 192c + /* Check if division is a power of two */ + if (((config->division_factor & (config->division_factor - 1)) == 0)) { + 190a: 1e43 subs r3, r0, #1 + 190c: 4218 tst r0, r3 + 190e: d134 bne.n 197a + * register */ + + uint32_t div2_count = 0; + + uint32_t mask; + for (mask = (1UL << 1); mask < config->division_factor; + 1910: 2802 cmp r0, #2 + 1912: d930 bls.n 1976 + 1914: 2302 movs r3, #2 + 1916: 2200 movs r2, #0 + mask <<= 1) { + div2_count++; + 1918: 3201 adds r2, #1 + mask <<= 1) { + 191a: 005b lsls r3, r3, #1 + for (mask = (1UL << 1); mask < config->division_factor; + 191c: 4298 cmp r0, r3 + 191e: d8fb bhi.n 1918 + } + + /* Set binary divider power of 2 division factor */ + new_gendiv_config |= div2_count << GCLK_GENDIV_DIV_Pos; + 1920: 0212 lsls r2, r2, #8 + 1922: 4332 orrs r2, r6 + 1924: 0014 movs r4, r2 + new_genctrl_config |= GCLK_GENCTRL_DIVSEL; + 1926: 2380 movs r3, #128 ; 0x80 + 1928: 035b lsls r3, r3, #13 + 192a: 431d orrs r5, r3 + } + + } + + /* Enable or disable the clock in standby mode */ + if (config->run_in_standby) { + 192c: 7a0b ldrb r3, [r1, #8] + 192e: 2b00 cmp r3, #0 + 1930: d002 beq.n 1938 + new_genctrl_config |= GCLK_GENCTRL_RUNSTDBY; + 1932: 2380 movs r3, #128 ; 0x80 + 1934: 039b lsls r3, r3, #14 + 1936: 431d orrs r5, r3 + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 1938: 4a13 ldr r2, [pc, #76] ; (1988 ) + 193a: 7853 ldrb r3, [r2, #1] + } + + while (system_gclk_is_syncing()) { + 193c: b25b sxtb r3, r3 + 193e: 2b00 cmp r3, #0 + 1940: dbfb blt.n 193a + cpu_irq_enter_critical(); + 1942: 4b12 ldr r3, [pc, #72] ; (198c ) + 1944: 4798 blx r3 + }; + + system_interrupt_enter_critical_section(); + + /* Select the correct generator */ + *((uint8_t*)&GCLK->GENDIV.reg) = generator; + 1946: 4b12 ldr r3, [pc, #72] ; (1990 ) + 1948: 701e strb r6, [r3, #0] + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 194a: 4a0f ldr r2, [pc, #60] ; (1988 ) + 194c: 7853 ldrb r3, [r2, #1] + + /* Write the new generator configuration */ + while (system_gclk_is_syncing()) { + 194e: b25b sxtb r3, r3 + 1950: 2b00 cmp r3, #0 + 1952: dbfb blt.n 194c + /* Wait for synchronization */ + }; + GCLK->GENDIV.reg = new_gendiv_config; + 1954: 4b0c ldr r3, [pc, #48] ; (1988 ) + 1956: 609c str r4, [r3, #8] + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 1958: 001a movs r2, r3 + 195a: 7853 ldrb r3, [r2, #1] + + while (system_gclk_is_syncing()) { + 195c: b25b sxtb r3, r3 + 195e: 2b00 cmp r3, #0 + 1960: dbfb blt.n 195a + /* Wait for synchronization */ + }; + GCLK->GENCTRL.reg = new_genctrl_config | (GCLK->GENCTRL.reg & GCLK_GENCTRL_GENEN); + 1962: 4a09 ldr r2, [pc, #36] ; (1988 ) + 1964: 6853 ldr r3, [r2, #4] + 1966: 2180 movs r1, #128 ; 0x80 + 1968: 0249 lsls r1, r1, #9 + 196a: 400b ands r3, r1 + 196c: 431d orrs r5, r3 + 196e: 6055 str r5, [r2, #4] + cpu_irq_leave_critical(); + 1970: 4b08 ldr r3, [pc, #32] ; (1994 ) + 1972: 4798 blx r3 + + system_interrupt_leave_critical_section(); +} + 1974: bd70 pop {r4, r5, r6, pc} + for (mask = (1UL << 1); mask < config->division_factor; + 1976: 2200 movs r2, #0 + 1978: e7d2 b.n 1920 + (config->division_factor) << GCLK_GENDIV_DIV_Pos; + 197a: 0204 lsls r4, r0, #8 + new_gendiv_config |= + 197c: 4334 orrs r4, r6 + new_genctrl_config |= GCLK_GENCTRL_IDC; + 197e: 2380 movs r3, #128 ; 0x80 + 1980: 029b lsls r3, r3, #10 + 1982: 431d orrs r5, r3 + 1984: e7d2 b.n 192c + 1986: 46c0 nop ; (mov r8, r8) + 1988: 40000c00 .word 0x40000c00 + 198c: 000015e1 .word 0x000015e1 + 1990: 40000c08 .word 0x40000c08 + 1994: 00001621 .word 0x00001621 + +00001998 : + * + * \param[in] generator Generic Clock Generator index to enable + */ +void system_gclk_gen_enable( + const uint8_t generator) +{ + 1998: b510 push {r4, lr} + 199a: 0004 movs r4, r0 + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 199c: 4a0b ldr r2, [pc, #44] ; (19cc ) + 199e: 7853 ldrb r3, [r2, #1] + while (system_gclk_is_syncing()) { + 19a0: b25b sxtb r3, r3 + 19a2: 2b00 cmp r3, #0 + 19a4: dbfb blt.n 199e + cpu_irq_enter_critical(); + 19a6: 4b0a ldr r3, [pc, #40] ; (19d0 ) + 19a8: 4798 blx r3 + }; + + system_interrupt_enter_critical_section(); + + /* Select the requested generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + 19aa: 4b0a ldr r3, [pc, #40] ; (19d4 ) + 19ac: 701c strb r4, [r3, #0] + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 19ae: 4a07 ldr r2, [pc, #28] ; (19cc ) + 19b0: 7853 ldrb r3, [r2, #1] + while (system_gclk_is_syncing()) { + 19b2: b25b sxtb r3, r3 + 19b4: 2b00 cmp r3, #0 + 19b6: dbfb blt.n 19b0 + /* Wait for synchronization */ + }; + + /* Enable generator */ + GCLK->GENCTRL.reg |= GCLK_GENCTRL_GENEN; + 19b8: 4a04 ldr r2, [pc, #16] ; (19cc ) + 19ba: 6851 ldr r1, [r2, #4] + 19bc: 2380 movs r3, #128 ; 0x80 + 19be: 025b lsls r3, r3, #9 + 19c0: 430b orrs r3, r1 + 19c2: 6053 str r3, [r2, #4] + cpu_irq_leave_critical(); + 19c4: 4b04 ldr r3, [pc, #16] ; (19d8 ) + 19c6: 4798 blx r3 + + system_interrupt_leave_critical_section(); +} + 19c8: bd10 pop {r4, pc} + 19ca: 46c0 nop ; (mov r8, r8) + 19cc: 40000c00 .word 0x40000c00 + 19d0: 000015e1 .word 0x000015e1 + 19d4: 40000c04 .word 0x40000c04 + 19d8: 00001621 .word 0x00001621 + +000019dc : + * + * \return The frequency of the generic clock generator, in Hz. + */ +uint32_t system_gclk_gen_get_hz( + const uint8_t generator) +{ + 19dc: b570 push {r4, r5, r6, lr} + 19de: 0004 movs r4, r0 + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 19e0: 4a1a ldr r2, [pc, #104] ; (1a4c ) + 19e2: 7853 ldrb r3, [r2, #1] + while (system_gclk_is_syncing()) { + 19e4: b25b sxtb r3, r3 + 19e6: 2b00 cmp r3, #0 + 19e8: dbfb blt.n 19e2 + cpu_irq_enter_critical(); + 19ea: 4b19 ldr r3, [pc, #100] ; (1a50 ) + 19ec: 4798 blx r3 + }; + + system_interrupt_enter_critical_section(); + + /* Select the appropriate generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + 19ee: 4b19 ldr r3, [pc, #100] ; (1a54 ) + 19f0: 701c strb r4, [r3, #0] + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 19f2: 4a16 ldr r2, [pc, #88] ; (1a4c ) + 19f4: 7853 ldrb r3, [r2, #1] + while (system_gclk_is_syncing()) { + 19f6: b25b sxtb r3, r3 + 19f8: 2b00 cmp r3, #0 + 19fa: dbfb blt.n 19f4 + /* Wait for synchronization */ + }; + + /* Get the frequency of the source connected to the GCLK generator */ + uint32_t gen_input_hz = system_clock_source_get_hz( + (enum system_clock_source)GCLK->GENCTRL.bit.SRC); + 19fc: 4e13 ldr r6, [pc, #76] ; (1a4c ) + 19fe: 6870 ldr r0, [r6, #4] + 1a00: 04c0 lsls r0, r0, #19 + 1a02: 0ec0 lsrs r0, r0, #27 + uint32_t gen_input_hz = system_clock_source_get_hz( + 1a04: 4b14 ldr r3, [pc, #80] ; (1a58 ) + 1a06: 4798 blx r3 + 1a08: 0005 movs r5, r0 + + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + 1a0a: 4b12 ldr r3, [pc, #72] ; (1a54 ) + 1a0c: 701c strb r4, [r3, #0] + + uint8_t divsel = GCLK->GENCTRL.bit.DIVSEL; + 1a0e: 6876 ldr r6, [r6, #4] + 1a10: 02f6 lsls r6, r6, #11 + 1a12: 0ff6 lsrs r6, r6, #31 + + /* Select the appropriate generator division register */ + *((uint8_t*)&GCLK->GENDIV.reg) = generator; + 1a14: 4b11 ldr r3, [pc, #68] ; (1a5c ) + 1a16: 701c strb r4, [r3, #0] + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + 1a18: 4a0c ldr r2, [pc, #48] ; (1a4c ) + 1a1a: 7853 ldrb r3, [r2, #1] + while (system_gclk_is_syncing()) { + 1a1c: b25b sxtb r3, r3 + 1a1e: 2b00 cmp r3, #0 + 1a20: dbfb blt.n 1a1a + /* Wait for synchronization */ + }; + + uint32_t divider = GCLK->GENDIV.bit.DIV; + 1a22: 4b0a ldr r3, [pc, #40] ; (1a4c ) + 1a24: 689c ldr r4, [r3, #8] + 1a26: 0224 lsls r4, r4, #8 + 1a28: 0c24 lsrs r4, r4, #16 + cpu_irq_leave_critical(); + 1a2a: 4b0d ldr r3, [pc, #52] ; (1a60 ) + 1a2c: 4798 blx r3 + + system_interrupt_leave_critical_section(); + + /* Check if the generator is using fractional or binary division */ + if (!divsel && divider > 1) { + 1a2e: 2e00 cmp r6, #0 + 1a30: d107 bne.n 1a42 + 1a32: 2c01 cmp r4, #1 + 1a34: d907 bls.n 1a46 + gen_input_hz /= divider; + 1a36: 0021 movs r1, r4 + 1a38: 0028 movs r0, r5 + 1a3a: 4b0a ldr r3, [pc, #40] ; (1a64 ) + 1a3c: 4798 blx r3 + 1a3e: 0005 movs r5, r0 + 1a40: e001 b.n 1a46 + } else if (divsel) { + gen_input_hz >>= (divider+1); + 1a42: 3401 adds r4, #1 + 1a44: 40e5 lsrs r5, r4 + } + + return gen_input_hz; +} + 1a46: 0028 movs r0, r5 + 1a48: bd70 pop {r4, r5, r6, pc} + 1a4a: 46c0 nop ; (mov r8, r8) + 1a4c: 40000c00 .word 0x40000c00 + 1a50: 000015e1 .word 0x000015e1 + 1a54: 40000c04 .word 0x40000c04 + 1a58: 000016ad .word 0x000016ad + 1a5c: 40000c08 .word 0x40000c08 + 1a60: 00001621 .word 0x00001621 + 1a64: 00001df5 .word 0x00001df5 + +00001a68 : + * + * \param[in] channel Generic Clock channel to enable + */ +void system_gclk_chan_enable( + const uint8_t channel) +{ + 1a68: b510 push {r4, lr} + 1a6a: 0004 movs r4, r0 + cpu_irq_enter_critical(); + 1a6c: 4b06 ldr r3, [pc, #24] ; (1a88 ) + 1a6e: 4798 blx r3 + system_interrupt_enter_critical_section(); + + /* Select the requested generator channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + 1a70: 4b06 ldr r3, [pc, #24] ; (1a8c ) + 1a72: 701c strb r4, [r3, #0] + + /* Enable the generic clock */ + GCLK->CLKCTRL.reg |= GCLK_CLKCTRL_CLKEN; + 1a74: 4a06 ldr r2, [pc, #24] ; (1a90 ) + 1a76: 8853 ldrh r3, [r2, #2] + 1a78: 2180 movs r1, #128 ; 0x80 + 1a7a: 01c9 lsls r1, r1, #7 + 1a7c: 430b orrs r3, r1 + 1a7e: 8053 strh r3, [r2, #2] + cpu_irq_leave_critical(); + 1a80: 4b04 ldr r3, [pc, #16] ; (1a94 ) + 1a82: 4798 blx r3 + + system_interrupt_leave_critical_section(); +} + 1a84: bd10 pop {r4, pc} + 1a86: 46c0 nop ; (mov r8, r8) + 1a88: 000015e1 .word 0x000015e1 + 1a8c: 40000c02 .word 0x40000c02 + 1a90: 40000c00 .word 0x40000c00 + 1a94: 00001621 .word 0x00001621 + +00001a98 : + * + * \param[in] channel Generic Clock channel to disable + */ +void system_gclk_chan_disable( + const uint8_t channel) +{ + 1a98: b510 push {r4, lr} + 1a9a: 0004 movs r4, r0 + cpu_irq_enter_critical(); + 1a9c: 4b0f ldr r3, [pc, #60] ; (1adc ) + 1a9e: 4798 blx r3 + system_interrupt_enter_critical_section(); + + /* Select the requested generator channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + 1aa0: 4b0f ldr r3, [pc, #60] ; (1ae0 ) + 1aa2: 701c strb r4, [r3, #0] + + /* Sanity check WRTLOCK */ + Assert(!GCLK->CLKCTRL.bit.WRTLOCK); + + /* Switch to known-working source so that the channel can be disabled */ + uint32_t prev_gen_id = GCLK->CLKCTRL.bit.GEN; + 1aa4: 4a0f ldr r2, [pc, #60] ; (1ae4 ) + 1aa6: 8853 ldrh r3, [r2, #2] + 1aa8: 051b lsls r3, r3, #20 + 1aaa: 0f18 lsrs r0, r3, #28 + GCLK->CLKCTRL.bit.GEN = 0; + 1aac: 8853 ldrh r3, [r2, #2] + 1aae: 490e ldr r1, [pc, #56] ; (1ae8 ) + 1ab0: 400b ands r3, r1 + 1ab2: 8053 strh r3, [r2, #2] + + /* Disable the generic clock */ + GCLK->CLKCTRL.reg &= ~GCLK_CLKCTRL_CLKEN; + 1ab4: 8853 ldrh r3, [r2, #2] + 1ab6: 490d ldr r1, [pc, #52] ; (1aec ) + 1ab8: 400b ands r3, r1 + 1aba: 8053 strh r3, [r2, #2] + while (GCLK->CLKCTRL.reg & GCLK_CLKCTRL_CLKEN) { + 1abc: 0011 movs r1, r2 + 1abe: 2280 movs r2, #128 ; 0x80 + 1ac0: 01d2 lsls r2, r2, #7 + 1ac2: 884b ldrh r3, [r1, #2] + 1ac4: 4213 tst r3, r2 + 1ac6: d1fc bne.n 1ac2 + /* Wait for clock to become disabled */ + } + + /* Restore previous configured clock generator */ + GCLK->CLKCTRL.bit.GEN = prev_gen_id; + 1ac8: 4906 ldr r1, [pc, #24] ; (1ae4 ) + 1aca: 884a ldrh r2, [r1, #2] + 1acc: 0203 lsls r3, r0, #8 + 1ace: 4806 ldr r0, [pc, #24] ; (1ae8 ) + 1ad0: 4002 ands r2, r0 + 1ad2: 4313 orrs r3, r2 + 1ad4: 804b strh r3, [r1, #2] + cpu_irq_leave_critical(); + 1ad6: 4b06 ldr r3, [pc, #24] ; (1af0 ) + 1ad8: 4798 blx r3 + + system_interrupt_leave_critical_section(); +} + 1ada: bd10 pop {r4, pc} + 1adc: 000015e1 .word 0x000015e1 + 1ae0: 40000c02 .word 0x40000c02 + 1ae4: 40000c00 .word 0x40000c00 + 1ae8: fffff0ff .word 0xfffff0ff + 1aec: ffffbfff .word 0xffffbfff + 1af0: 00001621 .word 0x00001621 + +00001af4 : +{ + 1af4: b510 push {r4, lr} + new_clkctrl_config |= config->source_generator << GCLK_CLKCTRL_GEN_Pos; + 1af6: 780c ldrb r4, [r1, #0] + 1af8: 0224 lsls r4, r4, #8 + 1afa: 4304 orrs r4, r0 + system_gclk_chan_disable(channel); + 1afc: 4b02 ldr r3, [pc, #8] ; (1b08 ) + 1afe: 4798 blx r3 + GCLK->CLKCTRL.reg = new_clkctrl_config; + 1b00: b2a4 uxth r4, r4 + 1b02: 4b02 ldr r3, [pc, #8] ; (1b0c ) + 1b04: 805c strh r4, [r3, #2] +} + 1b06: bd10 pop {r4, pc} + 1b08: 00001a99 .word 0x00001a99 + 1b0c: 40000c00 .word 0x40000c00 + +00001b10 : + * + * \return The frequency of the generic clock channel, in Hz. + */ +uint32_t system_gclk_chan_get_hz( + const uint8_t channel) +{ + 1b10: b510 push {r4, lr} + 1b12: 0004 movs r4, r0 + cpu_irq_enter_critical(); + 1b14: 4b06 ldr r3, [pc, #24] ; (1b30 ) + 1b16: 4798 blx r3 + uint8_t gen_id; + + system_interrupt_enter_critical_section(); + + /* Select the requested generic clock channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + 1b18: 4b06 ldr r3, [pc, #24] ; (1b34 ) + 1b1a: 701c strb r4, [r3, #0] + gen_id = GCLK->CLKCTRL.bit.GEN; + 1b1c: 4b06 ldr r3, [pc, #24] ; (1b38 ) + 1b1e: 885c ldrh r4, [r3, #2] + 1b20: 0524 lsls r4, r4, #20 + 1b22: 0f24 lsrs r4, r4, #28 + cpu_irq_leave_critical(); + 1b24: 4b05 ldr r3, [pc, #20] ; (1b3c ) + 1b26: 4798 blx r3 + + system_interrupt_leave_critical_section(); + + /* Return the clock speed of the associated GCLK generator */ + return system_gclk_gen_get_hz(gen_id); + 1b28: 0020 movs r0, r4 + 1b2a: 4b05 ldr r3, [pc, #20] ; (1b40 ) + 1b2c: 4798 blx r3 +} + 1b2e: bd10 pop {r4, pc} + 1b30: 000015e1 .word 0x000015e1 + 1b34: 40000c02 .word 0x40000c02 + 1b38: 40000c00 .word 0x40000c00 + 1b3c: 00001621 .word 0x00001621 + 1b40: 000019dd .word 0x000019dd + +00001b44 <_system_pinmux_config>: + */ +static void _system_pinmux_config( + PortGroup *const port, + const uint32_t pin_mask, + const struct system_pinmux_config *const config) +{ + 1b44: b530 push {r4, r5, lr} + + /* Track the configuration bits into a temporary variable before writing */ + uint32_t pin_cfg = 0; + + /* Enabled powersave mode, don't create configuration */ + if (!config->powersave) { + 1b46: 78d3 ldrb r3, [r2, #3] + 1b48: 2b00 cmp r3, #0 + 1b4a: d135 bne.n 1bb8 <_system_pinmux_config+0x74> + /* Enable the pin peripheral MUX flag if non-GPIO selected (pinmux will + * be written later) and store the new MUX mask */ + if (config->mux_position != SYSTEM_PINMUX_GPIO) { + 1b4c: 7813 ldrb r3, [r2, #0] + 1b4e: 2b80 cmp r3, #128 ; 0x80 + 1b50: d029 beq.n 1ba6 <_system_pinmux_config+0x62> + pin_cfg |= PORT_WRCONFIG_PMUXEN; + pin_cfg |= (config->mux_position << PORT_WRCONFIG_PMUX_Pos); + 1b52: 061b lsls r3, r3, #24 + 1b54: 2480 movs r4, #128 ; 0x80 + 1b56: 0264 lsls r4, r4, #9 + 1b58: 4323 orrs r3, r4 + } + + /* Check if the user has requested that the input buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_INPUT) || + 1b5a: 7854 ldrb r4, [r2, #1] + 1b5c: 2502 movs r5, #2 + 1b5e: 43ac bics r4, r5 + 1b60: d106 bne.n 1b70 <_system_pinmux_config+0x2c> + (config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK)) { + /* Enable input buffer flag */ + pin_cfg |= PORT_WRCONFIG_INEN; + + /* Enable pull-up/pull-down control flag if requested */ + if (config->input_pull != SYSTEM_PINMUX_PIN_PULL_NONE) { + 1b62: 7894 ldrb r4, [r2, #2] + 1b64: 2c00 cmp r4, #0 + 1b66: d120 bne.n 1baa <_system_pinmux_config+0x66> + pin_cfg |= PORT_WRCONFIG_INEN; + 1b68: 2480 movs r4, #128 ; 0x80 + 1b6a: 02a4 lsls r4, r4, #10 + 1b6c: 4323 orrs r3, r4 + pin_cfg |= PORT_WRCONFIG_PULLEN; + } + + /* Clear the port DIR bits to disable the output buffer */ + port->DIRCLR.reg = pin_mask; + 1b6e: 6041 str r1, [r0, #4] + } + + /* Check if the user has requested that the output buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT) || + 1b70: 7854 ldrb r4, [r2, #1] + 1b72: 3c01 subs r4, #1 + 1b74: 2c01 cmp r4, #1 + 1b76: d91c bls.n 1bb2 <_system_pinmux_config+0x6e> + port->DIRCLR.reg = pin_mask; + } + + /* The Write Configuration register (WRCONFIG) requires the + * pins to to grouped into two 16-bit half-words - split them out here */ + uint32_t lower_pin_mask = (pin_mask & 0xFFFF); + 1b78: 040d lsls r5, r1, #16 + 1b7a: 0c2d lsrs r5, r5, #16 + + /* Configure the lower 16-bits of the port to the desired configuration, + * including the pin peripheral multiplexer just in case it is enabled */ + port->WRCONFIG.reg + = (lower_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG; + 1b7c: 24a0 movs r4, #160 ; 0xa0 + 1b7e: 05e4 lsls r4, r4, #23 + 1b80: 432c orrs r4, r5 + 1b82: 431c orrs r4, r3 + = (lower_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + 1b84: 6284 str r4, [r0, #40] ; 0x28 + uint32_t upper_pin_mask = (pin_mask >> 16); + 1b86: 0c0d lsrs r5, r1, #16 + + /* Configure the upper 16-bits of the port to the desired configuration, + * including the pin peripheral multiplexer just in case it is enabled */ + port->WRCONFIG.reg + = (upper_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG | + 1b88: 24d0 movs r4, #208 ; 0xd0 + 1b8a: 0624 lsls r4, r4, #24 + 1b8c: 432c orrs r4, r5 + 1b8e: 431c orrs r4, r3 + = (upper_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + 1b90: 6284 str r4, [r0, #40] ; 0x28 + PORT_WRCONFIG_HWSEL; + + if(!config->powersave) { + 1b92: 78d4 ldrb r4, [r2, #3] + 1b94: 2c00 cmp r4, #0 + 1b96: d122 bne.n 1bde <_system_pinmux_config+0x9a> + /* Set the pull-up state once the port pins are configured if one was + * requested and it does not violate the valid set of port + * configurations */ + if (pin_cfg & PORT_WRCONFIG_PULLEN) { + 1b98: 035b lsls r3, r3, #13 + 1b9a: d51c bpl.n 1bd6 <_system_pinmux_config+0x92> + /* Set the OUT register bits to enable the pull-up if requested, + * clear to enable pull-down */ + if (config->input_pull == SYSTEM_PINMUX_PIN_PULL_UP) { + 1b9c: 7893 ldrb r3, [r2, #2] + 1b9e: 2b01 cmp r3, #1 + 1ba0: d01e beq.n 1be0 <_system_pinmux_config+0x9c> + port->OUTSET.reg = pin_mask; + } else { + port->OUTCLR.reg = pin_mask; + 1ba2: 6141 str r1, [r0, #20] + 1ba4: e017 b.n 1bd6 <_system_pinmux_config+0x92> + uint32_t pin_cfg = 0; + 1ba6: 2300 movs r3, #0 + 1ba8: e7d7 b.n 1b5a <_system_pinmux_config+0x16> + pin_cfg |= PORT_WRCONFIG_PULLEN; + 1baa: 24c0 movs r4, #192 ; 0xc0 + 1bac: 02e4 lsls r4, r4, #11 + 1bae: 4323 orrs r3, r4 + 1bb0: e7dd b.n 1b6e <_system_pinmux_config+0x2a> + pin_cfg &= ~PORT_WRCONFIG_PULLEN; + 1bb2: 4c0d ldr r4, [pc, #52] ; (1be8 <_system_pinmux_config+0xa4>) + 1bb4: 4023 ands r3, r4 + 1bb6: e7df b.n 1b78 <_system_pinmux_config+0x34> + port->DIRCLR.reg = pin_mask; + 1bb8: 6041 str r1, [r0, #4] + uint32_t lower_pin_mask = (pin_mask & 0xFFFF); + 1bba: 040c lsls r4, r1, #16 + 1bbc: 0c24 lsrs r4, r4, #16 + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG; + 1bbe: 23a0 movs r3, #160 ; 0xa0 + 1bc0: 05db lsls r3, r3, #23 + 1bc2: 4323 orrs r3, r4 + = (lower_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + 1bc4: 6283 str r3, [r0, #40] ; 0x28 + uint32_t upper_pin_mask = (pin_mask >> 16); + 1bc6: 0c0c lsrs r4, r1, #16 + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG | + 1bc8: 23d0 movs r3, #208 ; 0xd0 + 1bca: 061b lsls r3, r3, #24 + 1bcc: 4323 orrs r3, r4 + = (upper_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + 1bce: 6283 str r3, [r0, #40] ; 0x28 + if(!config->powersave) { + 1bd0: 78d3 ldrb r3, [r2, #3] + 1bd2: 2b00 cmp r3, #0 + 1bd4: d103 bne.n 1bde <_system_pinmux_config+0x9a> + } + } + + /* Check if the user has requested that the output buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT) || + 1bd6: 7853 ldrb r3, [r2, #1] + 1bd8: 3b01 subs r3, #1 + 1bda: 2b01 cmp r3, #1 + 1bdc: d902 bls.n 1be4 <_system_pinmux_config+0xa0> + (config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK)) { + /* Set the port DIR bits to enable the output buffer */ + port->DIRSET.reg = pin_mask; + } + } +} + 1bde: bd30 pop {r4, r5, pc} + port->OUTSET.reg = pin_mask; + 1be0: 6181 str r1, [r0, #24] + 1be2: e7f8 b.n 1bd6 <_system_pinmux_config+0x92> + port->DIRSET.reg = pin_mask; + 1be4: 6081 str r1, [r0, #8] +} + 1be6: e7fa b.n 1bde <_system_pinmux_config+0x9a> + 1be8: fffbffff .word 0xfffbffff + +00001bec : + * \param[in] config Configuration settings for the pin + */ +void system_pinmux_pin_set_config( + const uint8_t gpio_pin, + const struct system_pinmux_config *const config) +{ + 1bec: b510 push {r4, lr} + 1bee: 000a movs r2, r1 + uint8_t group_index = (gpio_pin / 32); + + /* Array of available ports */ + Port *const ports[PORT_INST_NUM] = PORT_INSTS; + + if (port_index < PORT_INST_NUM) { + 1bf0: 09c1 lsrs r1, r0, #7 + return &(ports[port_index]->Group[group_index]); + } else { + Assert(false); + return NULL; + 1bf2: 2300 movs r3, #0 + if (port_index < PORT_INST_NUM) { + 1bf4: 2900 cmp r1, #0 + 1bf6: d104 bne.n 1c02 + return &(ports[port_index]->Group[group_index]); + 1bf8: 0943 lsrs r3, r0, #5 + 1bfa: 01db lsls r3, r3, #7 + 1bfc: 4905 ldr r1, [pc, #20] ; (1c14 ) + 1bfe: 468c mov ip, r1 + 1c00: 4463 add r3, ip + PortGroup *const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + 1c02: 241f movs r4, #31 + 1c04: 4020 ands r0, r4 + 1c06: 2101 movs r1, #1 + 1c08: 4081 lsls r1, r0 + + _system_pinmux_config(port, pin_mask, config); + 1c0a: 0018 movs r0, r3 + 1c0c: 4b02 ldr r3, [pc, #8] ; (1c18 ) + 1c0e: 4798 blx r3 +} + 1c10: bd10 pop {r4, pc} + 1c12: 46c0 nop ; (mov r8, r8) + 1c14: 41004400 .word 0x41004400 + 1c18: 00001b45 .word 0x00001b45 + +00001c1c <_system_dummy_init>: + */ +void _system_dummy_init(void); +void _system_dummy_init(void) +{ + return; +} + 1c1c: 4770 bx lr + ... + +00001c20 : + * - Board hardware initialization (via the Board module) + * - Event system driver initialization (via the EVSYS module) + * - External Interrupt driver initialization (via the EXTINT module) + */ +void system_init(void) +{ + 1c20: b510 push {r4, lr} + /* Configure GCLK and clock sources according to conf_clocks.h */ + system_clock_init(); + 1c22: 4b05 ldr r3, [pc, #20] ; (1c38 ) + 1c24: 4798 blx r3 + + /* Initialize board hardware */ + system_board_init(); + 1c26: 4b05 ldr r3, [pc, #20] ; (1c3c ) + 1c28: 4798 blx r3 + + /* Initialize EVSYS hardware */ + _system_events_init(); + 1c2a: 4b05 ldr r3, [pc, #20] ; (1c40 ) + 1c2c: 4798 blx r3 + + /* Initialize External hardware */ + _system_extint_init(); + 1c2e: 4b05 ldr r3, [pc, #20] ; (1c44 ) + 1c30: 4798 blx r3 + + /* Initialize DIVAS hardware */ + _system_divas_init(); + 1c32: 4b05 ldr r3, [pc, #20] ; (1c48 ) + 1c34: 4798 blx r3 +} + 1c36: bd10 pop {r4, pc} + 1c38: 00001825 .word 0x00001825 + 1c3c: 00001651 .word 0x00001651 + 1c40: 00001c1d .word 0x00001c1d + 1c44: 00001c1d .word 0x00001c1d + 1c48: 00001c1d .word 0x00001c1d + +00001c4c : + +/** + * \brief Default interrupt handler for unused IRQs. + */ +void Dummy_Handler(void) +{ + 1c4c: e7fe b.n 1c4c + ... + +00001c50 : +{ + 1c50: b5f8 push {r3, r4, r5, r6, r7, lr} + if (pSrc != pDest) { + 1c52: 4a2a ldr r2, [pc, #168] ; (1cfc ) + 1c54: 4b2a ldr r3, [pc, #168] ; (1d00 ) + 1c56: 429a cmp r2, r3 + 1c58: d011 beq.n 1c7e + for (; pDest < &_erelocate;) { + 1c5a: 001a movs r2, r3 + 1c5c: 4b29 ldr r3, [pc, #164] ; (1d04 ) + 1c5e: 429a cmp r2, r3 + 1c60: d20d bcs.n 1c7e + 1c62: 4a29 ldr r2, [pc, #164] ; (1d08 ) + 1c64: 3303 adds r3, #3 + 1c66: 1a9b subs r3, r3, r2 + 1c68: 089b lsrs r3, r3, #2 + 1c6a: 3301 adds r3, #1 + 1c6c: 009b lsls r3, r3, #2 + 1c6e: 2200 movs r2, #0 + *pDest++ = *pSrc++; + 1c70: 4823 ldr r0, [pc, #140] ; (1d00 ) + 1c72: 4922 ldr r1, [pc, #136] ; (1cfc ) + 1c74: 588c ldr r4, [r1, r2] + 1c76: 5084 str r4, [r0, r2] + 1c78: 3204 adds r2, #4 + for (; pDest < &_erelocate;) { + 1c7a: 429a cmp r2, r3 + 1c7c: d1fa bne.n 1c74 + for (pDest = &_szero; pDest < &_ezero;) { + 1c7e: 4a23 ldr r2, [pc, #140] ; (1d0c ) + 1c80: 4b23 ldr r3, [pc, #140] ; (1d10 ) + 1c82: 429a cmp r2, r3 + 1c84: d20a bcs.n 1c9c + 1c86: 43d3 mvns r3, r2 + 1c88: 4921 ldr r1, [pc, #132] ; (1d10 ) + 1c8a: 185b adds r3, r3, r1 + 1c8c: 2103 movs r1, #3 + 1c8e: 438b bics r3, r1 + 1c90: 3304 adds r3, #4 + 1c92: 189b adds r3, r3, r2 + *pDest++ = 0; + 1c94: 2100 movs r1, #0 + 1c96: c202 stmia r2!, {r1} + for (pDest = &_szero; pDest < &_ezero;) { + 1c98: 4293 cmp r3, r2 + 1c9a: d1fc bne.n 1c96 + SCB->VTOR = ((uint32_t) pSrc & SCB_VTOR_TBLOFF_Msk); + 1c9c: 4a1d ldr r2, [pc, #116] ; (1d14 ) + 1c9e: 21ff movs r1, #255 ; 0xff + 1ca0: 4b1d ldr r3, [pc, #116] ; (1d18 ) + 1ca2: 438b bics r3, r1 + 1ca4: 6093 str r3, [r2, #8] + SBMATRIX->SFR[SBMATRIX_SLAVE_HMCRAMC0].reg = 2; + 1ca6: 39fd subs r1, #253 ; 0xfd + 1ca8: 2390 movs r3, #144 ; 0x90 + 1caa: 005b lsls r3, r3, #1 + 1cac: 4a1b ldr r2, [pc, #108] ; (1d1c ) + 1cae: 50d1 str r1, [r2, r3] + USB->DEVICE.QOSCTRL.bit.CQOS = 2; + 1cb0: 4a1b ldr r2, [pc, #108] ; (1d20 ) + 1cb2: 78d3 ldrb r3, [r2, #3] + 1cb4: 2503 movs r5, #3 + 1cb6: 43ab bics r3, r5 + 1cb8: 2402 movs r4, #2 + 1cba: 4323 orrs r3, r4 + 1cbc: 70d3 strb r3, [r2, #3] + USB->DEVICE.QOSCTRL.bit.DQOS = 2; + 1cbe: 78d3 ldrb r3, [r2, #3] + 1cc0: 270c movs r7, #12 + 1cc2: 43bb bics r3, r7 + 1cc4: 2608 movs r6, #8 + 1cc6: 4333 orrs r3, r6 + 1cc8: 70d3 strb r3, [r2, #3] + DMAC->QOSCTRL.bit.DQOS = 2; + 1cca: 4b16 ldr r3, [pc, #88] ; (1d24 ) + 1ccc: 7b98 ldrb r0, [r3, #14] + 1cce: 2230 movs r2, #48 ; 0x30 + 1cd0: 4390 bics r0, r2 + 1cd2: 2220 movs r2, #32 + 1cd4: 4310 orrs r0, r2 + 1cd6: 7398 strb r0, [r3, #14] + DMAC->QOSCTRL.bit.FQOS = 2; + 1cd8: 7b99 ldrb r1, [r3, #14] + 1cda: 43b9 bics r1, r7 + 1cdc: 4331 orrs r1, r6 + 1cde: 7399 strb r1, [r3, #14] + DMAC->QOSCTRL.bit.WRBQOS = 2; + 1ce0: 7b9a ldrb r2, [r3, #14] + 1ce2: 43aa bics r2, r5 + 1ce4: 4322 orrs r2, r4 + 1ce6: 739a strb r2, [r3, #14] + NVMCTRL->CTRLB.bit.MANW = 1; + 1ce8: 4a0f ldr r2, [pc, #60] ; (1d28 ) + 1cea: 6853 ldr r3, [r2, #4] + 1cec: 2180 movs r1, #128 ; 0x80 + 1cee: 430b orrs r3, r1 + 1cf0: 6053 str r3, [r2, #4] + __libc_init_array(); + 1cf2: 4b0e ldr r3, [pc, #56] ; (1d2c ) + 1cf4: 4798 blx r3 + main(); + 1cf6: 4b0e ldr r3, [pc, #56] ; (1d30 ) + 1cf8: 4798 blx r3 + 1cfa: e7fe b.n 1cfa + 1cfc: 00003960 .word 0x00003960 + 1d00: 20000000 .word 0x20000000 + 1d04: 2000007c .word 0x2000007c + 1d08: 20000004 .word 0x20000004 + 1d0c: 20000080 .word 0x20000080 + 1d10: 200002a0 .word 0x200002a0 + 1d14: e000ed00 .word 0xe000ed00 + 1d18: 00000000 .word 0x00000000 + 1d1c: 41007000 .word 0x41007000 + 1d20: 41005000 .word 0x41005000 + 1d24: 41004800 .word 0x41004800 + 1d28: 41004000 .word 0x41004000 + 1d2c: 00002681 .word 0x00002681 + 1d30: 00001d71 .word 0x00001d71 + +00001d34 <_sbrk>: +extern void _exit(int status); +extern void _kill(int pid, int sig); +extern int _getpid(void); + +extern caddr_t _sbrk(int incr) +{ + 1d34: 0003 movs r3, r0 + static unsigned char *heap = NULL; + unsigned char *prev_heap; + + if (heap == NULL) { + 1d36: 4a06 ldr r2, [pc, #24] ; (1d50 <_sbrk+0x1c>) + 1d38: 6812 ldr r2, [r2, #0] + 1d3a: 2a00 cmp r2, #0 + 1d3c: d004 beq.n 1d48 <_sbrk+0x14> + heap = (unsigned char *)&_end; + } + prev_heap = heap; + 1d3e: 4a04 ldr r2, [pc, #16] ; (1d50 <_sbrk+0x1c>) + 1d40: 6810 ldr r0, [r2, #0] + + heap += incr; + 1d42: 18c3 adds r3, r0, r3 + 1d44: 6013 str r3, [r2, #0] + + return (caddr_t) prev_heap; +} + 1d46: 4770 bx lr + heap = (unsigned char *)&_end; + 1d48: 4902 ldr r1, [pc, #8] ; (1d54 <_sbrk+0x20>) + 1d4a: 4a01 ldr r2, [pc, #4] ; (1d50 <_sbrk+0x1c>) + 1d4c: 6011 str r1, [r2, #0] + 1d4e: e7f6 b.n 1d3e <_sbrk+0xa> + 1d50: 200001cc .word 0x200001cc + 1d54: 200022a0 .word 0x200022a0 + +00001d58 <_close>: +} + +extern int _close(int file) +{ + return -1; +} + 1d58: 2001 movs r0, #1 + 1d5a: 4240 negs r0, r0 + 1d5c: 4770 bx lr + +00001d5e <_fstat>: + +extern int _fstat(int file, struct stat *st) +{ + st->st_mode = S_IFCHR; + 1d5e: 2380 movs r3, #128 ; 0x80 + 1d60: 019b lsls r3, r3, #6 + 1d62: 604b str r3, [r1, #4] + + return 0; +} + 1d64: 2000 movs r0, #0 + 1d66: 4770 bx lr + +00001d68 <_isatty>: + +extern int _isatty(int file) +{ + return 1; +} + 1d68: 2001 movs r0, #1 + 1d6a: 4770 bx lr + +00001d6c <_lseek>: + +extern int _lseek(int file, int ptr, int dir) +{ + return 0; +} + 1d6c: 2000 movs r0, #0 + 1d6e: 4770 bx lr + +00001d70
: + */ +#include +#include "pusart.h" +#include "padc.h" +int main (void) +{ + 1d70: b5f8 push {r3, r4, r5, r6, r7, lr} + system_init(); + 1d72: 4b12 ldr r3, [pc, #72] ; (1dbc ) + 1d74: 4798 blx r3 + delay_init(); + 1d76: 4b12 ldr r3, [pc, #72] ; (1dc0 ) + 1d78: 4798 blx r3 + + pusart_init(); + 1d7a: 4b12 ldr r3, [pc, #72] ; (1dc4 ) + 1d7c: 4798 blx r3 + padc_init(); + 1d7e: 4b12 ldr r3, [pc, #72] ; (1dc8 ) + 1d80: 4798 blx r3 + padc_start(); + 1d82: 4b12 ldr r3, [pc, #72] ; (1dcc ) + 1d84: 4798 blx r3 + + for (;;) + { + float voltage = padc_get_voltage(); + 1d86: 4f12 ldr r7, [pc, #72] ; (1dd0 ) + printf("Voltage: %d.%03d\r\n", (int)voltage, ((int)((voltage - (int)voltage) * 1000))); + 1d88: 4e12 ldr r6, [pc, #72] ; (1dd4 ) + float voltage = padc_get_voltage(); + 1d8a: 47b8 blx r7 + 1d8c: 1c05 adds r5, r0, #0 + printf("Voltage: %d.%03d\r\n", (int)voltage, ((int)((voltage - (int)voltage) * 1000))); + 1d8e: 47b0 blx r6 + 1d90: 0004 movs r4, r0 + 1d92: 4b11 ldr r3, [pc, #68] ; (1dd8 ) + 1d94: 4798 blx r3 + 1d96: 1c01 adds r1, r0, #0 + 1d98: 1c28 adds r0, r5, #0 + 1d9a: 4b10 ldr r3, [pc, #64] ; (1ddc ) + 1d9c: 4798 blx r3 + 1d9e: 4910 ldr r1, [pc, #64] ; (1de0 ) + 1da0: 4b10 ldr r3, [pc, #64] ; (1de4 ) + 1da2: 4798 blx r3 + 1da4: 47b0 blx r6 + 1da6: 0002 movs r2, r0 + 1da8: 0021 movs r1, r4 + 1daa: 480f ldr r0, [pc, #60] ; (1de8 ) + 1dac: 4b0f ldr r3, [pc, #60] ; (1dec ) + 1dae: 4798 blx r3 + delay_ms(500); + 1db0: 20fa movs r0, #250 ; 0xfa + 1db2: 0040 lsls r0, r0, #1 + 1db4: 4b0e ldr r3, [pc, #56] ; (1df0 ) + 1db6: 4798 blx r3 + 1db8: e7e7 b.n 1d8a + 1dba: 46c0 nop ; (mov r8, r8) + 1dbc: 00001c21 .word 0x00001c21 + 1dc0: 00001575 .word 0x00001575 + 1dc4: 00000e55 .word 0x00000e55 + 1dc8: 00000cb9 .word 0x00000cb9 + 1dcc: 00000d99 .word 0x00000d99 + 1dd0: 00000de9 .word 0x00000de9 + 1dd4: 000024d9 .word 0x000024d9 + 1dd8: 00002519 .word 0x00002519 + 1ddc: 000021a1 .word 0x000021a1 + 1de0: 447a0000 .word 0x447a0000 + 1de4: 00001f61 .word 0x00001f61 + 1de8: 00003854 .word 0x00003854 + 1dec: 000026ed .word 0x000026ed + 1df0: 000015b5 .word 0x000015b5 + +00001df4 <__udivsi3>: + 1df4: 2200 movs r2, #0 + 1df6: 0843 lsrs r3, r0, #1 + 1df8: 428b cmp r3, r1 + 1dfa: d374 bcc.n 1ee6 <__udivsi3+0xf2> + 1dfc: 0903 lsrs r3, r0, #4 + 1dfe: 428b cmp r3, r1 + 1e00: d35f bcc.n 1ec2 <__udivsi3+0xce> + 1e02: 0a03 lsrs r3, r0, #8 + 1e04: 428b cmp r3, r1 + 1e06: d344 bcc.n 1e92 <__udivsi3+0x9e> + 1e08: 0b03 lsrs r3, r0, #12 + 1e0a: 428b cmp r3, r1 + 1e0c: d328 bcc.n 1e60 <__udivsi3+0x6c> + 1e0e: 0c03 lsrs r3, r0, #16 + 1e10: 428b cmp r3, r1 + 1e12: d30d bcc.n 1e30 <__udivsi3+0x3c> + 1e14: 22ff movs r2, #255 ; 0xff + 1e16: 0209 lsls r1, r1, #8 + 1e18: ba12 rev r2, r2 + 1e1a: 0c03 lsrs r3, r0, #16 + 1e1c: 428b cmp r3, r1 + 1e1e: d302 bcc.n 1e26 <__udivsi3+0x32> + 1e20: 1212 asrs r2, r2, #8 + 1e22: 0209 lsls r1, r1, #8 + 1e24: d065 beq.n 1ef2 <__udivsi3+0xfe> + 1e26: 0b03 lsrs r3, r0, #12 + 1e28: 428b cmp r3, r1 + 1e2a: d319 bcc.n 1e60 <__udivsi3+0x6c> + 1e2c: e000 b.n 1e30 <__udivsi3+0x3c> + 1e2e: 0a09 lsrs r1, r1, #8 + 1e30: 0bc3 lsrs r3, r0, #15 + 1e32: 428b cmp r3, r1 + 1e34: d301 bcc.n 1e3a <__udivsi3+0x46> + 1e36: 03cb lsls r3, r1, #15 + 1e38: 1ac0 subs r0, r0, r3 + 1e3a: 4152 adcs r2, r2 + 1e3c: 0b83 lsrs r3, r0, #14 + 1e3e: 428b cmp r3, r1 + 1e40: d301 bcc.n 1e46 <__udivsi3+0x52> + 1e42: 038b lsls r3, r1, #14 + 1e44: 1ac0 subs r0, r0, r3 + 1e46: 4152 adcs r2, r2 + 1e48: 0b43 lsrs r3, r0, #13 + 1e4a: 428b cmp r3, r1 + 1e4c: d301 bcc.n 1e52 <__udivsi3+0x5e> + 1e4e: 034b lsls r3, r1, #13 + 1e50: 1ac0 subs r0, r0, r3 + 1e52: 4152 adcs r2, r2 + 1e54: 0b03 lsrs r3, r0, #12 + 1e56: 428b cmp r3, r1 + 1e58: d301 bcc.n 1e5e <__udivsi3+0x6a> + 1e5a: 030b lsls r3, r1, #12 + 1e5c: 1ac0 subs r0, r0, r3 + 1e5e: 4152 adcs r2, r2 + 1e60: 0ac3 lsrs r3, r0, #11 + 1e62: 428b cmp r3, r1 + 1e64: d301 bcc.n 1e6a <__udivsi3+0x76> + 1e66: 02cb lsls r3, r1, #11 + 1e68: 1ac0 subs r0, r0, r3 + 1e6a: 4152 adcs r2, r2 + 1e6c: 0a83 lsrs r3, r0, #10 + 1e6e: 428b cmp r3, r1 + 1e70: d301 bcc.n 1e76 <__udivsi3+0x82> + 1e72: 028b lsls r3, r1, #10 + 1e74: 1ac0 subs r0, r0, r3 + 1e76: 4152 adcs r2, r2 + 1e78: 0a43 lsrs r3, r0, #9 + 1e7a: 428b cmp r3, r1 + 1e7c: d301 bcc.n 1e82 <__udivsi3+0x8e> + 1e7e: 024b lsls r3, r1, #9 + 1e80: 1ac0 subs r0, r0, r3 + 1e82: 4152 adcs r2, r2 + 1e84: 0a03 lsrs r3, r0, #8 + 1e86: 428b cmp r3, r1 + 1e88: d301 bcc.n 1e8e <__udivsi3+0x9a> + 1e8a: 020b lsls r3, r1, #8 + 1e8c: 1ac0 subs r0, r0, r3 + 1e8e: 4152 adcs r2, r2 + 1e90: d2cd bcs.n 1e2e <__udivsi3+0x3a> + 1e92: 09c3 lsrs r3, r0, #7 + 1e94: 428b cmp r3, r1 + 1e96: d301 bcc.n 1e9c <__udivsi3+0xa8> + 1e98: 01cb lsls r3, r1, #7 + 1e9a: 1ac0 subs r0, r0, r3 + 1e9c: 4152 adcs r2, r2 + 1e9e: 0983 lsrs r3, r0, #6 + 1ea0: 428b cmp r3, r1 + 1ea2: d301 bcc.n 1ea8 <__udivsi3+0xb4> + 1ea4: 018b lsls r3, r1, #6 + 1ea6: 1ac0 subs r0, r0, r3 + 1ea8: 4152 adcs r2, r2 + 1eaa: 0943 lsrs r3, r0, #5 + 1eac: 428b cmp r3, r1 + 1eae: d301 bcc.n 1eb4 <__udivsi3+0xc0> + 1eb0: 014b lsls r3, r1, #5 + 1eb2: 1ac0 subs r0, r0, r3 + 1eb4: 4152 adcs r2, r2 + 1eb6: 0903 lsrs r3, r0, #4 + 1eb8: 428b cmp r3, r1 + 1eba: d301 bcc.n 1ec0 <__udivsi3+0xcc> + 1ebc: 010b lsls r3, r1, #4 + 1ebe: 1ac0 subs r0, r0, r3 + 1ec0: 4152 adcs r2, r2 + 1ec2: 08c3 lsrs r3, r0, #3 + 1ec4: 428b cmp r3, r1 + 1ec6: d301 bcc.n 1ecc <__udivsi3+0xd8> + 1ec8: 00cb lsls r3, r1, #3 + 1eca: 1ac0 subs r0, r0, r3 + 1ecc: 4152 adcs r2, r2 + 1ece: 0883 lsrs r3, r0, #2 + 1ed0: 428b cmp r3, r1 + 1ed2: d301 bcc.n 1ed8 <__udivsi3+0xe4> + 1ed4: 008b lsls r3, r1, #2 + 1ed6: 1ac0 subs r0, r0, r3 + 1ed8: 4152 adcs r2, r2 + 1eda: 0843 lsrs r3, r0, #1 + 1edc: 428b cmp r3, r1 + 1ede: d301 bcc.n 1ee4 <__udivsi3+0xf0> + 1ee0: 004b lsls r3, r1, #1 + 1ee2: 1ac0 subs r0, r0, r3 + 1ee4: 4152 adcs r2, r2 + 1ee6: 1a41 subs r1, r0, r1 + 1ee8: d200 bcs.n 1eec <__udivsi3+0xf8> + 1eea: 4601 mov r1, r0 + 1eec: 4152 adcs r2, r2 + 1eee: 4610 mov r0, r2 + 1ef0: 4770 bx lr + 1ef2: e7ff b.n 1ef4 <__udivsi3+0x100> + 1ef4: b501 push {r0, lr} + 1ef6: 2000 movs r0, #0 + 1ef8: f000 f806 bl 1f08 <__aeabi_idiv0> + 1efc: bd02 pop {r1, pc} + 1efe: 46c0 nop ; (mov r8, r8) + +00001f00 <__aeabi_uidivmod>: + 1f00: 2900 cmp r1, #0 + 1f02: d0f7 beq.n 1ef4 <__udivsi3+0x100> + 1f04: e776 b.n 1df4 <__udivsi3> + 1f06: 4770 bx lr + +00001f08 <__aeabi_idiv0>: + 1f08: 4770 bx lr + 1f0a: 46c0 nop ; (mov r8, r8) + +00001f0c <__aeabi_lmul>: + 1f0c: b5f0 push {r4, r5, r6, r7, lr} + 1f0e: 46ce mov lr, r9 + 1f10: 4647 mov r7, r8 + 1f12: 0415 lsls r5, r2, #16 + 1f14: 0c2d lsrs r5, r5, #16 + 1f16: 002e movs r6, r5 + 1f18: b580 push {r7, lr} + 1f1a: 0407 lsls r7, r0, #16 + 1f1c: 0c14 lsrs r4, r2, #16 + 1f1e: 0c3f lsrs r7, r7, #16 + 1f20: 4699 mov r9, r3 + 1f22: 0c03 lsrs r3, r0, #16 + 1f24: 437e muls r6, r7 + 1f26: 435d muls r5, r3 + 1f28: 4367 muls r7, r4 + 1f2a: 4363 muls r3, r4 + 1f2c: 197f adds r7, r7, r5 + 1f2e: 0c34 lsrs r4, r6, #16 + 1f30: 19e4 adds r4, r4, r7 + 1f32: 469c mov ip, r3 + 1f34: 42a5 cmp r5, r4 + 1f36: d903 bls.n 1f40 <__aeabi_lmul+0x34> + 1f38: 2380 movs r3, #128 ; 0x80 + 1f3a: 025b lsls r3, r3, #9 + 1f3c: 4698 mov r8, r3 + 1f3e: 44c4 add ip, r8 + 1f40: 464b mov r3, r9 + 1f42: 4351 muls r1, r2 + 1f44: 4343 muls r3, r0 + 1f46: 0436 lsls r6, r6, #16 + 1f48: 0c36 lsrs r6, r6, #16 + 1f4a: 0c25 lsrs r5, r4, #16 + 1f4c: 0424 lsls r4, r4, #16 + 1f4e: 4465 add r5, ip + 1f50: 19a4 adds r4, r4, r6 + 1f52: 1859 adds r1, r3, r1 + 1f54: 1949 adds r1, r1, r5 + 1f56: 0020 movs r0, r4 + 1f58: bc0c pop {r2, r3} + 1f5a: 4690 mov r8, r2 + 1f5c: 4699 mov r9, r3 + 1f5e: bdf0 pop {r4, r5, r6, r7, pc} + +00001f60 <__aeabi_fmul>: + 1f60: b5f8 push {r3, r4, r5, r6, r7, lr} + 1f62: 4657 mov r7, sl + 1f64: 464e mov r6, r9 + 1f66: 4645 mov r5, r8 + 1f68: 46de mov lr, fp + 1f6a: b5e0 push {r5, r6, r7, lr} + 1f6c: 0247 lsls r7, r0, #9 + 1f6e: 0046 lsls r6, r0, #1 + 1f70: 4688 mov r8, r1 + 1f72: 0a7f lsrs r7, r7, #9 + 1f74: 0e36 lsrs r6, r6, #24 + 1f76: 0fc4 lsrs r4, r0, #31 + 1f78: 2e00 cmp r6, #0 + 1f7a: d047 beq.n 200c + 1f7c: 2eff cmp r6, #255 ; 0xff + 1f7e: d024 beq.n 1fca <__aeabi_fmul+0x6a> + 1f80: 00fb lsls r3, r7, #3 + 1f82: 2780 movs r7, #128 ; 0x80 + 1f84: 04ff lsls r7, r7, #19 + 1f86: 431f orrs r7, r3 + 1f88: 2300 movs r3, #0 + 1f8a: 4699 mov r9, r3 + 1f8c: 469a mov sl, r3 + 1f8e: 3e7f subs r6, #127 ; 0x7f + 1f90: 4643 mov r3, r8 + 1f92: 025d lsls r5, r3, #9 + 1f94: 0058 lsls r0, r3, #1 + 1f96: 0fdb lsrs r3, r3, #31 + 1f98: 0a6d lsrs r5, r5, #9 + 1f9a: 0e00 lsrs r0, r0, #24 + 1f9c: 4698 mov r8, r3 + 1f9e: d043 beq.n 2028 + 1fa0: 28ff cmp r0, #255 ; 0xff + 1fa2: d03b beq.n 201c + 1fa4: 00eb lsls r3, r5, #3 + 1fa6: 2580 movs r5, #128 ; 0x80 + 1fa8: 2200 movs r2, #0 + 1faa: 04ed lsls r5, r5, #19 + 1fac: 431d orrs r5, r3 + 1fae: 387f subs r0, #127 ; 0x7f + 1fb0: 1836 adds r6, r6, r0 + 1fb2: 1c73 adds r3, r6, #1 + 1fb4: 4641 mov r1, r8 + 1fb6: 469b mov fp, r3 + 1fb8: 464b mov r3, r9 + 1fba: 4061 eors r1, r4 + 1fbc: 4313 orrs r3, r2 + 1fbe: 2b0f cmp r3, #15 + 1fc0: d864 bhi.n 208c + 1fc2: 4875 ldr r0, [pc, #468] ; (2198 ) + 1fc4: 009b lsls r3, r3, #2 + 1fc6: 58c3 ldr r3, [r0, r3] + 1fc8: 469f mov pc, r3 + 1fca: 2f00 cmp r7, #0 + 1fcc: d142 bne.n 2054 + 1fce: 2308 movs r3, #8 + 1fd0: 4699 mov r9, r3 + 1fd2: 3b06 subs r3, #6 + 1fd4: 26ff movs r6, #255 ; 0xff + 1fd6: 469a mov sl, r3 + 1fd8: e7da b.n 1f90 <__aeabi_fmul+0x30> + 1fda: 4641 mov r1, r8 + 1fdc: 2a02 cmp r2, #2 + 1fde: d028 beq.n 2032 + 1fe0: 2a03 cmp r2, #3 + 1fe2: d100 bne.n 1fe6 <__aeabi_fmul+0x86> + 1fe4: e0ce b.n 2184 + 1fe6: 2a01 cmp r2, #1 + 1fe8: d000 beq.n 1fec <__aeabi_fmul+0x8c> + 1fea: e0ac b.n 2146 + 1fec: 4011 ands r1, r2 + 1fee: 2000 movs r0, #0 + 1ff0: 2200 movs r2, #0 + 1ff2: b2cc uxtb r4, r1 + 1ff4: 0240 lsls r0, r0, #9 + 1ff6: 05d2 lsls r2, r2, #23 + 1ff8: 0a40 lsrs r0, r0, #9 + 1ffa: 07e4 lsls r4, r4, #31 + 1ffc: 4310 orrs r0, r2 + 1ffe: 4320 orrs r0, r4 + 2000: bc3c pop {r2, r3, r4, r5} + 2002: 4690 mov r8, r2 + 2004: 4699 mov r9, r3 + 2006: 46a2 mov sl, r4 + 2008: 46ab mov fp, r5 + 200a: bdf8 pop {r3, r4, r5, r6, r7, pc} + 200c: 2f00 cmp r7, #0 + 200e: d115 bne.n 203c + 2010: 2304 movs r3, #4 + 2012: 4699 mov r9, r3 + 2014: 3b03 subs r3, #3 + 2016: 2600 movs r6, #0 + 2018: 469a mov sl, r3 + 201a: e7b9 b.n 1f90 <__aeabi_fmul+0x30> + 201c: 20ff movs r0, #255 ; 0xff + 201e: 2202 movs r2, #2 + 2020: 2d00 cmp r5, #0 + 2022: d0c5 beq.n 1fb0 <__aeabi_fmul+0x50> + 2024: 2203 movs r2, #3 + 2026: e7c3 b.n 1fb0 <__aeabi_fmul+0x50> + 2028: 2d00 cmp r5, #0 + 202a: d119 bne.n 2060 + 202c: 2000 movs r0, #0 + 202e: 2201 movs r2, #1 + 2030: e7be b.n 1fb0 <__aeabi_fmul+0x50> + 2032: 2401 movs r4, #1 + 2034: 22ff movs r2, #255 ; 0xff + 2036: 400c ands r4, r1 + 2038: 2000 movs r0, #0 + 203a: e7db b.n 1ff4 <__aeabi_fmul+0x94> + 203c: 0038 movs r0, r7 + 203e: f000 fb01 bl 2644 <__clzsi2> + 2042: 2676 movs r6, #118 ; 0x76 + 2044: 1f43 subs r3, r0, #5 + 2046: 409f lsls r7, r3 + 2048: 2300 movs r3, #0 + 204a: 4276 negs r6, r6 + 204c: 1a36 subs r6, r6, r0 + 204e: 4699 mov r9, r3 + 2050: 469a mov sl, r3 + 2052: e79d b.n 1f90 <__aeabi_fmul+0x30> + 2054: 230c movs r3, #12 + 2056: 4699 mov r9, r3 + 2058: 3b09 subs r3, #9 + 205a: 26ff movs r6, #255 ; 0xff + 205c: 469a mov sl, r3 + 205e: e797 b.n 1f90 <__aeabi_fmul+0x30> + 2060: 0028 movs r0, r5 + 2062: f000 faef bl 2644 <__clzsi2> + 2066: 1f43 subs r3, r0, #5 + 2068: 409d lsls r5, r3 + 206a: 2376 movs r3, #118 ; 0x76 + 206c: 425b negs r3, r3 + 206e: 1a18 subs r0, r3, r0 + 2070: 2200 movs r2, #0 + 2072: e79d b.n 1fb0 <__aeabi_fmul+0x50> + 2074: 2080 movs r0, #128 ; 0x80 + 2076: 2400 movs r4, #0 + 2078: 03c0 lsls r0, r0, #15 + 207a: 22ff movs r2, #255 ; 0xff + 207c: e7ba b.n 1ff4 <__aeabi_fmul+0x94> + 207e: 003d movs r5, r7 + 2080: 4652 mov r2, sl + 2082: e7ab b.n 1fdc <__aeabi_fmul+0x7c> + 2084: 003d movs r5, r7 + 2086: 0021 movs r1, r4 + 2088: 4652 mov r2, sl + 208a: e7a7 b.n 1fdc <__aeabi_fmul+0x7c> + 208c: 0c3b lsrs r3, r7, #16 + 208e: 469c mov ip, r3 + 2090: 042a lsls r2, r5, #16 + 2092: 0c12 lsrs r2, r2, #16 + 2094: 0c2b lsrs r3, r5, #16 + 2096: 0014 movs r4, r2 + 2098: 4660 mov r0, ip + 209a: 4665 mov r5, ip + 209c: 043f lsls r7, r7, #16 + 209e: 0c3f lsrs r7, r7, #16 + 20a0: 437c muls r4, r7 + 20a2: 4342 muls r2, r0 + 20a4: 435d muls r5, r3 + 20a6: 437b muls r3, r7 + 20a8: 0c27 lsrs r7, r4, #16 + 20aa: 189b adds r3, r3, r2 + 20ac: 18ff adds r7, r7, r3 + 20ae: 42ba cmp r2, r7 + 20b0: d903 bls.n 20ba + 20b2: 2380 movs r3, #128 ; 0x80 + 20b4: 025b lsls r3, r3, #9 + 20b6: 469c mov ip, r3 + 20b8: 4465 add r5, ip + 20ba: 0424 lsls r4, r4, #16 + 20bc: 043a lsls r2, r7, #16 + 20be: 0c24 lsrs r4, r4, #16 + 20c0: 1912 adds r2, r2, r4 + 20c2: 0193 lsls r3, r2, #6 + 20c4: 1e5c subs r4, r3, #1 + 20c6: 41a3 sbcs r3, r4 + 20c8: 0c3f lsrs r7, r7, #16 + 20ca: 0e92 lsrs r2, r2, #26 + 20cc: 197d adds r5, r7, r5 + 20ce: 431a orrs r2, r3 + 20d0: 01ad lsls r5, r5, #6 + 20d2: 4315 orrs r5, r2 + 20d4: 012b lsls r3, r5, #4 + 20d6: d504 bpl.n 20e2 + 20d8: 2301 movs r3, #1 + 20da: 465e mov r6, fp + 20dc: 086a lsrs r2, r5, #1 + 20de: 401d ands r5, r3 + 20e0: 4315 orrs r5, r2 + 20e2: 0032 movs r2, r6 + 20e4: 327f adds r2, #127 ; 0x7f + 20e6: 2a00 cmp r2, #0 + 20e8: dd25 ble.n 2136 + 20ea: 076b lsls r3, r5, #29 + 20ec: d004 beq.n 20f8 + 20ee: 230f movs r3, #15 + 20f0: 402b ands r3, r5 + 20f2: 2b04 cmp r3, #4 + 20f4: d000 beq.n 20f8 + 20f6: 3504 adds r5, #4 + 20f8: 012b lsls r3, r5, #4 + 20fa: d503 bpl.n 2104 + 20fc: 0032 movs r2, r6 + 20fe: 4b27 ldr r3, [pc, #156] ; (219c ) + 2100: 3280 adds r2, #128 ; 0x80 + 2102: 401d ands r5, r3 + 2104: 2afe cmp r2, #254 ; 0xfe + 2106: dc94 bgt.n 2032 + 2108: 2401 movs r4, #1 + 210a: 01a8 lsls r0, r5, #6 + 210c: 0a40 lsrs r0, r0, #9 + 210e: b2d2 uxtb r2, r2 + 2110: 400c ands r4, r1 + 2112: e76f b.n 1ff4 <__aeabi_fmul+0x94> + 2114: 2080 movs r0, #128 ; 0x80 + 2116: 03c0 lsls r0, r0, #15 + 2118: 4207 tst r7, r0 + 211a: d007 beq.n 212c + 211c: 4205 tst r5, r0 + 211e: d105 bne.n 212c + 2120: 4328 orrs r0, r5 + 2122: 0240 lsls r0, r0, #9 + 2124: 0a40 lsrs r0, r0, #9 + 2126: 4644 mov r4, r8 + 2128: 22ff movs r2, #255 ; 0xff + 212a: e763 b.n 1ff4 <__aeabi_fmul+0x94> + 212c: 4338 orrs r0, r7 + 212e: 0240 lsls r0, r0, #9 + 2130: 0a40 lsrs r0, r0, #9 + 2132: 22ff movs r2, #255 ; 0xff + 2134: e75e b.n 1ff4 <__aeabi_fmul+0x94> + 2136: 2401 movs r4, #1 + 2138: 1aa3 subs r3, r4, r2 + 213a: 2b1b cmp r3, #27 + 213c: dd05 ble.n 214a + 213e: 400c ands r4, r1 + 2140: 2200 movs r2, #0 + 2142: 2000 movs r0, #0 + 2144: e756 b.n 1ff4 <__aeabi_fmul+0x94> + 2146: 465e mov r6, fp + 2148: e7cb b.n 20e2 + 214a: 002a movs r2, r5 + 214c: 2020 movs r0, #32 + 214e: 40da lsrs r2, r3 + 2150: 1ac3 subs r3, r0, r3 + 2152: 409d lsls r5, r3 + 2154: 002b movs r3, r5 + 2156: 1e5d subs r5, r3, #1 + 2158: 41ab sbcs r3, r5 + 215a: 4313 orrs r3, r2 + 215c: 075a lsls r2, r3, #29 + 215e: d004 beq.n 216a + 2160: 220f movs r2, #15 + 2162: 401a ands r2, r3 + 2164: 2a04 cmp r2, #4 + 2166: d000 beq.n 216a + 2168: 3304 adds r3, #4 + 216a: 015a lsls r2, r3, #5 + 216c: d504 bpl.n 2178 + 216e: 2401 movs r4, #1 + 2170: 2201 movs r2, #1 + 2172: 400c ands r4, r1 + 2174: 2000 movs r0, #0 + 2176: e73d b.n 1ff4 <__aeabi_fmul+0x94> + 2178: 2401 movs r4, #1 + 217a: 019b lsls r3, r3, #6 + 217c: 0a58 lsrs r0, r3, #9 + 217e: 400c ands r4, r1 + 2180: 2200 movs r2, #0 + 2182: e737 b.n 1ff4 <__aeabi_fmul+0x94> + 2184: 2080 movs r0, #128 ; 0x80 + 2186: 2401 movs r4, #1 + 2188: 03c0 lsls r0, r0, #15 + 218a: 4328 orrs r0, r5 + 218c: 0240 lsls r0, r0, #9 + 218e: 0a40 lsrs r0, r0, #9 + 2190: 400c ands r4, r1 + 2192: 22ff movs r2, #255 ; 0xff + 2194: e72e b.n 1ff4 <__aeabi_fmul+0x94> + 2196: 46c0 nop ; (mov r8, r8) + 2198: 00003868 .word 0x00003868 + 219c: f7ffffff .word 0xf7ffffff + +000021a0 <__aeabi_fsub>: + 21a0: b5f0 push {r4, r5, r6, r7, lr} + 21a2: 464f mov r7, r9 + 21a4: 46d6 mov lr, sl + 21a6: 4646 mov r6, r8 + 21a8: 0044 lsls r4, r0, #1 + 21aa: b5c0 push {r6, r7, lr} + 21ac: 0fc2 lsrs r2, r0, #31 + 21ae: 0247 lsls r7, r0, #9 + 21b0: 0248 lsls r0, r1, #9 + 21b2: 0a40 lsrs r0, r0, #9 + 21b4: 4684 mov ip, r0 + 21b6: 4666 mov r6, ip + 21b8: 0a7b lsrs r3, r7, #9 + 21ba: 0048 lsls r0, r1, #1 + 21bc: 0fc9 lsrs r1, r1, #31 + 21be: 469a mov sl, r3 + 21c0: 0e24 lsrs r4, r4, #24 + 21c2: 0015 movs r5, r2 + 21c4: 00db lsls r3, r3, #3 + 21c6: 0e00 lsrs r0, r0, #24 + 21c8: 4689 mov r9, r1 + 21ca: 00f6 lsls r6, r6, #3 + 21cc: 28ff cmp r0, #255 ; 0xff + 21ce: d100 bne.n 21d2 <__aeabi_fsub+0x32> + 21d0: e08f b.n 22f2 <__aeabi_fsub+0x152> + 21d2: 2101 movs r1, #1 + 21d4: 464f mov r7, r9 + 21d6: 404f eors r7, r1 + 21d8: 0039 movs r1, r7 + 21da: 4291 cmp r1, r2 + 21dc: d066 beq.n 22ac <__aeabi_fsub+0x10c> + 21de: 1a22 subs r2, r4, r0 + 21e0: 2a00 cmp r2, #0 + 21e2: dc00 bgt.n 21e6 <__aeabi_fsub+0x46> + 21e4: e09d b.n 2322 <__aeabi_fsub+0x182> + 21e6: 2800 cmp r0, #0 + 21e8: d13d bne.n 2266 <__aeabi_fsub+0xc6> + 21ea: 2e00 cmp r6, #0 + 21ec: d100 bne.n 21f0 <__aeabi_fsub+0x50> + 21ee: e08b b.n 2308 <__aeabi_fsub+0x168> + 21f0: 1e51 subs r1, r2, #1 + 21f2: 2900 cmp r1, #0 + 21f4: d000 beq.n 21f8 <__aeabi_fsub+0x58> + 21f6: e0b5 b.n 2364 <__aeabi_fsub+0x1c4> + 21f8: 2401 movs r4, #1 + 21fa: 1b9b subs r3, r3, r6 + 21fc: 015a lsls r2, r3, #5 + 21fe: d544 bpl.n 228a <__aeabi_fsub+0xea> + 2200: 019b lsls r3, r3, #6 + 2202: 099f lsrs r7, r3, #6 + 2204: 0038 movs r0, r7 + 2206: f000 fa1d bl 2644 <__clzsi2> + 220a: 3805 subs r0, #5 + 220c: 4087 lsls r7, r0 + 220e: 4284 cmp r4, r0 + 2210: dd00 ble.n 2214 <__aeabi_fsub+0x74> + 2212: e096 b.n 2342 <__aeabi_fsub+0x1a2> + 2214: 1b04 subs r4, r0, r4 + 2216: 003a movs r2, r7 + 2218: 2020 movs r0, #32 + 221a: 3401 adds r4, #1 + 221c: 40e2 lsrs r2, r4 + 221e: 1b04 subs r4, r0, r4 + 2220: 40a7 lsls r7, r4 + 2222: 003b movs r3, r7 + 2224: 1e5f subs r7, r3, #1 + 2226: 41bb sbcs r3, r7 + 2228: 2400 movs r4, #0 + 222a: 4313 orrs r3, r2 + 222c: 075a lsls r2, r3, #29 + 222e: d004 beq.n 223a <__aeabi_fsub+0x9a> + 2230: 220f movs r2, #15 + 2232: 401a ands r2, r3 + 2234: 2a04 cmp r2, #4 + 2236: d000 beq.n 223a <__aeabi_fsub+0x9a> + 2238: 3304 adds r3, #4 + 223a: 015a lsls r2, r3, #5 + 223c: d527 bpl.n 228e <__aeabi_fsub+0xee> + 223e: 3401 adds r4, #1 + 2240: 2cff cmp r4, #255 ; 0xff + 2242: d100 bne.n 2246 <__aeabi_fsub+0xa6> + 2244: e079 b.n 233a <__aeabi_fsub+0x19a> + 2246: 2201 movs r2, #1 + 2248: 019b lsls r3, r3, #6 + 224a: 0a5b lsrs r3, r3, #9 + 224c: b2e4 uxtb r4, r4 + 224e: 402a ands r2, r5 + 2250: 025b lsls r3, r3, #9 + 2252: 05e4 lsls r4, r4, #23 + 2254: 0a58 lsrs r0, r3, #9 + 2256: 07d2 lsls r2, r2, #31 + 2258: 4320 orrs r0, r4 + 225a: 4310 orrs r0, r2 + 225c: bc1c pop {r2, r3, r4} + 225e: 4690 mov r8, r2 + 2260: 4699 mov r9, r3 + 2262: 46a2 mov sl, r4 + 2264: bdf0 pop {r4, r5, r6, r7, pc} + 2266: 2cff cmp r4, #255 ; 0xff + 2268: d0e0 beq.n 222c <__aeabi_fsub+0x8c> + 226a: 2180 movs r1, #128 ; 0x80 + 226c: 04c9 lsls r1, r1, #19 + 226e: 430e orrs r6, r1 + 2270: 2a1b cmp r2, #27 + 2272: dc7b bgt.n 236c <__aeabi_fsub+0x1cc> + 2274: 0031 movs r1, r6 + 2276: 2020 movs r0, #32 + 2278: 40d1 lsrs r1, r2 + 227a: 1a82 subs r2, r0, r2 + 227c: 4096 lsls r6, r2 + 227e: 1e72 subs r2, r6, #1 + 2280: 4196 sbcs r6, r2 + 2282: 430e orrs r6, r1 + 2284: 1b9b subs r3, r3, r6 + 2286: 015a lsls r2, r3, #5 + 2288: d4ba bmi.n 2200 <__aeabi_fsub+0x60> + 228a: 075a lsls r2, r3, #29 + 228c: d1d0 bne.n 2230 <__aeabi_fsub+0x90> + 228e: 2201 movs r2, #1 + 2290: 08df lsrs r7, r3, #3 + 2292: 402a ands r2, r5 + 2294: 2cff cmp r4, #255 ; 0xff + 2296: d133 bne.n 2300 <__aeabi_fsub+0x160> + 2298: 2f00 cmp r7, #0 + 229a: d100 bne.n 229e <__aeabi_fsub+0xfe> + 229c: e0a8 b.n 23f0 <__aeabi_fsub+0x250> + 229e: 2380 movs r3, #128 ; 0x80 + 22a0: 03db lsls r3, r3, #15 + 22a2: 433b orrs r3, r7 + 22a4: 025b lsls r3, r3, #9 + 22a6: 0a5b lsrs r3, r3, #9 + 22a8: 24ff movs r4, #255 ; 0xff + 22aa: e7d1 b.n 2250 <__aeabi_fsub+0xb0> + 22ac: 1a21 subs r1, r4, r0 + 22ae: 2900 cmp r1, #0 + 22b0: dd4c ble.n 234c <__aeabi_fsub+0x1ac> + 22b2: 2800 cmp r0, #0 + 22b4: d02a beq.n 230c <__aeabi_fsub+0x16c> + 22b6: 2cff cmp r4, #255 ; 0xff + 22b8: d0b8 beq.n 222c <__aeabi_fsub+0x8c> + 22ba: 2080 movs r0, #128 ; 0x80 + 22bc: 04c0 lsls r0, r0, #19 + 22be: 4306 orrs r6, r0 + 22c0: 291b cmp r1, #27 + 22c2: dd00 ble.n 22c6 <__aeabi_fsub+0x126> + 22c4: e0af b.n 2426 <__aeabi_fsub+0x286> + 22c6: 0030 movs r0, r6 + 22c8: 2720 movs r7, #32 + 22ca: 40c8 lsrs r0, r1 + 22cc: 1a79 subs r1, r7, r1 + 22ce: 408e lsls r6, r1 + 22d0: 1e71 subs r1, r6, #1 + 22d2: 418e sbcs r6, r1 + 22d4: 4306 orrs r6, r0 + 22d6: 199b adds r3, r3, r6 + 22d8: 0159 lsls r1, r3, #5 + 22da: d5d6 bpl.n 228a <__aeabi_fsub+0xea> + 22dc: 3401 adds r4, #1 + 22de: 2cff cmp r4, #255 ; 0xff + 22e0: d100 bne.n 22e4 <__aeabi_fsub+0x144> + 22e2: e085 b.n 23f0 <__aeabi_fsub+0x250> + 22e4: 2201 movs r2, #1 + 22e6: 497a ldr r1, [pc, #488] ; (24d0 <__aeabi_fsub+0x330>) + 22e8: 401a ands r2, r3 + 22ea: 085b lsrs r3, r3, #1 + 22ec: 400b ands r3, r1 + 22ee: 4313 orrs r3, r2 + 22f0: e79c b.n 222c <__aeabi_fsub+0x8c> + 22f2: 2e00 cmp r6, #0 + 22f4: d000 beq.n 22f8 <__aeabi_fsub+0x158> + 22f6: e770 b.n 21da <__aeabi_fsub+0x3a> + 22f8: e76b b.n 21d2 <__aeabi_fsub+0x32> + 22fa: 1e3b subs r3, r7, #0 + 22fc: d1c5 bne.n 228a <__aeabi_fsub+0xea> + 22fe: 2200 movs r2, #0 + 2300: 027b lsls r3, r7, #9 + 2302: 0a5b lsrs r3, r3, #9 + 2304: b2e4 uxtb r4, r4 + 2306: e7a3 b.n 2250 <__aeabi_fsub+0xb0> + 2308: 0014 movs r4, r2 + 230a: e78f b.n 222c <__aeabi_fsub+0x8c> + 230c: 2e00 cmp r6, #0 + 230e: d04d beq.n 23ac <__aeabi_fsub+0x20c> + 2310: 1e48 subs r0, r1, #1 + 2312: 2800 cmp r0, #0 + 2314: d157 bne.n 23c6 <__aeabi_fsub+0x226> + 2316: 199b adds r3, r3, r6 + 2318: 2401 movs r4, #1 + 231a: 015a lsls r2, r3, #5 + 231c: d5b5 bpl.n 228a <__aeabi_fsub+0xea> + 231e: 2402 movs r4, #2 + 2320: e7e0 b.n 22e4 <__aeabi_fsub+0x144> + 2322: 2a00 cmp r2, #0 + 2324: d125 bne.n 2372 <__aeabi_fsub+0x1d2> + 2326: 1c62 adds r2, r4, #1 + 2328: b2d2 uxtb r2, r2 + 232a: 2a01 cmp r2, #1 + 232c: dd72 ble.n 2414 <__aeabi_fsub+0x274> + 232e: 1b9f subs r7, r3, r6 + 2330: 017a lsls r2, r7, #5 + 2332: d535 bpl.n 23a0 <__aeabi_fsub+0x200> + 2334: 1af7 subs r7, r6, r3 + 2336: 000d movs r5, r1 + 2338: e764 b.n 2204 <__aeabi_fsub+0x64> + 233a: 2201 movs r2, #1 + 233c: 2300 movs r3, #0 + 233e: 402a ands r2, r5 + 2340: e786 b.n 2250 <__aeabi_fsub+0xb0> + 2342: 003b movs r3, r7 + 2344: 4a63 ldr r2, [pc, #396] ; (24d4 <__aeabi_fsub+0x334>) + 2346: 1a24 subs r4, r4, r0 + 2348: 4013 ands r3, r2 + 234a: e76f b.n 222c <__aeabi_fsub+0x8c> + 234c: 2900 cmp r1, #0 + 234e: d16c bne.n 242a <__aeabi_fsub+0x28a> + 2350: 1c61 adds r1, r4, #1 + 2352: b2c8 uxtb r0, r1 + 2354: 2801 cmp r0, #1 + 2356: dd4e ble.n 23f6 <__aeabi_fsub+0x256> + 2358: 29ff cmp r1, #255 ; 0xff + 235a: d049 beq.n 23f0 <__aeabi_fsub+0x250> + 235c: 199b adds r3, r3, r6 + 235e: 085b lsrs r3, r3, #1 + 2360: 000c movs r4, r1 + 2362: e763 b.n 222c <__aeabi_fsub+0x8c> + 2364: 2aff cmp r2, #255 ; 0xff + 2366: d041 beq.n 23ec <__aeabi_fsub+0x24c> + 2368: 000a movs r2, r1 + 236a: e781 b.n 2270 <__aeabi_fsub+0xd0> + 236c: 2601 movs r6, #1 + 236e: 1b9b subs r3, r3, r6 + 2370: e789 b.n 2286 <__aeabi_fsub+0xe6> + 2372: 2c00 cmp r4, #0 + 2374: d01c beq.n 23b0 <__aeabi_fsub+0x210> + 2376: 28ff cmp r0, #255 ; 0xff + 2378: d021 beq.n 23be <__aeabi_fsub+0x21e> + 237a: 2480 movs r4, #128 ; 0x80 + 237c: 04e4 lsls r4, r4, #19 + 237e: 4252 negs r2, r2 + 2380: 4323 orrs r3, r4 + 2382: 2a1b cmp r2, #27 + 2384: dd00 ble.n 2388 <__aeabi_fsub+0x1e8> + 2386: e096 b.n 24b6 <__aeabi_fsub+0x316> + 2388: 001c movs r4, r3 + 238a: 2520 movs r5, #32 + 238c: 40d4 lsrs r4, r2 + 238e: 1aaa subs r2, r5, r2 + 2390: 4093 lsls r3, r2 + 2392: 1e5a subs r2, r3, #1 + 2394: 4193 sbcs r3, r2 + 2396: 4323 orrs r3, r4 + 2398: 1af3 subs r3, r6, r3 + 239a: 0004 movs r4, r0 + 239c: 000d movs r5, r1 + 239e: e72d b.n 21fc <__aeabi_fsub+0x5c> + 23a0: 2f00 cmp r7, #0 + 23a2: d000 beq.n 23a6 <__aeabi_fsub+0x206> + 23a4: e72e b.n 2204 <__aeabi_fsub+0x64> + 23a6: 2200 movs r2, #0 + 23a8: 2400 movs r4, #0 + 23aa: e7a9 b.n 2300 <__aeabi_fsub+0x160> + 23ac: 000c movs r4, r1 + 23ae: e73d b.n 222c <__aeabi_fsub+0x8c> + 23b0: 2b00 cmp r3, #0 + 23b2: d058 beq.n 2466 <__aeabi_fsub+0x2c6> + 23b4: 43d2 mvns r2, r2 + 23b6: 2a00 cmp r2, #0 + 23b8: d0ee beq.n 2398 <__aeabi_fsub+0x1f8> + 23ba: 28ff cmp r0, #255 ; 0xff + 23bc: d1e1 bne.n 2382 <__aeabi_fsub+0x1e2> + 23be: 0033 movs r3, r6 + 23c0: 24ff movs r4, #255 ; 0xff + 23c2: 000d movs r5, r1 + 23c4: e732 b.n 222c <__aeabi_fsub+0x8c> + 23c6: 29ff cmp r1, #255 ; 0xff + 23c8: d010 beq.n 23ec <__aeabi_fsub+0x24c> + 23ca: 0001 movs r1, r0 + 23cc: e778 b.n 22c0 <__aeabi_fsub+0x120> + 23ce: 2b00 cmp r3, #0 + 23d0: d06e beq.n 24b0 <__aeabi_fsub+0x310> + 23d2: 24ff movs r4, #255 ; 0xff + 23d4: 2e00 cmp r6, #0 + 23d6: d100 bne.n 23da <__aeabi_fsub+0x23a> + 23d8: e728 b.n 222c <__aeabi_fsub+0x8c> + 23da: 2280 movs r2, #128 ; 0x80 + 23dc: 4651 mov r1, sl + 23de: 03d2 lsls r2, r2, #15 + 23e0: 4211 tst r1, r2 + 23e2: d003 beq.n 23ec <__aeabi_fsub+0x24c> + 23e4: 4661 mov r1, ip + 23e6: 4211 tst r1, r2 + 23e8: d100 bne.n 23ec <__aeabi_fsub+0x24c> + 23ea: 0033 movs r3, r6 + 23ec: 24ff movs r4, #255 ; 0xff + 23ee: e71d b.n 222c <__aeabi_fsub+0x8c> + 23f0: 24ff movs r4, #255 ; 0xff + 23f2: 2300 movs r3, #0 + 23f4: e72c b.n 2250 <__aeabi_fsub+0xb0> + 23f6: 2c00 cmp r4, #0 + 23f8: d1e9 bne.n 23ce <__aeabi_fsub+0x22e> + 23fa: 2b00 cmp r3, #0 + 23fc: d063 beq.n 24c6 <__aeabi_fsub+0x326> + 23fe: 2e00 cmp r6, #0 + 2400: d100 bne.n 2404 <__aeabi_fsub+0x264> + 2402: e713 b.n 222c <__aeabi_fsub+0x8c> + 2404: 199b adds r3, r3, r6 + 2406: 015a lsls r2, r3, #5 + 2408: d400 bmi.n 240c <__aeabi_fsub+0x26c> + 240a: e73e b.n 228a <__aeabi_fsub+0xea> + 240c: 4a31 ldr r2, [pc, #196] ; (24d4 <__aeabi_fsub+0x334>) + 240e: 000c movs r4, r1 + 2410: 4013 ands r3, r2 + 2412: e70b b.n 222c <__aeabi_fsub+0x8c> + 2414: 2c00 cmp r4, #0 + 2416: d11e bne.n 2456 <__aeabi_fsub+0x2b6> + 2418: 2b00 cmp r3, #0 + 241a: d12f bne.n 247c <__aeabi_fsub+0x2dc> + 241c: 2e00 cmp r6, #0 + 241e: d04f beq.n 24c0 <__aeabi_fsub+0x320> + 2420: 0033 movs r3, r6 + 2422: 000d movs r5, r1 + 2424: e702 b.n 222c <__aeabi_fsub+0x8c> + 2426: 2601 movs r6, #1 + 2428: e755 b.n 22d6 <__aeabi_fsub+0x136> + 242a: 2c00 cmp r4, #0 + 242c: d11f bne.n 246e <__aeabi_fsub+0x2ce> + 242e: 2b00 cmp r3, #0 + 2430: d043 beq.n 24ba <__aeabi_fsub+0x31a> + 2432: 43c9 mvns r1, r1 + 2434: 2900 cmp r1, #0 + 2436: d00b beq.n 2450 <__aeabi_fsub+0x2b0> + 2438: 28ff cmp r0, #255 ; 0xff + 243a: d039 beq.n 24b0 <__aeabi_fsub+0x310> + 243c: 291b cmp r1, #27 + 243e: dc44 bgt.n 24ca <__aeabi_fsub+0x32a> + 2440: 001c movs r4, r3 + 2442: 2720 movs r7, #32 + 2444: 40cc lsrs r4, r1 + 2446: 1a79 subs r1, r7, r1 + 2448: 408b lsls r3, r1 + 244a: 1e59 subs r1, r3, #1 + 244c: 418b sbcs r3, r1 + 244e: 4323 orrs r3, r4 + 2450: 199b adds r3, r3, r6 + 2452: 0004 movs r4, r0 + 2454: e740 b.n 22d8 <__aeabi_fsub+0x138> + 2456: 2b00 cmp r3, #0 + 2458: d11a bne.n 2490 <__aeabi_fsub+0x2f0> + 245a: 2e00 cmp r6, #0 + 245c: d124 bne.n 24a8 <__aeabi_fsub+0x308> + 245e: 2780 movs r7, #128 ; 0x80 + 2460: 2200 movs r2, #0 + 2462: 03ff lsls r7, r7, #15 + 2464: e71b b.n 229e <__aeabi_fsub+0xfe> + 2466: 0033 movs r3, r6 + 2468: 0004 movs r4, r0 + 246a: 000d movs r5, r1 + 246c: e6de b.n 222c <__aeabi_fsub+0x8c> + 246e: 28ff cmp r0, #255 ; 0xff + 2470: d01e beq.n 24b0 <__aeabi_fsub+0x310> + 2472: 2480 movs r4, #128 ; 0x80 + 2474: 04e4 lsls r4, r4, #19 + 2476: 4249 negs r1, r1 + 2478: 4323 orrs r3, r4 + 247a: e7df b.n 243c <__aeabi_fsub+0x29c> + 247c: 2e00 cmp r6, #0 + 247e: d100 bne.n 2482 <__aeabi_fsub+0x2e2> + 2480: e6d4 b.n 222c <__aeabi_fsub+0x8c> + 2482: 1b9f subs r7, r3, r6 + 2484: 017a lsls r2, r7, #5 + 2486: d400 bmi.n 248a <__aeabi_fsub+0x2ea> + 2488: e737 b.n 22fa <__aeabi_fsub+0x15a> + 248a: 1af3 subs r3, r6, r3 + 248c: 000d movs r5, r1 + 248e: e6cd b.n 222c <__aeabi_fsub+0x8c> + 2490: 24ff movs r4, #255 ; 0xff + 2492: 2e00 cmp r6, #0 + 2494: d100 bne.n 2498 <__aeabi_fsub+0x2f8> + 2496: e6c9 b.n 222c <__aeabi_fsub+0x8c> + 2498: 2280 movs r2, #128 ; 0x80 + 249a: 4650 mov r0, sl + 249c: 03d2 lsls r2, r2, #15 + 249e: 4210 tst r0, r2 + 24a0: d0a4 beq.n 23ec <__aeabi_fsub+0x24c> + 24a2: 4660 mov r0, ip + 24a4: 4210 tst r0, r2 + 24a6: d1a1 bne.n 23ec <__aeabi_fsub+0x24c> + 24a8: 0033 movs r3, r6 + 24aa: 000d movs r5, r1 + 24ac: 24ff movs r4, #255 ; 0xff + 24ae: e6bd b.n 222c <__aeabi_fsub+0x8c> + 24b0: 0033 movs r3, r6 + 24b2: 24ff movs r4, #255 ; 0xff + 24b4: e6ba b.n 222c <__aeabi_fsub+0x8c> + 24b6: 2301 movs r3, #1 + 24b8: e76e b.n 2398 <__aeabi_fsub+0x1f8> + 24ba: 0033 movs r3, r6 + 24bc: 0004 movs r4, r0 + 24be: e6b5 b.n 222c <__aeabi_fsub+0x8c> + 24c0: 2700 movs r7, #0 + 24c2: 2200 movs r2, #0 + 24c4: e71c b.n 2300 <__aeabi_fsub+0x160> + 24c6: 0033 movs r3, r6 + 24c8: e6b0 b.n 222c <__aeabi_fsub+0x8c> + 24ca: 2301 movs r3, #1 + 24cc: e7c0 b.n 2450 <__aeabi_fsub+0x2b0> + 24ce: 46c0 nop ; (mov r8, r8) + 24d0: 7dffffff .word 0x7dffffff + 24d4: fbffffff .word 0xfbffffff + +000024d8 <__aeabi_f2iz>: + 24d8: 0241 lsls r1, r0, #9 + 24da: 0043 lsls r3, r0, #1 + 24dc: 0fc2 lsrs r2, r0, #31 + 24de: 0a49 lsrs r1, r1, #9 + 24e0: 0e1b lsrs r3, r3, #24 + 24e2: 2000 movs r0, #0 + 24e4: 2b7e cmp r3, #126 ; 0x7e + 24e6: dd0d ble.n 2504 <__aeabi_f2iz+0x2c> + 24e8: 2b9d cmp r3, #157 ; 0x9d + 24ea: dc0c bgt.n 2506 <__aeabi_f2iz+0x2e> + 24ec: 2080 movs r0, #128 ; 0x80 + 24ee: 0400 lsls r0, r0, #16 + 24f0: 4301 orrs r1, r0 + 24f2: 2b95 cmp r3, #149 ; 0x95 + 24f4: dc0a bgt.n 250c <__aeabi_f2iz+0x34> + 24f6: 2096 movs r0, #150 ; 0x96 + 24f8: 1ac3 subs r3, r0, r3 + 24fa: 40d9 lsrs r1, r3 + 24fc: 4248 negs r0, r1 + 24fe: 2a00 cmp r2, #0 + 2500: d100 bne.n 2504 <__aeabi_f2iz+0x2c> + 2502: 0008 movs r0, r1 + 2504: 4770 bx lr + 2506: 4b03 ldr r3, [pc, #12] ; (2514 <__aeabi_f2iz+0x3c>) + 2508: 18d0 adds r0, r2, r3 + 250a: e7fb b.n 2504 <__aeabi_f2iz+0x2c> + 250c: 3b96 subs r3, #150 ; 0x96 + 250e: 4099 lsls r1, r3 + 2510: e7f4 b.n 24fc <__aeabi_f2iz+0x24> + 2512: 46c0 nop ; (mov r8, r8) + 2514: 7fffffff .word 0x7fffffff + +00002518 <__aeabi_i2f>: + 2518: b570 push {r4, r5, r6, lr} + 251a: 2800 cmp r0, #0 + 251c: d030 beq.n 2580 <__aeabi_i2f+0x68> + 251e: 17c3 asrs r3, r0, #31 + 2520: 18c4 adds r4, r0, r3 + 2522: 405c eors r4, r3 + 2524: 0fc5 lsrs r5, r0, #31 + 2526: 0020 movs r0, r4 + 2528: f000 f88c bl 2644 <__clzsi2> + 252c: 239e movs r3, #158 ; 0x9e + 252e: 1a1b subs r3, r3, r0 + 2530: 2b96 cmp r3, #150 ; 0x96 + 2532: dc0d bgt.n 2550 <__aeabi_i2f+0x38> + 2534: 2296 movs r2, #150 ; 0x96 + 2536: 1ad2 subs r2, r2, r3 + 2538: 4094 lsls r4, r2 + 253a: 002a movs r2, r5 + 253c: 0264 lsls r4, r4, #9 + 253e: 0a64 lsrs r4, r4, #9 + 2540: b2db uxtb r3, r3 + 2542: 0264 lsls r4, r4, #9 + 2544: 05db lsls r3, r3, #23 + 2546: 0a60 lsrs r0, r4, #9 + 2548: 07d2 lsls r2, r2, #31 + 254a: 4318 orrs r0, r3 + 254c: 4310 orrs r0, r2 + 254e: bd70 pop {r4, r5, r6, pc} + 2550: 2b99 cmp r3, #153 ; 0x99 + 2552: dc19 bgt.n 2588 <__aeabi_i2f+0x70> + 2554: 2299 movs r2, #153 ; 0x99 + 2556: 1ad2 subs r2, r2, r3 + 2558: 2a00 cmp r2, #0 + 255a: dd29 ble.n 25b0 <__aeabi_i2f+0x98> + 255c: 4094 lsls r4, r2 + 255e: 0022 movs r2, r4 + 2560: 4c14 ldr r4, [pc, #80] ; (25b4 <__aeabi_i2f+0x9c>) + 2562: 4014 ands r4, r2 + 2564: 0751 lsls r1, r2, #29 + 2566: d004 beq.n 2572 <__aeabi_i2f+0x5a> + 2568: 210f movs r1, #15 + 256a: 400a ands r2, r1 + 256c: 2a04 cmp r2, #4 + 256e: d000 beq.n 2572 <__aeabi_i2f+0x5a> + 2570: 3404 adds r4, #4 + 2572: 0162 lsls r2, r4, #5 + 2574: d413 bmi.n 259e <__aeabi_i2f+0x86> + 2576: 01a4 lsls r4, r4, #6 + 2578: 0a64 lsrs r4, r4, #9 + 257a: b2db uxtb r3, r3 + 257c: 002a movs r2, r5 + 257e: e7e0 b.n 2542 <__aeabi_i2f+0x2a> + 2580: 2200 movs r2, #0 + 2582: 2300 movs r3, #0 + 2584: 2400 movs r4, #0 + 2586: e7dc b.n 2542 <__aeabi_i2f+0x2a> + 2588: 2205 movs r2, #5 + 258a: 0021 movs r1, r4 + 258c: 1a12 subs r2, r2, r0 + 258e: 40d1 lsrs r1, r2 + 2590: 22b9 movs r2, #185 ; 0xb9 + 2592: 1ad2 subs r2, r2, r3 + 2594: 4094 lsls r4, r2 + 2596: 1e62 subs r2, r4, #1 + 2598: 4194 sbcs r4, r2 + 259a: 430c orrs r4, r1 + 259c: e7da b.n 2554 <__aeabi_i2f+0x3c> + 259e: 4b05 ldr r3, [pc, #20] ; (25b4 <__aeabi_i2f+0x9c>) + 25a0: 002a movs r2, r5 + 25a2: 401c ands r4, r3 + 25a4: 239f movs r3, #159 ; 0x9f + 25a6: 01a4 lsls r4, r4, #6 + 25a8: 1a1b subs r3, r3, r0 + 25aa: 0a64 lsrs r4, r4, #9 + 25ac: b2db uxtb r3, r3 + 25ae: e7c8 b.n 2542 <__aeabi_i2f+0x2a> + 25b0: 0022 movs r2, r4 + 25b2: e7d5 b.n 2560 <__aeabi_i2f+0x48> + 25b4: fbffffff .word 0xfbffffff + +000025b8 <__aeabi_ui2f>: + 25b8: b510 push {r4, lr} + 25ba: 1e04 subs r4, r0, #0 + 25bc: d027 beq.n 260e <__aeabi_ui2f+0x56> + 25be: f000 f841 bl 2644 <__clzsi2> + 25c2: 239e movs r3, #158 ; 0x9e + 25c4: 1a1b subs r3, r3, r0 + 25c6: 2b96 cmp r3, #150 ; 0x96 + 25c8: dc0a bgt.n 25e0 <__aeabi_ui2f+0x28> + 25ca: 2296 movs r2, #150 ; 0x96 + 25cc: 1ad2 subs r2, r2, r3 + 25ce: 4094 lsls r4, r2 + 25d0: 0264 lsls r4, r4, #9 + 25d2: 0a64 lsrs r4, r4, #9 + 25d4: b2db uxtb r3, r3 + 25d6: 0264 lsls r4, r4, #9 + 25d8: 05db lsls r3, r3, #23 + 25da: 0a60 lsrs r0, r4, #9 + 25dc: 4318 orrs r0, r3 + 25de: bd10 pop {r4, pc} + 25e0: 2b99 cmp r3, #153 ; 0x99 + 25e2: dc17 bgt.n 2614 <__aeabi_ui2f+0x5c> + 25e4: 2299 movs r2, #153 ; 0x99 + 25e6: 1ad2 subs r2, r2, r3 + 25e8: 2a00 cmp r2, #0 + 25ea: dd27 ble.n 263c <__aeabi_ui2f+0x84> + 25ec: 4094 lsls r4, r2 + 25ee: 0022 movs r2, r4 + 25f0: 4c13 ldr r4, [pc, #76] ; (2640 <__aeabi_ui2f+0x88>) + 25f2: 4014 ands r4, r2 + 25f4: 0751 lsls r1, r2, #29 + 25f6: d004 beq.n 2602 <__aeabi_ui2f+0x4a> + 25f8: 210f movs r1, #15 + 25fa: 400a ands r2, r1 + 25fc: 2a04 cmp r2, #4 + 25fe: d000 beq.n 2602 <__aeabi_ui2f+0x4a> + 2600: 3404 adds r4, #4 + 2602: 0162 lsls r2, r4, #5 + 2604: d412 bmi.n 262c <__aeabi_ui2f+0x74> + 2606: 01a4 lsls r4, r4, #6 + 2608: 0a64 lsrs r4, r4, #9 + 260a: b2db uxtb r3, r3 + 260c: e7e3 b.n 25d6 <__aeabi_ui2f+0x1e> + 260e: 2300 movs r3, #0 + 2610: 2400 movs r4, #0 + 2612: e7e0 b.n 25d6 <__aeabi_ui2f+0x1e> + 2614: 22b9 movs r2, #185 ; 0xb9 + 2616: 0021 movs r1, r4 + 2618: 1ad2 subs r2, r2, r3 + 261a: 4091 lsls r1, r2 + 261c: 000a movs r2, r1 + 261e: 1e51 subs r1, r2, #1 + 2620: 418a sbcs r2, r1 + 2622: 2105 movs r1, #5 + 2624: 1a09 subs r1, r1, r0 + 2626: 40cc lsrs r4, r1 + 2628: 4314 orrs r4, r2 + 262a: e7db b.n 25e4 <__aeabi_ui2f+0x2c> + 262c: 4b04 ldr r3, [pc, #16] ; (2640 <__aeabi_ui2f+0x88>) + 262e: 401c ands r4, r3 + 2630: 239f movs r3, #159 ; 0x9f + 2632: 01a4 lsls r4, r4, #6 + 2634: 1a1b subs r3, r3, r0 + 2636: 0a64 lsrs r4, r4, #9 + 2638: b2db uxtb r3, r3 + 263a: e7cc b.n 25d6 <__aeabi_ui2f+0x1e> + 263c: 0022 movs r2, r4 + 263e: e7d7 b.n 25f0 <__aeabi_ui2f+0x38> + 2640: fbffffff .word 0xfbffffff + +00002644 <__clzsi2>: + 2644: 211c movs r1, #28 + 2646: 2301 movs r3, #1 + 2648: 041b lsls r3, r3, #16 + 264a: 4298 cmp r0, r3 + 264c: d301 bcc.n 2652 <__clzsi2+0xe> + 264e: 0c00 lsrs r0, r0, #16 + 2650: 3910 subs r1, #16 + 2652: 0a1b lsrs r3, r3, #8 + 2654: 4298 cmp r0, r3 + 2656: d301 bcc.n 265c <__clzsi2+0x18> + 2658: 0a00 lsrs r0, r0, #8 + 265a: 3908 subs r1, #8 + 265c: 091b lsrs r3, r3, #4 + 265e: 4298 cmp r0, r3 + 2660: d301 bcc.n 2666 <__clzsi2+0x22> + 2662: 0900 lsrs r0, r0, #4 + 2664: 3904 subs r1, #4 + 2666: a202 add r2, pc, #8 ; (adr r2, 2670 <__clzsi2+0x2c>) + 2668: 5c10 ldrb r0, [r2, r0] + 266a: 1840 adds r0, r0, r1 + 266c: 4770 bx lr + 266e: 46c0 nop ; (mov r8, r8) + 2670: 02020304 .word 0x02020304 + 2674: 01010101 .word 0x01010101 + ... + +00002680 <__libc_init_array>: + 2680: b570 push {r4, r5, r6, lr} + 2682: 2600 movs r6, #0 + 2684: 4d0c ldr r5, [pc, #48] ; (26b8 <__libc_init_array+0x38>) + 2686: 4c0d ldr r4, [pc, #52] ; (26bc <__libc_init_array+0x3c>) + 2688: 1b64 subs r4, r4, r5 + 268a: 10a4 asrs r4, r4, #2 + 268c: 42a6 cmp r6, r4 + 268e: d109 bne.n 26a4 <__libc_init_array+0x24> + 2690: 2600 movs r6, #0 + 2692: f001 f955 bl 3940 <_init> + 2696: 4d0a ldr r5, [pc, #40] ; (26c0 <__libc_init_array+0x40>) + 2698: 4c0a ldr r4, [pc, #40] ; (26c4 <__libc_init_array+0x44>) + 269a: 1b64 subs r4, r4, r5 + 269c: 10a4 asrs r4, r4, #2 + 269e: 42a6 cmp r6, r4 + 26a0: d105 bne.n 26ae <__libc_init_array+0x2e> + 26a2: bd70 pop {r4, r5, r6, pc} + 26a4: 00b3 lsls r3, r6, #2 + 26a6: 58eb ldr r3, [r5, r3] + 26a8: 4798 blx r3 + 26aa: 3601 adds r6, #1 + 26ac: e7ee b.n 268c <__libc_init_array+0xc> + 26ae: 00b3 lsls r3, r6, #2 + 26b0: 58eb ldr r3, [r5, r3] + 26b2: 4798 blx r3 + 26b4: 3601 adds r6, #1 + 26b6: e7f2 b.n 269e <__libc_init_array+0x1e> + 26b8: 0000394c .word 0x0000394c + 26bc: 0000394c .word 0x0000394c + 26c0: 0000394c .word 0x0000394c + 26c4: 00003950 .word 0x00003950 + +000026c8 : + 26c8: 2300 movs r3, #0 + 26ca: b510 push {r4, lr} + 26cc: 429a cmp r2, r3 + 26ce: d100 bne.n 26d2 + 26d0: bd10 pop {r4, pc} + 26d2: 5ccc ldrb r4, [r1, r3] + 26d4: 54c4 strb r4, [r0, r3] + 26d6: 3301 adds r3, #1 + 26d8: e7f8 b.n 26cc + +000026da : + 26da: 0003 movs r3, r0 + 26dc: 1882 adds r2, r0, r2 + 26de: 4293 cmp r3, r2 + 26e0: d100 bne.n 26e4 + 26e2: 4770 bx lr + 26e4: 7019 strb r1, [r3, #0] + 26e6: 3301 adds r3, #1 + 26e8: e7f9 b.n 26de + ... + +000026ec : + 26ec: b40f push {r0, r1, r2, r3} + 26ee: 4b0b ldr r3, [pc, #44] ; (271c ) + 26f0: b513 push {r0, r1, r4, lr} + 26f2: 681c ldr r4, [r3, #0] + 26f4: 2c00 cmp r4, #0 + 26f6: d005 beq.n 2704 + 26f8: 69a3 ldr r3, [r4, #24] + 26fa: 2b00 cmp r3, #0 + 26fc: d102 bne.n 2704 + 26fe: 0020 movs r0, r4 + 2700: f000 f9bc bl 2a7c <__sinit> + 2704: ab05 add r3, sp, #20 + 2706: 9a04 ldr r2, [sp, #16] + 2708: 68a1 ldr r1, [r4, #8] + 270a: 0020 movs r0, r4 + 270c: 9301 str r3, [sp, #4] + 270e: f000 fb91 bl 2e34 <_vfiprintf_r> + 2712: bc16 pop {r1, r2, r4} + 2714: bc08 pop {r3} + 2716: b004 add sp, #16 + 2718: 4718 bx r3 + 271a: 46c0 nop ; (mov r8, r8) + 271c: 20000018 .word 0x20000018 + +00002720 : + 2720: 424a negs r2, r1 + 2722: 414a adcs r2, r1 + 2724: 2380 movs r3, #128 ; 0x80 + 2726: b510 push {r4, lr} + 2728: 0052 lsls r2, r2, #1 + 272a: 00db lsls r3, r3, #3 + 272c: f000 f802 bl 2734 + 2730: bd10 pop {r4, pc} + ... + +00002734 : + 2734: b5f0 push {r4, r5, r6, r7, lr} + 2736: 001d movs r5, r3 + 2738: 4b4f ldr r3, [pc, #316] ; (2878 ) + 273a: b085 sub sp, #20 + 273c: 681e ldr r6, [r3, #0] + 273e: 0004 movs r4, r0 + 2740: 000f movs r7, r1 + 2742: 9200 str r2, [sp, #0] + 2744: 2e00 cmp r6, #0 + 2746: d005 beq.n 2754 + 2748: 69b3 ldr r3, [r6, #24] + 274a: 2b00 cmp r3, #0 + 274c: d102 bne.n 2754 + 274e: 0030 movs r0, r6 + 2750: f000 f994 bl 2a7c <__sinit> + 2754: 4b49 ldr r3, [pc, #292] ; (287c ) + 2756: 429c cmp r4, r3 + 2758: d150 bne.n 27fc + 275a: 6874 ldr r4, [r6, #4] + 275c: 9b00 ldr r3, [sp, #0] + 275e: 2b02 cmp r3, #2 + 2760: d005 beq.n 276e + 2762: 2b01 cmp r3, #1 + 2764: d900 bls.n 2768 + 2766: e084 b.n 2872 + 2768: 2d00 cmp r5, #0 + 276a: da00 bge.n 276e + 276c: e081 b.n 2872 + 276e: 0021 movs r1, r4 + 2770: 0030 movs r0, r6 + 2772: f000 f915 bl 29a0 <_fflush_r> + 2776: 6b61 ldr r1, [r4, #52] ; 0x34 + 2778: 2900 cmp r1, #0 + 277a: d008 beq.n 278e + 277c: 0023 movs r3, r4 + 277e: 3344 adds r3, #68 ; 0x44 + 2780: 4299 cmp r1, r3 + 2782: d002 beq.n 278a + 2784: 0030 movs r0, r6 + 2786: f000 fa85 bl 2c94 <_free_r> + 278a: 2300 movs r3, #0 + 278c: 6363 str r3, [r4, #52] ; 0x34 + 278e: 2300 movs r3, #0 + 2790: 61a3 str r3, [r4, #24] + 2792: 6063 str r3, [r4, #4] + 2794: 89a3 ldrh r3, [r4, #12] + 2796: 061b lsls r3, r3, #24 + 2798: d503 bpl.n 27a2 + 279a: 6921 ldr r1, [r4, #16] + 279c: 0030 movs r0, r6 + 279e: f000 fa79 bl 2c94 <_free_r> + 27a2: 89a3 ldrh r3, [r4, #12] + 27a4: 4a36 ldr r2, [pc, #216] ; (2880 ) + 27a6: 4013 ands r3, r2 + 27a8: 81a3 strh r3, [r4, #12] + 27aa: 9b00 ldr r3, [sp, #0] + 27ac: 2b02 cmp r3, #2 + 27ae: d05a beq.n 2866 + 27b0: ab03 add r3, sp, #12 + 27b2: aa02 add r2, sp, #8 + 27b4: 0021 movs r1, r4 + 27b6: 0030 movs r0, r6 + 27b8: f000 f9f6 bl 2ba8 <__swhatbuf_r> + 27bc: 89a3 ldrh r3, [r4, #12] + 27be: 4318 orrs r0, r3 + 27c0: 81a0 strh r0, [r4, #12] + 27c2: 2d00 cmp r5, #0 + 27c4: d124 bne.n 2810 + 27c6: 9d02 ldr r5, [sp, #8] + 27c8: 0028 movs r0, r5 + 27ca: f000 fa59 bl 2c80 + 27ce: 9501 str r5, [sp, #4] + 27d0: 1e07 subs r7, r0, #0 + 27d2: d142 bne.n 285a + 27d4: 9b02 ldr r3, [sp, #8] + 27d6: 9301 str r3, [sp, #4] + 27d8: 42ab cmp r3, r5 + 27da: d139 bne.n 2850 + 27dc: 2001 movs r0, #1 + 27de: 4240 negs r0, r0 + 27e0: 2302 movs r3, #2 + 27e2: 89a2 ldrh r2, [r4, #12] + 27e4: 4313 orrs r3, r2 + 27e6: 81a3 strh r3, [r4, #12] + 27e8: 2300 movs r3, #0 + 27ea: 60a3 str r3, [r4, #8] + 27ec: 0023 movs r3, r4 + 27ee: 3347 adds r3, #71 ; 0x47 + 27f0: 6023 str r3, [r4, #0] + 27f2: 6123 str r3, [r4, #16] + 27f4: 2301 movs r3, #1 + 27f6: 6163 str r3, [r4, #20] + 27f8: b005 add sp, #20 + 27fa: bdf0 pop {r4, r5, r6, r7, pc} + 27fc: 4b21 ldr r3, [pc, #132] ; (2884 ) + 27fe: 429c cmp r4, r3 + 2800: d101 bne.n 2806 + 2802: 68b4 ldr r4, [r6, #8] + 2804: e7aa b.n 275c + 2806: 4b20 ldr r3, [pc, #128] ; (2888 ) + 2808: 429c cmp r4, r3 + 280a: d1a7 bne.n 275c + 280c: 68f4 ldr r4, [r6, #12] + 280e: e7a5 b.n 275c + 2810: 2f00 cmp r7, #0 + 2812: d0d9 beq.n 27c8 + 2814: 69b3 ldr r3, [r6, #24] + 2816: 2b00 cmp r3, #0 + 2818: d102 bne.n 2820 + 281a: 0030 movs r0, r6 + 281c: f000 f92e bl 2a7c <__sinit> + 2820: 9b00 ldr r3, [sp, #0] + 2822: 2b01 cmp r3, #1 + 2824: d103 bne.n 282e + 2826: 89a3 ldrh r3, [r4, #12] + 2828: 9a00 ldr r2, [sp, #0] + 282a: 431a orrs r2, r3 + 282c: 81a2 strh r2, [r4, #12] + 282e: 2008 movs r0, #8 + 2830: 89a3 ldrh r3, [r4, #12] + 2832: 6027 str r7, [r4, #0] + 2834: 6127 str r7, [r4, #16] + 2836: 6165 str r5, [r4, #20] + 2838: 4018 ands r0, r3 + 283a: d018 beq.n 286e + 283c: 2001 movs r0, #1 + 283e: 4018 ands r0, r3 + 2840: 2300 movs r3, #0 + 2842: 4298 cmp r0, r3 + 2844: d011 beq.n 286a + 2846: 426d negs r5, r5 + 2848: 60a3 str r3, [r4, #8] + 284a: 61a5 str r5, [r4, #24] + 284c: 0018 movs r0, r3 + 284e: e7d3 b.n 27f8 + 2850: 9801 ldr r0, [sp, #4] + 2852: f000 fa15 bl 2c80 + 2856: 1e07 subs r7, r0, #0 + 2858: d0c0 beq.n 27dc + 285a: 2380 movs r3, #128 ; 0x80 + 285c: 89a2 ldrh r2, [r4, #12] + 285e: 9d01 ldr r5, [sp, #4] + 2860: 4313 orrs r3, r2 + 2862: 81a3 strh r3, [r4, #12] + 2864: e7d6 b.n 2814 + 2866: 2000 movs r0, #0 + 2868: e7ba b.n 27e0 + 286a: 60a5 str r5, [r4, #8] + 286c: e7c4 b.n 27f8 + 286e: 60a0 str r0, [r4, #8] + 2870: e7c2 b.n 27f8 + 2872: 2001 movs r0, #1 + 2874: 4240 negs r0, r0 + 2876: e7bf b.n 27f8 + 2878: 20000018 .word 0x20000018 + 287c: 000038cc .word 0x000038cc + 2880: fffff35c .word 0xfffff35c + 2884: 000038ec .word 0x000038ec + 2888: 000038ac .word 0x000038ac + +0000288c <__sflush_r>: + 288c: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 288e: 898a ldrh r2, [r1, #12] + 2890: 0005 movs r5, r0 + 2892: 000c movs r4, r1 + 2894: 0713 lsls r3, r2, #28 + 2896: d460 bmi.n 295a <__sflush_r+0xce> + 2898: 684b ldr r3, [r1, #4] + 289a: 2b00 cmp r3, #0 + 289c: dc04 bgt.n 28a8 <__sflush_r+0x1c> + 289e: 6c0b ldr r3, [r1, #64] ; 0x40 + 28a0: 2b00 cmp r3, #0 + 28a2: dc01 bgt.n 28a8 <__sflush_r+0x1c> + 28a4: 2000 movs r0, #0 + 28a6: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 28a8: 6ae7 ldr r7, [r4, #44] ; 0x2c + 28aa: 2f00 cmp r7, #0 + 28ac: d0fa beq.n 28a4 <__sflush_r+0x18> + 28ae: 2300 movs r3, #0 + 28b0: 682e ldr r6, [r5, #0] + 28b2: 602b str r3, [r5, #0] + 28b4: 2380 movs r3, #128 ; 0x80 + 28b6: 015b lsls r3, r3, #5 + 28b8: 401a ands r2, r3 + 28ba: d034 beq.n 2926 <__sflush_r+0x9a> + 28bc: 6d60 ldr r0, [r4, #84] ; 0x54 + 28be: 89a3 ldrh r3, [r4, #12] + 28c0: 075b lsls r3, r3, #29 + 28c2: d506 bpl.n 28d2 <__sflush_r+0x46> + 28c4: 6863 ldr r3, [r4, #4] + 28c6: 1ac0 subs r0, r0, r3 + 28c8: 6b63 ldr r3, [r4, #52] ; 0x34 + 28ca: 2b00 cmp r3, #0 + 28cc: d001 beq.n 28d2 <__sflush_r+0x46> + 28ce: 6c23 ldr r3, [r4, #64] ; 0x40 + 28d0: 1ac0 subs r0, r0, r3 + 28d2: 0002 movs r2, r0 + 28d4: 6a21 ldr r1, [r4, #32] + 28d6: 2300 movs r3, #0 + 28d8: 0028 movs r0, r5 + 28da: 6ae7 ldr r7, [r4, #44] ; 0x2c + 28dc: 47b8 blx r7 + 28de: 89a1 ldrh r1, [r4, #12] + 28e0: 1c43 adds r3, r0, #1 + 28e2: d106 bne.n 28f2 <__sflush_r+0x66> + 28e4: 682b ldr r3, [r5, #0] + 28e6: 2b1d cmp r3, #29 + 28e8: d831 bhi.n 294e <__sflush_r+0xc2> + 28ea: 4a2c ldr r2, [pc, #176] ; (299c <__sflush_r+0x110>) + 28ec: 40da lsrs r2, r3 + 28ee: 07d3 lsls r3, r2, #31 + 28f0: d52d bpl.n 294e <__sflush_r+0xc2> + 28f2: 2300 movs r3, #0 + 28f4: 6063 str r3, [r4, #4] + 28f6: 6923 ldr r3, [r4, #16] + 28f8: 6023 str r3, [r4, #0] + 28fa: 04cb lsls r3, r1, #19 + 28fc: d505 bpl.n 290a <__sflush_r+0x7e> + 28fe: 1c43 adds r3, r0, #1 + 2900: d102 bne.n 2908 <__sflush_r+0x7c> + 2902: 682b ldr r3, [r5, #0] + 2904: 2b00 cmp r3, #0 + 2906: d100 bne.n 290a <__sflush_r+0x7e> + 2908: 6560 str r0, [r4, #84] ; 0x54 + 290a: 6b61 ldr r1, [r4, #52] ; 0x34 + 290c: 602e str r6, [r5, #0] + 290e: 2900 cmp r1, #0 + 2910: d0c8 beq.n 28a4 <__sflush_r+0x18> + 2912: 0023 movs r3, r4 + 2914: 3344 adds r3, #68 ; 0x44 + 2916: 4299 cmp r1, r3 + 2918: d002 beq.n 2920 <__sflush_r+0x94> + 291a: 0028 movs r0, r5 + 291c: f000 f9ba bl 2c94 <_free_r> + 2920: 2000 movs r0, #0 + 2922: 6360 str r0, [r4, #52] ; 0x34 + 2924: e7bf b.n 28a6 <__sflush_r+0x1a> + 2926: 2301 movs r3, #1 + 2928: 6a21 ldr r1, [r4, #32] + 292a: 0028 movs r0, r5 + 292c: 47b8 blx r7 + 292e: 1c43 adds r3, r0, #1 + 2930: d1c5 bne.n 28be <__sflush_r+0x32> + 2932: 682b ldr r3, [r5, #0] + 2934: 2b00 cmp r3, #0 + 2936: d0c2 beq.n 28be <__sflush_r+0x32> + 2938: 2b1d cmp r3, #29 + 293a: d001 beq.n 2940 <__sflush_r+0xb4> + 293c: 2b16 cmp r3, #22 + 293e: d101 bne.n 2944 <__sflush_r+0xb8> + 2940: 602e str r6, [r5, #0] + 2942: e7af b.n 28a4 <__sflush_r+0x18> + 2944: 2340 movs r3, #64 ; 0x40 + 2946: 89a2 ldrh r2, [r4, #12] + 2948: 4313 orrs r3, r2 + 294a: 81a3 strh r3, [r4, #12] + 294c: e7ab b.n 28a6 <__sflush_r+0x1a> + 294e: 2340 movs r3, #64 ; 0x40 + 2950: 430b orrs r3, r1 + 2952: 2001 movs r0, #1 + 2954: 81a3 strh r3, [r4, #12] + 2956: 4240 negs r0, r0 + 2958: e7a5 b.n 28a6 <__sflush_r+0x1a> + 295a: 690f ldr r7, [r1, #16] + 295c: 2f00 cmp r7, #0 + 295e: d0a1 beq.n 28a4 <__sflush_r+0x18> + 2960: 680b ldr r3, [r1, #0] + 2962: 600f str r7, [r1, #0] + 2964: 1bdb subs r3, r3, r7 + 2966: 9301 str r3, [sp, #4] + 2968: 2300 movs r3, #0 + 296a: 0792 lsls r2, r2, #30 + 296c: d100 bne.n 2970 <__sflush_r+0xe4> + 296e: 694b ldr r3, [r1, #20] + 2970: 60a3 str r3, [r4, #8] + 2972: 9b01 ldr r3, [sp, #4] + 2974: 2b00 cmp r3, #0 + 2976: dc00 bgt.n 297a <__sflush_r+0xee> + 2978: e794 b.n 28a4 <__sflush_r+0x18> + 297a: 9b01 ldr r3, [sp, #4] + 297c: 003a movs r2, r7 + 297e: 6a21 ldr r1, [r4, #32] + 2980: 0028 movs r0, r5 + 2982: 6aa6 ldr r6, [r4, #40] ; 0x28 + 2984: 47b0 blx r6 + 2986: 2800 cmp r0, #0 + 2988: dc03 bgt.n 2992 <__sflush_r+0x106> + 298a: 2340 movs r3, #64 ; 0x40 + 298c: 89a2 ldrh r2, [r4, #12] + 298e: 4313 orrs r3, r2 + 2990: e7df b.n 2952 <__sflush_r+0xc6> + 2992: 9b01 ldr r3, [sp, #4] + 2994: 183f adds r7, r7, r0 + 2996: 1a1b subs r3, r3, r0 + 2998: 9301 str r3, [sp, #4] + 299a: e7ea b.n 2972 <__sflush_r+0xe6> + 299c: 20400001 .word 0x20400001 + +000029a0 <_fflush_r>: + 29a0: 690b ldr r3, [r1, #16] + 29a2: b570 push {r4, r5, r6, lr} + 29a4: 0005 movs r5, r0 + 29a6: 000c movs r4, r1 + 29a8: 2b00 cmp r3, #0 + 29aa: d101 bne.n 29b0 <_fflush_r+0x10> + 29ac: 2000 movs r0, #0 + 29ae: bd70 pop {r4, r5, r6, pc} + 29b0: 2800 cmp r0, #0 + 29b2: d004 beq.n 29be <_fflush_r+0x1e> + 29b4: 6983 ldr r3, [r0, #24] + 29b6: 2b00 cmp r3, #0 + 29b8: d101 bne.n 29be <_fflush_r+0x1e> + 29ba: f000 f85f bl 2a7c <__sinit> + 29be: 4b0b ldr r3, [pc, #44] ; (29ec <_fflush_r+0x4c>) + 29c0: 429c cmp r4, r3 + 29c2: d109 bne.n 29d8 <_fflush_r+0x38> + 29c4: 686c ldr r4, [r5, #4] + 29c6: 220c movs r2, #12 + 29c8: 5ea3 ldrsh r3, [r4, r2] + 29ca: 2b00 cmp r3, #0 + 29cc: d0ee beq.n 29ac <_fflush_r+0xc> + 29ce: 0021 movs r1, r4 + 29d0: 0028 movs r0, r5 + 29d2: f7ff ff5b bl 288c <__sflush_r> + 29d6: e7ea b.n 29ae <_fflush_r+0xe> + 29d8: 4b05 ldr r3, [pc, #20] ; (29f0 <_fflush_r+0x50>) + 29da: 429c cmp r4, r3 + 29dc: d101 bne.n 29e2 <_fflush_r+0x42> + 29de: 68ac ldr r4, [r5, #8] + 29e0: e7f1 b.n 29c6 <_fflush_r+0x26> + 29e2: 4b04 ldr r3, [pc, #16] ; (29f4 <_fflush_r+0x54>) + 29e4: 429c cmp r4, r3 + 29e6: d1ee bne.n 29c6 <_fflush_r+0x26> + 29e8: 68ec ldr r4, [r5, #12] + 29ea: e7ec b.n 29c6 <_fflush_r+0x26> + 29ec: 000038cc .word 0x000038cc + 29f0: 000038ec .word 0x000038ec + 29f4: 000038ac .word 0x000038ac + +000029f8 <_cleanup_r>: + 29f8: b510 push {r4, lr} + 29fa: 4902 ldr r1, [pc, #8] ; (2a04 <_cleanup_r+0xc>) + 29fc: f000 f8b2 bl 2b64 <_fwalk_reent> + 2a00: bd10 pop {r4, pc} + 2a02: 46c0 nop ; (mov r8, r8) + 2a04: 000029a1 .word 0x000029a1 + +00002a08 : + 2a08: 2300 movs r3, #0 + 2a0a: b510 push {r4, lr} + 2a0c: 0004 movs r4, r0 + 2a0e: 6003 str r3, [r0, #0] + 2a10: 6043 str r3, [r0, #4] + 2a12: 6083 str r3, [r0, #8] + 2a14: 8181 strh r1, [r0, #12] + 2a16: 6643 str r3, [r0, #100] ; 0x64 + 2a18: 81c2 strh r2, [r0, #14] + 2a1a: 6103 str r3, [r0, #16] + 2a1c: 6143 str r3, [r0, #20] + 2a1e: 6183 str r3, [r0, #24] + 2a20: 0019 movs r1, r3 + 2a22: 2208 movs r2, #8 + 2a24: 305c adds r0, #92 ; 0x5c + 2a26: f7ff fe58 bl 26da + 2a2a: 4b05 ldr r3, [pc, #20] ; (2a40 ) + 2a2c: 6224 str r4, [r4, #32] + 2a2e: 6263 str r3, [r4, #36] ; 0x24 + 2a30: 4b04 ldr r3, [pc, #16] ; (2a44 ) + 2a32: 62a3 str r3, [r4, #40] ; 0x28 + 2a34: 4b04 ldr r3, [pc, #16] ; (2a48 ) + 2a36: 62e3 str r3, [r4, #44] ; 0x2c + 2a38: 4b04 ldr r3, [pc, #16] ; (2a4c ) + 2a3a: 6323 str r3, [r4, #48] ; 0x30 + 2a3c: bd10 pop {r4, pc} + 2a3e: 46c0 nop ; (mov r8, r8) + 2a40: 000033a1 .word 0x000033a1 + 2a44: 000033c9 .word 0x000033c9 + 2a48: 00003401 .word 0x00003401 + 2a4c: 0000342d .word 0x0000342d + +00002a50 <__sfmoreglue>: + 2a50: b570 push {r4, r5, r6, lr} + 2a52: 2568 movs r5, #104 ; 0x68 + 2a54: 1e4a subs r2, r1, #1 + 2a56: 4355 muls r5, r2 + 2a58: 000e movs r6, r1 + 2a5a: 0029 movs r1, r5 + 2a5c: 3174 adds r1, #116 ; 0x74 + 2a5e: f000 f963 bl 2d28 <_malloc_r> + 2a62: 1e04 subs r4, r0, #0 + 2a64: d008 beq.n 2a78 <__sfmoreglue+0x28> + 2a66: 2100 movs r1, #0 + 2a68: 002a movs r2, r5 + 2a6a: 6001 str r1, [r0, #0] + 2a6c: 6046 str r6, [r0, #4] + 2a6e: 300c adds r0, #12 + 2a70: 60a0 str r0, [r4, #8] + 2a72: 3268 adds r2, #104 ; 0x68 + 2a74: f7ff fe31 bl 26da + 2a78: 0020 movs r0, r4 + 2a7a: bd70 pop {r4, r5, r6, pc} + +00002a7c <__sinit>: + 2a7c: 6983 ldr r3, [r0, #24] + 2a7e: b513 push {r0, r1, r4, lr} + 2a80: 0004 movs r4, r0 + 2a82: 2b00 cmp r3, #0 + 2a84: d128 bne.n 2ad8 <__sinit+0x5c> + 2a86: 6483 str r3, [r0, #72] ; 0x48 + 2a88: 64c3 str r3, [r0, #76] ; 0x4c + 2a8a: 6503 str r3, [r0, #80] ; 0x50 + 2a8c: 4b13 ldr r3, [pc, #76] ; (2adc <__sinit+0x60>) + 2a8e: 4a14 ldr r2, [pc, #80] ; (2ae0 <__sinit+0x64>) + 2a90: 681b ldr r3, [r3, #0] + 2a92: 6282 str r2, [r0, #40] ; 0x28 + 2a94: 9301 str r3, [sp, #4] + 2a96: 4298 cmp r0, r3 + 2a98: d101 bne.n 2a9e <__sinit+0x22> + 2a9a: 2301 movs r3, #1 + 2a9c: 6183 str r3, [r0, #24] + 2a9e: 0020 movs r0, r4 + 2aa0: f000 f820 bl 2ae4 <__sfp> + 2aa4: 6060 str r0, [r4, #4] + 2aa6: 0020 movs r0, r4 + 2aa8: f000 f81c bl 2ae4 <__sfp> + 2aac: 60a0 str r0, [r4, #8] + 2aae: 0020 movs r0, r4 + 2ab0: f000 f818 bl 2ae4 <__sfp> + 2ab4: 2200 movs r2, #0 + 2ab6: 60e0 str r0, [r4, #12] + 2ab8: 2104 movs r1, #4 + 2aba: 6860 ldr r0, [r4, #4] + 2abc: f7ff ffa4 bl 2a08 + 2ac0: 2201 movs r2, #1 + 2ac2: 2109 movs r1, #9 + 2ac4: 68a0 ldr r0, [r4, #8] + 2ac6: f7ff ff9f bl 2a08 + 2aca: 2202 movs r2, #2 + 2acc: 2112 movs r1, #18 + 2ace: 68e0 ldr r0, [r4, #12] + 2ad0: f7ff ff9a bl 2a08 + 2ad4: 2301 movs r3, #1 + 2ad6: 61a3 str r3, [r4, #24] + 2ad8: bd13 pop {r0, r1, r4, pc} + 2ada: 46c0 nop ; (mov r8, r8) + 2adc: 000038a8 .word 0x000038a8 + 2ae0: 000029f9 .word 0x000029f9 + +00002ae4 <__sfp>: + 2ae4: b5f8 push {r3, r4, r5, r6, r7, lr} + 2ae6: 4b1e ldr r3, [pc, #120] ; (2b60 <__sfp+0x7c>) + 2ae8: 0007 movs r7, r0 + 2aea: 681e ldr r6, [r3, #0] + 2aec: 69b3 ldr r3, [r6, #24] + 2aee: 2b00 cmp r3, #0 + 2af0: d102 bne.n 2af8 <__sfp+0x14> + 2af2: 0030 movs r0, r6 + 2af4: f7ff ffc2 bl 2a7c <__sinit> + 2af8: 3648 adds r6, #72 ; 0x48 + 2afa: 68b4 ldr r4, [r6, #8] + 2afc: 6873 ldr r3, [r6, #4] + 2afe: 3b01 subs r3, #1 + 2b00: d504 bpl.n 2b0c <__sfp+0x28> + 2b02: 6833 ldr r3, [r6, #0] + 2b04: 2b00 cmp r3, #0 + 2b06: d007 beq.n 2b18 <__sfp+0x34> + 2b08: 6836 ldr r6, [r6, #0] + 2b0a: e7f6 b.n 2afa <__sfp+0x16> + 2b0c: 220c movs r2, #12 + 2b0e: 5ea5 ldrsh r5, [r4, r2] + 2b10: 2d00 cmp r5, #0 + 2b12: d00d beq.n 2b30 <__sfp+0x4c> + 2b14: 3468 adds r4, #104 ; 0x68 + 2b16: e7f2 b.n 2afe <__sfp+0x1a> + 2b18: 2104 movs r1, #4 + 2b1a: 0038 movs r0, r7 + 2b1c: f7ff ff98 bl 2a50 <__sfmoreglue> + 2b20: 6030 str r0, [r6, #0] + 2b22: 2800 cmp r0, #0 + 2b24: d1f0 bne.n 2b08 <__sfp+0x24> + 2b26: 230c movs r3, #12 + 2b28: 0004 movs r4, r0 + 2b2a: 603b str r3, [r7, #0] + 2b2c: 0020 movs r0, r4 + 2b2e: bdf8 pop {r3, r4, r5, r6, r7, pc} + 2b30: 2301 movs r3, #1 + 2b32: 0020 movs r0, r4 + 2b34: 425b negs r3, r3 + 2b36: 81e3 strh r3, [r4, #14] + 2b38: 3302 adds r3, #2 + 2b3a: 81a3 strh r3, [r4, #12] + 2b3c: 6665 str r5, [r4, #100] ; 0x64 + 2b3e: 6025 str r5, [r4, #0] + 2b40: 60a5 str r5, [r4, #8] + 2b42: 6065 str r5, [r4, #4] + 2b44: 6125 str r5, [r4, #16] + 2b46: 6165 str r5, [r4, #20] + 2b48: 61a5 str r5, [r4, #24] + 2b4a: 2208 movs r2, #8 + 2b4c: 0029 movs r1, r5 + 2b4e: 305c adds r0, #92 ; 0x5c + 2b50: f7ff fdc3 bl 26da + 2b54: 6365 str r5, [r4, #52] ; 0x34 + 2b56: 63a5 str r5, [r4, #56] ; 0x38 + 2b58: 64a5 str r5, [r4, #72] ; 0x48 + 2b5a: 64e5 str r5, [r4, #76] ; 0x4c + 2b5c: e7e6 b.n 2b2c <__sfp+0x48> + 2b5e: 46c0 nop ; (mov r8, r8) + 2b60: 000038a8 .word 0x000038a8 + +00002b64 <_fwalk_reent>: + 2b64: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 2b66: 0004 movs r4, r0 + 2b68: 0007 movs r7, r0 + 2b6a: 2600 movs r6, #0 + 2b6c: 9101 str r1, [sp, #4] + 2b6e: 3448 adds r4, #72 ; 0x48 + 2b70: 2c00 cmp r4, #0 + 2b72: d101 bne.n 2b78 <_fwalk_reent+0x14> + 2b74: 0030 movs r0, r6 + 2b76: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 2b78: 6863 ldr r3, [r4, #4] + 2b7a: 68a5 ldr r5, [r4, #8] + 2b7c: 9300 str r3, [sp, #0] + 2b7e: 9b00 ldr r3, [sp, #0] + 2b80: 3b01 subs r3, #1 + 2b82: 9300 str r3, [sp, #0] + 2b84: d501 bpl.n 2b8a <_fwalk_reent+0x26> + 2b86: 6824 ldr r4, [r4, #0] + 2b88: e7f2 b.n 2b70 <_fwalk_reent+0xc> + 2b8a: 89ab ldrh r3, [r5, #12] + 2b8c: 2b01 cmp r3, #1 + 2b8e: d908 bls.n 2ba2 <_fwalk_reent+0x3e> + 2b90: 220e movs r2, #14 + 2b92: 5eab ldrsh r3, [r5, r2] + 2b94: 3301 adds r3, #1 + 2b96: d004 beq.n 2ba2 <_fwalk_reent+0x3e> + 2b98: 0029 movs r1, r5 + 2b9a: 0038 movs r0, r7 + 2b9c: 9b01 ldr r3, [sp, #4] + 2b9e: 4798 blx r3 + 2ba0: 4306 orrs r6, r0 + 2ba2: 3568 adds r5, #104 ; 0x68 + 2ba4: e7eb b.n 2b7e <_fwalk_reent+0x1a> + ... + +00002ba8 <__swhatbuf_r>: + 2ba8: b570 push {r4, r5, r6, lr} + 2baa: 000e movs r6, r1 + 2bac: 001d movs r5, r3 + 2bae: 230e movs r3, #14 + 2bb0: 5ec9 ldrsh r1, [r1, r3] + 2bb2: b090 sub sp, #64 ; 0x40 + 2bb4: 0014 movs r4, r2 + 2bb6: 2900 cmp r1, #0 + 2bb8: da07 bge.n 2bca <__swhatbuf_r+0x22> + 2bba: 2300 movs r3, #0 + 2bbc: 602b str r3, [r5, #0] + 2bbe: 89b3 ldrh r3, [r6, #12] + 2bc0: 061b lsls r3, r3, #24 + 2bc2: d411 bmi.n 2be8 <__swhatbuf_r+0x40> + 2bc4: 2380 movs r3, #128 ; 0x80 + 2bc6: 00db lsls r3, r3, #3 + 2bc8: e00f b.n 2bea <__swhatbuf_r+0x42> + 2bca: aa01 add r2, sp, #4 + 2bcc: f000 fd26 bl 361c <_fstat_r> + 2bd0: 2800 cmp r0, #0 + 2bd2: dbf2 blt.n 2bba <__swhatbuf_r+0x12> + 2bd4: 22f0 movs r2, #240 ; 0xf0 + 2bd6: 9b02 ldr r3, [sp, #8] + 2bd8: 0212 lsls r2, r2, #8 + 2bda: 4013 ands r3, r2 + 2bdc: 4a05 ldr r2, [pc, #20] ; (2bf4 <__swhatbuf_r+0x4c>) + 2bde: 189b adds r3, r3, r2 + 2be0: 425a negs r2, r3 + 2be2: 4153 adcs r3, r2 + 2be4: 602b str r3, [r5, #0] + 2be6: e7ed b.n 2bc4 <__swhatbuf_r+0x1c> + 2be8: 2340 movs r3, #64 ; 0x40 + 2bea: 2000 movs r0, #0 + 2bec: 6023 str r3, [r4, #0] + 2bee: b010 add sp, #64 ; 0x40 + 2bf0: bd70 pop {r4, r5, r6, pc} + 2bf2: 46c0 nop ; (mov r8, r8) + 2bf4: ffffe000 .word 0xffffe000 + +00002bf8 <__smakebuf_r>: + 2bf8: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 2bfa: 2602 movs r6, #2 + 2bfc: 898b ldrh r3, [r1, #12] + 2bfe: 0005 movs r5, r0 + 2c00: 000c movs r4, r1 + 2c02: 4233 tst r3, r6 + 2c04: d006 beq.n 2c14 <__smakebuf_r+0x1c> + 2c06: 0023 movs r3, r4 + 2c08: 3347 adds r3, #71 ; 0x47 + 2c0a: 6023 str r3, [r4, #0] + 2c0c: 6123 str r3, [r4, #16] + 2c0e: 2301 movs r3, #1 + 2c10: 6163 str r3, [r4, #20] + 2c12: bdf7 pop {r0, r1, r2, r4, r5, r6, r7, pc} + 2c14: ab01 add r3, sp, #4 + 2c16: 466a mov r2, sp + 2c18: f7ff ffc6 bl 2ba8 <__swhatbuf_r> + 2c1c: 9900 ldr r1, [sp, #0] + 2c1e: 0007 movs r7, r0 + 2c20: 0028 movs r0, r5 + 2c22: f000 f881 bl 2d28 <_malloc_r> + 2c26: 2800 cmp r0, #0 + 2c28: d108 bne.n 2c3c <__smakebuf_r+0x44> + 2c2a: 220c movs r2, #12 + 2c2c: 5ea3 ldrsh r3, [r4, r2] + 2c2e: 059a lsls r2, r3, #22 + 2c30: d4ef bmi.n 2c12 <__smakebuf_r+0x1a> + 2c32: 2203 movs r2, #3 + 2c34: 4393 bics r3, r2 + 2c36: 431e orrs r6, r3 + 2c38: 81a6 strh r6, [r4, #12] + 2c3a: e7e4 b.n 2c06 <__smakebuf_r+0xe> + 2c3c: 4b0f ldr r3, [pc, #60] ; (2c7c <__smakebuf_r+0x84>) + 2c3e: 62ab str r3, [r5, #40] ; 0x28 + 2c40: 2380 movs r3, #128 ; 0x80 + 2c42: 89a2 ldrh r2, [r4, #12] + 2c44: 6020 str r0, [r4, #0] + 2c46: 4313 orrs r3, r2 + 2c48: 81a3 strh r3, [r4, #12] + 2c4a: 9b00 ldr r3, [sp, #0] + 2c4c: 6120 str r0, [r4, #16] + 2c4e: 6163 str r3, [r4, #20] + 2c50: 9b01 ldr r3, [sp, #4] + 2c52: 2b00 cmp r3, #0 + 2c54: d00d beq.n 2c72 <__smakebuf_r+0x7a> + 2c56: 230e movs r3, #14 + 2c58: 5ee1 ldrsh r1, [r4, r3] + 2c5a: 0028 movs r0, r5 + 2c5c: f000 fcf0 bl 3640 <_isatty_r> + 2c60: 2800 cmp r0, #0 + 2c62: d006 beq.n 2c72 <__smakebuf_r+0x7a> + 2c64: 2203 movs r2, #3 + 2c66: 89a3 ldrh r3, [r4, #12] + 2c68: 4393 bics r3, r2 + 2c6a: 001a movs r2, r3 + 2c6c: 2301 movs r3, #1 + 2c6e: 4313 orrs r3, r2 + 2c70: 81a3 strh r3, [r4, #12] + 2c72: 89a0 ldrh r0, [r4, #12] + 2c74: 4338 orrs r0, r7 + 2c76: 81a0 strh r0, [r4, #12] + 2c78: e7cb b.n 2c12 <__smakebuf_r+0x1a> + 2c7a: 46c0 nop ; (mov r8, r8) + 2c7c: 000029f9 .word 0x000029f9 + +00002c80 : + 2c80: b510 push {r4, lr} + 2c82: 4b03 ldr r3, [pc, #12] ; (2c90 ) + 2c84: 0001 movs r1, r0 + 2c86: 6818 ldr r0, [r3, #0] + 2c88: f000 f84e bl 2d28 <_malloc_r> + 2c8c: bd10 pop {r4, pc} + 2c8e: 46c0 nop ; (mov r8, r8) + 2c90: 20000018 .word 0x20000018 + +00002c94 <_free_r>: + 2c94: b570 push {r4, r5, r6, lr} + 2c96: 0005 movs r5, r0 + 2c98: 2900 cmp r1, #0 + 2c9a: d010 beq.n 2cbe <_free_r+0x2a> + 2c9c: 1f0c subs r4, r1, #4 + 2c9e: 6823 ldr r3, [r4, #0] + 2ca0: 2b00 cmp r3, #0 + 2ca2: da00 bge.n 2ca6 <_free_r+0x12> + 2ca4: 18e4 adds r4, r4, r3 + 2ca6: 0028 movs r0, r5 + 2ca8: f000 fcfb bl 36a2 <__malloc_lock> + 2cac: 4a1d ldr r2, [pc, #116] ; (2d24 <_free_r+0x90>) + 2cae: 6813 ldr r3, [r2, #0] + 2cb0: 2b00 cmp r3, #0 + 2cb2: d105 bne.n 2cc0 <_free_r+0x2c> + 2cb4: 6063 str r3, [r4, #4] + 2cb6: 6014 str r4, [r2, #0] + 2cb8: 0028 movs r0, r5 + 2cba: f000 fcf3 bl 36a4 <__malloc_unlock> + 2cbe: bd70 pop {r4, r5, r6, pc} + 2cc0: 42a3 cmp r3, r4 + 2cc2: d909 bls.n 2cd8 <_free_r+0x44> + 2cc4: 6821 ldr r1, [r4, #0] + 2cc6: 1860 adds r0, r4, r1 + 2cc8: 4283 cmp r3, r0 + 2cca: d1f3 bne.n 2cb4 <_free_r+0x20> + 2ccc: 6818 ldr r0, [r3, #0] + 2cce: 685b ldr r3, [r3, #4] + 2cd0: 1841 adds r1, r0, r1 + 2cd2: 6021 str r1, [r4, #0] + 2cd4: e7ee b.n 2cb4 <_free_r+0x20> + 2cd6: 0013 movs r3, r2 + 2cd8: 685a ldr r2, [r3, #4] + 2cda: 2a00 cmp r2, #0 + 2cdc: d001 beq.n 2ce2 <_free_r+0x4e> + 2cde: 42a2 cmp r2, r4 + 2ce0: d9f9 bls.n 2cd6 <_free_r+0x42> + 2ce2: 6819 ldr r1, [r3, #0] + 2ce4: 1858 adds r0, r3, r1 + 2ce6: 42a0 cmp r0, r4 + 2ce8: d10b bne.n 2d02 <_free_r+0x6e> + 2cea: 6820 ldr r0, [r4, #0] + 2cec: 1809 adds r1, r1, r0 + 2cee: 1858 adds r0, r3, r1 + 2cf0: 6019 str r1, [r3, #0] + 2cf2: 4282 cmp r2, r0 + 2cf4: d1e0 bne.n 2cb8 <_free_r+0x24> + 2cf6: 6810 ldr r0, [r2, #0] + 2cf8: 6852 ldr r2, [r2, #4] + 2cfa: 1841 adds r1, r0, r1 + 2cfc: 6019 str r1, [r3, #0] + 2cfe: 605a str r2, [r3, #4] + 2d00: e7da b.n 2cb8 <_free_r+0x24> + 2d02: 42a0 cmp r0, r4 + 2d04: d902 bls.n 2d0c <_free_r+0x78> + 2d06: 230c movs r3, #12 + 2d08: 602b str r3, [r5, #0] + 2d0a: e7d5 b.n 2cb8 <_free_r+0x24> + 2d0c: 6821 ldr r1, [r4, #0] + 2d0e: 1860 adds r0, r4, r1 + 2d10: 4282 cmp r2, r0 + 2d12: d103 bne.n 2d1c <_free_r+0x88> + 2d14: 6810 ldr r0, [r2, #0] + 2d16: 6852 ldr r2, [r2, #4] + 2d18: 1841 adds r1, r0, r1 + 2d1a: 6021 str r1, [r4, #0] + 2d1c: 6062 str r2, [r4, #4] + 2d1e: 605c str r4, [r3, #4] + 2d20: e7ca b.n 2cb8 <_free_r+0x24> + 2d22: 46c0 nop ; (mov r8, r8) + 2d24: 200001d0 .word 0x200001d0 + +00002d28 <_malloc_r>: + 2d28: 2303 movs r3, #3 + 2d2a: b570 push {r4, r5, r6, lr} + 2d2c: 1ccd adds r5, r1, #3 + 2d2e: 439d bics r5, r3 + 2d30: 3508 adds r5, #8 + 2d32: 0006 movs r6, r0 + 2d34: 2d0c cmp r5, #12 + 2d36: d21e bcs.n 2d76 <_malloc_r+0x4e> + 2d38: 250c movs r5, #12 + 2d3a: 42a9 cmp r1, r5 + 2d3c: d81d bhi.n 2d7a <_malloc_r+0x52> + 2d3e: 0030 movs r0, r6 + 2d40: f000 fcaf bl 36a2 <__malloc_lock> + 2d44: 4a25 ldr r2, [pc, #148] ; (2ddc <_malloc_r+0xb4>) + 2d46: 6814 ldr r4, [r2, #0] + 2d48: 0021 movs r1, r4 + 2d4a: 2900 cmp r1, #0 + 2d4c: d119 bne.n 2d82 <_malloc_r+0x5a> + 2d4e: 4c24 ldr r4, [pc, #144] ; (2de0 <_malloc_r+0xb8>) + 2d50: 6823 ldr r3, [r4, #0] + 2d52: 2b00 cmp r3, #0 + 2d54: d103 bne.n 2d5e <_malloc_r+0x36> + 2d56: 0030 movs r0, r6 + 2d58: f000 fb10 bl 337c <_sbrk_r> + 2d5c: 6020 str r0, [r4, #0] + 2d5e: 0029 movs r1, r5 + 2d60: 0030 movs r0, r6 + 2d62: f000 fb0b bl 337c <_sbrk_r> + 2d66: 1c43 adds r3, r0, #1 + 2d68: d12c bne.n 2dc4 <_malloc_r+0x9c> + 2d6a: 230c movs r3, #12 + 2d6c: 0030 movs r0, r6 + 2d6e: 6033 str r3, [r6, #0] + 2d70: f000 fc98 bl 36a4 <__malloc_unlock> + 2d74: e003 b.n 2d7e <_malloc_r+0x56> + 2d76: 2d00 cmp r5, #0 + 2d78: dadf bge.n 2d3a <_malloc_r+0x12> + 2d7a: 230c movs r3, #12 + 2d7c: 6033 str r3, [r6, #0] + 2d7e: 2000 movs r0, #0 + 2d80: bd70 pop {r4, r5, r6, pc} + 2d82: 680b ldr r3, [r1, #0] + 2d84: 1b5b subs r3, r3, r5 + 2d86: d41a bmi.n 2dbe <_malloc_r+0x96> + 2d88: 2b0b cmp r3, #11 + 2d8a: d903 bls.n 2d94 <_malloc_r+0x6c> + 2d8c: 600b str r3, [r1, #0] + 2d8e: 18cc adds r4, r1, r3 + 2d90: 6025 str r5, [r4, #0] + 2d92: e003 b.n 2d9c <_malloc_r+0x74> + 2d94: 428c cmp r4, r1 + 2d96: d10e bne.n 2db6 <_malloc_r+0x8e> + 2d98: 6863 ldr r3, [r4, #4] + 2d9a: 6013 str r3, [r2, #0] + 2d9c: 0030 movs r0, r6 + 2d9e: f000 fc81 bl 36a4 <__malloc_unlock> + 2da2: 0020 movs r0, r4 + 2da4: 2207 movs r2, #7 + 2da6: 300b adds r0, #11 + 2da8: 1d23 adds r3, r4, #4 + 2daa: 4390 bics r0, r2 + 2dac: 1ac3 subs r3, r0, r3 + 2dae: d0e7 beq.n 2d80 <_malloc_r+0x58> + 2db0: 425a negs r2, r3 + 2db2: 50e2 str r2, [r4, r3] + 2db4: e7e4 b.n 2d80 <_malloc_r+0x58> + 2db6: 684b ldr r3, [r1, #4] + 2db8: 6063 str r3, [r4, #4] + 2dba: 000c movs r4, r1 + 2dbc: e7ee b.n 2d9c <_malloc_r+0x74> + 2dbe: 000c movs r4, r1 + 2dc0: 6849 ldr r1, [r1, #4] + 2dc2: e7c2 b.n 2d4a <_malloc_r+0x22> + 2dc4: 2303 movs r3, #3 + 2dc6: 1cc4 adds r4, r0, #3 + 2dc8: 439c bics r4, r3 + 2dca: 42a0 cmp r0, r4 + 2dcc: d0e0 beq.n 2d90 <_malloc_r+0x68> + 2dce: 1a21 subs r1, r4, r0 + 2dd0: 0030 movs r0, r6 + 2dd2: f000 fad3 bl 337c <_sbrk_r> + 2dd6: 1c43 adds r3, r0, #1 + 2dd8: d1da bne.n 2d90 <_malloc_r+0x68> + 2dda: e7c6 b.n 2d6a <_malloc_r+0x42> + 2ddc: 200001d0 .word 0x200001d0 + 2de0: 200001d4 .word 0x200001d4 + +00002de4 <__sfputc_r>: + 2de4: 6893 ldr r3, [r2, #8] + 2de6: b510 push {r4, lr} + 2de8: 3b01 subs r3, #1 + 2dea: 6093 str r3, [r2, #8] + 2dec: 2b00 cmp r3, #0 + 2dee: da05 bge.n 2dfc <__sfputc_r+0x18> + 2df0: 6994 ldr r4, [r2, #24] + 2df2: 42a3 cmp r3, r4 + 2df4: db08 blt.n 2e08 <__sfputc_r+0x24> + 2df6: b2cb uxtb r3, r1 + 2df8: 2b0a cmp r3, #10 + 2dfa: d005 beq.n 2e08 <__sfputc_r+0x24> + 2dfc: 6813 ldr r3, [r2, #0] + 2dfe: 1c58 adds r0, r3, #1 + 2e00: 6010 str r0, [r2, #0] + 2e02: 7019 strb r1, [r3, #0] + 2e04: b2c8 uxtb r0, r1 + 2e06: bd10 pop {r4, pc} + 2e08: f000 fb16 bl 3438 <__swbuf_r> + 2e0c: e7fb b.n 2e06 <__sfputc_r+0x22> + +00002e0e <__sfputs_r>: + 2e0e: b5f8 push {r3, r4, r5, r6, r7, lr} + 2e10: 0006 movs r6, r0 + 2e12: 000f movs r7, r1 + 2e14: 0014 movs r4, r2 + 2e16: 18d5 adds r5, r2, r3 + 2e18: 42ac cmp r4, r5 + 2e1a: d101 bne.n 2e20 <__sfputs_r+0x12> + 2e1c: 2000 movs r0, #0 + 2e1e: e007 b.n 2e30 <__sfputs_r+0x22> + 2e20: 7821 ldrb r1, [r4, #0] + 2e22: 003a movs r2, r7 + 2e24: 0030 movs r0, r6 + 2e26: f7ff ffdd bl 2de4 <__sfputc_r> + 2e2a: 3401 adds r4, #1 + 2e2c: 1c43 adds r3, r0, #1 + 2e2e: d1f3 bne.n 2e18 <__sfputs_r+0xa> + 2e30: bdf8 pop {r3, r4, r5, r6, r7, pc} + ... + +00002e34 <_vfiprintf_r>: + 2e34: b5f0 push {r4, r5, r6, r7, lr} + 2e36: b09f sub sp, #124 ; 0x7c + 2e38: 0006 movs r6, r0 + 2e3a: 000f movs r7, r1 + 2e3c: 0014 movs r4, r2 + 2e3e: 9305 str r3, [sp, #20] + 2e40: 2800 cmp r0, #0 + 2e42: d004 beq.n 2e4e <_vfiprintf_r+0x1a> + 2e44: 6983 ldr r3, [r0, #24] + 2e46: 2b00 cmp r3, #0 + 2e48: d101 bne.n 2e4e <_vfiprintf_r+0x1a> + 2e4a: f7ff fe17 bl 2a7c <__sinit> + 2e4e: 4b7f ldr r3, [pc, #508] ; (304c <_vfiprintf_r+0x218>) + 2e50: 429f cmp r7, r3 + 2e52: d15c bne.n 2f0e <_vfiprintf_r+0xda> + 2e54: 6877 ldr r7, [r6, #4] + 2e56: 89bb ldrh r3, [r7, #12] + 2e58: 071b lsls r3, r3, #28 + 2e5a: d562 bpl.n 2f22 <_vfiprintf_r+0xee> + 2e5c: 693b ldr r3, [r7, #16] + 2e5e: 2b00 cmp r3, #0 + 2e60: d05f beq.n 2f22 <_vfiprintf_r+0xee> + 2e62: 2300 movs r3, #0 + 2e64: ad06 add r5, sp, #24 + 2e66: 616b str r3, [r5, #20] + 2e68: 3320 adds r3, #32 + 2e6a: 766b strb r3, [r5, #25] + 2e6c: 3310 adds r3, #16 + 2e6e: 76ab strb r3, [r5, #26] + 2e70: 9402 str r4, [sp, #8] + 2e72: 9c02 ldr r4, [sp, #8] + 2e74: 7823 ldrb r3, [r4, #0] + 2e76: 2b00 cmp r3, #0 + 2e78: d15d bne.n 2f36 <_vfiprintf_r+0x102> + 2e7a: 9b02 ldr r3, [sp, #8] + 2e7c: 1ae3 subs r3, r4, r3 + 2e7e: 9304 str r3, [sp, #16] + 2e80: d00d beq.n 2e9e <_vfiprintf_r+0x6a> + 2e82: 9b04 ldr r3, [sp, #16] + 2e84: 9a02 ldr r2, [sp, #8] + 2e86: 0039 movs r1, r7 + 2e88: 0030 movs r0, r6 + 2e8a: f7ff ffc0 bl 2e0e <__sfputs_r> + 2e8e: 1c43 adds r3, r0, #1 + 2e90: d100 bne.n 2e94 <_vfiprintf_r+0x60> + 2e92: e0cc b.n 302e <_vfiprintf_r+0x1fa> + 2e94: 696a ldr r2, [r5, #20] + 2e96: 9b04 ldr r3, [sp, #16] + 2e98: 4694 mov ip, r2 + 2e9a: 4463 add r3, ip + 2e9c: 616b str r3, [r5, #20] + 2e9e: 7823 ldrb r3, [r4, #0] + 2ea0: 2b00 cmp r3, #0 + 2ea2: d100 bne.n 2ea6 <_vfiprintf_r+0x72> + 2ea4: e0c3 b.n 302e <_vfiprintf_r+0x1fa> + 2ea6: 2201 movs r2, #1 + 2ea8: 2300 movs r3, #0 + 2eaa: 4252 negs r2, r2 + 2eac: 606a str r2, [r5, #4] + 2eae: a902 add r1, sp, #8 + 2eb0: 3254 adds r2, #84 ; 0x54 + 2eb2: 1852 adds r2, r2, r1 + 2eb4: 3401 adds r4, #1 + 2eb6: 602b str r3, [r5, #0] + 2eb8: 60eb str r3, [r5, #12] + 2eba: 60ab str r3, [r5, #8] + 2ebc: 7013 strb r3, [r2, #0] + 2ebe: 65ab str r3, [r5, #88] ; 0x58 + 2ec0: 7821 ldrb r1, [r4, #0] + 2ec2: 2205 movs r2, #5 + 2ec4: 4862 ldr r0, [pc, #392] ; (3050 <_vfiprintf_r+0x21c>) + 2ec6: f000 fbe1 bl 368c + 2eca: 1c63 adds r3, r4, #1 + 2ecc: 469c mov ip, r3 + 2ece: 2800 cmp r0, #0 + 2ed0: d135 bne.n 2f3e <_vfiprintf_r+0x10a> + 2ed2: 6829 ldr r1, [r5, #0] + 2ed4: 06cb lsls r3, r1, #27 + 2ed6: d504 bpl.n 2ee2 <_vfiprintf_r+0xae> + 2ed8: 2353 movs r3, #83 ; 0x53 + 2eda: aa02 add r2, sp, #8 + 2edc: 3020 adds r0, #32 + 2ede: 189b adds r3, r3, r2 + 2ee0: 7018 strb r0, [r3, #0] + 2ee2: 070b lsls r3, r1, #28 + 2ee4: d504 bpl.n 2ef0 <_vfiprintf_r+0xbc> + 2ee6: 2353 movs r3, #83 ; 0x53 + 2ee8: 202b movs r0, #43 ; 0x2b + 2eea: aa02 add r2, sp, #8 + 2eec: 189b adds r3, r3, r2 + 2eee: 7018 strb r0, [r3, #0] + 2ef0: 7823 ldrb r3, [r4, #0] + 2ef2: 2b2a cmp r3, #42 ; 0x2a + 2ef4: d02c beq.n 2f50 <_vfiprintf_r+0x11c> + 2ef6: 2000 movs r0, #0 + 2ef8: 210a movs r1, #10 + 2efa: 9b09 ldr r3, [sp, #36] ; 0x24 + 2efc: 7822 ldrb r2, [r4, #0] + 2efe: 3a30 subs r2, #48 ; 0x30 + 2f00: 2a09 cmp r2, #9 + 2f02: d800 bhi.n 2f06 <_vfiprintf_r+0xd2> + 2f04: e06b b.n 2fde <_vfiprintf_r+0x1aa> + 2f06: 2800 cmp r0, #0 + 2f08: d02a beq.n 2f60 <_vfiprintf_r+0x12c> + 2f0a: 9309 str r3, [sp, #36] ; 0x24 + 2f0c: e028 b.n 2f60 <_vfiprintf_r+0x12c> + 2f0e: 4b51 ldr r3, [pc, #324] ; (3054 <_vfiprintf_r+0x220>) + 2f10: 429f cmp r7, r3 + 2f12: d101 bne.n 2f18 <_vfiprintf_r+0xe4> + 2f14: 68b7 ldr r7, [r6, #8] + 2f16: e79e b.n 2e56 <_vfiprintf_r+0x22> + 2f18: 4b4f ldr r3, [pc, #316] ; (3058 <_vfiprintf_r+0x224>) + 2f1a: 429f cmp r7, r3 + 2f1c: d19b bne.n 2e56 <_vfiprintf_r+0x22> + 2f1e: 68f7 ldr r7, [r6, #12] + 2f20: e799 b.n 2e56 <_vfiprintf_r+0x22> + 2f22: 0039 movs r1, r7 + 2f24: 0030 movs r0, r6 + 2f26: f000 faf1 bl 350c <__swsetup_r> + 2f2a: 2800 cmp r0, #0 + 2f2c: d099 beq.n 2e62 <_vfiprintf_r+0x2e> + 2f2e: 2001 movs r0, #1 + 2f30: 4240 negs r0, r0 + 2f32: b01f add sp, #124 ; 0x7c + 2f34: bdf0 pop {r4, r5, r6, r7, pc} + 2f36: 2b25 cmp r3, #37 ; 0x25 + 2f38: d09f beq.n 2e7a <_vfiprintf_r+0x46> + 2f3a: 3401 adds r4, #1 + 2f3c: e79a b.n 2e74 <_vfiprintf_r+0x40> + 2f3e: 4b44 ldr r3, [pc, #272] ; (3050 <_vfiprintf_r+0x21c>) + 2f40: 6829 ldr r1, [r5, #0] + 2f42: 1ac0 subs r0, r0, r3 + 2f44: 2301 movs r3, #1 + 2f46: 4083 lsls r3, r0 + 2f48: 430b orrs r3, r1 + 2f4a: 602b str r3, [r5, #0] + 2f4c: 4664 mov r4, ip + 2f4e: e7b7 b.n 2ec0 <_vfiprintf_r+0x8c> + 2f50: 9b05 ldr r3, [sp, #20] + 2f52: 1d18 adds r0, r3, #4 + 2f54: 681b ldr r3, [r3, #0] + 2f56: 9005 str r0, [sp, #20] + 2f58: 2b00 cmp r3, #0 + 2f5a: db3a blt.n 2fd2 <_vfiprintf_r+0x19e> + 2f5c: 9309 str r3, [sp, #36] ; 0x24 + 2f5e: 4664 mov r4, ip + 2f60: 7823 ldrb r3, [r4, #0] + 2f62: 2b2e cmp r3, #46 ; 0x2e + 2f64: d10b bne.n 2f7e <_vfiprintf_r+0x14a> + 2f66: 7863 ldrb r3, [r4, #1] + 2f68: 1c62 adds r2, r4, #1 + 2f6a: 2b2a cmp r3, #42 ; 0x2a + 2f6c: d13f bne.n 2fee <_vfiprintf_r+0x1ba> + 2f6e: 9b05 ldr r3, [sp, #20] + 2f70: 3402 adds r4, #2 + 2f72: 1d1a adds r2, r3, #4 + 2f74: 681b ldr r3, [r3, #0] + 2f76: 9205 str r2, [sp, #20] + 2f78: 2b00 cmp r3, #0 + 2f7a: db35 blt.n 2fe8 <_vfiprintf_r+0x1b4> + 2f7c: 9307 str r3, [sp, #28] + 2f7e: 7821 ldrb r1, [r4, #0] + 2f80: 2203 movs r2, #3 + 2f82: 4836 ldr r0, [pc, #216] ; (305c <_vfiprintf_r+0x228>) + 2f84: f000 fb82 bl 368c + 2f88: 2800 cmp r0, #0 + 2f8a: d007 beq.n 2f9c <_vfiprintf_r+0x168> + 2f8c: 4b33 ldr r3, [pc, #204] ; (305c <_vfiprintf_r+0x228>) + 2f8e: 682a ldr r2, [r5, #0] + 2f90: 1ac0 subs r0, r0, r3 + 2f92: 2340 movs r3, #64 ; 0x40 + 2f94: 4083 lsls r3, r0 + 2f96: 4313 orrs r3, r2 + 2f98: 602b str r3, [r5, #0] + 2f9a: 3401 adds r4, #1 + 2f9c: 7821 ldrb r1, [r4, #0] + 2f9e: 1c63 adds r3, r4, #1 + 2fa0: 2206 movs r2, #6 + 2fa2: 482f ldr r0, [pc, #188] ; (3060 <_vfiprintf_r+0x22c>) + 2fa4: 9302 str r3, [sp, #8] + 2fa6: 7629 strb r1, [r5, #24] + 2fa8: f000 fb70 bl 368c + 2fac: 2800 cmp r0, #0 + 2fae: d044 beq.n 303a <_vfiprintf_r+0x206> + 2fb0: 4b2c ldr r3, [pc, #176] ; (3064 <_vfiprintf_r+0x230>) + 2fb2: 2b00 cmp r3, #0 + 2fb4: d12f bne.n 3016 <_vfiprintf_r+0x1e2> + 2fb6: 6829 ldr r1, [r5, #0] + 2fb8: 9b05 ldr r3, [sp, #20] + 2fba: 2207 movs r2, #7 + 2fbc: 05c9 lsls r1, r1, #23 + 2fbe: d528 bpl.n 3012 <_vfiprintf_r+0x1de> + 2fc0: 189b adds r3, r3, r2 + 2fc2: 4393 bics r3, r2 + 2fc4: 3308 adds r3, #8 + 2fc6: 9305 str r3, [sp, #20] + 2fc8: 696b ldr r3, [r5, #20] + 2fca: 9a03 ldr r2, [sp, #12] + 2fcc: 189b adds r3, r3, r2 + 2fce: 616b str r3, [r5, #20] + 2fd0: e74f b.n 2e72 <_vfiprintf_r+0x3e> + 2fd2: 425b negs r3, r3 + 2fd4: 60eb str r3, [r5, #12] + 2fd6: 2302 movs r3, #2 + 2fd8: 430b orrs r3, r1 + 2fda: 602b str r3, [r5, #0] + 2fdc: e7bf b.n 2f5e <_vfiprintf_r+0x12a> + 2fde: 434b muls r3, r1 + 2fe0: 3401 adds r4, #1 + 2fe2: 189b adds r3, r3, r2 + 2fe4: 2001 movs r0, #1 + 2fe6: e789 b.n 2efc <_vfiprintf_r+0xc8> + 2fe8: 2301 movs r3, #1 + 2fea: 425b negs r3, r3 + 2fec: e7c6 b.n 2f7c <_vfiprintf_r+0x148> + 2fee: 2300 movs r3, #0 + 2ff0: 0014 movs r4, r2 + 2ff2: 200a movs r0, #10 + 2ff4: 001a movs r2, r3 + 2ff6: 606b str r3, [r5, #4] + 2ff8: 7821 ldrb r1, [r4, #0] + 2ffa: 3930 subs r1, #48 ; 0x30 + 2ffc: 2909 cmp r1, #9 + 2ffe: d903 bls.n 3008 <_vfiprintf_r+0x1d4> + 3000: 2b00 cmp r3, #0 + 3002: d0bc beq.n 2f7e <_vfiprintf_r+0x14a> + 3004: 9207 str r2, [sp, #28] + 3006: e7ba b.n 2f7e <_vfiprintf_r+0x14a> + 3008: 4342 muls r2, r0 + 300a: 3401 adds r4, #1 + 300c: 1852 adds r2, r2, r1 + 300e: 2301 movs r3, #1 + 3010: e7f2 b.n 2ff8 <_vfiprintf_r+0x1c4> + 3012: 3307 adds r3, #7 + 3014: e7d5 b.n 2fc2 <_vfiprintf_r+0x18e> + 3016: ab05 add r3, sp, #20 + 3018: 9300 str r3, [sp, #0] + 301a: 003a movs r2, r7 + 301c: 4b12 ldr r3, [pc, #72] ; (3068 <_vfiprintf_r+0x234>) + 301e: 0029 movs r1, r5 + 3020: 0030 movs r0, r6 + 3022: e000 b.n 3026 <_vfiprintf_r+0x1f2> + 3024: bf00 nop + 3026: 9003 str r0, [sp, #12] + 3028: 9b03 ldr r3, [sp, #12] + 302a: 3301 adds r3, #1 + 302c: d1cc bne.n 2fc8 <_vfiprintf_r+0x194> + 302e: 89bb ldrh r3, [r7, #12] + 3030: 065b lsls r3, r3, #25 + 3032: d500 bpl.n 3036 <_vfiprintf_r+0x202> + 3034: e77b b.n 2f2e <_vfiprintf_r+0xfa> + 3036: 980b ldr r0, [sp, #44] ; 0x2c + 3038: e77b b.n 2f32 <_vfiprintf_r+0xfe> + 303a: ab05 add r3, sp, #20 + 303c: 9300 str r3, [sp, #0] + 303e: 003a movs r2, r7 + 3040: 4b09 ldr r3, [pc, #36] ; (3068 <_vfiprintf_r+0x234>) + 3042: 0029 movs r1, r5 + 3044: 0030 movs r0, r6 + 3046: f000 f87f bl 3148 <_printf_i> + 304a: e7ec b.n 3026 <_vfiprintf_r+0x1f2> + 304c: 000038cc .word 0x000038cc + 3050: 0000390c .word 0x0000390c + 3054: 000038ec .word 0x000038ec + 3058: 000038ac .word 0x000038ac + 305c: 00003912 .word 0x00003912 + 3060: 00003916 .word 0x00003916 + 3064: 00000000 .word 0x00000000 + 3068: 00002e0f .word 0x00002e0f + +0000306c <_printf_common>: + 306c: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 306e: 0015 movs r5, r2 + 3070: 9301 str r3, [sp, #4] + 3072: 688a ldr r2, [r1, #8] + 3074: 690b ldr r3, [r1, #16] + 3076: 9000 str r0, [sp, #0] + 3078: 000c movs r4, r1 + 307a: 4293 cmp r3, r2 + 307c: da00 bge.n 3080 <_printf_common+0x14> + 307e: 0013 movs r3, r2 + 3080: 0022 movs r2, r4 + 3082: 602b str r3, [r5, #0] + 3084: 3243 adds r2, #67 ; 0x43 + 3086: 7812 ldrb r2, [r2, #0] + 3088: 2a00 cmp r2, #0 + 308a: d001 beq.n 3090 <_printf_common+0x24> + 308c: 3301 adds r3, #1 + 308e: 602b str r3, [r5, #0] + 3090: 6823 ldr r3, [r4, #0] + 3092: 069b lsls r3, r3, #26 + 3094: d502 bpl.n 309c <_printf_common+0x30> + 3096: 682b ldr r3, [r5, #0] + 3098: 3302 adds r3, #2 + 309a: 602b str r3, [r5, #0] + 309c: 2706 movs r7, #6 + 309e: 6823 ldr r3, [r4, #0] + 30a0: 401f ands r7, r3 + 30a2: d027 beq.n 30f4 <_printf_common+0x88> + 30a4: 0023 movs r3, r4 + 30a6: 3343 adds r3, #67 ; 0x43 + 30a8: 781b ldrb r3, [r3, #0] + 30aa: 1e5a subs r2, r3, #1 + 30ac: 4193 sbcs r3, r2 + 30ae: 6822 ldr r2, [r4, #0] + 30b0: 0692 lsls r2, r2, #26 + 30b2: d430 bmi.n 3116 <_printf_common+0xaa> + 30b4: 0022 movs r2, r4 + 30b6: 9901 ldr r1, [sp, #4] + 30b8: 3243 adds r2, #67 ; 0x43 + 30ba: 9800 ldr r0, [sp, #0] + 30bc: 9e08 ldr r6, [sp, #32] + 30be: 47b0 blx r6 + 30c0: 1c43 adds r3, r0, #1 + 30c2: d025 beq.n 3110 <_printf_common+0xa4> + 30c4: 2306 movs r3, #6 + 30c6: 6820 ldr r0, [r4, #0] + 30c8: 682a ldr r2, [r5, #0] + 30ca: 68e1 ldr r1, [r4, #12] + 30cc: 4003 ands r3, r0 + 30ce: 2500 movs r5, #0 + 30d0: 2b04 cmp r3, #4 + 30d2: d103 bne.n 30dc <_printf_common+0x70> + 30d4: 1a8d subs r5, r1, r2 + 30d6: 43eb mvns r3, r5 + 30d8: 17db asrs r3, r3, #31 + 30da: 401d ands r5, r3 + 30dc: 68a3 ldr r3, [r4, #8] + 30de: 6922 ldr r2, [r4, #16] + 30e0: 4293 cmp r3, r2 + 30e2: dd01 ble.n 30e8 <_printf_common+0x7c> + 30e4: 1a9b subs r3, r3, r2 + 30e6: 18ed adds r5, r5, r3 + 30e8: 2700 movs r7, #0 + 30ea: 42bd cmp r5, r7 + 30ec: d120 bne.n 3130 <_printf_common+0xc4> + 30ee: 2000 movs r0, #0 + 30f0: e010 b.n 3114 <_printf_common+0xa8> + 30f2: 3701 adds r7, #1 + 30f4: 68e3 ldr r3, [r4, #12] + 30f6: 682a ldr r2, [r5, #0] + 30f8: 1a9b subs r3, r3, r2 + 30fa: 429f cmp r7, r3 + 30fc: dad2 bge.n 30a4 <_printf_common+0x38> + 30fe: 0022 movs r2, r4 + 3100: 2301 movs r3, #1 + 3102: 3219 adds r2, #25 + 3104: 9901 ldr r1, [sp, #4] + 3106: 9800 ldr r0, [sp, #0] + 3108: 9e08 ldr r6, [sp, #32] + 310a: 47b0 blx r6 + 310c: 1c43 adds r3, r0, #1 + 310e: d1f0 bne.n 30f2 <_printf_common+0x86> + 3110: 2001 movs r0, #1 + 3112: 4240 negs r0, r0 + 3114: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 3116: 2030 movs r0, #48 ; 0x30 + 3118: 18e1 adds r1, r4, r3 + 311a: 3143 adds r1, #67 ; 0x43 + 311c: 7008 strb r0, [r1, #0] + 311e: 0021 movs r1, r4 + 3120: 1c5a adds r2, r3, #1 + 3122: 3145 adds r1, #69 ; 0x45 + 3124: 7809 ldrb r1, [r1, #0] + 3126: 18a2 adds r2, r4, r2 + 3128: 3243 adds r2, #67 ; 0x43 + 312a: 3302 adds r3, #2 + 312c: 7011 strb r1, [r2, #0] + 312e: e7c1 b.n 30b4 <_printf_common+0x48> + 3130: 0022 movs r2, r4 + 3132: 2301 movs r3, #1 + 3134: 321a adds r2, #26 + 3136: 9901 ldr r1, [sp, #4] + 3138: 9800 ldr r0, [sp, #0] + 313a: 9e08 ldr r6, [sp, #32] + 313c: 47b0 blx r6 + 313e: 1c43 adds r3, r0, #1 + 3140: d0e6 beq.n 3110 <_printf_common+0xa4> + 3142: 3701 adds r7, #1 + 3144: e7d1 b.n 30ea <_printf_common+0x7e> + ... + +00003148 <_printf_i>: + 3148: b5f0 push {r4, r5, r6, r7, lr} + 314a: b08b sub sp, #44 ; 0x2c + 314c: 9206 str r2, [sp, #24] + 314e: 000a movs r2, r1 + 3150: 3243 adds r2, #67 ; 0x43 + 3152: 9307 str r3, [sp, #28] + 3154: 9005 str r0, [sp, #20] + 3156: 9204 str r2, [sp, #16] + 3158: 7e0a ldrb r2, [r1, #24] + 315a: 000c movs r4, r1 + 315c: 9b10 ldr r3, [sp, #64] ; 0x40 + 315e: 2a6e cmp r2, #110 ; 0x6e + 3160: d100 bne.n 3164 <_printf_i+0x1c> + 3162: e08f b.n 3284 <_printf_i+0x13c> + 3164: d817 bhi.n 3196 <_printf_i+0x4e> + 3166: 2a63 cmp r2, #99 ; 0x63 + 3168: d02c beq.n 31c4 <_printf_i+0x7c> + 316a: d808 bhi.n 317e <_printf_i+0x36> + 316c: 2a00 cmp r2, #0 + 316e: d100 bne.n 3172 <_printf_i+0x2a> + 3170: e099 b.n 32a6 <_printf_i+0x15e> + 3172: 2a58 cmp r2, #88 ; 0x58 + 3174: d054 beq.n 3220 <_printf_i+0xd8> + 3176: 0026 movs r6, r4 + 3178: 3642 adds r6, #66 ; 0x42 + 317a: 7032 strb r2, [r6, #0] + 317c: e029 b.n 31d2 <_printf_i+0x8a> + 317e: 2a64 cmp r2, #100 ; 0x64 + 3180: d001 beq.n 3186 <_printf_i+0x3e> + 3182: 2a69 cmp r2, #105 ; 0x69 + 3184: d1f7 bne.n 3176 <_printf_i+0x2e> + 3186: 6821 ldr r1, [r4, #0] + 3188: 681a ldr r2, [r3, #0] + 318a: 0608 lsls r0, r1, #24 + 318c: d523 bpl.n 31d6 <_printf_i+0x8e> + 318e: 1d11 adds r1, r2, #4 + 3190: 6019 str r1, [r3, #0] + 3192: 6815 ldr r5, [r2, #0] + 3194: e025 b.n 31e2 <_printf_i+0x9a> + 3196: 2a73 cmp r2, #115 ; 0x73 + 3198: d100 bne.n 319c <_printf_i+0x54> + 319a: e088 b.n 32ae <_printf_i+0x166> + 319c: d808 bhi.n 31b0 <_printf_i+0x68> + 319e: 2a6f cmp r2, #111 ; 0x6f + 31a0: d029 beq.n 31f6 <_printf_i+0xae> + 31a2: 2a70 cmp r2, #112 ; 0x70 + 31a4: d1e7 bne.n 3176 <_printf_i+0x2e> + 31a6: 2220 movs r2, #32 + 31a8: 6809 ldr r1, [r1, #0] + 31aa: 430a orrs r2, r1 + 31ac: 6022 str r2, [r4, #0] + 31ae: e003 b.n 31b8 <_printf_i+0x70> + 31b0: 2a75 cmp r2, #117 ; 0x75 + 31b2: d020 beq.n 31f6 <_printf_i+0xae> + 31b4: 2a78 cmp r2, #120 ; 0x78 + 31b6: d1de bne.n 3176 <_printf_i+0x2e> + 31b8: 0022 movs r2, r4 + 31ba: 2178 movs r1, #120 ; 0x78 + 31bc: 3245 adds r2, #69 ; 0x45 + 31be: 7011 strb r1, [r2, #0] + 31c0: 4a6c ldr r2, [pc, #432] ; (3374 <_printf_i+0x22c>) + 31c2: e030 b.n 3226 <_printf_i+0xde> + 31c4: 000e movs r6, r1 + 31c6: 681a ldr r2, [r3, #0] + 31c8: 3642 adds r6, #66 ; 0x42 + 31ca: 1d11 adds r1, r2, #4 + 31cc: 6019 str r1, [r3, #0] + 31ce: 6813 ldr r3, [r2, #0] + 31d0: 7033 strb r3, [r6, #0] + 31d2: 2301 movs r3, #1 + 31d4: e079 b.n 32ca <_printf_i+0x182> + 31d6: 0649 lsls r1, r1, #25 + 31d8: d5d9 bpl.n 318e <_printf_i+0x46> + 31da: 1d11 adds r1, r2, #4 + 31dc: 6019 str r1, [r3, #0] + 31de: 2300 movs r3, #0 + 31e0: 5ed5 ldrsh r5, [r2, r3] + 31e2: 2d00 cmp r5, #0 + 31e4: da03 bge.n 31ee <_printf_i+0xa6> + 31e6: 232d movs r3, #45 ; 0x2d + 31e8: 9a04 ldr r2, [sp, #16] + 31ea: 426d negs r5, r5 + 31ec: 7013 strb r3, [r2, #0] + 31ee: 4b62 ldr r3, [pc, #392] ; (3378 <_printf_i+0x230>) + 31f0: 270a movs r7, #10 + 31f2: 9303 str r3, [sp, #12] + 31f4: e02f b.n 3256 <_printf_i+0x10e> + 31f6: 6820 ldr r0, [r4, #0] + 31f8: 6819 ldr r1, [r3, #0] + 31fa: 0605 lsls r5, r0, #24 + 31fc: d503 bpl.n 3206 <_printf_i+0xbe> + 31fe: 1d08 adds r0, r1, #4 + 3200: 6018 str r0, [r3, #0] + 3202: 680d ldr r5, [r1, #0] + 3204: e005 b.n 3212 <_printf_i+0xca> + 3206: 0640 lsls r0, r0, #25 + 3208: d5f9 bpl.n 31fe <_printf_i+0xb6> + 320a: 680d ldr r5, [r1, #0] + 320c: 1d08 adds r0, r1, #4 + 320e: 6018 str r0, [r3, #0] + 3210: b2ad uxth r5, r5 + 3212: 4b59 ldr r3, [pc, #356] ; (3378 <_printf_i+0x230>) + 3214: 2708 movs r7, #8 + 3216: 9303 str r3, [sp, #12] + 3218: 2a6f cmp r2, #111 ; 0x6f + 321a: d018 beq.n 324e <_printf_i+0x106> + 321c: 270a movs r7, #10 + 321e: e016 b.n 324e <_printf_i+0x106> + 3220: 3145 adds r1, #69 ; 0x45 + 3222: 700a strb r2, [r1, #0] + 3224: 4a54 ldr r2, [pc, #336] ; (3378 <_printf_i+0x230>) + 3226: 9203 str r2, [sp, #12] + 3228: 681a ldr r2, [r3, #0] + 322a: 6821 ldr r1, [r4, #0] + 322c: 1d10 adds r0, r2, #4 + 322e: 6018 str r0, [r3, #0] + 3230: 6815 ldr r5, [r2, #0] + 3232: 0608 lsls r0, r1, #24 + 3234: d522 bpl.n 327c <_printf_i+0x134> + 3236: 07cb lsls r3, r1, #31 + 3238: d502 bpl.n 3240 <_printf_i+0xf8> + 323a: 2320 movs r3, #32 + 323c: 4319 orrs r1, r3 + 323e: 6021 str r1, [r4, #0] + 3240: 2710 movs r7, #16 + 3242: 2d00 cmp r5, #0 + 3244: d103 bne.n 324e <_printf_i+0x106> + 3246: 2320 movs r3, #32 + 3248: 6822 ldr r2, [r4, #0] + 324a: 439a bics r2, r3 + 324c: 6022 str r2, [r4, #0] + 324e: 0023 movs r3, r4 + 3250: 2200 movs r2, #0 + 3252: 3343 adds r3, #67 ; 0x43 + 3254: 701a strb r2, [r3, #0] + 3256: 6863 ldr r3, [r4, #4] + 3258: 60a3 str r3, [r4, #8] + 325a: 2b00 cmp r3, #0 + 325c: db5c blt.n 3318 <_printf_i+0x1d0> + 325e: 2204 movs r2, #4 + 3260: 6821 ldr r1, [r4, #0] + 3262: 4391 bics r1, r2 + 3264: 6021 str r1, [r4, #0] + 3266: 2d00 cmp r5, #0 + 3268: d158 bne.n 331c <_printf_i+0x1d4> + 326a: 9e04 ldr r6, [sp, #16] + 326c: 2b00 cmp r3, #0 + 326e: d064 beq.n 333a <_printf_i+0x1f2> + 3270: 0026 movs r6, r4 + 3272: 9b03 ldr r3, [sp, #12] + 3274: 3642 adds r6, #66 ; 0x42 + 3276: 781b ldrb r3, [r3, #0] + 3278: 7033 strb r3, [r6, #0] + 327a: e05e b.n 333a <_printf_i+0x1f2> + 327c: 0648 lsls r0, r1, #25 + 327e: d5da bpl.n 3236 <_printf_i+0xee> + 3280: b2ad uxth r5, r5 + 3282: e7d8 b.n 3236 <_printf_i+0xee> + 3284: 6809 ldr r1, [r1, #0] + 3286: 681a ldr r2, [r3, #0] + 3288: 0608 lsls r0, r1, #24 + 328a: d505 bpl.n 3298 <_printf_i+0x150> + 328c: 1d11 adds r1, r2, #4 + 328e: 6019 str r1, [r3, #0] + 3290: 6813 ldr r3, [r2, #0] + 3292: 6962 ldr r2, [r4, #20] + 3294: 601a str r2, [r3, #0] + 3296: e006 b.n 32a6 <_printf_i+0x15e> + 3298: 0649 lsls r1, r1, #25 + 329a: d5f7 bpl.n 328c <_printf_i+0x144> + 329c: 1d11 adds r1, r2, #4 + 329e: 6019 str r1, [r3, #0] + 32a0: 6813 ldr r3, [r2, #0] + 32a2: 8aa2 ldrh r2, [r4, #20] + 32a4: 801a strh r2, [r3, #0] + 32a6: 2300 movs r3, #0 + 32a8: 9e04 ldr r6, [sp, #16] + 32aa: 6123 str r3, [r4, #16] + 32ac: e054 b.n 3358 <_printf_i+0x210> + 32ae: 681a ldr r2, [r3, #0] + 32b0: 1d11 adds r1, r2, #4 + 32b2: 6019 str r1, [r3, #0] + 32b4: 6816 ldr r6, [r2, #0] + 32b6: 2100 movs r1, #0 + 32b8: 6862 ldr r2, [r4, #4] + 32ba: 0030 movs r0, r6 + 32bc: f000 f9e6 bl 368c + 32c0: 2800 cmp r0, #0 + 32c2: d001 beq.n 32c8 <_printf_i+0x180> + 32c4: 1b80 subs r0, r0, r6 + 32c6: 6060 str r0, [r4, #4] + 32c8: 6863 ldr r3, [r4, #4] + 32ca: 6123 str r3, [r4, #16] + 32cc: 2300 movs r3, #0 + 32ce: 9a04 ldr r2, [sp, #16] + 32d0: 7013 strb r3, [r2, #0] + 32d2: e041 b.n 3358 <_printf_i+0x210> + 32d4: 6923 ldr r3, [r4, #16] + 32d6: 0032 movs r2, r6 + 32d8: 9906 ldr r1, [sp, #24] + 32da: 9805 ldr r0, [sp, #20] + 32dc: 9d07 ldr r5, [sp, #28] + 32de: 47a8 blx r5 + 32e0: 1c43 adds r3, r0, #1 + 32e2: d043 beq.n 336c <_printf_i+0x224> + 32e4: 6823 ldr r3, [r4, #0] + 32e6: 2500 movs r5, #0 + 32e8: 079b lsls r3, r3, #30 + 32ea: d40f bmi.n 330c <_printf_i+0x1c4> + 32ec: 9b09 ldr r3, [sp, #36] ; 0x24 + 32ee: 68e0 ldr r0, [r4, #12] + 32f0: 4298 cmp r0, r3 + 32f2: da3d bge.n 3370 <_printf_i+0x228> + 32f4: 0018 movs r0, r3 + 32f6: e03b b.n 3370 <_printf_i+0x228> + 32f8: 0022 movs r2, r4 + 32fa: 2301 movs r3, #1 + 32fc: 3219 adds r2, #25 + 32fe: 9906 ldr r1, [sp, #24] + 3300: 9805 ldr r0, [sp, #20] + 3302: 9e07 ldr r6, [sp, #28] + 3304: 47b0 blx r6 + 3306: 1c43 adds r3, r0, #1 + 3308: d030 beq.n 336c <_printf_i+0x224> + 330a: 3501 adds r5, #1 + 330c: 68e3 ldr r3, [r4, #12] + 330e: 9a09 ldr r2, [sp, #36] ; 0x24 + 3310: 1a9b subs r3, r3, r2 + 3312: 429d cmp r5, r3 + 3314: dbf0 blt.n 32f8 <_printf_i+0x1b0> + 3316: e7e9 b.n 32ec <_printf_i+0x1a4> + 3318: 2d00 cmp r5, #0 + 331a: d0a9 beq.n 3270 <_printf_i+0x128> + 331c: 9e04 ldr r6, [sp, #16] + 331e: 0028 movs r0, r5 + 3320: 0039 movs r1, r7 + 3322: f7fe fded bl 1f00 <__aeabi_uidivmod> + 3326: 9b03 ldr r3, [sp, #12] + 3328: 3e01 subs r6, #1 + 332a: 5c5b ldrb r3, [r3, r1] + 332c: 0028 movs r0, r5 + 332e: 7033 strb r3, [r6, #0] + 3330: 0039 movs r1, r7 + 3332: f7fe fd5f bl 1df4 <__udivsi3> + 3336: 1e05 subs r5, r0, #0 + 3338: d1f1 bne.n 331e <_printf_i+0x1d6> + 333a: 2f08 cmp r7, #8 + 333c: d109 bne.n 3352 <_printf_i+0x20a> + 333e: 6823 ldr r3, [r4, #0] + 3340: 07db lsls r3, r3, #31 + 3342: d506 bpl.n 3352 <_printf_i+0x20a> + 3344: 6863 ldr r3, [r4, #4] + 3346: 6922 ldr r2, [r4, #16] + 3348: 4293 cmp r3, r2 + 334a: dc02 bgt.n 3352 <_printf_i+0x20a> + 334c: 2330 movs r3, #48 ; 0x30 + 334e: 3e01 subs r6, #1 + 3350: 7033 strb r3, [r6, #0] + 3352: 9b04 ldr r3, [sp, #16] + 3354: 1b9b subs r3, r3, r6 + 3356: 6123 str r3, [r4, #16] + 3358: 9b07 ldr r3, [sp, #28] + 335a: aa09 add r2, sp, #36 ; 0x24 + 335c: 9300 str r3, [sp, #0] + 335e: 0021 movs r1, r4 + 3360: 9b06 ldr r3, [sp, #24] + 3362: 9805 ldr r0, [sp, #20] + 3364: f7ff fe82 bl 306c <_printf_common> + 3368: 1c43 adds r3, r0, #1 + 336a: d1b3 bne.n 32d4 <_printf_i+0x18c> + 336c: 2001 movs r0, #1 + 336e: 4240 negs r0, r0 + 3370: b00b add sp, #44 ; 0x2c + 3372: bdf0 pop {r4, r5, r6, r7, pc} + 3374: 0000392e .word 0x0000392e + 3378: 0000391d .word 0x0000391d + +0000337c <_sbrk_r>: + 337c: 2300 movs r3, #0 + 337e: b570 push {r4, r5, r6, lr} + 3380: 4c06 ldr r4, [pc, #24] ; (339c <_sbrk_r+0x20>) + 3382: 0005 movs r5, r0 + 3384: 0008 movs r0, r1 + 3386: 6023 str r3, [r4, #0] + 3388: f7fe fcd4 bl 1d34 <_sbrk> + 338c: 1c43 adds r3, r0, #1 + 338e: d103 bne.n 3398 <_sbrk_r+0x1c> + 3390: 6823 ldr r3, [r4, #0] + 3392: 2b00 cmp r3, #0 + 3394: d000 beq.n 3398 <_sbrk_r+0x1c> + 3396: 602b str r3, [r5, #0] + 3398: bd70 pop {r4, r5, r6, pc} + 339a: 46c0 nop ; (mov r8, r8) + 339c: 2000029c .word 0x2000029c + +000033a0 <__sread>: + 33a0: b570 push {r4, r5, r6, lr} + 33a2: 000c movs r4, r1 + 33a4: 250e movs r5, #14 + 33a6: 5f49 ldrsh r1, [r1, r5] + 33a8: f000 f97e bl 36a8 <_read_r> + 33ac: 2800 cmp r0, #0 + 33ae: db03 blt.n 33b8 <__sread+0x18> + 33b0: 6d63 ldr r3, [r4, #84] ; 0x54 + 33b2: 181b adds r3, r3, r0 + 33b4: 6563 str r3, [r4, #84] ; 0x54 + 33b6: bd70 pop {r4, r5, r6, pc} + 33b8: 89a3 ldrh r3, [r4, #12] + 33ba: 4a02 ldr r2, [pc, #8] ; (33c4 <__sread+0x24>) + 33bc: 4013 ands r3, r2 + 33be: 81a3 strh r3, [r4, #12] + 33c0: e7f9 b.n 33b6 <__sread+0x16> + 33c2: 46c0 nop ; (mov r8, r8) + 33c4: ffffefff .word 0xffffefff + +000033c8 <__swrite>: + 33c8: b5f8 push {r3, r4, r5, r6, r7, lr} + 33ca: 001f movs r7, r3 + 33cc: 898b ldrh r3, [r1, #12] + 33ce: 0005 movs r5, r0 + 33d0: 000c movs r4, r1 + 33d2: 0016 movs r6, r2 + 33d4: 05db lsls r3, r3, #23 + 33d6: d505 bpl.n 33e4 <__swrite+0x1c> + 33d8: 230e movs r3, #14 + 33da: 5ec9 ldrsh r1, [r1, r3] + 33dc: 2200 movs r2, #0 + 33de: 2302 movs r3, #2 + 33e0: f000 f940 bl 3664 <_lseek_r> + 33e4: 89a3 ldrh r3, [r4, #12] + 33e6: 4a05 ldr r2, [pc, #20] ; (33fc <__swrite+0x34>) + 33e8: 0028 movs r0, r5 + 33ea: 4013 ands r3, r2 + 33ec: 81a3 strh r3, [r4, #12] + 33ee: 0032 movs r2, r6 + 33f0: 230e movs r3, #14 + 33f2: 5ee1 ldrsh r1, [r4, r3] + 33f4: 003b movs r3, r7 + 33f6: f000 f875 bl 34e4 <_write_r> + 33fa: bdf8 pop {r3, r4, r5, r6, r7, pc} + 33fc: ffffefff .word 0xffffefff + +00003400 <__sseek>: + 3400: b570 push {r4, r5, r6, lr} + 3402: 000c movs r4, r1 + 3404: 250e movs r5, #14 + 3406: 5f49 ldrsh r1, [r1, r5] + 3408: f000 f92c bl 3664 <_lseek_r> + 340c: 89a3 ldrh r3, [r4, #12] + 340e: 1c42 adds r2, r0, #1 + 3410: d103 bne.n 341a <__sseek+0x1a> + 3412: 4a05 ldr r2, [pc, #20] ; (3428 <__sseek+0x28>) + 3414: 4013 ands r3, r2 + 3416: 81a3 strh r3, [r4, #12] + 3418: bd70 pop {r4, r5, r6, pc} + 341a: 2280 movs r2, #128 ; 0x80 + 341c: 0152 lsls r2, r2, #5 + 341e: 4313 orrs r3, r2 + 3420: 81a3 strh r3, [r4, #12] + 3422: 6560 str r0, [r4, #84] ; 0x54 + 3424: e7f8 b.n 3418 <__sseek+0x18> + 3426: 46c0 nop ; (mov r8, r8) + 3428: ffffefff .word 0xffffefff + +0000342c <__sclose>: + 342c: b510 push {r4, lr} + 342e: 230e movs r3, #14 + 3430: 5ec9 ldrsh r1, [r1, r3] + 3432: f000 f8e1 bl 35f8 <_close_r> + 3436: bd10 pop {r4, pc} + +00003438 <__swbuf_r>: + 3438: b5f8 push {r3, r4, r5, r6, r7, lr} + 343a: 0005 movs r5, r0 + 343c: 000e movs r6, r1 + 343e: 0014 movs r4, r2 + 3440: 2800 cmp r0, #0 + 3442: d004 beq.n 344e <__swbuf_r+0x16> + 3444: 6983 ldr r3, [r0, #24] + 3446: 2b00 cmp r3, #0 + 3448: d101 bne.n 344e <__swbuf_r+0x16> + 344a: f7ff fb17 bl 2a7c <__sinit> + 344e: 4b22 ldr r3, [pc, #136] ; (34d8 <__swbuf_r+0xa0>) + 3450: 429c cmp r4, r3 + 3452: d12d bne.n 34b0 <__swbuf_r+0x78> + 3454: 686c ldr r4, [r5, #4] + 3456: 69a3 ldr r3, [r4, #24] + 3458: 60a3 str r3, [r4, #8] + 345a: 89a3 ldrh r3, [r4, #12] + 345c: 071b lsls r3, r3, #28 + 345e: d531 bpl.n 34c4 <__swbuf_r+0x8c> + 3460: 6923 ldr r3, [r4, #16] + 3462: 2b00 cmp r3, #0 + 3464: d02e beq.n 34c4 <__swbuf_r+0x8c> + 3466: 6823 ldr r3, [r4, #0] + 3468: 6922 ldr r2, [r4, #16] + 346a: b2f7 uxtb r7, r6 + 346c: 1a98 subs r0, r3, r2 + 346e: 6963 ldr r3, [r4, #20] + 3470: b2f6 uxtb r6, r6 + 3472: 4298 cmp r0, r3 + 3474: db05 blt.n 3482 <__swbuf_r+0x4a> + 3476: 0021 movs r1, r4 + 3478: 0028 movs r0, r5 + 347a: f7ff fa91 bl 29a0 <_fflush_r> + 347e: 2800 cmp r0, #0 + 3480: d126 bne.n 34d0 <__swbuf_r+0x98> + 3482: 68a3 ldr r3, [r4, #8] + 3484: 3001 adds r0, #1 + 3486: 3b01 subs r3, #1 + 3488: 60a3 str r3, [r4, #8] + 348a: 6823 ldr r3, [r4, #0] + 348c: 1c5a adds r2, r3, #1 + 348e: 6022 str r2, [r4, #0] + 3490: 701f strb r7, [r3, #0] + 3492: 6963 ldr r3, [r4, #20] + 3494: 4298 cmp r0, r3 + 3496: d004 beq.n 34a2 <__swbuf_r+0x6a> + 3498: 89a3 ldrh r3, [r4, #12] + 349a: 07db lsls r3, r3, #31 + 349c: d51a bpl.n 34d4 <__swbuf_r+0x9c> + 349e: 2e0a cmp r6, #10 + 34a0: d118 bne.n 34d4 <__swbuf_r+0x9c> + 34a2: 0021 movs r1, r4 + 34a4: 0028 movs r0, r5 + 34a6: f7ff fa7b bl 29a0 <_fflush_r> + 34aa: 2800 cmp r0, #0 + 34ac: d012 beq.n 34d4 <__swbuf_r+0x9c> + 34ae: e00f b.n 34d0 <__swbuf_r+0x98> + 34b0: 4b0a ldr r3, [pc, #40] ; (34dc <__swbuf_r+0xa4>) + 34b2: 429c cmp r4, r3 + 34b4: d101 bne.n 34ba <__swbuf_r+0x82> + 34b6: 68ac ldr r4, [r5, #8] + 34b8: e7cd b.n 3456 <__swbuf_r+0x1e> + 34ba: 4b09 ldr r3, [pc, #36] ; (34e0 <__swbuf_r+0xa8>) + 34bc: 429c cmp r4, r3 + 34be: d1ca bne.n 3456 <__swbuf_r+0x1e> + 34c0: 68ec ldr r4, [r5, #12] + 34c2: e7c8 b.n 3456 <__swbuf_r+0x1e> + 34c4: 0021 movs r1, r4 + 34c6: 0028 movs r0, r5 + 34c8: f000 f820 bl 350c <__swsetup_r> + 34cc: 2800 cmp r0, #0 + 34ce: d0ca beq.n 3466 <__swbuf_r+0x2e> + 34d0: 2601 movs r6, #1 + 34d2: 4276 negs r6, r6 + 34d4: 0030 movs r0, r6 + 34d6: bdf8 pop {r3, r4, r5, r6, r7, pc} + 34d8: 000038cc .word 0x000038cc + 34dc: 000038ec .word 0x000038ec + 34e0: 000038ac .word 0x000038ac + +000034e4 <_write_r>: + 34e4: b570 push {r4, r5, r6, lr} + 34e6: 0005 movs r5, r0 + 34e8: 0008 movs r0, r1 + 34ea: 0011 movs r1, r2 + 34ec: 2200 movs r2, #0 + 34ee: 4c06 ldr r4, [pc, #24] ; (3508 <_write_r+0x24>) + 34f0: 6022 str r2, [r4, #0] + 34f2: 001a movs r2, r3 + 34f4: f7fd fbb8 bl c68 <_write> + 34f8: 1c43 adds r3, r0, #1 + 34fa: d103 bne.n 3504 <_write_r+0x20> + 34fc: 6823 ldr r3, [r4, #0] + 34fe: 2b00 cmp r3, #0 + 3500: d000 beq.n 3504 <_write_r+0x20> + 3502: 602b str r3, [r5, #0] + 3504: bd70 pop {r4, r5, r6, pc} + 3506: 46c0 nop ; (mov r8, r8) + 3508: 2000029c .word 0x2000029c + +0000350c <__swsetup_r>: + 350c: 4b36 ldr r3, [pc, #216] ; (35e8 <__swsetup_r+0xdc>) + 350e: b570 push {r4, r5, r6, lr} + 3510: 681d ldr r5, [r3, #0] + 3512: 0006 movs r6, r0 + 3514: 000c movs r4, r1 + 3516: 2d00 cmp r5, #0 + 3518: d005 beq.n 3526 <__swsetup_r+0x1a> + 351a: 69ab ldr r3, [r5, #24] + 351c: 2b00 cmp r3, #0 + 351e: d102 bne.n 3526 <__swsetup_r+0x1a> + 3520: 0028 movs r0, r5 + 3522: f7ff faab bl 2a7c <__sinit> + 3526: 4b31 ldr r3, [pc, #196] ; (35ec <__swsetup_r+0xe0>) + 3528: 429c cmp r4, r3 + 352a: d10f bne.n 354c <__swsetup_r+0x40> + 352c: 686c ldr r4, [r5, #4] + 352e: 230c movs r3, #12 + 3530: 5ee2 ldrsh r2, [r4, r3] + 3532: b293 uxth r3, r2 + 3534: 0719 lsls r1, r3, #28 + 3536: d42d bmi.n 3594 <__swsetup_r+0x88> + 3538: 06d9 lsls r1, r3, #27 + 353a: d411 bmi.n 3560 <__swsetup_r+0x54> + 353c: 2309 movs r3, #9 + 353e: 2001 movs r0, #1 + 3540: 6033 str r3, [r6, #0] + 3542: 3337 adds r3, #55 ; 0x37 + 3544: 4313 orrs r3, r2 + 3546: 81a3 strh r3, [r4, #12] + 3548: 4240 negs r0, r0 + 354a: bd70 pop {r4, r5, r6, pc} + 354c: 4b28 ldr r3, [pc, #160] ; (35f0 <__swsetup_r+0xe4>) + 354e: 429c cmp r4, r3 + 3550: d101 bne.n 3556 <__swsetup_r+0x4a> + 3552: 68ac ldr r4, [r5, #8] + 3554: e7eb b.n 352e <__swsetup_r+0x22> + 3556: 4b27 ldr r3, [pc, #156] ; (35f4 <__swsetup_r+0xe8>) + 3558: 429c cmp r4, r3 + 355a: d1e8 bne.n 352e <__swsetup_r+0x22> + 355c: 68ec ldr r4, [r5, #12] + 355e: e7e6 b.n 352e <__swsetup_r+0x22> + 3560: 075b lsls r3, r3, #29 + 3562: d513 bpl.n 358c <__swsetup_r+0x80> + 3564: 6b61 ldr r1, [r4, #52] ; 0x34 + 3566: 2900 cmp r1, #0 + 3568: d008 beq.n 357c <__swsetup_r+0x70> + 356a: 0023 movs r3, r4 + 356c: 3344 adds r3, #68 ; 0x44 + 356e: 4299 cmp r1, r3 + 3570: d002 beq.n 3578 <__swsetup_r+0x6c> + 3572: 0030 movs r0, r6 + 3574: f7ff fb8e bl 2c94 <_free_r> + 3578: 2300 movs r3, #0 + 357a: 6363 str r3, [r4, #52] ; 0x34 + 357c: 2224 movs r2, #36 ; 0x24 + 357e: 89a3 ldrh r3, [r4, #12] + 3580: 4393 bics r3, r2 + 3582: 81a3 strh r3, [r4, #12] + 3584: 2300 movs r3, #0 + 3586: 6063 str r3, [r4, #4] + 3588: 6923 ldr r3, [r4, #16] + 358a: 6023 str r3, [r4, #0] + 358c: 2308 movs r3, #8 + 358e: 89a2 ldrh r2, [r4, #12] + 3590: 4313 orrs r3, r2 + 3592: 81a3 strh r3, [r4, #12] + 3594: 6923 ldr r3, [r4, #16] + 3596: 2b00 cmp r3, #0 + 3598: d10b bne.n 35b2 <__swsetup_r+0xa6> + 359a: 21a0 movs r1, #160 ; 0xa0 + 359c: 2280 movs r2, #128 ; 0x80 + 359e: 89a3 ldrh r3, [r4, #12] + 35a0: 0089 lsls r1, r1, #2 + 35a2: 0092 lsls r2, r2, #2 + 35a4: 400b ands r3, r1 + 35a6: 4293 cmp r3, r2 + 35a8: d003 beq.n 35b2 <__swsetup_r+0xa6> + 35aa: 0021 movs r1, r4 + 35ac: 0030 movs r0, r6 + 35ae: f7ff fb23 bl 2bf8 <__smakebuf_r> + 35b2: 2301 movs r3, #1 + 35b4: 89a2 ldrh r2, [r4, #12] + 35b6: 4013 ands r3, r2 + 35b8: d011 beq.n 35de <__swsetup_r+0xd2> + 35ba: 2300 movs r3, #0 + 35bc: 60a3 str r3, [r4, #8] + 35be: 6963 ldr r3, [r4, #20] + 35c0: 425b negs r3, r3 + 35c2: 61a3 str r3, [r4, #24] + 35c4: 2000 movs r0, #0 + 35c6: 6923 ldr r3, [r4, #16] + 35c8: 4283 cmp r3, r0 + 35ca: d1be bne.n 354a <__swsetup_r+0x3e> + 35cc: 230c movs r3, #12 + 35ce: 5ee2 ldrsh r2, [r4, r3] + 35d0: 0613 lsls r3, r2, #24 + 35d2: d5ba bpl.n 354a <__swsetup_r+0x3e> + 35d4: 2340 movs r3, #64 ; 0x40 + 35d6: 4313 orrs r3, r2 + 35d8: 81a3 strh r3, [r4, #12] + 35da: 3801 subs r0, #1 + 35dc: e7b5 b.n 354a <__swsetup_r+0x3e> + 35de: 0792 lsls r2, r2, #30 + 35e0: d400 bmi.n 35e4 <__swsetup_r+0xd8> + 35e2: 6963 ldr r3, [r4, #20] + 35e4: 60a3 str r3, [r4, #8] + 35e6: e7ed b.n 35c4 <__swsetup_r+0xb8> + 35e8: 20000018 .word 0x20000018 + 35ec: 000038cc .word 0x000038cc + 35f0: 000038ec .word 0x000038ec + 35f4: 000038ac .word 0x000038ac + +000035f8 <_close_r>: + 35f8: 2300 movs r3, #0 + 35fa: b570 push {r4, r5, r6, lr} + 35fc: 4c06 ldr r4, [pc, #24] ; (3618 <_close_r+0x20>) + 35fe: 0005 movs r5, r0 + 3600: 0008 movs r0, r1 + 3602: 6023 str r3, [r4, #0] + 3604: f7fe fba8 bl 1d58 <_close> + 3608: 1c43 adds r3, r0, #1 + 360a: d103 bne.n 3614 <_close_r+0x1c> + 360c: 6823 ldr r3, [r4, #0] + 360e: 2b00 cmp r3, #0 + 3610: d000 beq.n 3614 <_close_r+0x1c> + 3612: 602b str r3, [r5, #0] + 3614: bd70 pop {r4, r5, r6, pc} + 3616: 46c0 nop ; (mov r8, r8) + 3618: 2000029c .word 0x2000029c + +0000361c <_fstat_r>: + 361c: 2300 movs r3, #0 + 361e: b570 push {r4, r5, r6, lr} + 3620: 4c06 ldr r4, [pc, #24] ; (363c <_fstat_r+0x20>) + 3622: 0005 movs r5, r0 + 3624: 0008 movs r0, r1 + 3626: 0011 movs r1, r2 + 3628: 6023 str r3, [r4, #0] + 362a: f7fe fb98 bl 1d5e <_fstat> + 362e: 1c43 adds r3, r0, #1 + 3630: d103 bne.n 363a <_fstat_r+0x1e> + 3632: 6823 ldr r3, [r4, #0] + 3634: 2b00 cmp r3, #0 + 3636: d000 beq.n 363a <_fstat_r+0x1e> + 3638: 602b str r3, [r5, #0] + 363a: bd70 pop {r4, r5, r6, pc} + 363c: 2000029c .word 0x2000029c + +00003640 <_isatty_r>: + 3640: 2300 movs r3, #0 + 3642: b570 push {r4, r5, r6, lr} + 3644: 4c06 ldr r4, [pc, #24] ; (3660 <_isatty_r+0x20>) + 3646: 0005 movs r5, r0 + 3648: 0008 movs r0, r1 + 364a: 6023 str r3, [r4, #0] + 364c: f7fe fb8c bl 1d68 <_isatty> + 3650: 1c43 adds r3, r0, #1 + 3652: d103 bne.n 365c <_isatty_r+0x1c> + 3654: 6823 ldr r3, [r4, #0] + 3656: 2b00 cmp r3, #0 + 3658: d000 beq.n 365c <_isatty_r+0x1c> + 365a: 602b str r3, [r5, #0] + 365c: bd70 pop {r4, r5, r6, pc} + 365e: 46c0 nop ; (mov r8, r8) + 3660: 2000029c .word 0x2000029c + +00003664 <_lseek_r>: + 3664: b570 push {r4, r5, r6, lr} + 3666: 0005 movs r5, r0 + 3668: 0008 movs r0, r1 + 366a: 0011 movs r1, r2 + 366c: 2200 movs r2, #0 + 366e: 4c06 ldr r4, [pc, #24] ; (3688 <_lseek_r+0x24>) + 3670: 6022 str r2, [r4, #0] + 3672: 001a movs r2, r3 + 3674: f7fe fb7a bl 1d6c <_lseek> + 3678: 1c43 adds r3, r0, #1 + 367a: d103 bne.n 3684 <_lseek_r+0x20> + 367c: 6823 ldr r3, [r4, #0] + 367e: 2b00 cmp r3, #0 + 3680: d000 beq.n 3684 <_lseek_r+0x20> + 3682: 602b str r3, [r5, #0] + 3684: bd70 pop {r4, r5, r6, pc} + 3686: 46c0 nop ; (mov r8, r8) + 3688: 2000029c .word 0x2000029c + +0000368c : + 368c: b2c9 uxtb r1, r1 + 368e: 1882 adds r2, r0, r2 + 3690: 4290 cmp r0, r2 + 3692: d101 bne.n 3698 + 3694: 2000 movs r0, #0 + 3696: 4770 bx lr + 3698: 7803 ldrb r3, [r0, #0] + 369a: 428b cmp r3, r1 + 369c: d0fb beq.n 3696 + 369e: 3001 adds r0, #1 + 36a0: e7f6 b.n 3690 + +000036a2 <__malloc_lock>: + 36a2: 4770 bx lr + +000036a4 <__malloc_unlock>: + 36a4: 4770 bx lr + ... + +000036a8 <_read_r>: + 36a8: b570 push {r4, r5, r6, lr} + 36aa: 0005 movs r5, r0 + 36ac: 0008 movs r0, r1 + 36ae: 0011 movs r1, r2 + 36b0: 2200 movs r2, #0 + 36b2: 4c06 ldr r4, [pc, #24] ; (36cc <_read_r+0x24>) + 36b4: 6022 str r2, [r4, #0] + 36b6: 001a movs r2, r3 + 36b8: f7fd fab4 bl c24 <_read> + 36bc: 1c43 adds r3, r0, #1 + 36be: d103 bne.n 36c8 <_read_r+0x20> + 36c0: 6823 ldr r3, [r4, #0] + 36c2: 2b00 cmp r3, #0 + 36c4: d000 beq.n 36c8 <_read_r+0x20> + 36c6: 602b str r3, [r5, #0] + 36c8: bd70 pop {r4, r5, r6, pc} + 36ca: 46c0 nop ; (mov r8, r8) + 36cc: 2000029c .word 0x2000029c + 36d0: 000002fa .word 0x000002fa + 36d4: 00000540 .word 0x00000540 + 36d8: 00000540 .word 0x00000540 + 36dc: 00000540 .word 0x00000540 + 36e0: 00000540 .word 0x00000540 + 36e4: 00000540 .word 0x00000540 + 36e8: 00000540 .word 0x00000540 + 36ec: 00000540 .word 0x00000540 + 36f0: 00000540 .word 0x00000540 + 36f4: 00000540 .word 0x00000540 + 36f8: 00000540 .word 0x00000540 + 36fc: 00000540 .word 0x00000540 + 3700: 00000540 .word 0x00000540 + 3704: 00000540 .word 0x00000540 + 3708: 00000540 .word 0x00000540 + 370c: 00000540 .word 0x00000540 + 3710: 000002e2 .word 0x000002e2 + 3714: 00000540 .word 0x00000540 + 3718: 00000540 .word 0x00000540 + 371c: 00000540 .word 0x00000540 + 3720: 00000540 .word 0x00000540 + 3724: 00000540 .word 0x00000540 + 3728: 00000540 .word 0x00000540 + 372c: 00000540 .word 0x00000540 + 3730: 00000540 .word 0x00000540 + 3734: 00000540 .word 0x00000540 + 3738: 00000540 .word 0x00000540 + 373c: 00000540 .word 0x00000540 + 3740: 00000540 .word 0x00000540 + 3744: 00000540 .word 0x00000540 + 3748: 00000540 .word 0x00000540 + 374c: 00000540 .word 0x00000540 + 3750: 000002f2 .word 0x000002f2 + 3754: 00000540 .word 0x00000540 + 3758: 00000540 .word 0x00000540 + 375c: 00000540 .word 0x00000540 + 3760: 00000540 .word 0x00000540 + 3764: 00000540 .word 0x00000540 + 3768: 00000540 .word 0x00000540 + 376c: 00000540 .word 0x00000540 + 3770: 00000540 .word 0x00000540 + 3774: 00000540 .word 0x00000540 + 3778: 00000540 .word 0x00000540 + 377c: 00000540 .word 0x00000540 + 3780: 00000540 .word 0x00000540 + 3784: 00000540 .word 0x00000540 + 3788: 00000540 .word 0x00000540 + 378c: 00000540 .word 0x00000540 + 3790: 000002ea .word 0x000002ea + 3794: 00000302 .word 0x00000302 + 3798: 000002ca .word 0x000002ca + 379c: 000002da .word 0x000002da + 37a0: 000002d2 .word 0x000002d2 + 37a4: 00000002 .word 0x00000002 + 37a8: 00000003 .word 0x00000003 + 37ac: 00000028 .word 0x00000028 + 37b0: 00000029 .word 0x00000029 + 37b4: 00000004 .word 0x00000004 + 37b8: 00000005 .word 0x00000005 + 37bc: 00000006 .word 0x00000006 + 37c0: 00000007 .word 0x00000007 + 37c4: 00000020 .word 0x00000020 + 37c8: 00000021 .word 0x00000021 + 37cc: 00000022 .word 0x00000022 + 37d0: 00000023 .word 0x00000023 + 37d4: 00000024 .word 0x00000024 + 37d8: 00000025 .word 0x00000025 + 37dc: 00000026 .word 0x00000026 + 37e0: 00000027 .word 0x00000027 + 37e4: 00000008 .word 0x00000008 + 37e8: 00000009 .word 0x00000009 + 37ec: 0000000a .word 0x0000000a + 37f0: 0000000b .word 0x0000000b + 37f4: 42000800 .word 0x42000800 + 37f8: 42000c00 .word 0x42000c00 + 37fc: 42001000 .word 0x42001000 + 3800: 42001400 .word 0x42001400 + 3804: 42001800 .word 0x42001800 + 3808: 42001c00 .word 0x42001c00 + 380c: 000016be .word 0x000016be + 3810: 000016ba .word 0x000016ba + 3814: 000016ba .word 0x000016ba + 3818: 00001720 .word 0x00001720 + 381c: 00001720 .word 0x00001720 + 3820: 000016d2 .word 0x000016d2 + 3824: 000016c4 .word 0x000016c4 + 3828: 000016d8 .word 0x000016d8 + 382c: 0000170e .word 0x0000170e + 3830: 000017a8 .word 0x000017a8 + 3834: 00001788 .word 0x00001788 + 3838: 00001788 .word 0x00001788 + 383c: 00001814 .word 0x00001814 + 3840: 0000179a .word 0x0000179a + 3844: 000017b6 .word 0x000017b6 + 3848: 0000178c .word 0x0000178c + 384c: 000017c4 .word 0x000017c4 + 3850: 00001804 .word 0x00001804 + 3854: 746c6f56 .word 0x746c6f56 + 3858: 3a656761 .word 0x3a656761 + 385c: 2e642520 .word 0x2e642520 + 3860: 64333025 .word 0x64333025 + 3864: 00000a0d .word 0x00000a0d + 3868: 0000208c .word 0x0000208c + 386c: 00001fdc .word 0x00001fdc + 3870: 00001fdc .word 0x00001fdc + 3874: 00001fda .word 0x00001fda + 3878: 0000207e .word 0x0000207e + 387c: 0000207e .word 0x0000207e + 3880: 00002074 .word 0x00002074 + 3884: 00001fda .word 0x00001fda + 3888: 0000207e .word 0x0000207e + 388c: 00002074 .word 0x00002074 + 3890: 0000207e .word 0x0000207e + 3894: 00001fda .word 0x00001fda + 3898: 00002084 .word 0x00002084 + 389c: 00002084 .word 0x00002084 + 38a0: 00002084 .word 0x00002084 + 38a4: 00002114 .word 0x00002114 + +000038a8 <_global_impure_ptr>: + 38a8: 2000001c ... + +000038ac <__sf_fake_stderr>: + ... + +000038cc <__sf_fake_stdin>: + ... + +000038ec <__sf_fake_stdout>: + ... + 390c: 2b302d23 6c680020 6665004c 47464567 #-0+ .hlL.efgEFG + 391c: 32313000 36353433 41393837 45444342 .0123456789ABCDE + 392c: 31300046 35343332 39383736 64636261 F.0123456789abcd + 393c: 00006665 ef.. + +00003940 <_init>: + 3940: b5f8 push {r3, r4, r5, r6, r7, lr} + 3942: 46c0 nop ; (mov r8, r8) + 3944: bcf8 pop {r3, r4, r5, r6, r7} + 3946: bc08 pop {r3} + 3948: 469e mov lr, r3 + 394a: 4770 bx lr + +0000394c <__init_array_start>: + 394c: 000000dd .word 0x000000dd + +00003950 <_fini>: + 3950: b5f8 push {r3, r4, r5, r6, r7, lr} + 3952: 46c0 nop ; (mov r8, r8) + 3954: bcf8 pop {r3, r4, r5, r6, r7} + 3956: bc08 pop {r3} + 3958: 469e mov lr, r3 + 395a: 4770 bx lr + +0000395c <__fini_array_start>: + 395c: 000000b5 .word 0x000000b5 diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.map b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.map new file mode 100644 index 0000000..1a46ff4 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.map @@ -0,0 +1,6932 @@ +Archive member included to satisfy reference by file (symbol) + +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + src/ASF/common2/services/delay/sam0/systick_counter.o (__aeabi_uidiv) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) (__aeabi_idiv0) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o (__aeabi_lmul) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + src/drivers/padc.o (__aeabi_fmul) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + src/main.o (__aeabi_fsub) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + src/main.o (__aeabi_f2iz) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + src/main.o (__aeabi_i2f) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + src/drivers/padc.o (__aeabi_ui2f) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) (__clzsi2) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (exit) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) (_global_impure_ptr) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (__libc_init_array) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o (memcpy) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (memset) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/main.o (printf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + src/drivers/pusart.o (setbuf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) (setvbuf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (_fflush_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) (__sinit) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (_fwalk) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (__swhatbuf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (malloc) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (_free_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (_malloc_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) (_vfprintf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (_printf_i) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) (_sbrk_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (__sread) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (__swbuf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_write_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (__swsetup_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_close_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) (_fstat_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (__sfvwrite_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) (_isatty_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_lseek_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (memchr) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (memmove) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) (__malloc_lock) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (_realloc_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_read_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) (errno) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) (_malloc_usable_size_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (__aeabi_idiv) + +Allocating common symbols +Common symbol size file + +descriptor_section 0x50 src/ASF/sam0/drivers/dma/dma.o +errno 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +adc_descriptor 0x10 src/drivers/padc.o +ptr_get 0x4 src/ASF/sam0/utils/stdio/read.o +umod 0x34 src/drivers/pusart.o +ptr_put 0x4 src/ASF/sam0/utils/stdio/write.o +_sercom_instances 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o +stdio_base 0x4 src/ASF/sam0/utils/stdio/write.o + +Discarded input sections + + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .data 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .text 0x00000000 0x78 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.extab 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.exidx 0x00000000 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.attributes + 0x00000000 0x1b c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text.adc_set_window_mode + 0x00000000 0x2c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text.adc_regular_ain_channel + 0x00000000 0x5c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/dma/dma.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .text.dma_free + 0x00000000 0x5c src/ASF/sam0/drivers/dma/dma.o + .text.dma_abort_job + 0x00000000 0x4c src/ASF/sam0/drivers/dma/dma.o + .text.dma_suspend_job + 0x00000000 0x30 src/ASF/sam0/drivers/dma/dma.o + .text.dma_resume_job + 0x00000000 0x68 src/ASF/sam0/drivers/dma/dma.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/dma/dma.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/dma/dma.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/sercom.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/sercom/sercom.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/read.o + .text 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .data 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/utils/stdio/read.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xef src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xca src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/utils/stdio/read.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/ASF/sam0/utils/stdio/write.o + .text 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .data 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/utils/stdio/write.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xef src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xca src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/utils/stdio/write.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/padc.o + .text 0x00000000 0x0 src/drivers/padc.o + .data 0x00000000 0x0 src/drivers/padc.o + .bss 0x00000000 0x0 src/drivers/padc.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/drivers/padc.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/drivers/padc.o + .debug_macro 0x00000000 0x8c2 src/drivers/padc.o + .debug_macro 0x00000000 0x16d src/drivers/padc.o + .debug_macro 0x00000000 0x708 src/drivers/padc.o + .debug_macro 0x00000000 0x22 src/drivers/padc.o + .debug_macro 0x00000000 0x87 src/drivers/padc.o + .debug_macro 0x00000000 0x51 src/drivers/padc.o + .debug_macro 0x00000000 0xef src/drivers/padc.o + .debug_macro 0x00000000 0x6a src/drivers/padc.o + .debug_macro 0x00000000 0x1df src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .debug_macro 0x00000000 0x76 src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x6db src/drivers/padc.o + .debug_macro 0x00000000 0xdd8 src/drivers/padc.o + .debug_macro 0x00000000 0x22 src/drivers/padc.o + .debug_macro 0x00000000 0x40 src/drivers/padc.o + .debug_macro 0x00000000 0x1c src/drivers/padc.o + .debug_macro 0x00000000 0x22 src/drivers/padc.o + .debug_macro 0x00000000 0xb5 src/drivers/padc.o + .debug_macro 0x00000000 0x3ad src/drivers/padc.o + .debug_macro 0x00000000 0x681 src/drivers/padc.o + .debug_macro 0x00000000 0x8b5 src/drivers/padc.o + .debug_macro 0x00000000 0x230 src/drivers/padc.o + .debug_macro 0x00000000 0xd60 src/drivers/padc.o + .debug_macro 0x00000000 0x4cb src/drivers/padc.o + .debug_macro 0x00000000 0x9f8 src/drivers/padc.o + .debug_macro 0x00000000 0x82b src/drivers/padc.o + .debug_macro 0x00000000 0x455 src/drivers/padc.o + .debug_macro 0x00000000 0x5e src/drivers/padc.o + .debug_macro 0x00000000 0x8fd src/drivers/padc.o + .debug_macro 0x00000000 0x21c src/drivers/padc.o + .debug_macro 0x00000000 0x95b src/drivers/padc.o + .debug_macro 0x00000000 0x5e src/drivers/padc.o + .debug_macro 0x00000000 0x667 src/drivers/padc.o + .debug_macro 0x00000000 0x3af src/drivers/padc.o + .debug_macro 0x00000000 0xc7a src/drivers/padc.o + .debug_macro 0x00000000 0x1092 src/drivers/padc.o + .debug_macro 0x00000000 0xc4a src/drivers/padc.o + .debug_macro 0x00000000 0x6ba src/drivers/padc.o + .debug_macro 0x00000000 0x1bbf src/drivers/padc.o + .debug_macro 0x00000000 0x1440 src/drivers/padc.o + .debug_macro 0x00000000 0x344 src/drivers/padc.o + .debug_macro 0x00000000 0x7c src/drivers/padc.o + .debug_macro 0x00000000 0xa0 src/drivers/padc.o + .debug_macro 0x00000000 0x4c src/drivers/padc.o + .debug_macro 0x00000000 0xca src/drivers/padc.o + .debug_macro 0x00000000 0x94 src/drivers/padc.o + .debug_macro 0x00000000 0x58 src/drivers/padc.o + .debug_macro 0x00000000 0x37d src/drivers/padc.o + .debug_macro 0x00000000 0x82 src/drivers/padc.o + .debug_macro 0x00000000 0x18d src/drivers/padc.o + .debug_macro 0x00000000 0xb8 src/drivers/padc.o + .debug_macro 0x00000000 0xa0 src/drivers/padc.o + .debug_macro 0x00000000 0xb8 src/drivers/padc.o + .debug_macro 0x00000000 0x1c src/drivers/padc.o + .debug_macro 0x00000000 0x1c src/drivers/padc.o + .debug_macro 0x00000000 0x1c src/drivers/padc.o + .debug_macro 0x00000000 0x82 src/drivers/padc.o + .debug_macro 0x00000000 0x15a src/drivers/padc.o + .debug_macro 0x00000000 0xdc src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x128 src/drivers/padc.o + .debug_macro 0x00000000 0x124 src/drivers/padc.o + .debug_macro 0x00000000 0xe2 src/drivers/padc.o + .debug_macro 0x00000000 0xe2 src/drivers/padc.o + .debug_macro 0x00000000 0xe2 src/drivers/padc.o + .debug_macro 0x00000000 0xe2 src/drivers/padc.o + .debug_macro 0x00000000 0xe2 src/drivers/padc.o + .debug_macro 0x00000000 0x130 src/drivers/padc.o + .debug_macro 0x00000000 0x106 src/drivers/padc.o + .debug_macro 0x00000000 0xfa src/drivers/padc.o + .debug_macro 0x00000000 0x40f src/drivers/padc.o + .debug_macro 0x00000000 0x40 src/drivers/padc.o + .debug_macro 0x00000000 0x37d src/drivers/padc.o + .debug_macro 0x00000000 0x1e52 src/drivers/padc.o + .debug_macro 0x00000000 0x6d src/drivers/padc.o + .debug_macro 0x00000000 0x58 src/drivers/padc.o + .debug_macro 0x00000000 0x35 src/drivers/padc.o + .debug_macro 0x00000000 0x9c src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x35 src/drivers/padc.o + .debug_macro 0x00000000 0x34d src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .debug_macro 0x00000000 0x58 src/drivers/padc.o + .debug_macro 0x00000000 0x1f src/drivers/padc.o + .debug_macro 0x00000000 0x43 src/drivers/padc.o + .debug_macro 0x00000000 0x20 src/drivers/padc.o + .debug_macro 0x00000000 0x187 src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .debug_macro 0x00000000 0x1c src/drivers/padc.o + .debug_macro 0x00000000 0x52 src/drivers/padc.o + .debug_macro 0x00000000 0x40 src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .debug_macro 0x00000000 0x40 src/drivers/padc.o + .debug_macro 0x00000000 0xd7 src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x18b src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x29 src/drivers/padc.o + .debug_macro 0x00000000 0x51 src/drivers/padc.o + .debug_macro 0x00000000 0x3e src/drivers/padc.o + .debug_macro 0x00000000 0x3df src/drivers/padc.o + .debug_macro 0x00000000 0x11 src/drivers/padc.o + .debug_macro 0x00000000 0x18 src/drivers/padc.o + .debug_macro 0x00000000 0x16 src/drivers/padc.o + .debug_macro 0x00000000 0x19 src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .debug_macro 0x00000000 0x2e src/drivers/padc.o + .debug_macro 0x00000000 0xb2 src/drivers/padc.o + .debug_macro 0x00000000 0x10 src/drivers/padc.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .group 0x00000000 0x8 src/drivers/pusart.o + .text 0x00000000 0x0 src/drivers/pusart.o + .data 0x00000000 0x0 src/drivers/pusart.o + .bss 0x00000000 0x0 src/drivers/pusart.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/drivers/pusart.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/drivers/pusart.o + .debug_macro 0x00000000 0x8c2 src/drivers/pusart.o + .debug_macro 0x00000000 0x16d src/drivers/pusart.o + .debug_macro 0x00000000 0x708 src/drivers/pusart.o + .debug_macro 0x00000000 0x22 src/drivers/pusart.o + .debug_macro 0x00000000 0x87 src/drivers/pusart.o + .debug_macro 0x00000000 0x51 src/drivers/pusart.o + .debug_macro 0x00000000 0xef src/drivers/pusart.o + .debug_macro 0x00000000 0x6a src/drivers/pusart.o + .debug_macro 0x00000000 0x1df src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x76 src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x6db src/drivers/pusart.o + .debug_macro 0x00000000 0xdd8 src/drivers/pusart.o + .debug_macro 0x00000000 0x22 src/drivers/pusart.o + .debug_macro 0x00000000 0x40 src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x22 src/drivers/pusart.o + .debug_macro 0x00000000 0xb5 src/drivers/pusart.o + .debug_macro 0x00000000 0x3ad src/drivers/pusart.o + .debug_macro 0x00000000 0x681 src/drivers/pusart.o + .debug_macro 0x00000000 0x8b5 src/drivers/pusart.o + .debug_macro 0x00000000 0x230 src/drivers/pusart.o + .debug_macro 0x00000000 0xd60 src/drivers/pusart.o + .debug_macro 0x00000000 0x4cb src/drivers/pusart.o + .debug_macro 0x00000000 0x9f8 src/drivers/pusart.o + .debug_macro 0x00000000 0x82b src/drivers/pusart.o + .debug_macro 0x00000000 0x455 src/drivers/pusart.o + .debug_macro 0x00000000 0x5e src/drivers/pusart.o + .debug_macro 0x00000000 0x8fd src/drivers/pusart.o + .debug_macro 0x00000000 0x21c src/drivers/pusart.o + .debug_macro 0x00000000 0x95b src/drivers/pusart.o + .debug_macro 0x00000000 0x5e src/drivers/pusart.o + .debug_macro 0x00000000 0x667 src/drivers/pusart.o + .debug_macro 0x00000000 0x3af src/drivers/pusart.o + .debug_macro 0x00000000 0xc7a src/drivers/pusart.o + .debug_macro 0x00000000 0x1092 src/drivers/pusart.o + .debug_macro 0x00000000 0xc4a src/drivers/pusart.o + .debug_macro 0x00000000 0x6ba src/drivers/pusart.o + .debug_macro 0x00000000 0x1bbf src/drivers/pusart.o + .debug_macro 0x00000000 0x1440 src/drivers/pusart.o + .debug_macro 0x00000000 0x344 src/drivers/pusart.o + .debug_macro 0x00000000 0x7c src/drivers/pusart.o + .debug_macro 0x00000000 0xa0 src/drivers/pusart.o + .debug_macro 0x00000000 0x4c src/drivers/pusart.o + .debug_macro 0x00000000 0xca src/drivers/pusart.o + .debug_macro 0x00000000 0x94 src/drivers/pusart.o + .debug_macro 0x00000000 0x58 src/drivers/pusart.o + .debug_macro 0x00000000 0x37d src/drivers/pusart.o + .debug_macro 0x00000000 0x82 src/drivers/pusart.o + .debug_macro 0x00000000 0x18d src/drivers/pusart.o + .debug_macro 0x00000000 0xb8 src/drivers/pusart.o + .debug_macro 0x00000000 0xa0 src/drivers/pusart.o + .debug_macro 0x00000000 0xb8 src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x82 src/drivers/pusart.o + .debug_macro 0x00000000 0x15a src/drivers/pusart.o + .debug_macro 0x00000000 0xdc src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x128 src/drivers/pusart.o + .debug_macro 0x00000000 0x124 src/drivers/pusart.o + .debug_macro 0x00000000 0xe2 src/drivers/pusart.o + .debug_macro 0x00000000 0xe2 src/drivers/pusart.o + .debug_macro 0x00000000 0xe2 src/drivers/pusart.o + .debug_macro 0x00000000 0xe2 src/drivers/pusart.o + .debug_macro 0x00000000 0xe2 src/drivers/pusart.o + .debug_macro 0x00000000 0x130 src/drivers/pusart.o + .debug_macro 0x00000000 0x106 src/drivers/pusart.o + .debug_macro 0x00000000 0xfa src/drivers/pusart.o + .debug_macro 0x00000000 0x40f src/drivers/pusart.o + .debug_macro 0x00000000 0x40 src/drivers/pusart.o + .debug_macro 0x00000000 0x37d src/drivers/pusart.o + .debug_macro 0x00000000 0x1e52 src/drivers/pusart.o + .debug_macro 0x00000000 0x6d src/drivers/pusart.o + .debug_macro 0x00000000 0x58 src/drivers/pusart.o + .debug_macro 0x00000000 0x35 src/drivers/pusart.o + .debug_macro 0x00000000 0x9c src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x35 src/drivers/pusart.o + .debug_macro 0x00000000 0x34d src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x58 src/drivers/pusart.o + .debug_macro 0x00000000 0x1f src/drivers/pusart.o + .debug_macro 0x00000000 0x43 src/drivers/pusart.o + .debug_macro 0x00000000 0x20 src/drivers/pusart.o + .debug_macro 0x00000000 0x187 src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x52 src/drivers/pusart.o + .debug_macro 0x00000000 0x40 src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x40 src/drivers/pusart.o + .debug_macro 0x00000000 0xd7 src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x18b src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x29 src/drivers/pusart.o + .debug_macro 0x00000000 0x51 src/drivers/pusart.o + .debug_macro 0x00000000 0x3e src/drivers/pusart.o + .debug_macro 0x00000000 0x3df src/drivers/pusart.o + .debug_macro 0x00000000 0x11 src/drivers/pusart.o + .debug_macro 0x00000000 0x18 src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x19 src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x1c src/drivers/pusart.o + .debug_macro 0x00000000 0x16 src/drivers/pusart.o + .debug_macro 0x00000000 0x2d8 src/drivers/pusart.o + .debug_macro 0x00000000 0x6a src/drivers/pusart.o + .debug_macro 0x00000000 0x9f4 src/drivers/pusart.o + .debug_macro 0x00000000 0x18 src/drivers/pusart.o + .debug_macro 0x00000000 0x2e src/drivers/pusart.o + .debug_macro 0x00000000 0xb2 src/drivers/pusart.o + .debug_macro 0x00000000 0x10 src/drivers/pusart.o + .debug_macro 0x00000000 0x57 src/drivers/pusart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .text.usart_write_buffer_wait + 0x00000000 0xbc src/ASF/sam0/drivers/sercom/usart/usart.o + .text.usart_read_buffer_wait + 0x00000000 0x98 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0xb2 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text._usart_write_buffer + 0x00000000 0x3c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text._usart_read_buffer + 0x00000000 0x50 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_register_callback + 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_unregister_callback + 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_write_job + 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_read_job + 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_write_buffer_job + 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_read_buffer_job + 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_abort_job + 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_get_job_status + 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0xb2 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0xb2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common2/services/delay/sam0/systick_counter.o + .text 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .data 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .bss 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .text.delay_cycles_us + 0x00000000 0x2c src/ASF/common2/services/delay/sam0/systick_counter.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x8c2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x708 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x22 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x87 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x51 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xef src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x6a src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1df src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x10 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x76 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x6db src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xdd8 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x22 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x22 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xb5 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x3ad src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x681 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x8b5 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x230 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xd60 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x4cb src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x9f8 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x82b src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x455 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x5e src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x8fd src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x21c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x95b src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x5e src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x667 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x3af src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xc7a src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1092 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xc4a src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x6ba src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1bbf src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1440 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x344 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x7c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xa0 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x4c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xca src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x94 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x58 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x37d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x82 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x18d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xb8 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xa0 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xb8 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x82 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x15a src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xdc src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x128 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x124 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xe2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xe2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xe2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xe2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xe2 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x130 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x106 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xfa src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x40f src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x37d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1e52 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x6d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x58 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x35 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x9c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x35 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x34d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x10 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x58 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1f src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x43 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x20 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x187 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x10 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x1c src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x52 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x10 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0xd7 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x18b src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x29 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x51 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x3e src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x3df src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x11 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00000000 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .text 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .data 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .bss 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x8c2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x708 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x22 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x87 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x51 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xef src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x6a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1df src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x10 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x76 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x6db src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xdd8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x22 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x40 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x22 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xb5 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x3ad src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x681 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x8b5 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x230 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xd60 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x4cb src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x9f8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x82b src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x455 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x5e src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x8fd src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x21c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x95b src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x5e src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x667 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x3af src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xc7a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1092 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xc4a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x6ba src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1bbf src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1440 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x344 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x7c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xa0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x4c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xca src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x94 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x58 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x37d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x82 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x18d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xb8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xa0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xb8 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x82 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x15a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xdc src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x128 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x124 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xe2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xe2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xe2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xe2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xe2 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x130 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x106 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xfa src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x40f src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x40 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x37d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1e52 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x6d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x58 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x35 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x9c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x35 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x34d src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x10 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x58 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1f src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x43 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x20 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x187 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x10 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x1c src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x52 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x40 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x10 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x40 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0xd7 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x18b src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x16 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x29 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x51 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x3df src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x00000000 0x3e src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .text 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .data 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xef src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xca src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x2d8 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x9f4 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/port/port.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .text.port_group_set_config + 0x00000000 0x24 src/ASF/sam0/drivers/port/port.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/port/port.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x00000000 0x12 src/ASF/sam0/drivers/port/port.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_osc32k_set_config + 0x00000000 0x7c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_xosc_set_config + 0x00000000 0xc0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_xosc32k_set_config + 0x00000000 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_dfll_set_config + 0x00000000 0x80 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_dpll_set_config + 0x00000000 0xa4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_write_calibration + 0x00000000 0x90 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_disable + 0x00000000 0x7c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .rodata.system_clock_source_disable + 0x00000000 0x24 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_is_ready + 0x00000000 0x54 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .rodata.system_clock_source_is_ready + 0x00000000 0x24 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_gen_disable + 0x00000000 0x50 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_gen_is_enabled + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_is_enabled + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_lock + 0x00000000 0x30 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_is_locked + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_is_pending + 0x00000000 0x34 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_set_pending + 0x00000000 0x44 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_clear_pending + 0x00000000 0x30 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_set_priority + 0x00000000 0x58 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_get_priority + 0x00000000 0x4c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_info 0x00000000 0xf33 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_abbrev 0x00000000 0x253 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_loc 0x00000000 0x326 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_aranges + 0x00000000 0x40 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_ranges 0x00000000 0x30 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x539 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_macro 0x00000000 0x2e src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_line 0x00000000 0x9c5 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_str 0x00000000 0x8466c src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .comment 0x00000000 0x5a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .debug_frame 0x00000000 0x74 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .ARM.attributes + 0x00000000 0x32 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_config + 0x00000000 0x34 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_input_sample_mode + 0x00000000 0x14 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_output_strength + 0x00000000 0x46 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/system/pinmux/pinmux.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/drivers/system/system.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/system.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x708 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xef src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x76 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x6db src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xdd8 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xca src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x34d src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x29 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x3e src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x3df src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x11 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x18 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/drivers/system/system.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .text 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .data 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xef src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xca src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .data 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text.SystemInit + 0x00000000 0x10 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text.SystemCoreClockUpdate + 0x00000000 0x10 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .data.SystemCoreClock + 0x00000000 0x4 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_info 0x00000000 0xd5 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_abbrev 0x00000000 0x72 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_aranges + 0x00000000 0x28 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_ranges 0x00000000 0x18 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x30c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x87 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x51 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xef src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1df src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x3a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xb5 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x3ad src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x681 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x8b5 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x230 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xd60 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x4cb src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x9f8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x82b src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x455 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x8fd src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x21c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x95b src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x5e src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x667 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x3af src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xc7a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1092 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xc4a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x6ba src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1bbf src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1440 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x344 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x7c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x4c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xca src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x94 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x18d src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xa0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xb8 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x82 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x15a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xdc src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x128 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x124 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xe2 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x130 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x106 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0xfa src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x40f src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x37d src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x1e52 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_macro 0x00000000 0x6d src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_line 0x00000000 0x627 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_str 0x00000000 0x6dc8d src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .comment 0x00000000 0x5a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .debug_frame 0x00000000 0x30 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .ARM.attributes + 0x00000000 0x32 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .data 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text.link 0x00000000 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._exit 0x00000000 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._kill 0x00000000 0x2 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._getpid 0x00000000 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x8c2 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x22 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x8d src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x9c src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x57 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x353 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x58 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x1f src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x43 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x20 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x187 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x6a src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x1c src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x52 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x10 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x40 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0xd7 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x16 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x18b src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x00000000 0x35 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .group 0x00000000 0x8 src/main.o + .text 0x00000000 0x0 src/main.o + .data 0x00000000 0x0 src/main.o + .bss 0x00000000 0x0 src/main.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/main.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/main.o + .debug_macro 0x00000000 0x8c2 src/main.o + .debug_macro 0x00000000 0x16d src/main.o + .debug_macro 0x00000000 0x708 src/main.o + .debug_macro 0x00000000 0x22 src/main.o + .debug_macro 0x00000000 0x87 src/main.o + .debug_macro 0x00000000 0x51 src/main.o + .debug_macro 0x00000000 0xef src/main.o + .debug_macro 0x00000000 0x6a src/main.o + .debug_macro 0x00000000 0x1df src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x76 src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x6db src/main.o + .debug_macro 0x00000000 0xdd8 src/main.o + .debug_macro 0x00000000 0x22 src/main.o + .debug_macro 0x00000000 0x40 src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x22 src/main.o + .debug_macro 0x00000000 0xb5 src/main.o + .debug_macro 0x00000000 0x3ad src/main.o + .debug_macro 0x00000000 0x681 src/main.o + .debug_macro 0x00000000 0x8b5 src/main.o + .debug_macro 0x00000000 0x230 src/main.o + .debug_macro 0x00000000 0xd60 src/main.o + .debug_macro 0x00000000 0x4cb src/main.o + .debug_macro 0x00000000 0x9f8 src/main.o + .debug_macro 0x00000000 0x82b src/main.o + .debug_macro 0x00000000 0x455 src/main.o + .debug_macro 0x00000000 0x5e src/main.o + .debug_macro 0x00000000 0x8fd src/main.o + .debug_macro 0x00000000 0x21c src/main.o + .debug_macro 0x00000000 0x95b src/main.o + .debug_macro 0x00000000 0x5e src/main.o + .debug_macro 0x00000000 0x667 src/main.o + .debug_macro 0x00000000 0x3af src/main.o + .debug_macro 0x00000000 0xc7a src/main.o + .debug_macro 0x00000000 0x1092 src/main.o + .debug_macro 0x00000000 0xc4a src/main.o + .debug_macro 0x00000000 0x6ba src/main.o + .debug_macro 0x00000000 0x1bbf src/main.o + .debug_macro 0x00000000 0x1440 src/main.o + .debug_macro 0x00000000 0x344 src/main.o + .debug_macro 0x00000000 0x7c src/main.o + .debug_macro 0x00000000 0xa0 src/main.o + .debug_macro 0x00000000 0x4c src/main.o + .debug_macro 0x00000000 0xca src/main.o + .debug_macro 0x00000000 0x94 src/main.o + .debug_macro 0x00000000 0x58 src/main.o + .debug_macro 0x00000000 0x37d src/main.o + .debug_macro 0x00000000 0x82 src/main.o + .debug_macro 0x00000000 0x18d src/main.o + .debug_macro 0x00000000 0xb8 src/main.o + .debug_macro 0x00000000 0xa0 src/main.o + .debug_macro 0x00000000 0xb8 src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x82 src/main.o + .debug_macro 0x00000000 0x15a src/main.o + .debug_macro 0x00000000 0xdc src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x128 src/main.o + .debug_macro 0x00000000 0x124 src/main.o + .debug_macro 0x00000000 0xe2 src/main.o + .debug_macro 0x00000000 0xe2 src/main.o + .debug_macro 0x00000000 0xe2 src/main.o + .debug_macro 0x00000000 0xe2 src/main.o + .debug_macro 0x00000000 0xe2 src/main.o + .debug_macro 0x00000000 0x130 src/main.o + .debug_macro 0x00000000 0x106 src/main.o + .debug_macro 0x00000000 0xfa src/main.o + .debug_macro 0x00000000 0x40f src/main.o + .debug_macro 0x00000000 0x40 src/main.o + .debug_macro 0x00000000 0x37d src/main.o + .debug_macro 0x00000000 0x1e52 src/main.o + .debug_macro 0x00000000 0x6d src/main.o + .debug_macro 0x00000000 0x58 src/main.o + .debug_macro 0x00000000 0x35 src/main.o + .debug_macro 0x00000000 0x9c src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x35 src/main.o + .debug_macro 0x00000000 0x34d src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x58 src/main.o + .debug_macro 0x00000000 0x1f src/main.o + .debug_macro 0x00000000 0x43 src/main.o + .debug_macro 0x00000000 0x20 src/main.o + .debug_macro 0x00000000 0x187 src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x52 src/main.o + .debug_macro 0x00000000 0x40 src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x40 src/main.o + .debug_macro 0x00000000 0xd7 src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x18b src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x29 src/main.o + .debug_macro 0x00000000 0x51 src/main.o + .debug_macro 0x00000000 0x3e src/main.o + .debug_macro 0x00000000 0x3df src/main.o + .debug_macro 0x00000000 0x11 src/main.o + .debug_macro 0x00000000 0x18 src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x19 src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x1c src/main.o + .debug_macro 0x00000000 0x16 src/main.o + .debug_macro 0x00000000 0x2d8 src/main.o + .debug_macro 0x00000000 0x6a src/main.o + .debug_macro 0x00000000 0x9f4 src/main.o + .debug_macro 0x00000000 0x18 src/main.o + .debug_macro 0x00000000 0x2e src/main.o + .debug_macro 0x00000000 0xb2 src/main.o + .debug_macro 0x00000000 0x10 src/main.o + .debug_macro 0x00000000 0x57 src/main.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .text.exit 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .debug_frame 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .text._printf_r + 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .text.fflush 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_unlock + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_lock + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text._cleanup + 0x00000000 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfp_lock_acquire + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfp_lock_release + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sinit_lock_acquire + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sinit_lock_release + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_lock_all + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_unlock_all + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .text._fwalk 0x00000000 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .text.free 0x00000000 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.__sprint_r + 0x00000000 0x1c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.vfprintf + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .text.__seofread + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .text.__swbuf 0x00000000 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .text.__sfvwrite_r + 0x00000000 0x2c4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .debug_frame 0x00000000 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .text.memmove 0x00000000 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .debug_frame 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .text._realloc_r + 0x00000000 0x4c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .debug_frame 0x00000000 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text.cleanup_glue + 0x00000000 0x1a c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text._reclaim_reent + 0x00000000 0xcc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .text._malloc_usable_size_r + 0x00000000 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .debug_frame 0x00000000 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .text 0x00000000 0x1d4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .debug_frame 0x00000000 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .ARM.attributes + 0x00000000 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .eh_frame 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .jcr 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + +Memory Configuration + +Name Origin Length Attributes +rom 0x00000000 0x00040000 xr +ram 0x20000000 0x00008000 xrw +*default* 0x00000000 0xffffffff + +Linker script and memory map + +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +LOAD src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +LOAD src/ASF/sam0/drivers/dma/dma.o +LOAD src/ASF/sam0/drivers/sercom/sercom.o +LOAD src/ASF/sam0/utils/stdio/read.o +LOAD src/ASF/sam0/utils/stdio/write.o +LOAD src/drivers/padc.o +LOAD src/drivers/pusart.o +LOAD src/ASF/sam0/drivers/sercom/usart/usart.o +LOAD src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +LOAD src/ASF/sam0/drivers/sercom/sercom_interrupt.o +LOAD src/ASF/common2/services/delay/sam0/systick_counter.o +LOAD src/ASF/common/utils/interrupt/interrupt_sam_nvic.o +LOAD src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +LOAD src/ASF/sam0/drivers/port/port.o +LOAD src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +LOAD src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +LOAD src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +LOAD src/ASF/sam0/drivers/system/pinmux/pinmux.o +LOAD src/ASF/sam0/drivers/system/system.o +LOAD src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +LOAD src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +LOAD src/ASF/sam0/utils/syscalls/gcc/syscalls.o +LOAD src/main.o +START GROUP +LOAD ../src/ASF/thirdparty/CMSIS/Lib/GCC\libarm_cortexM0l_math.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libm.a +END GROUP +START GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a +END GROUP +START GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a +END GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x00002000 STACK_SIZE = DEFINED (STACK_SIZE)?STACK_SIZE:DEFINED (__stack_size__)?__stack_size__:0x2000 + +.text 0x00000000 0x3960 + 0x00000000 . = ALIGN (0x4) + 0x00000000 _sfixed = . + *(.vectors .vectors.*) + .vectors 0x00000000 0xb4 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x00000000 exception_table + *(.text .text.* .gnu.linkonce.t.*) + .text 0x000000b4 0x60 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .text.adc_get_config_defaults + 0x00000114 0x46 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x00000114 adc_get_config_defaults + *fill* 0x0000015a 0x2 + .text.adc_init + 0x0000015c 0x3f0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x0000015c adc_init + .text.DMAC_Handler + 0x0000054c 0xb4 src/ASF/sam0/drivers/dma/dma.o + 0x0000054c DMAC_Handler + .text.dma_get_config_defaults + 0x00000600 0x10 src/ASF/sam0/drivers/dma/dma.o + 0x00000600 dma_get_config_defaults + .text.dma_allocate + 0x00000610 0x148 src/ASF/sam0/drivers/dma/dma.o + 0x00000610 dma_allocate + .text.dma_start_transfer_job + 0x00000758 0x88 src/ASF/sam0/drivers/dma/dma.o + 0x00000758 dma_start_transfer_job + .text.dma_descriptor_create + 0x000007e0 0xa8 src/ASF/sam0/drivers/dma/dma.o + 0x000007e0 dma_descriptor_create + .text.dma_add_descriptor + 0x00000888 0x2a src/ASF/sam0/drivers/dma/dma.o + 0x00000888 dma_add_descriptor + .text.long_division + 0x000008b2 0xba src/ASF/sam0/drivers/sercom/sercom.o + .text._sercom_get_sync_baud_val + 0x0000096c 0x28 src/ASF/sam0/drivers/sercom/sercom.o + 0x0000096c _sercom_get_sync_baud_val + .text._sercom_get_async_baud_val + 0x00000994 0x94 src/ASF/sam0/drivers/sercom/sercom.o + 0x00000994 _sercom_get_async_baud_val + .text.sercom_set_gclk_generator + 0x00000a28 0x4c src/ASF/sam0/drivers/sercom/sercom.o + 0x00000a28 sercom_set_gclk_generator + .text._sercom_get_default_pad + 0x00000a74 0x178 src/ASF/sam0/drivers/sercom/sercom.o + 0x00000a74 _sercom_get_default_pad + .text._sercom_get_sercom_inst_index + 0x00000bec 0x38 src/ASF/sam0/drivers/sercom/sercom.o + 0x00000bec _sercom_get_sercom_inst_index + .text._read 0x00000c24 0x44 src/ASF/sam0/utils/stdio/read.o + 0x00000c24 _read + .text._write 0x00000c68 0x50 src/ASF/sam0/utils/stdio/write.o + 0x00000c68 _write + .text.padc_init + 0x00000cb8 0xe0 src/drivers/padc.o + 0x00000cb8 padc_init + .text.padc_start + 0x00000d98 0x34 src/drivers/padc.o + 0x00000d98 padc_start + .text.padc_get_raw + 0x00000dcc 0x1c src/drivers/padc.o + 0x00000dcc padc_get_raw + .text.padc_get_voltage + 0x00000de8 0x28 src/drivers/padc.o + 0x00000de8 padc_get_voltage + .text.usart_serial_getchar + 0x00000e10 0x2c src/drivers/pusart.o + .text.usart_serial_putchar + 0x00000e3c 0x18 src/drivers/pusart.o + .text.pusart_init + 0x00000e54 0xf0 src/drivers/pusart.o + 0x00000e54 pusart_init + .text.usart_init + 0x00000f44 0x33c src/ASF/sam0/drivers/sercom/usart/usart.o + 0x00000f44 usart_init + .text.usart_write_wait + 0x00001280 0x26 src/ASF/sam0/drivers/sercom/usart/usart.o + 0x00001280 usart_write_wait + .text.usart_read_wait + 0x000012a6 0x6a src/ASF/sam0/drivers/sercom/usart/usart.o + 0x000012a6 usart_read_wait + .text._usart_interrupt_handler + 0x00001310 0x194 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + 0x00001310 _usart_interrupt_handler + .text._sercom_default_handler + 0x000014a4 0x2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + *fill* 0x000014a6 0x2 + .text._sercom_set_handler + 0x000014a8 0x3c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000014a8 _sercom_set_handler + .text._sercom_get_interrupt_vector + 0x000014e4 0x30 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000014e4 _sercom_get_interrupt_vector + .text.SERCOM0_Handler + 0x00001514 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001514 SERCOM0_Handler + .text.SERCOM1_Handler + 0x00001524 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001524 SERCOM1_Handler + .text.SERCOM2_Handler + 0x00001534 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001534 SERCOM2_Handler + .text.SERCOM3_Handler + 0x00001544 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001544 SERCOM3_Handler + .text.SERCOM4_Handler + 0x00001554 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001554 SERCOM4_Handler + .text.SERCOM5_Handler + 0x00001564 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001564 SERCOM5_Handler + .text.delay_init + 0x00001574 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + 0x00001574 delay_init + .text.delay_cycles_ms + 0x000015b4 0x2c src/ASF/common2/services/delay/sam0/systick_counter.o + 0x000015b4 delay_cycles_ms + .text.cpu_irq_enter_critical + 0x000015e0 0x40 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x000015e0 cpu_irq_enter_critical + .text.cpu_irq_leave_critical + 0x00001620 0x30 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x00001620 cpu_irq_leave_critical + .text.system_board_init + 0x00001650 0x38 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + 0x00001650 board_init + 0x00001650 system_board_init + .text.port_pin_set_config + 0x00001688 0x24 src/ASF/sam0/drivers/port/port.o + 0x00001688 port_pin_set_config + .text.system_clock_source_get_hz + 0x000016ac 0x94 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x000016ac system_clock_source_get_hz + .text.system_clock_source_osc8m_set_config + 0x00001740 0x3c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x00001740 system_clock_source_osc8m_set_config + .text.system_clock_source_enable + 0x0000177c 0xa8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x0000177c system_clock_source_enable + .text.system_clock_init + 0x00001824 0x98 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x00001824 system_clock_init + .text.system_gclk_init + 0x000018bc 0x24 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000018bc system_gclk_init + .text.system_gclk_gen_set_config + 0x000018e0 0xb8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000018e0 system_gclk_gen_set_config + .text.system_gclk_gen_enable + 0x00001998 0x44 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001998 system_gclk_gen_enable + .text.system_gclk_gen_get_hz + 0x000019dc 0x8c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000019dc system_gclk_gen_get_hz + .text.system_gclk_chan_enable + 0x00001a68 0x30 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001a68 system_gclk_chan_enable + .text.system_gclk_chan_disable + 0x00001a98 0x5c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001a98 system_gclk_chan_disable + .text.system_gclk_chan_set_config + 0x00001af4 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001af4 system_gclk_chan_set_config + .text.system_gclk_chan_get_hz + 0x00001b10 0x34 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001b10 system_gclk_chan_get_hz + .text._system_pinmux_config + 0x00001b44 0xa8 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_pin_set_config + 0x00001bec 0x30 src/ASF/sam0/drivers/system/pinmux/pinmux.o + 0x00001bec system_pinmux_pin_set_config + .text._system_dummy_init + 0x00001c1c 0x2 src/ASF/sam0/drivers/system/system.o + 0x00001c1c _system_extint_init + 0x00001c1c _system_events_init + 0x00001c1c _system_dummy_init + 0x00001c1c _system_divas_init + *fill* 0x00001c1e 0x2 + .text.system_init + 0x00001c20 0x2c src/ASF/sam0/drivers/system/system.o + 0x00001c20 system_init + .text.Dummy_Handler + 0x00001c4c 0x2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x00001c4c HardFault_Handler + 0x00001c4c AC_Handler + 0x00001c4c SysTick_Handler + 0x00001c4c PendSV_Handler + 0x00001c4c NMI_Handler + 0x00001c4c TC7_Handler + 0x00001c4c ADC_Handler + 0x00001c4c TCC1_Handler + 0x00001c4c TCC0_Handler + 0x00001c4c RTC_Handler + 0x00001c4c EIC_Handler + 0x00001c4c TC6_Handler + 0x00001c4c WDT_Handler + 0x00001c4c TC4_Handler + 0x00001c4c USB_Handler + 0x00001c4c TC3_Handler + 0x00001c4c Dummy_Handler + 0x00001c4c PM_Handler + 0x00001c4c SVC_Handler + 0x00001c4c TCC2_Handler + 0x00001c4c EVSYS_Handler + 0x00001c4c I2S_Handler + 0x00001c4c NVMCTRL_Handler + 0x00001c4c DAC_Handler + 0x00001c4c PTC_Handler + 0x00001c4c TC5_Handler + 0x00001c4c SYSCTRL_Handler + *fill* 0x00001c4e 0x2 + .text.Reset_Handler + 0x00001c50 0xe4 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x00001c50 Reset_Handler + .text._sbrk 0x00001d34 0x24 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001d34 _sbrk + .text._close 0x00001d58 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001d58 _close + .text._fstat 0x00001d5e 0xa src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001d5e _fstat + .text._isatty 0x00001d68 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001d68 _isatty + .text._lseek 0x00001d6c 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001d6c _lseek + .text.main 0x00001d70 0x84 src/main.o + 0x00001d70 main + .text 0x00001df4 0x114 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + 0x00001df4 __aeabi_uidiv + 0x00001df4 __udivsi3 + 0x00001f00 __aeabi_uidivmod + .text 0x00001f08 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + 0x00001f08 __aeabi_idiv0 + 0x00001f08 __aeabi_ldiv0 + .text 0x00001f0c 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + 0x00001f0c __muldi3 + 0x00001f0c __aeabi_lmul + .text 0x00001f60 0x240 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + 0x00001f60 __aeabi_fmul + .text 0x000021a0 0x338 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + 0x000021a0 __aeabi_fsub + .text 0x000024d8 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + 0x000024d8 __aeabi_f2iz + .text 0x00002518 0xa0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + 0x00002518 __aeabi_i2f + .text 0x000025b8 0x8c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + 0x000025b8 __aeabi_ui2f + .text 0x00002644 0x3c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + 0x00002644 __clzsi2 + .text.__libc_init_array + 0x00002680 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + 0x00002680 __libc_init_array + .text.memcpy 0x000026c8 0x12 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + 0x000026c8 memcpy + .text.memset 0x000026da 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + 0x000026da memset + *fill* 0x000026ea 0x2 + .text.printf 0x000026ec 0x34 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + 0x000026ec iprintf + 0x000026ec printf + .text.setbuf 0x00002720 0x12 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + 0x00002720 setbuf + *fill* 0x00002732 0x2 + .text.setvbuf 0x00002734 0x158 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + 0x00002734 setvbuf + .text.__sflush_r + 0x0000288c 0x114 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + 0x0000288c __sflush_r + .text._fflush_r + 0x000029a0 0x58 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + 0x000029a0 _fflush_r + .text._cleanup_r + 0x000029f8 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x000029f8 _cleanup_r + .text.std.isra.0 + 0x00002a08 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfmoreglue + 0x00002a50 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002a50 __sfmoreglue + .text.__sinit 0x00002a7c 0x68 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002a7c __sinit + .text.__sfp 0x00002ae4 0x80 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002ae4 __sfp + .text._fwalk_reent + 0x00002b64 0x42 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + 0x00002b64 _fwalk_reent + *fill* 0x00002ba6 0x2 + .text.__swhatbuf_r + 0x00002ba8 0x50 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + 0x00002ba8 __swhatbuf_r + .text.__smakebuf_r + 0x00002bf8 0x88 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + 0x00002bf8 __smakebuf_r + .text.malloc 0x00002c80 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + 0x00002c80 malloc + .text._free_r 0x00002c94 0x94 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + 0x00002c94 _free_r + .text._malloc_r + 0x00002d28 0xbc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x00002d28 _malloc_r + .text.__sfputc_r + 0x00002de4 0x2a c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.__sfputs_r + 0x00002e0e 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + 0x00002e0e __sfputs_r + *fill* 0x00002e32 0x2 + .text._vfprintf_r + 0x00002e34 0x238 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + 0x00002e34 _vfprintf_r + 0x00002e34 _vfiprintf_r + .text._printf_common + 0x0000306c 0xda c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x0000306c _printf_common + *fill* 0x00003146 0x2 + .text._printf_i + 0x00003148 0x234 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x00003148 _printf_i + .text._sbrk_r 0x0000337c 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + 0x0000337c _sbrk_r + .text.__sread 0x000033a0 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x000033a0 __sread + .text.__swrite + 0x000033c8 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x000033c8 __swrite + .text.__sseek 0x00003400 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x00003400 __sseek + .text.__sclose + 0x0000342c 0xc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x0000342c __sclose + .text.__swbuf_r + 0x00003438 0xac c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + 0x00003438 __swbuf_r + .text._write_r + 0x000034e4 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + 0x000034e4 _write_r + .text.__swsetup_r + 0x0000350c 0xec c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + 0x0000350c __swsetup_r + .text._close_r + 0x000035f8 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + 0x000035f8 _close_r + .text._fstat_r + 0x0000361c 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + 0x0000361c _fstat_r + .text._isatty_r + 0x00003640 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + 0x00003640 _isatty_r + .text._lseek_r + 0x00003664 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + 0x00003664 _lseek_r + .text.memchr 0x0000368c 0x16 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + 0x0000368c memchr + .text.__malloc_lock + 0x000036a2 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + 0x000036a2 __malloc_lock + .text.__malloc_unlock + 0x000036a4 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + 0x000036a4 __malloc_unlock + *fill* 0x000036a6 0x2 + .text._read_r 0x000036a8 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + 0x000036a8 _read_r + *(.glue_7t) + .glue_7t 0x000036d0 0x0 linker stubs + *(.glue_7) + .glue_7 0x000036d0 0x0 linker stubs + *(.rodata .rodata* .gnu.linkonce.r.*) + .rodata.adc_init + 0x000036d0 0xd4 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .rodata 0x000037a4 0x50 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .rodata 0x000037f4 0x18 src/ASF/sam0/drivers/sercom/sercom.o + .rodata.system_clock_source_get_hz + 0x0000380c 0x24 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .rodata.system_clock_source_enable + 0x00003830 0x24 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .rodata.main.str1.4 + 0x00003854 0x14 src/main.o + 0x13 (size before relaxing) + .rodata 0x00003868 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .rodata._global_impure_ptr + 0x000038a8 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x000038a8 _global_impure_ptr + .rodata.__sf_fake_stderr + 0x000038ac 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x000038ac __sf_fake_stderr + .rodata.__sf_fake_stdin + 0x000038cc 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x000038cc __sf_fake_stdin + .rodata.__sf_fake_stdout + 0x000038ec 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x000038ec __sf_fake_stdout + .rodata._vfprintf_r.str1.1 + 0x0000390c 0x11 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .rodata._printf_i.str1.1 + 0x0000391d 0x22 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + *(.ARM.extab* .gnu.linkonce.armextab.*) + 0x00003940 . = ALIGN (0x4) + *fill* 0x0000393f 0x1 + *(.init) + .init 0x00003940 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + 0x00003940 _init + .init 0x00003944 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x0000394c . = ALIGN (0x4) + 0x0000394c __preinit_array_start = . + *(.preinit_array) + 0x0000394c __preinit_array_end = . + 0x0000394c . = ALIGN (0x4) + 0x0000394c __init_array_start = . + *(SORT(.init_array.*)) + *(.init_array) + .init_array 0x0000394c 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + 0x00003950 __init_array_end = . + 0x00003950 . = ALIGN (0x4) + *crtbegin.o(.ctors) + *(EXCLUDE_FILE(*crtend.o) .ctors) + *(SORT(.ctors.*)) + *crtend.o(.ctors) + 0x00003950 . = ALIGN (0x4) + *(.fini) + .fini 0x00003950 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + 0x00003950 _fini + .fini 0x00003954 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x0000395c . = ALIGN (0x4) + 0x0000395c __fini_array_start = . + *(.fini_array) + .fini_array 0x0000395c 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + *(SORT(.fini_array.*)) + 0x00003960 __fini_array_end = . + *crtbegin.o(.dtors) + *(EXCLUDE_FILE(*crtend.o) .dtors) + *(SORT(.dtors.*)) + *crtend.o(.dtors) + 0x00003960 . = ALIGN (0x4) + 0x00003960 _efixed = . + [!provide] PROVIDE (__exidx_start, .) + +.vfp11_veneer 0x00003960 0x0 + .vfp11_veneer 0x00003960 0x0 linker stubs + +.v4_bx 0x00003960 0x0 + .v4_bx 0x00003960 0x0 linker stubs + +.iplt 0x00003960 0x0 + .iplt 0x00003960 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.eh_frame 0x00003960 0x0 + .eh_frame 0x00003960 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.rel.dyn 0x00003960 0x0 + .rel.iplt 0x00003960 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.jcr 0x00003960 0x0 + .jcr 0x00003960 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.igot.plt 0x00003960 0x0 + .igot.plt 0x00003960 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.ARM.exidx + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + [!provide] PROVIDE (__exidx_end, .) + 0x00003960 . = ALIGN (0x4) + 0x00003960 _etext = . + +.relocate 0x20000000 0x7c load address 0x00003960 + 0x20000000 . = ALIGN (0x4) + 0x20000000 _srelocate = . + *(.ramfunc .ramfunc.*) + *(.data .data.*) + .data._dma_inst + 0x20000000 0xc src/ASF/sam0/drivers/dma/dma.o + 0x20000000 _dma_inst + .data.cycles_per_ms + 0x2000000c 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .data.cycles_per_us + 0x20000010 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .data.g_interrupt_enabled + 0x20000014 0x1 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x20000014 g_interrupt_enabled + *fill* 0x20000015 0x3 + .data._impure_ptr + 0x20000018 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x20000018 _impure_ptr + .data.impure_data + 0x2000001c 0x60 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x2000007c . = ALIGN (0x4) + 0x2000007c _erelocate = . + +.bss 0x20000080 0x220 load address 0x000039e0 + 0x20000080 . = ALIGN (0x4) + 0x20000080 _sbss = . + 0x20000080 _szero = . + *(.bss .bss.*) + .bss 0x20000080 0x1c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .bss._dma_active_resource + 0x2000009c 0x14 src/ASF/sam0/drivers/dma/dma.o + .bss._write_back_section + 0x200000b0 0x50 src/ASF/sam0/drivers/dma/dma.o + .bss.g_chan_interrupt_flag + 0x20000100 0x5 src/ASF/sam0/drivers/dma/dma.o + 0x20000100 g_chan_interrupt_flag + *fill* 0x20000105 0x3 + .bss._sercom_config + 0x20000108 0x2 src/ASF/sam0/drivers/sercom/sercom.o + *fill* 0x2000010a 0x2 + .bss.adc_mod 0x2000010c 0x8 src/drivers/padc.o + .bss.adc_resource + 0x20000114 0x1c src/drivers/padc.o + .bss.raw_adc_values + 0x20000130 0x20 src/drivers/padc.o + .bss.uconf.12999 + 0x20000150 0x40 src/drivers/pusart.o + .bss._handler_table_initialized + 0x20000190 0x1 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + *fill* 0x20000191 0x3 + .bss._sercom_interrupt_handlers + 0x20000194 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x200001ac 0x4 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .bss.cpu_irq_prev_interrupt_state + 0x200001b0 0x1 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + *fill* 0x200001b1 0x3 + .bss._system_clock_inst + 0x200001b4 0x18 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.heap.5296 + 0x200001cc 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .bss.__malloc_free_list + 0x200001d0 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x200001d0 __malloc_free_list + .bss.__malloc_sbrk_start + 0x200001d4 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x200001d4 __malloc_sbrk_start + *(COMMON) + *fill* 0x200001d8 0x8 + COMMON 0x200001e0 0x50 src/ASF/sam0/drivers/dma/dma.o + 0x200001e0 descriptor_section + COMMON 0x20000230 0x4 src/ASF/sam0/utils/stdio/read.o + 0x20000230 ptr_get + COMMON 0x20000234 0x8 src/ASF/sam0/utils/stdio/write.o + 0x20000234 ptr_put + 0x20000238 stdio_base + *fill* 0x2000023c 0x4 + COMMON 0x20000240 0x10 src/drivers/padc.o + 0x20000240 adc_descriptor + COMMON 0x20000250 0x34 src/drivers/pusart.o + 0x20000250 umod + COMMON 0x20000284 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x20000284 _sercom_instances + COMMON 0x2000029c 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + 0x2000029c errno + 0x200002a0 . = ALIGN (0x4) + 0x200002a0 _ebss = . + 0x200002a0 _ezero = . + +.stack 0x200002a0 0x2000 load address 0x00003c00 + 0x200002a0 . = ALIGN (0x8) + 0x200002a0 _sstack = . + 0x200022a0 . = (. + STACK_SIZE) + *fill* 0x200002a0 0x2000 + 0x200022a0 . = ALIGN (0x8) + 0x200022a0 _estack = . + 0x200022a0 . = ALIGN (0x4) + 0x200022a0 _end = . +OUTPUT(D21_ADC_with_DMA.elf elf32-littlearm) + +.ARM.attributes + 0x00000000 0x28 + .ARM.attributes + 0x00000000 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .ARM.attributes + 0x0000001e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .ARM.attributes + 0x0000004a 0x32 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .ARM.attributes + 0x0000007c 0x32 src/ASF/sam0/drivers/dma/dma.o + .ARM.attributes + 0x000000ae 0x32 src/ASF/sam0/drivers/sercom/sercom.o + .ARM.attributes + 0x000000e0 0x32 src/ASF/sam0/utils/stdio/read.o + .ARM.attributes + 0x00000112 0x32 src/ASF/sam0/utils/stdio/write.o + .ARM.attributes + 0x00000144 0x32 src/drivers/padc.o + .ARM.attributes + 0x00000176 0x32 src/drivers/pusart.o + .ARM.attributes + 0x000001a8 0x32 src/ASF/sam0/drivers/sercom/usart/usart.o + .ARM.attributes + 0x000001da 0x32 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .ARM.attributes + 0x0000020c 0x32 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .ARM.attributes + 0x0000023e 0x32 src/ASF/common2/services/delay/sam0/systick_counter.o + .ARM.attributes + 0x00000270 0x32 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .ARM.attributes + 0x000002a2 0x32 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .ARM.attributes + 0x000002d4 0x32 src/ASF/sam0/drivers/port/port.o + .ARM.attributes + 0x00000306 0x32 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .ARM.attributes + 0x00000338 0x32 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .ARM.attributes + 0x0000036a 0x32 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .ARM.attributes + 0x0000039c 0x32 src/ASF/sam0/drivers/system/system.o + .ARM.attributes + 0x000003ce 0x32 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .ARM.attributes + 0x00000400 0x32 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .ARM.attributes + 0x00000432 0x32 src/main.o + .ARM.attributes + 0x00000464 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .ARM.attributes + 0x00000482 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .ARM.attributes + 0x000004a0 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .ARM.attributes + 0x000004cc 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .ARM.attributes + 0x000004f8 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .ARM.attributes + 0x00000524 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .ARM.attributes + 0x00000550 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .ARM.attributes + 0x0000057c 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .ARM.attributes + 0x000005a8 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .ARM.attributes + 0x000005c6 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .ARM.attributes + 0x000005f2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .ARM.attributes + 0x0000061e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .ARM.attributes + 0x0000064a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .ARM.attributes + 0x00000676 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .ARM.attributes + 0x000006a2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .ARM.attributes + 0x000006ce 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .ARM.attributes + 0x000006fa 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .ARM.attributes + 0x00000726 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .ARM.attributes + 0x00000752 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .ARM.attributes + 0x0000077e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .ARM.attributes + 0x000007aa 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .ARM.attributes + 0x000007d6 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .ARM.attributes + 0x00000802 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .ARM.attributes + 0x0000082e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .ARM.attributes + 0x0000085a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .ARM.attributes + 0x00000886 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .ARM.attributes + 0x000008b2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .ARM.attributes + 0x000008de 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .ARM.attributes + 0x0000090a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .ARM.attributes + 0x00000936 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .ARM.attributes + 0x00000962 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .ARM.attributes + 0x0000098e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .ARM.attributes + 0x000009ba 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .ARM.attributes + 0x000009e6 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .ARM.attributes + 0x00000a12 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .ARM.attributes + 0x00000a3e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .ARM.attributes + 0x00000a6a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .ARM.attributes + 0x00000a96 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .ARM.attributes + 0x00000ac2 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + +.comment 0x00000000 0x59 + .comment 0x00000000 0x59 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x5a (size before relaxing) + .comment 0x00000059 0x5a src/ASF/sam0/drivers/dma/dma.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/sercom.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/stdio/read.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/stdio/write.o + .comment 0x00000059 0x5a src/drivers/padc.o + .comment 0x00000059 0x5a src/drivers/pusart.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/usart/usart.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .comment 0x00000059 0x5a src/ASF/common2/services/delay/sam0/systick_counter.o + .comment 0x00000059 0x5a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .comment 0x00000059 0x5a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/port/port.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/system.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .comment 0x00000059 0x5a src/main.o + +.debug_info 0x00000000 0x2e296 + .debug_info 0x00000000 0x3d3e src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_info 0x00003d3e 0x356b src/ASF/sam0/drivers/dma/dma.o + .debug_info 0x000072a9 0x2ddf src/ASF/sam0/drivers/sercom/sercom.o + .debug_info 0x0000a088 0xacf src/ASF/sam0/utils/stdio/read.o + .debug_info 0x0000ab57 0xacc src/ASF/sam0/utils/stdio/write.o + .debug_info 0x0000b623 0x268a src/drivers/padc.o + .debug_info 0x0000dcad 0x37a0 src/drivers/pusart.o + .debug_info 0x0001144d 0x499e src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_info 0x00015deb 0x3048 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_info 0x00018e33 0x2be7 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_info 0x0001ba1a 0xb8d src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_info 0x0001c5a7 0xb05 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_info 0x0001d0ac 0x12ad src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_info 0x0001e359 0x12b6 src/ASF/sam0/drivers/port/port.o + .debug_info 0x0001f60f 0x37aa src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_info 0x00022db9 0x1ec7 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_info 0x00024c80 0x13f3 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_info 0x00026073 0xa73 src/ASF/sam0/drivers/system/system.o + .debug_info 0x00026ae6 0x3a3f src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_info 0x0002a525 0xd98 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_info 0x0002b2bd 0x2fd9 src/main.o + +.debug_abbrev 0x00000000 0x4281 + .debug_abbrev 0x00000000 0x45c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_abbrev 0x0000045c 0x4a9 src/ASF/sam0/drivers/dma/dma.o + .debug_abbrev 0x00000905 0x378 src/ASF/sam0/drivers/sercom/sercom.o + .debug_abbrev 0x00000c7d 0x218 src/ASF/sam0/utils/stdio/read.o + .debug_abbrev 0x00000e95 0x203 src/ASF/sam0/utils/stdio/write.o + .debug_abbrev 0x00001098 0x389 src/drivers/padc.o + .debug_abbrev 0x00001421 0x3ed src/drivers/pusart.o + .debug_abbrev 0x0000180e 0x467 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_abbrev 0x00001c75 0x416 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_abbrev 0x0000208b 0x2d8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_abbrev 0x00002363 0x24b src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_abbrev 0x000025ae 0x23b src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_abbrev 0x000027e9 0x2dc src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_abbrev 0x00002ac5 0x298 src/ASF/sam0/drivers/port/port.o + .debug_abbrev 0x00002d5d 0x465 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_abbrev 0x000031c2 0x40d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_abbrev 0x000035cf 0x361 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_abbrev 0x00003930 0x1e1 src/ASF/sam0/drivers/system/system.o + .debug_abbrev 0x00003b11 0x234 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_abbrev 0x00003d45 0x257 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_abbrev 0x00003f9c 0x2e5 src/main.o + +.debug_loc 0x00000000 0x4e91 + .debug_loc 0x00000000 0x859 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_loc 0x00000859 0x53a src/ASF/sam0/drivers/dma/dma.o + .debug_loc 0x00000d93 0x9d5 src/ASF/sam0/drivers/sercom/sercom.o + .debug_loc 0x00001768 0xca src/ASF/sam0/utils/stdio/read.o + .debug_loc 0x00001832 0x130 src/ASF/sam0/utils/stdio/write.o + .debug_loc 0x00001962 0x1e6 src/drivers/padc.o + .debug_loc 0x00001b48 0x226 src/drivers/pusart.o + .debug_loc 0x00001d6e 0xd40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_loc 0x00002aae 0x711 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_loc 0x000031bf 0x161 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_loc 0x00003320 0xe4 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_loc 0x00003404 0x8b src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_loc 0x0000348f 0x13d src/ASF/sam0/drivers/port/port.o + .debug_loc 0x000035cc 0xec7 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_loc 0x00004493 0x504 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_loc 0x00004997 0x36d src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_loc 0x00004d04 0x20 src/ASF/sam0/drivers/system/system.o + .debug_loc 0x00004d24 0x40 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_loc 0x00004d64 0xef src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_loc 0x00004e53 0x3e src/main.o + +.debug_aranges 0x00000000 0x548 + .debug_aranges + 0x00000000 0x38 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_aranges + 0x00000038 0x68 src/ASF/sam0/drivers/dma/dma.o + .debug_aranges + 0x000000a0 0x48 src/ASF/sam0/drivers/sercom/sercom.o + .debug_aranges + 0x000000e8 0x20 src/ASF/sam0/utils/stdio/read.o + .debug_aranges + 0x00000108 0x20 src/ASF/sam0/utils/stdio/write.o + .debug_aranges + 0x00000128 0x38 src/drivers/padc.o + .debug_aranges + 0x00000160 0x30 src/drivers/pusart.o + .debug_aranges + 0x00000190 0x40 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_aranges + 0x000001d0 0x70 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_aranges + 0x00000240 0x60 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_aranges + 0x000002a0 0x30 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_aranges + 0x000002d0 0x28 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_aranges + 0x000002f8 0x20 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_aranges + 0x00000318 0x28 src/ASF/sam0/drivers/port/port.o + .debug_aranges + 0x00000340 0x78 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_aranges + 0x000003b8 0x80 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_aranges + 0x00000438 0x40 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_aranges + 0x00000478 0x28 src/ASF/sam0/drivers/system/system.o + .debug_aranges + 0x000004a0 0x28 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_aranges + 0x000004c8 0x60 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_aranges + 0x00000528 0x20 src/main.o + +.debug_ranges 0x00000000 0x610 + .debug_ranges 0x00000000 0xa0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_ranges 0x000000a0 0x88 src/ASF/sam0/drivers/dma/dma.o + .debug_ranges 0x00000128 0x50 src/ASF/sam0/drivers/sercom/sercom.o + .debug_ranges 0x00000178 0x10 src/ASF/sam0/utils/stdio/read.o + .debug_ranges 0x00000188 0x10 src/ASF/sam0/utils/stdio/write.o + .debug_ranges 0x00000198 0x28 src/drivers/padc.o + .debug_ranges 0x000001c0 0x20 src/drivers/pusart.o + .debug_ranges 0x000001e0 0x140 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_ranges 0x00000320 0x60 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_ranges 0x00000380 0x50 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_ranges 0x000003d0 0x50 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_ranges 0x00000420 0x18 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_ranges 0x00000438 0x10 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_ranges 0x00000448 0x18 src/ASF/sam0/drivers/port/port.o + .debug_ranges 0x00000460 0x68 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_ranges 0x000004c8 0x88 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_ranges 0x00000550 0x30 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_ranges 0x00000580 0x18 src/ASF/sam0/drivers/system/system.o + .debug_ranges 0x00000598 0x18 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_ranges 0x000005b0 0x50 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_ranges 0x00000600 0x10 src/main.o + +.debug_macro 0x00000000 0x1ca44 + .debug_macro 0x00000000 0x585 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00000585 0x8c2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00000e47 0x16d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00000fb4 0x708 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000016bc 0x22 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000016de 0x87 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00001765 0x51 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000017b6 0xef src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000018a5 0x6a src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000190f 0x1df src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00001aee 0x10 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00001afe 0x76 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00001b74 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00001b8a 0x6db src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00002265 0xdd8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000303d 0x22 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000305f 0x40 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000309f 0x1c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000030bb 0x22 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000030dd 0xb5 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00003192 0x3ad src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000353f 0x681 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00003bc0 0x8b5 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00004475 0x230 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000046a5 0xd60 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00005405 0x4cb src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000058d0 0x9f8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000062c8 0x82b src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00006af3 0x455 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00006f48 0x5e src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00006fa6 0x8fd src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000078a3 0x21c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00007abf 0x95b src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000841a 0x5e src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00008478 0x667 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00008adf 0x3af src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00008e8e 0xc7a src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00009b08 0x1092 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000ab9a 0xc4a src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000b7e4 0x6ba src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000be9e 0x1bbf src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000da5d 0x1440 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000ee9d 0x344 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f1e1 0x7c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f25d 0xa0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f2fd 0x4c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f349 0xca src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f413 0x94 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f4a7 0x58 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f4ff 0x37d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f87c 0x82 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000f8fe 0x18d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fa8b 0xb8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fb43 0xa0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fbe3 0xb8 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fc9b 0x1c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fcb7 0x1c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fcd3 0x1c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fcef 0x82 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fd71 0x15a src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000fecb 0xdc src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0000ffa7 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000100cf 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000101f7 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001031f 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010447 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001056f 0x128 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010697 0x124 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000107bb 0xe2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001089d 0xe2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001097f 0xe2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010a61 0xe2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010b43 0xe2 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010c25 0x130 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010d55 0x106 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010e5b 0xfa src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00010f55 0x40f src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00011364 0x40 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000113a4 0x37d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00011721 0x1e52 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013573 0x6d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000135e0 0x58 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013638 0x35 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001366d 0x9c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013709 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001371f 0x35 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013754 0x34d src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013aa1 0x10 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013ab1 0x58 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013b09 0x1f src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013b28 0x43 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013b6b 0x20 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013b8b 0x187 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013d12 0x10 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013d22 0x1c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013d3e 0x52 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013d90 0x40 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013dd0 0x10 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013de0 0x40 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013e20 0xd7 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013ef7 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00013f0d 0x18b src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00014098 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000140ae 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000140c4 0x29 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000140ed 0x51 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001413e 0x3e src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001417c 0x3df src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001455b 0x11 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001456c 0x18 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x00014584 0x16 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x0001459a 0x19 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_macro 0x000145b3 0x598 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014b4b 0x52 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014b9d 0x8d src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014c2a 0x57 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014c81 0x353 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014fd4 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00014fe4 0xaf src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x00015093 0x1c src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x000150af 0x10 src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x000150bf 0x2e src/ASF/sam0/drivers/dma/dma.o + .debug_macro 0x000150ed 0x5ac src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x00015699 0xb2 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x0001574b 0x10 src/ASF/sam0/drivers/sercom/sercom.o + .debug_macro 0x0001575b 0x51f src/ASF/sam0/utils/stdio/read.o + .debug_macro 0x00015c7a 0x51f src/ASF/sam0/utils/stdio/write.o + .debug_macro 0x00016199 0x67c src/drivers/padc.o + .debug_macro 0x00016815 0x10 src/drivers/padc.o + .debug_macro 0x00016825 0x1c src/drivers/padc.o + .debug_macro 0x00016841 0x16 src/drivers/padc.o + .debug_macro 0x00016857 0x2d8 src/drivers/padc.o + .debug_macro 0x00016b2f 0x6a src/drivers/padc.o + .debug_macro 0x00016b99 0x9f4 src/drivers/padc.o + .debug_macro 0x0001758d 0x18 src/drivers/padc.o + .debug_macro 0x000175a5 0x57 src/drivers/padc.o + .debug_macro 0x000175fc 0x67c src/drivers/pusart.o + .debug_macro 0x00017c78 0x5bd src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00018235 0x51 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_macro 0x00018286 0x5bd src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_macro 0x00018843 0x5a9 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_macro 0x00018dec 0x55a src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x00019346 0x16 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_macro 0x0001935c 0x51f src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_macro 0x0001987b 0x55a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00019dd5 0x12 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_macro 0x00019de7 0x539 src/ASF/sam0/drivers/port/port.o + .debug_macro 0x0001a320 0x590 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x0001a8b0 0x298 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_macro 0x0001ab48 0x555 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_macro 0x0001b09d 0x52f src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x0001b5cc 0x12 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_macro 0x0001b5de 0x562 src/ASF/sam0/drivers/system/system.o + .debug_macro 0x0001bb40 0x306 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x0001be46 0x3a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_macro 0x0001be80 0x1d6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c056 0x97 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c0ed 0xf6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c1e3 0x4c src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c22f 0x16 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c245 0x10 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c255 0x10 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c265 0x35 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c29a 0x122 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_macro 0x0001c3bc 0x688 src/main.o + +.debug_line 0x00000000 0xe317 + .debug_line 0x00000000 0xc91 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_line 0x00000c91 0xc8d src/ASF/sam0/drivers/dma/dma.o + .debug_line 0x0000191e 0xbf0 src/ASF/sam0/drivers/sercom/sercom.o + .debug_line 0x0000250e 0x8c8 src/ASF/sam0/utils/stdio/read.o + .debug_line 0x00002dd6 0x8bc src/ASF/sam0/utils/stdio/write.o + .debug_line 0x00003692 0xe60 src/drivers/padc.o + .debug_line 0x000044f2 0xe48 src/drivers/pusart.o + .debug_line 0x0000533a 0xd27 src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_line 0x00006061 0xcea src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_line 0x00006d4b 0xb94 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_line 0x000078df 0x9e1 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_line 0x000082c0 0x8e5 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_line 0x00008ba5 0x992 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_line 0x00009537 0x917 src/ASF/sam0/drivers/port/port.o + .debug_line 0x00009e4e 0xc6d src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_line 0x0000aabb 0xc93 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_line 0x0000b74e 0x964 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_line 0x0000c0b2 0xa0e src/ASF/sam0/drivers/system/system.o + .debug_line 0x0000cac0 0x669 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_line 0x0000d129 0x409 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_line 0x0000d532 0xde5 src/main.o + +.debug_str 0x00000000 0x90169 + .debug_str 0x00000000 0x84f28 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x85a1d (size before relaxing) + .debug_str 0x00084f28 0x15fd src/ASF/sam0/drivers/dma/dma.o + 0x859d2 (size before relaxing) + .debug_str 0x00086525 0xe6c src/ASF/sam0/drivers/sercom/sercom.o + 0x853f9 (size before relaxing) + .debug_str 0x00087391 0x3d src/ASF/sam0/utils/stdio/read.o + 0x83cad (size before relaxing) + .debug_str 0x000873ce 0x2c src/ASF/sam0/utils/stdio/write.o + 0x83cae (size before relaxing) + .debug_str 0x000873fa 0x41a5 src/drivers/padc.o + 0x8a296 (size before relaxing) + .debug_str 0x0008b59f 0x76e src/drivers/pusart.o + 0x89fd8 (size before relaxing) + .debug_str 0x0008bd0d 0x3b4 src/ASF/sam0/drivers/sercom/usart/usart.o + 0x861b2 (size before relaxing) + .debug_str 0x0008c0c1 0x18a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + 0x853f8 (size before relaxing) + .debug_str 0x0008c24b 0x158 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x85294 (size before relaxing) + .debug_str 0x0008c3a3 0xb6 src/ASF/common2/services/delay/sam0/systick_counter.o + 0x83f16 (size before relaxing) + .debug_str 0x0008c459 0x66 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x83d06 (size before relaxing) + .debug_str 0x0008c4bf 0x301 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + 0x87cb1 (size before relaxing) + .debug_str 0x0008c7c0 0x5e src/ASF/sam0/drivers/port/port.o + 0x84132 (size before relaxing) + .debug_str 0x0008c81e 0x23f5 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x86e9f (size before relaxing) + .debug_str 0x0008ec13 0x1db src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x849c9 (size before relaxing) + .debug_str 0x0008edee 0x179 src/ASF/sam0/drivers/system/pinmux/pinmux.o + 0x841a8 (size before relaxing) + .debug_str 0x0008ef67 0x47 src/ASF/sam0/drivers/system/system.o + 0x83de3 (size before relaxing) + .debug_str 0x0008efae 0xa41 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x6ee0a (size before relaxing) + .debug_str 0x0008f9ef 0x75b src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x73c1 (size before relaxing) + .debug_str 0x0009014a 0x1f src/main.o + 0x89515 (size before relaxing) + +.debug_frame 0x00000000 0x14c8 + .debug_frame 0x00000000 0x98 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .debug_frame 0x00000098 0x110 src/ASF/sam0/drivers/dma/dma.o + .debug_frame 0x000001a8 0xbc src/ASF/sam0/drivers/sercom/sercom.o + .debug_frame 0x00000264 0x34 src/ASF/sam0/utils/stdio/read.o + .debug_frame 0x00000298 0x34 src/ASF/sam0/utils/stdio/write.o + .debug_frame 0x000002cc 0x6c src/drivers/padc.o + .debug_frame 0x00000338 0x6c src/drivers/pusart.o + .debug_frame 0x000003a4 0xac src/ASF/sam0/drivers/sercom/usart/usart.o + .debug_frame 0x00000450 0x110 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .debug_frame 0x00000560 0xe8 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .debug_frame 0x00000648 0x64 src/ASF/common2/services/delay/sam0/systick_counter.o + .debug_frame 0x000006ac 0x30 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .debug_frame 0x000006dc 0x30 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .debug_frame 0x0000070c 0x44 src/ASF/sam0/drivers/port/port.o + .debug_frame 0x00000750 0x14c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .debug_frame 0x0000089c 0x148 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .debug_frame 0x000009e4 0x98 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .debug_frame 0x00000a7c 0x38 src/ASF/sam0/drivers/system/system.o + .debug_frame 0x00000ab4 0x40 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .debug_frame 0x00000af4 0xa0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .debug_frame 0x00000b94 0x30 src/main.o + .debug_frame 0x00000bc4 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .debug_frame 0x00000be4 0x34 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .debug_frame 0x00000c18 0x3c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .debug_frame 0x00000c54 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .debug_frame 0x00000c8c 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .debug_frame 0x00000cac 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .debug_frame 0x00000cd8 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .debug_frame 0x00000d00 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .debug_frame 0x00000d2c 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .debug_frame 0x00000d54 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .debug_frame 0x00000d74 0x50 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .debug_frame 0x00000dc4 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .debug_frame 0x00000dec 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .debug_frame 0x00000e1c 0x68 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .debug_frame 0x00000e84 0x140 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .debug_frame 0x00000fc4 0x58 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .debug_frame 0x0000101c 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .debug_frame 0x00001070 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .debug_frame 0x000010b0 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .debug_frame 0x000010dc 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .debug_frame 0x00001108 0x9c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .debug_frame 0x000011a4 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .debug_frame 0x000011f8 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .debug_frame 0x00001224 0x90 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .debug_frame 0x000012b4 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .debug_frame 0x000012fc 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .debug_frame 0x00001328 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .debug_frame 0x00001354 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .debug_frame 0x00001380 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .debug_frame 0x000013ac 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .debug_frame 0x000013d8 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .debug_frame 0x00001404 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .debug_frame 0x00001424 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .debug_frame 0x00001454 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .debug_frame 0x00001480 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + +Cross Reference Table + +Symbol File +AC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +ADC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +DAC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +DMAC_Handler src/ASF/sam0/drivers/dma/dma.o +Dummy_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +EIC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +EVSYS_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +HardFault_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +I2S_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +NMI_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +NVMCTRL_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PM_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PTC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PendSV_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +RTC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +Reset_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SERCOM0_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM1_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM2_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM3_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM4_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM5_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SVC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SYSCTRL_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SysTick_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SystemCoreClock src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +SystemCoreClockUpdate src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +SystemInit src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +TC3_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC4_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC5_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC6_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC7_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC0_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC1_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC2_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +USB_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +WDT_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_Jv_RegisterClasses c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__aeabi_f2iz c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + src/main.o +__aeabi_fmul c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + src/main.o + src/drivers/padc.o +__aeabi_fsub c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + src/main.o +__aeabi_i2f c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + src/main.o +__aeabi_idiv c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +__aeabi_idiv0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) +__aeabi_idivmod c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) +__aeabi_ldiv0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) +__aeabi_lmul c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o +__aeabi_ui2f c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + src/drivers/padc.o +__aeabi_uidiv c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/common2/services/delay/sam0/systick_counter.o +__aeabi_uidivmod c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) +__bss_end__ c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__bss_start__ c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__call_exitprocs c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +__clzsi2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) +__deregister_frame_info c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__divsi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) +__dso_handle c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__fp_lock_all c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__fp_unlock_all c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__init_array_end c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__init_array_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__libc_fini_array c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__libc_init_array c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__malloc_free_list c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__malloc_lock c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__malloc_sbrk_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) +__malloc_unlock c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__muldi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) +__preinit_array_end c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__preinit_array_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__register_frame_info c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__sclose c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__seofread c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +__sf_fake_stderr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sf_fake_stdin c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sf_fake_stdout c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sflush_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +__sfmoreglue c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp_lock_acquire c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp_lock_release c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfputs_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sfvwrite_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sinit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +__sinit_lock_acquire c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sinit_lock_release c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__smakebuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) +__sprint_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sread c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sseek c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__stack c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__swbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) +__swbuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__swhatbuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +__swrite c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__swsetup_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__udivsi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) +_cleanup c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_cleanup_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_close src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) +_close_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_dma_inst src/ASF/sam0/drivers/dma/dma.o +_end src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_erelocate src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_estack src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_etext src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_exit src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +_ezero src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_fflush_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +_fini c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o +_free_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +_fstat src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) +_fstat_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_fwalk c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_fwalk_reent c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +_getpid src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_global_impure_ptr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +_impure_ptr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/drivers/pusart.o +_init c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +_iprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_isatty src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) +_isatty_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_kill src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_lseek src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) +_lseek_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_mainCRTStartup c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +_malloc_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_malloc_usable_size_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) +_printf_common c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) +_printf_float c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_printf_i c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_printf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_read src/ASF/sam0/utils/stdio/read.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) +_read_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_realloc_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +_reclaim_reent c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +_sbrk src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) +_sbrk_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) +_sercom_get_async_baud_val src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_default_pad src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_interrupt_vector src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/drivers/pusart.o +_sercom_get_sercom_inst_index src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_sync_baud_val src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_instances src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_set_handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sfixed src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_srelocate src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +_system_divas_init src/ASF/sam0/drivers/system/system.o +_system_dummy_init src/ASF/sam0/drivers/system/system.o +_system_events_init src/ASF/sam0/drivers/system/system.o +_system_extint_init src/ASF/sam0/drivers/system/system.o +_szero src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_usart_interrupt_handler src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_usart_read_buffer src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +_usart_write_buffer src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +_vfiprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_vfprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_write src/ASF/sam0/utils/stdio/write.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) +_write_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +adc_descriptor src/drivers/padc.o +adc_get_config_defaults src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + src/drivers/padc.o +adc_init src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + src/drivers/padc.o +adc_regular_ain_channel src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +adc_set_window_mode src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +atexit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +board_init src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +cleanup_glue c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +cpu_irq_enter_critical src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/dma/dma.o +cpu_irq_leave_critical src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/dma/dma.o +delay_cycles_ms src/ASF/common2/services/delay/sam0/systick_counter.o + src/main.o +delay_cycles_us src/ASF/common2/services/delay/sam0/systick_counter.o +delay_init src/ASF/common2/services/delay/sam0/systick_counter.o + src/main.o +descriptor_section src/ASF/sam0/drivers/dma/dma.o +dma_abort_job src/ASF/sam0/drivers/dma/dma.o +dma_add_descriptor src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_allocate src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_descriptor_create src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_free src/ASF/sam0/drivers/dma/dma.o +dma_get_config_defaults src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_resume_job src/ASF/sam0/drivers/dma/dma.o +dma_start_transfer_job src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_suspend_job src/ASF/sam0/drivers/dma/dma.o +errno c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) +exception_table src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +exit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +fflush c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +free c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) +g_chan_interrupt_flag src/ASF/sam0/drivers/dma/dma.o +g_interrupt_enabled src/ASF/common/utils/interrupt/interrupt_sam_nvic.o +hardware_init_hook c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +iprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +link src/ASF/sam0/utils/syscalls/gcc/syscalls.o +main src/main.o + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +malloc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +memchr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +memcpy c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + src/ASF/sam0/drivers/dma/dma.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +memmove c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +memset c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +padc_get_raw src/drivers/padc.o +padc_get_voltage src/drivers/padc.o + src/main.o +padc_init src/drivers/padc.o + src/main.o +padc_start src/drivers/padc.o + src/main.o +port_group_set_config src/ASF/sam0/drivers/port/port.o +port_pin_set_config src/ASF/sam0/drivers/port/port.o + src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +printf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/main.o +ptr_get src/ASF/sam0/utils/stdio/read.o + src/drivers/pusart.o +ptr_put src/ASF/sam0/utils/stdio/write.o + src/drivers/pusart.o +pusart_init src/drivers/pusart.o + src/main.o +sercom_set_gclk_generator src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +setbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + src/drivers/pusart.o +setvbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) +software_init_hook c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +stdio_base src/ASF/sam0/utils/stdio/write.o + src/drivers/pusart.o + src/ASF/sam0/utils/stdio/read.o +system_board_init src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +system_clock_init src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_dfll_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_dpll_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_clock_source_is_ready src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_osc32k_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_osc8m_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_write_calibration src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_xosc32k_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_xosc_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_chan_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +system_gclk_chan_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/sercom/usart/usart.o +system_gclk_chan_is_enabled src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_is_locked src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_lock src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +system_gclk_gen_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_gen_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_gen_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/common2/services/delay/sam0/systick_counter.o +system_gclk_gen_is_enabled src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_gen_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_init src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_init src/ASF/sam0/drivers/system/system.o + src/main.o +system_interrupt_clear_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_get_priority src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_is_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_set_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_set_priority src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_pinmux_group_set_config src/ASF/sam0/drivers/system/pinmux/pinmux.o + src/ASF/sam0/drivers/port/port.o +system_pinmux_group_set_input_sample_mode src/ASF/sam0/drivers/system/pinmux/pinmux.o +system_pinmux_group_set_output_strength src/ASF/sam0/drivers/system/pinmux/pinmux.o +system_pinmux_pin_set_config src/ASF/sam0/drivers/system/pinmux/pinmux.o + src/ASF/sam0/drivers/port/port.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +umod src/main.o + src/drivers/pusart.o +usart_abort_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_get_job_status src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_init src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +usart_read_buffer_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_read_buffer_wait src/ASF/sam0/drivers/sercom/usart/usart.o +usart_read_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_read_wait src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +usart_register_callback src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_unregister_callback src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_buffer_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_buffer_wait src/ASF/sam0/drivers/sercom/usart/usart.o +usart_write_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_wait src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +vfiprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +vfprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) diff --git a/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.srec b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.srec new file mode 100644 index 0000000..66bff4d --- /dev/null +++ b/D21_ADC_with_DMA/Debug/D21_ADC_with_DMA.srec @@ -0,0 +1,928 @@ +S01800004432315F4144435F776974685F444D412E73726563F2 +S1130000A0220020511C00004D1C00004D1C0000CB +S113001000000000000000000000000000000000DC +S11300200000000000000000000000004D1C000063 +S113003000000000000000004D1C00004D1C0000EA +S11300404D1C00004D1C00004D1C00004D1C000008 +S11300504D1C00004D1C00004D0500004D1C00000F +S11300604D1C000015150000251500003515000075 +S11300704515000055150000651500004D1C0000D5 +S11300804D1C00004D1C00004D1C00004D1C0000C8 +S11300904D1C00004D1C00004D1C00004D1C0000B8 +S11300A04D1C00004D1C00004D1C00004D1C0000A8 +S11300B00000000010B5064C2378002B07D1054B37 +S11300C0002B02D0044800E000BF0123237010BDC0 +S11300D0800000200000000060390000084B10B5CB +S11300E0002B03D00749084800E000BF0748036815 +S11300F0002B00D110BD064B002BFBD09847F9E72D +S11301000000000084000020603900006039000015 +S11301100000000010B5002200230270427000218C +S11301204280017103760262C26182600373C0245B +S11301306401C481037443748374C37403752A24EF +S1130140035543758375063C0355C2840285C17506 +S11301502B23C1540133C15410BD0000F0B5D64661 +S11301604F464646C0B596B0070016000160CB4A1C +S1130170106A80235B02034313620B780520DB07BC +S113018005D516B01CBC90469946A246F0BD0B7826 +S113019017309B07F5D473783B71002B04D1C04A08 +S11301A0136C04210B4313643B68984633786A4606 +S11301B0137069461E20BB4B98471E20BA4B9847C4 +S11301C02C23F25C002A54D0013BF55C337BEB1802 +S11301D0DBB2D118C9B28B4221D25219D3B299469B +S11301E0B24B9A4603E00135EDB2A94517D00F246E +S11301F02C40337BE4185022AD4902A8D047132C7D +S1130200F1D8A40002ABE05801A900234B70CB70D5 +S11302108B7001330B70C0B2A64B9847E3E7F489A7 +S11302205022A34902A8A14B9847132C13D9737DDC +S11302309B00DBB242461370B37DDB01727813433B +S1130240DBB2424653703379342B00D978E19B00FA +S1130250994AD3589F46A40002ABE05801A9002351 +S11302604B70CB708B7001330B70C0B2914B9847BD +S1130270DDE7347B50228E4902A88C4B9847132C1F +S113028015D9F48950228A4902A8884B9847132C1F +S1130290CDD8A40002ABE05801A900234B70CB7069 +S11302A08B7001330B70C0B2824B9847BFE7A40038 +S11302B002ABE05801A900234B70CB708B70013363 +S11302C00B70C0B27B4B9847DBE704231024022158 +S11302D01AE0717C337C102416E0062310240121DB +S11302E012E00823102400210EE000233024002112 +S11302F00AE000232024002106E00023002400213A +S113030002E0022310240121090170220A40134350 +S113031042469370F37D17203F2B00D931E7D37009 +S11303203A68537E5BB2002BFBDBF37C7288134389 +S11303302422B25CD2001343327D92001343B27C78 +S113034052001343234342469380337E002B20D034 +S1130350102C00D1D6E000D880E0202C00D1B3E0EE +S1130360302C16D1F27C002A0AD0F2698032172090 +S1130370FF2A00D905E7326A8032FF2A00D900E754 +S11303801720F269FF2A00DDFBE6326AFF2A00DD4E +S1130390F7E639684A7E52B2002AFBDB4246137202 +S11303A03A68537E5BB2002BFBDBB38B42469383EC +S11303B03A68537E5BB2002BFBDB338C42461384DA +S11303C02C23F35C002B05D0013BDBB217200F2B51 +S11303D000D9D6E62B22B15C17200F2900D9D0E62C +S11303E03868427E52B2002AFBDBF289307B02433A +S11303F0B068024309050A431B04134342461361D0 +S11304002A23F35C13750F2393751533F35C002BC8 +S113041012D0F38C294A1720934200D9B1E6424600 +S11304209384328D80231B01D31824499BB28B42C1 +S113043000D9A6E64346DA84214B1B685B01E0221F +S1130440D20013401F4A516849011268D20E0A4370 +S1130450D2B2134342461385002092E6002C98D171 +S1130460F27C002A0FD0F269802109018C46624493 +S1130470124917208A4200D983E6326A62440F493E +S11304808A4200D97DE60D4A1720F169914200DDC8 +S113049077E6316A914200DD73E67AE700040040B2 +S11304A000080040F51A0000691A0000C92600007F +S11304B0A4370000ED1B0000D0360000FF0F000041 +S11304C02460800020608000F27C002A0FD0F26952 +S11304D0802189008C4662441A4917208A4200D937 +S11304E04FE6326A624417498A4200D949E6154AFE +S11304F01720F169914200DD43E6316A914200DD43 +S11305003FE646E7F27C002A0FD0F2698021090217 +S11305108C4662440C4917208A4200D931E6326A7B +S1130520624409498A4200D92BE6074A1720F16937 +S1130530914200DD25E6316A914200DD21E628E79B +S113054017201EE6FF030000FFFF000010B5264B36 +S1130550984726490B8C0F2213409B0024481858B7 +S113056003781A403F23CA540F33CA5CD2B20378CB +S11305701B012049C91849881F4CE3185B88CB1A12 +S11305804361D3070FD501214E23184AD1543E3B72 +S11305904374037CDB0703D54368002B00D09847E2 +S11305A0164B984710BD93070DD502214E230F4AD1 +S11305B0D15400234374037C9B07F1D58368002B3B +S11305C0EED09847ECE75307EAD504214E23074AB7 +S11305D0D154483B4374037C5B07E1D5C368002BCB +S11305E0DED09847DCE7C046E11500000048004132 +S11305F09C000020E0010020B00000202116000033 +S113060000230370437003228270C3700371704728 +S1130610F8B5CE46474680B505000E00454B9847D1 +S1130620454B1B78002B18D1444B5A6920210A43AF +S11306305A61DA6910390A43DA61414B1A880E3972 +S11306408A431A8001221A803E4A5A633E4A9A63B8 +S11306503E4A1A80384B01221A70364B9847364B63 +S11306605B68DA070FD55B08012401221A420BD01C +S11306705B080134E4B2052CF8D1354B9847344B70 +S11306809847142051E000242B4A51680123A340C9 +S11306900B435360137A013B13722D4B9847FF2C85 +S11306A0EDD02C700F2398461C40254F30339946CB +S11306B0FC540133FA5C02218A43FA540124FC54A9 +S11306C01C4B98472B78424613404A46BB543B691F +S11306D02A789440A3433B6132785201602313404B +S11306E072781202FC2189010A401343B278920500 +S11306F0C02109040A401343F178002904D00722D9 +S11307000A4008210A4313433279002A01D01022F7 +S113071013430B4A53640E4CA0470023AB612B7860 +S11307209B000C4A9D50A04700200CBC9046994663 +S1130730F8BDC046E11500000000002000040040A0 +S113074000480041E0010020B0000020020F00003A +S1130750211600009C00002070B50400194B984736 +S1130760637C052B08D0A3695B889BB2002B07D15F +S1130770154B9847172070BD134B98470520FAE78F +S11307804026124B1E6022780F231340104D3F2247 +S1130790AB5423780F4AD25C072313404D22AB5449 +S11307A005236374207800010B4BC018A1693D3AFE +S11307B00A4B9847AB5D02221343AB55024B984753 +S11307C00020D8E7E11500002116000000E100E058 +S11307D00048004100010020E0010020C92600007B +S11307E0F0B50A780388012632400124A343134359 +S11307F003804B78048803273B405B000622944324 +S11308001C4304808D7804883D40ED0018239C43EC +S11308102C430480CA7803883A401202164C2340C1 +S1130820134303800B79048833409B02134A14401A +S11308301C4304804D7904883540ED02104B1C4064 +S11308402C4304808A790388324012030D4C2340E0 +S113085013430380CB7907221A4003885203DB0435 +S1130860DB0C134303800B894380CB6843600B6923 +S113087083604B69C360F0BDFFFCFFFFFFFBFFFF1C +S1130880FFF7FFFFFFEFFFFF427C0523052A0BD094 +S11308908369002B0AD0DA68002A03D0DB68DA689F +S11308A0002AFBD1D960002318007047816100231E +S11308B0FAE7F0B5DE4657464E464546E0B587B002 +S11308C08046049116001F000022002300218B465D +S11308D03F2401202100009601975C46039444467E +S11308E0059413E02024641A0500E540A84614E0AA +S11308F0009C019D121BAB415C464D462C43A34614 +S1130900039C45462C430394013925D32024644297 +S11309100C19E7D40500A540A84604008C40A14664 +S113092092185B4114001D00059E4F463E40B4469C +S1130930049E47463E40B246664657463E4303D071 +S11309400600264332002B00009C019D9D42DBD80B +S1130950CED19442D8D8CBE7039B5846190007B0B0 +S11309603CBC90469946A246AB46F0BD10B549083A +S113097040230024814202D2180010BD1C00091A31 +S1130980631C9BB28842F9D94023FF2CF4D814800D +S11309900023F1E7F0B583B00F00160008AA117820 +S11309A004004C434022BC4202D9100003B0F0BD05 +S11309B0002B14D1020008000021194CA0470100AB +S11309C03A0000230020174CA04700220123121AEA +S11309D08B41120C1B041A4332800022E5E70022EB +S11309E0012BF9D10A00002300210D4CA04702007D +S11309F00B0000920193380000210A4CA047050027 +S1130A0080239B0140229842CFD8790FF800009AA6 +S1130A10019BA047EA00821AD2B252032A43DBE7C1 +S1130A200D1F0000B308000010B582B004000E4B87 +S1130A301B78002B07D0002905D10B4B5B78834230 +S1130A4010D01D200CE001A90C701320074B98470F +S1130A501320074B9847044B5C7001221A70002046 +S1130A6002B010BD0020FBE708010020F51A0000C9 +S1130A70691A0000404B984231D00AD93F4B984242 +S1130A804DD03F4B98425AD03E4B984237D000202D +S1130A9070473D4B98420CD03C4B9842F7D101290A +S1130AA017D000295DD0022915D0032915D00020C4 +S1130AB0EEE7012907D0002951D0022905D00329E6 +S1130AC005D00020E4E73248E2E73248E0E7324864 +S1130AD0DEE73248DCE73248DAE73248D8E7012972 +S1130AE007D000293FD0022905D0032905D00020D2 +S1130AF0CEE72D48CCE72D48CAE72D48C8E70129A1 +S1130B0007D0002931D0022905D0032905D00020BF +S1130B10BEE72848BCE72848BAE72848B8E70129CF +S1130B2007D0002923D0022905D0032905D00020AD +S1130B30AEE72348ACE72348AAE72348A8E70129FE +S1130B4007D0002915D0022905D0032905D000209B +S1130B509EE71E489CE71E489AE71E4898E71E48F1 +S1130B6096E7032094E71D4892E71D4890E71D4847 +S1130B708EE71D488CE7C046001000420018004272 +S1130B80001C00420014004200080042000C004215 +S1130B900300050003000600030007000300010032 +S1130BA003001E0003001F000300090003000A00E5 +S1130BB003000B00030011000300120003001300E4 +S1130BC003000D0003000E0003000F0003001700D4 +S1130BD003001800030019000300040003000800C8 +S1130BE00300100003000C000300160030B587B0AA +S1130BF00B4B6A4632CB32C232CB32C2009B8342A9 +S1130C000BD001239A0069465258824206D0013320 +S1130C10062BF7D1002007B030BD0023D8B2FAE785 +S1130C20F4370000F0B5C64600B50C009046002825 +S1130C300FD1002A11DD8F18094E0A4D30682100AA +S1130C402B6898470134BC42F8D1404604BC904616 +S1130C50F0BD01235B429846F7E78046F5E7C046BE +S1130C603802002030020020F0B5C64600B50E0060 +S1130C7015000138022810D8002A11D000240C4B8A +S1130C8098460C4F43461868315D3B6898470028E6 +S1130C9008DB0134A542F5D106E00124644203E0F7 +S1130CA0140001E001246442200004BC9046F0BD1D +S1130CB0380200203402002030B595B008AC200082 +S1130CC02A4B98470023A3602371802292006280FC +S1130CD00122627004212173C0214901E18122753E +S1130CE0A374234D220023492800234B98472A68E4 +S1130CF0537E5BB2002BFBDB0F23937513761378C3 +S1130D0002210B4313701A4B1A68537E5BB2002BFB +S1130D10FBDB06AC2000194B984727236370253B67 +S1130D20A370174D21002800164B984701226B46EB +S1130D301A70002369464B708B704A718B71CB71AA +S1130D40CA700B7110330B81094B1B681A33039360 +S1130D500D4B203304930D4C059420000C4B984705 +S1130D60210028000B4B984715B030BD1501000039 +S1130D700C010020004000425D010000010600005B +S1130D801401002011060000300100204002002060 +S1130D90E10700008908000010B5094B1A68537E6A +S1130DA05BB2002BFBDB137B02210B431373537EDB +S1130DB05BB2002BFBDB0348034B984710BDC046D6 +S1130DC00C0100201401002059070000054B1900F4 +S1130DD0203100201A88801802338B42FAD100098E +S1130DE080B270473001002010B5054B9847054B81 +S1130DF09847054CE6218905A0470449A04710BD42 +S1130E00CD0D0000B9250000611F000068B30E403D +S1130E1070B582B005000E0000226B46DA80064CE5 +S1130E206B46991D2800A0470028F9D16B4606336C +S1130E301B88337002B070BDA712000070B50500A6 +S1130E408CB2034E21002800B0470028FAD170BDAF +S1130E5081120000F8B52C4A8023DB0513600023BF +S1130E605360FF21118100219372D3720124242045 +S1130E701454013014540130135401301354936248 +S1130E80053013540130135453611382D376117611 +S1130E90117751761323937651771C4B13631C4BB4 +S1130EA0536301235B429363D36380235B03D36067 +S1130EB0E1235B021362174D174B1D601749184B52 +S1130EC019601849184B196018492800184B98479D +S1130ED0184F3B6898680021174EB0473B68586824 +S1130EE00021B0472E683000144B98471F23184048 +S1130EF08440134B1C602A68D369002BFCD13368EF +S1130F00022213433360F8BD500100200200160092 +S1130F100200170050020020380200203D0E00009D +S1130F2034020020110E00003002002000140042A0 +S1130F30450F00001800002021270000E5140000E0 +S1130F4000E100E0F0B5DE4657464E464546E0B5C2 +S1130F5091B005000C00160029600800BA4B9847B0 +S1130F60020023680520DB0706D511B03CBC90467F +S1130F709946A246AB46F0BD236817309B07F4D4CC +S1130F80B249086A971C0123BB4003430B620FA9B3 +S1130F902D27F35D0B701432D3B202931800AC4BBF +S1130FA098470298AB4B9847F05D0021AA4B9847AD +S1130FB0F37A6B712423F35CAB712523F35CEB713F +S1130FC0F37E2B72337F6B722B68984618009E4B0E +S1130FD09847143000220E2306A98C4663441A80D5 +S1130FE0328A02928023DB019A4200D19AE00FD91F +S1130FF0C023DB01029A9A4200D18EE080231B02B7 +S11310009A4200D01BE1032306930023079308E0D0 +S113101080239B019A4200D011E1102306930F3BD9 +S1131020079333680593F3689B4673690393337E90 +S113103004932623F35C9A4673689946002B00D1E7 +S11310409CE080235B05994500D180E0737E002BF2 +S113105002D0B37E424693730E2306AA9446634499 +S11310601B8842469381059B5A461343039A1343B4 +S11310704A461343029F1F43049B1B021F435346CC +S11310805B071F432723F35C002B01D104331F4369 +S1131090737E9B02327F52021343727F1202134308 +S11310A02422B25C520413432522B25C120413437B +S11310B0B17AF27A114319433389FF2B00D181E0CD +S11310C080225204174319432C23F35C002B03D1D1 +S11310D0624B9B789B0701D580231F432A68D36901 +S11310E0002BFCD1434659602A68D369002BFCD1FC +S11310F043461F600EAB80221A7000225A70DA70C9 +S11311009A70336B0A93736B0B93B36B0C93F36BFF +S113111002930D9300270AAE63E008230693073B6E +S113112007937EE733680593F3689B4673690393DB +S1131130337E04932623F35C9A4673689946002B06 +S113114018D080235B05994501D0002025E0272392 +S1131150F35C002B00D079E7336A1F00C0B2404B28 +S1131160984701000E2206AB9C46624438003D4B72 +S1131170984712E008230693002307932723F35C80 +S1131180002B0ED0069B0093079B0E2206A98C46CB +S11311906244B16A306A344FB847002800D0E4E6AC +S11311A054E7336A1F00C0B22D4B98470100069BD9 +S11311B00093079B0E2206A88446624438002A4FF7 +S11311C0B847EAE7F37E002B00D17DE78023DB04F8 +S11311D01F4379E72000254B984707E00137042F88 +S11311E00DD0F9B2BB0098590028F3D0431CF5D0B8 +S11311F00EA90870000CC0B21D4B9847EEE70023FF +S1131200EB602B616B61AB61EB612B62AB626B6278 +S11312100022EB85AB853033EA540133EA540133C1 +S1131220EA540133EA542868074B984704001149EB +S1131230114B9847A400114BE550002095E610236C +S1131240069300230793ECE6ED0B00000004004036 +S1131250F51A0000691A0000290A00000020004164 +S1131260111B00006D09000095090000750A0000BB +S1131270ED1B000011130000A914000084020020DB +S1131280C2791C23002A01D118007047C28D92B282 +S1131290173B002AF8D1026811850221137E0B4204 +S11312A0FCD00023F0E782791C23002A01D1180026 +S11312B07047828D92B2173B002AF8D10268107EE3 +S11312C04007F4D5538BDBB298061DD0980703D59D +S11312D0022353831833EAE7580703D504235383BF +S11312E01A33E4E7D80703D5012353831233DEE727 +S11312F0D80603D5102353833233D8E79B0603D58E +S1131300202353832133D2E7138D0B800023CEE7B0 +S1131310F8B58000624BC5582C68E369002BFCD1FA +S1131320237EA67D1E403023EB5C3122AF5C1F4040 +S1131330F30722D5EB8D9BB2002B1CD0AA6A13783D +S1131340DBB2511CA962697901290ED09BB2DB057D +S1131350DB0D2385EB8D013B9BB2EB85002B0CD180 +S1131360013323750133A37507E0517809020B4358 +S11313700232AA62EBE701232375B30706D50223E1 +S1131380237500223133EA54FB071AD4730765D559 +S1131390AB8D9BB2002B5FD0638BDBB21A0714D4E6 +S11313A03F221340002B34D09A0711D51A2232233E +S11313B0EA54303B63837B0750D528006B69984718 +S11313C04CE02800EB689847E0E737221340E9E750 +S11313D05A0705D51E223223EA542E3B6383EAE7DB +S11313E0DA0705D513223223EA54313B6383E2E75B +S11313F0DA0605D542223223EA54223B6383DAE734 +S113140020221A42D7D021323223EA54123B63837A +S1131410D1E7238DDB05DB0DDAB2696A0A706A6AEB +S1131420511C69626979012910D0AB8D013B9BB2D3 +S1131430AB85002B12D10433237500222E33EA54DA +S1131440BB070BD528002B69984707E01B0A53708C +S11314506B6A01336B62E8E704232375F30604D552 +S1131460102323752376FB060ED4B30604D520235C +S1131470237523763B070BD4330704D50823237540 +S11314802376BB0608D4F8BD2800EB699847ECE73F +S11314902800AB699847EFE72B6A28009847F2E7E2 +S11314A08402002070470000F0B50A4B1B78002B23 +S11314B00CD1094F094E0A4D0024DE515A1914600B +S11314C00433182BF9D10122024B1A708000024B0D +S11314D0C150F0BD9001002094010020A51400002B +S11314E08402002000B583B009236A4613700133D7 +S11314F05370013393700133D3700133137101338B +S11315005371034B98476B46185603B000BDC04651 +S1131510ED0B000010B5024B1B680020984710BD6E +S11315209401002010B5024B5B680120984710BD60 +S11315309401002010B5024B9B680220984710BD0F +S11315409401002010B5024BDB680320984710BDBE +S11315509401002010B5024B1B690420984710BD6C +S11315609401002010B5024B5B690520984710BD1B +S11315709401002070B50020084B98470500084CE2 +S1131580FA218900A047074B186007492800A047A3 +S1131590064B18600522064B1A6070BDDD19000069 +S11315A0F51D00000C00002040420F001000002038 +S11315B010E000E030B5084B1C68084A0025802183 +S11315C04902013807D3002CFBD05460956013689E +S11315D00B42FCD0F5E730BD0C00002010E000E029 +S11315E00C4B1B68002B06D1EFF31083002B07D0A4 +S11315F00022094B1A70074A1368013313607047BD +S113160072B6BFF35F8F0022044B1A700132024B93 +S11316101A70F0E7AC010020B00100201400002093 +S1131620084B1A68013A1A601B68002B09D1064B53 +S11316301B78002B05D00122044B1A70BFF35F8F77 +S113164062B67047AC010020B001002014000020F5 +S1131650F0B583B001AC012565700027A770257033 +S113166021003E20064EB0478022D205054B9A61E8 +S11316702770657021000F20B04703B0F0BDC0464D +S1131680891600008044004100B583B001AB80227C +S11316901A700A785A704A789A708A78DA7019003F +S11316A0014B984703B000BDED1B000010B508289E +S11316B003D880001C4B1B589F46002032E01B4B74 +S11316C018692FE01A4B1B6A9B059B0F1948D840D9 +S11316D028E0164B586925E0144B1B6800209B0733 +S11316E020D513491022CB681A42FCD00F4B1A683C +S11316F024231340042B01D00F4813E000200F4B88 +S113170098470A4B9B681B041B0C58430AE0502360 +S1131710074AD35C00205B0704D5044BD86801E07A +S11317208020000210BDC0460C380000B401002027 +S11317300008004000127A00006CDC02111B00005B +S113174070B50C490B6A0478857840780122104002 +S113175080014026B34303432A40D20180208343BF +S113176013430322224012020348034013430B6233 +S113177070BDC04600080040FFFCFFFF082803D8E6 +S11317808000254B1B589F46172044E0234A136AC8 +S113179002210B43136200203DE0204A9369022199 +S11317A00B439361002036E01C4A138A02210B4349 +S11317B0138200202FE0194A938A02210B4393825B +S11317C0002028E016490B68022213430B60134BD8 +S11317D09A8419000E32CB681A42FCD0104A9168E0 +S11317E00E4BD96252689A6200229A841900103210 +S11317F0CB681A42FCD00A4B1B689BB2074A9384FD +S1131800002008E0054944228B5C022003438B54EA +S1131810002000E00020704730380000000800403D +S1131820B401002030B585B0C222D2001A4B9A60B0 +S11318301A4A53681E218B43536001236A4613706E +S11318400024174DE0B26946A8470134252CF9D18C +S113185003A800244470012585700470114B984737 +S11318600620114B9847114B9847114B1C725C7220 +S11318709C72DC7201956B465C7006236A46137099 +S113188014725472694600200A4B984700200A4B90 +S1131890984705B030BDC0460008004000400041F4 +S11318A0F51A0000411700007D170000BD18000064 +S11318B000040040E118000099190000064A9369E9 +S11318C008210B4393610122044B1A7019000B7811 +S11318D01342FCD17047C04600040040000C004095 +S11318E070B5060004000D782D0205434B78002BDB +S11318F002D08023DB021D434B7A002B02D08023CD +S11319001B031D434868012810D9431E184234D1D3 +S1131910022830D90223002201325B009842FBD80E +S113192012023243140080235B031D430B7A002B05 +S113193002D080239B031D43134A53785BB2002BD0 +S1131940FBDB124B9847124B1E700F4A53785BB265 +S1131950002BFBDB0C4B9C601A0053785BB2002B12 +S1131960FBDB094A5368802149020B401D43556043 +S1131970084B984770BD0022D2E704023443802309 +S11319809B021D43D2E7C046000C0040E115000055 +S1131990080C00402116000010B504000B4A5378CF +S11319A05BB2002BFBDB0A4B98470A4B1C70074ABF +S11319B053785BB2002BFBDB044A516880235B0243 +S11319C00B435360044B984710BDC046000C0040C5 +S11319D0E1150000040C00402116000070B504005D +S11319E01A4A53785BB2002BFBDB194B9847194B0F +S11319F01C70164A53785BB2002BFBDB134E7068E5 +S1131A00C004C00E144B98470500124B1C70766836 +S1131A10F602F60F114B1C700C4A53785BB2002B84 +S1131A20FBDB0A4B9C682402240C0D4B9847002EC8 +S1131A3007D1012C07D9210028000A4B984705003B +S1131A4001E00134E540280070BDC046000C0040B0 +S1131A50E1150000040C0040AD160000080C004025 +S1131A6021160000F51D000010B50400064B984730 +S1131A70064B1C70064A53888021C9010B435380CE +S1131A80044B984710BDC046E1150000020C00400D +S1131A90000C00402116000010B504000F4B9847BD +S1131AA00F4B1C700F4A53881B05180F53880E499F +S1131AB00B40538053880D490B4053801100802202 +S1131AC0D2014B881342FCD106494A8803020648D6 +S1131AD0024013434B80064B984710BDE1150000AC +S1131AE0020C0040000C0040FFF0FFFFFFBFFFFFAF +S1131AF02116000010B50C7824020443024B9847C9 +S1131B00A4B2024B5C8010BD991A0000000C004086 +S1131B1010B50400064B9847064B1C70064B5C88B6 +S1131B202405240F054B98472000054B984710BD0A +S1131B30E1150000020C0040000C004021160000DA +S1131B40DD19000030B5D378002B35D11378802B04 +S1131B5029D01B0680246402234354780225AC4315 +S1131B6006D19478002C20D18024A4022343416020 +S1131B705478013C012C1CD90D042D0CA024E4053F +S1131B802C431C4384620D0CD02424062C431C4398 +S1131B908462D478002C22D15B031CD59378012B6A +S1131BA01ED0416117E00023D7E7C024E402234399 +S1131BB0DDE70D4C2340DFE741600C04240CA02337 +S1131BC0DB05234383620C0CD0231B06234383626F +S1131BD0D378002B03D15378013B012B02D930BDBC +S1131BE08161F8E78160FAE7FFFFFBFF10B50A00A7 +S1131BF0C1090023002904D14309DB0105498C46AE +S1131C0063441F242040012181401800024B98475F +S1131C1010BDC04600440041451B00007047000051 +S1131C2010B5054B9847054B9847054B9847054B0E +S1131C309847054B984710BD251800005116000021 +S1131C401D1C00001D1C00001D1C0000FEE7000000 +S1131C50F8B52A4A2A4B9A4211D01A00294B9A42C3 +S1131C600DD2294A03339B1A9B0801339B0000229F +S1131C70234822498C58845004329A42FAD1234A88 +S1131C80234B9A420AD2D34321495B1803218B4345 +S1131C9004339B18002102C29342FCD11D4AFF2148 +S1131CA01D4B8B439360FD3990235B001B4AD1503D +S1131CB01B4AD3780325AB4302242343D370D37840 +S1131CC00C27BB4308263343D370164B987B302232 +S1131CD09043202210439873997BB94331439973FD +S1131CE09A7BAA4322439A730F4A536880210B4379 +S1131CF053600E4B98470E4B9847FEE7603900003F +S1131D00000000207C00002004000020800000204F +S1131D10A002002000ED00E000000000007000417F +S1131D20005000410048004100400041812600006D +S1131D30711D00000300064A1268002A04D0044AF8 +S1131D401068C318136070470249014A1160F6E72E +S1131D50CC010020A02200200120404270478023B3 +S1131D609B014B60002070470120704700207047A2 +S1131D70F8B5124B9847124B9847124B9847124BA1 +S1131D809847124B9847124F124EB847051CB0475C +S1131D900400114B9847011C281C104B984710490C +S1131DA0104B9847B047020021000F480F4B98474B +S1131DB0FA2040000E4B9847E7E7C046211C00007C +S1131DC075150000550E0000B90C0000990D0000B7 +S1131DD0E90D0000D924000019250000A12100000C +S1131DE000007A44611F000054380000ED26000012 +S1131DF0B5150000002243088B4274D303098B42BB +S1131E005FD3030A8B4244D3030B8B4228D3030CC6 +S1131E108B420DD3FF22090212BA030C8B4202D368 +S1131E201212090265D0030B8B4219D300E0090A90 +S1131E30C30B8B4201D3CB03C01A5241830B8B4299 +S1131E4001D38B03C01A5241430B8B4201D34B0382 +S1131E50C01A5241030B8B4201D30B03C01A5241E7 +S1131E60C30A8B4201D3CB02C01A5241830A8B426C +S1131E7001D38B02C01A5241430A8B4201D34B0255 +S1131E80C01A5241030A8B4201D30B02C01A5241B9 +S1131E90CDD2C3098B4201D3CB01C01A524183096D +S1131EA08B4201D38B01C01A524143098B4201D3A7 +S1131EB04B01C01A524103098B4201D30B01C01AD2 +S1131EC05241C3088B4201D3CB00C01A524183084C +S1131ED08B4201D38B00C01A524143088B4201D379 +S1131EE04B00C01A5241411A00D2014652411046D9 +S1131EF07047FFE701B5002000F006F802BDC046B8 +S1131F000029F7D076E770477047C046F0B5CE4653 +S1131F10474615042D0C2E0080B50704140C3F0C05 +S1131F209946030C7E435D43674363437F19340C36 +S1131F30E4199C46A54203D980235B029846C44415 +S1131F404B46514343433604360C250C2404654464 +S1131F50A4195918491920000CBC90469946F0BDA3 +S1131F60F8B557464E464546DE46E0B547024600BC +S1131F7088467F0A360EC40F002E47D0FF2E24D089 +S1131F80FB008027FF041F43002399469A467F3EA7 +S1131F9043465D025800DB0F6D0A000E984643D09D +S1131FA0FF283BD0EB0080250022ED041D437F3841 +S1131FB03618731C41469B464B46614013430F2B16 +S1131FC064D875489B00C3589F46002F42D108230C +S1131FD09946063BFF269A46DAE74146022A28D06C +S1131FE0032A00D1CEE0012A00D0ACE01140002049 +S1131FF00022CCB24002D205400AE4071043204339 +S11320003CBC90469946A246AB46F8BD002F15D17C +S113201004239946033B00269A46B9E7FF2002228F +S1132020002DC5D00322C3E7002D19D100200122C1 +S1132030BEE70124FF220C400020DBE7380000F05B +S113204001FB7626431F9F4000237642361A9946A9 +S11320509A469DE70C239946093BFF269A4697E743 +S1132060280000F0EFFA431F9D4076235B42181AC4 +S113207000229DE780200024C003FF22BAE73D0030 +S11320805246ABE73D0021005246A7E73B0C9C4675 +S11320902A04120C2B0C1400604665463F043F0CC6 +S11320A07C4342435D437B43270C9B18FF18BA4291 +S11320B003D980235B029C46654424043A04240C1F +S11320C0121993015C1EA3413F0C920E7D191A4311 +S11320D0AD0115432B0104D501235E466A081D405A +S11320E0154332007F32002A25DD6B0704D00F230D +S11320F02B40042B00D004352B0103D53200274B91 +S113210080321D40FE2A94DC0124A801400AD2B288 +S11321100C406FE78020C003074207D0054205D179 +S113212028434002400A4446FF2263E73843400202 +S1132130400AFF225EE70124A31A1B2B05DD0C4095 +S11321400022002056E75E46CBE72A002020DA4032 +S1132150C31A9D402B005D1EAB4113435A0704D0A4 +S11321600F221A40042A00D004335A0104D5012452 +S113217001220C4000203DE701249B01580A0C4039 +S1132180002237E780200124C00328434002400A8C +S11321900C40FF222EE7C04668380000FFFFFFF71F +S11321A0F0B54F46D64646464400C0B5C20F470276 +S11321B04802400A844666467B0A4800C90F9A468C +S11321C0240E1500DB00000E8946F600FF2800D11E +S11321D08FE001214F464F403900914266D0221AC8 +S11321E0002A00DC9DE000283DD1002E00D18BE0C8 +S11321F0511E002900D0B5E001249B1B5A0144D58F +S11322009B019F09380000F01DFA0538874084427D +S113221000DD96E0041B3A0020200134E240041B58 +S1132220A7403B005F1EBB41002413435A0704D060 +S11322300F221A40042A00D004335A0127D501344E +S1132240FF2C00D179E001229B015B0AE4B22A4011 +S11322505B02E405580AD207204310431CBC904695 +S11322609946A246F0BDFF2CE0D08021C9040E435C +S11322701B2A7BDC31002020D140821A9640721E3A +S113228096410E439B1B5A01BAD45A07D0D101225E +S1132290DF082A40FF2C33D1002F00D1A8E080238F +S11322A0DB033B435B025B0AFF24D1E7211A0029CD +S11322B04CDD00282AD0FF2CB8D08020C00406436F +S11322C01B2900DDAFE030002027C840791A8E407A +S11322D0711E8E4106439B195901D6D50134FF2C3A +S11322E000D185E001227A491A405B080B40134370 +S11322F09CE7002E00D070E76BE73B1EC5D100229F +S11323007B025B0AE4B2A3E714008FE7002E4DD0F2 +S1132310481E002857D19B1901245A01B5D502241F +S1132320E0E7002A25D1621CD2B2012A72DD9F1B8C +S11323307A0135D5F71A0D0064E7012200232A40FB +S113234086E73B00634A241A13406FE700296CD1E7 +S1132350611CC8B201284EDDFF2949D09B195B08D6 +S11323600C0063E7FF2A41D00A0081E701269B1B8A +S113237089E7002C1CD0FF2821D08024E404524299 +S113238023431B2A00DD96E01C002025D440AA1A12 +S113239093405A1E93412343F31A04000D002DE782 +S11323A0002F00D02EE700220024A9E70C003DE70F +S11323B0002B58D0D243002AEED0FF28E1D13300BD +S11323C0FF240D0032E7FF2910D0010078E7002B2D +S11323D06ED0FF24002E00D128E780225146D2037C +S11323E0114203D06146114200D13300FF241DE79E +S11323F0FF2400232CE7002CE9D1002B63D0002E0E +S113240000D113E79B195A0100D43EE7314A0C006E +S113241013400BE7002C1ED1002B2FD1002E4FD0E0 +S113242033000D0002E7012655E7002C1FD1002BD5 +S113243043D0C94300290BD0FF2839D01B2944DCE1 +S11324401C002027CC40791A8B40591E8B41234312 +S11324509B19040040E7002B1AD1002E24D18027B9 +S11324600022FF031BE7330004000D00DEE6FF2813 +S11324701ED08024E40449422343DFE7002E00D128 +S1132480D4E69F1B7A0100D437E7F31A0D00CDE69A +S1132490FF24002E00D1C9E680225046D203104208 +S11324A0A4D060461042A1D133000D00FF24BDE644 +S11324B03300FF24BAE601236EE733000400B5E6D7 +S11324C0002700221CE73300B0E60123C0E7C04622 +S11324D0FFFFFF7DFFFFFFFB41024300C20F490ADC +S11324E01B0E00207E2B0DDD9D2B0CDC80200004B8 +S11324F00143952B0ADC9620C31AD9404842002A8E +S113250000D108007047034BD018FBE7963B994075 +S1132510F4E7C046FFFFFF7F70B5002830D0C31733 +S1132520C4185C40C50F200000F08CF89E231B1AD1 +S1132530962B0DDC9622D21A94402A006402640A77 +S1132540DBB26402DB05600AD2071843104370BD96 +S1132550992B19DC9922D21A002A29DD94402200F1 +S1132560144C1440510704D00F210A40042A00D00F +S11325700434620113D4A401640ADBB22A00E0E744 +S1132580002200230024DCE705222100121AD14096 +S1132590B922D21A9440621E94410C43DAE7054BE7 +S11325A02A001C409F23A4011B1A640ADBB2C8E75B +S11325B02200D5E7FFFFFFFB10B5041E27D000F073 +S11325C041F89E231B1A962B0ADC9622D21A9440B9 +S11325D06402640ADBB26402DB05600A184310BDBE +S11325E0992B17DC9922D21A002A27DD9440220065 +S11325F0134C1440510704D00F210A40042A00D080 +S11326000434620112D4A401640ADBB2E3E70023B8 +S11326100024E0E7B9222100D21A91400A00511E99 +S11326208A410521091ACC401443DBE7044B1C40C2 +S11326309F23A4011B1A640ADBB2CCE72200D7E76C +S1132640FFFFFFFB1C2101231B04984201D3000C54 +S113265010391B0A984201D3000A08391B09984211 +S113266001D30009043902A2105C40187047C04627 +S11326700403020201010101000000000000000047 +S113268070B500260C4D0D4C641BA410A64209D154 +S1132690002601F055F90A4D0A4C641BA410A64209 +S11326A005D170BDB300EB5898470136EEE7B3008F +S11326B0EB5898470136F2E74C3900004C390000DA +S11326C04C39000050390000002310B59A4200D163 +S11326D010BDCC5CC4540133F8E703008218934264 +S11326E000D1704719700133F9E700000FB40B4BA8 +S11326F013B51C68002C05D0A369002B02D120005F +S113270000F0BCF905AB049AA1682000019300F025 +S113271091FB16BC08BC04B01847C0461800002042 +S11327204A424A41802310B55200DB0000F002F80F +S113273010BD0000F0B51D004F4B85B01E680400AD +S11327400F000092002E05D0B369002B02D1300097 +S113275000F094F9494B9C4250D17468009B022BC1 +S113276005D0012B00D984E0002D00DA81E021009E +S1132770300000F015F9616B002908D023004433C0 +S1132780994202D0300000F085FA002363630023ED +S1132790A3616360A3891B0603D52169300000F09F +S11327A079FAA389364A1340A381009B022B5AD09D +S11327B003AB02AA2100300000F0F6F9A389184304 +S11327C0A081002D24D1029D280000F059FA019522 +S11327D0071E42D1029B0193AB4239D101204042F2 +S11327E00223A2891343A3810023A3602300473358 +S11327F0236023610123636105B0F0BD214B9C423A +S113280001D1B468AAE7204B9C42A7D1F468A5E79C +S1132810002FD9D0B369002B02D1300000F02EF97B +S1132820009B012B03D1A389009A1A43A28108209B +S1132830A389276027616561184018D001201840DA +S11328400023984211D06D42A360A5611800D3E71C +S1132850019800F015FA071EC0D08023A289019DBB +S11328601343A381D6E70020BAE7A560C4E7A060BC +S1132870C2E701204042BFE718000020CC38000026 +S11328805CF3FFFFEC380000AC380000F7B58A8930 +S113289005000C00130760D44B68002B04DC0B6CA0 +S11328A0002B01DC0020FEBDE76A002FFAD00023D4 +S11328B02E682B6080235B011A4034D0606DA3899D +S11328C05B0706D56368C01A636B002B01D0236CC9 +S11328D0C01A0200216A00232800E76AB847A189C8 +S11328E0431C06D12B681D2B31D82C4ADA40D30760 +S11328F02DD50023636023692360CB0405D5431CD5 +S113290002D12B68002B00D16065616B2E60002919 +S1132910C8D023004433994202D0280000F0BAF909 +S113292000206063BFE70123216A2800B847431CE5 +S1132930C5D12B68002BC2D01D2B01D0162B01D181 +S11329402E60AFE74023A2891343A381ABE7402362 +S11329500B430120A3814042A5E70F69002FA1D0BA +S11329600B680F60DB1B01930023920700D14B69B6 +S1132970A360019B002B00DC94E7019B3A00216AD1 +S11329802800A66AB047002803DC4023A289134329 +S1132990DFE7019B3F181B1A0193EAE7010040207F +S11329A00B6970B505000C00002B01D1002070BD2F +S11329B0002804D08369002B01D100F05FF80B4B91 +S11329C09C4209D16C680C22A35E002BEED021003E +S11329D02800FFF75BFFEAE7054B9C4201D1AC6896 +S11329E0F1E7044B9C42EED1EC68ECE7CC380000F4 +S11329F0EC380000AC38000010B5024900F0B2F821 +S1132A0010BDC046A1290000002310B504000360D6 +S1132A104360836081814366C28103614361836152 +S1132A20190008225C30FFF758FE054B24626362EC +S1132A30044BA362044BE362044B236310BDC04602 +S1132A40A1330000C9330000013400002D3400001C +S1132A5070B568254A1E55430E002900743100F0F4 +S1132A6063F9041E08D000212A00016046600C307E +S1132A70A0606832FFF731FE200070BD836913B592 +S1132A800400002B28D18364C3640365134B144AE8 +S1132A901B6882620193984201D101238361200063 +S1132AA000F020F86060200000F01CF8A060200016 +S1132AB000F018F80022E06004216068FFF7A4FF2A +S1132AC001220921A068FFF79FFF02221221E0687A +S1132AD0FFF79AFF0123A36113BDC046A838000085 +S1132AE0F9290000F8B51E4B07001E68B369002BD6 +S1132AF002D13000FFF7C2FF4836B4687368013B67 +S1132B0004D53368002B07D03668F6E70C22A55E9F +S1132B10002D0DD06834F2E704213800FFF798FF48 +S1132B2030600028F0D10C2304003B602000F8BD85 +S1132B30012320005B42E3810233A38165662560A3 +S1132B40A560656025616561A561082229005C3086 +S1132B50FFF7C3FD6563A563A564E564E6E7C046C6 +S1132B60A8380000F7B50400070000260191483496 +S1132B70002C01D13000FEBD6368A5680093009B62 +S1132B80013B009301D52468F2E7AB89012B08D9F6 +S1132B900E22AB5E013304D029003800019B984714 +S1132BA006436835EBE7000070B50E001D000E23E8 +S1132BB0C95E90B01400002907DA00232B60B389A2 +S1132BC01B0611D48023DB000FE001AA00F026FDD0 +S1132BD00028F2DBF022029B12021340054A9B18E4 +S1132BE05A4253412B60EDE740230020236010B08C +S1132BF070BDC04600E0FFFFF7B502268B890500D3 +S1132C000C00334206D023004733236023610123A1 +S1132C106361F7BD01AB6A46FFF7C6FF0099070081 +S1132C20280000F081F8002808D10C22A35E9A0540 +S1132C30EFD4032293431E43A681E4E70F4BAB6218 +S1132C408023A28920601343A381009B20616361D8 +S1132C50019B002B0DD00E23E15E280000F0F0FC58 +S1132C60002806D00322A38993431A0001231343A7 +S1132C70A381A0893843A081CBE7C046F92900008D +S1132C8010B5034B0100186800F04EF810BDC046A3 +S1132C901800002070B50500002910D00C1F23680F +S1132CA0002B00DAE418280000F0FBFC1D4A13682E +S1132CB0002B05D163601460280000F0F3FC70BDA4 +S1132CC0A34209D9216860188342F3D118685B686C +S1132CD041182160EEE713005A68002A01D0A2428D +S1132CE0F9D919685818A0420BD120680918581846 +S1132CF019608242E0D110685268411819605A6024 +S1132D00DAE7A04202D90C232B60D5E721686018CA +S1132D10824203D1106852684118216062605C608D +S1132D20CAE7C046D0010020032370B5CD1C9D43E3 +S1132D30083506000C2D1ED20C25A9421DD83000E2 +S1132D4000F0AFFC254A14682100002919D1244C55 +S1132D502368002B03D1300000F010FB2060290011 +S1132D60300000F00BFB431C2CD10C2330003360EB +S1132D7000F098FC03E0002DDFDA0C233360002020 +S1132D8070BD0B685B1B1AD40B2B03D90B60CC18DA +S1132D90256003E08C420ED163681360300000F0BC +S1132DA081FC200007220B30231D9043C31AE7D077 +S1132DB05A42E250E4E74B6863600C00EEE70C0013 +S1132DC04968C2E70323C41C9C43A042E0D0211AF3 +S1132DD0300000F0D3FA431CDAD1C6E7D00100205A +S1132DE0D4010020936810B5013B9360002B05DAF1 +S1132DF09469A34208DBCBB20A2B05D01368581C94 +S1132E0010601970C8B210BD00F016FBFBE7F8B5EE +S1132E1006000F001400D518AC4201D1002007E0D1 +S1132E2021783A003000FFF7DDFF0134431CF3D171 +S1132E30F8BD0000F0B59FB006000F001400059324 +S1132E40002804D08369002B01D1FFF717FE7F4BC4 +S1132E509F425CD17768BB891B0762D53B69002B15 +S1132E605FD0002306AD6B6120336B761033AB76F5 +S1132E700294029C2378002B5DD1029BE31A0493F5 +S1132E800DD0049B029A39003000FFF7C0FF431CA9 +S1132E9000D1CCE06A69049B944663446B61237857 +S1132EA0002B00D1C3E00122002352426A6002A930 +S1132EB05432521801342B60EB60AB601370AB6575 +S1132EC021780522624800F0E1FB631C9C4600283F +S1132ED035D12968CB0604D5532302AA20309B1888 +S1132EE018700B0704D553232B2002AA9B181870C3 +S1132EF023782A2B2CD000200A21099B2278303AEF +S1132F00092A00D86BE000282AD0099328E0514B05 +S1132F109F4201D1B7689EE74F4B9F429BD1F76810 +S1132F2099E73900300000F0F1FA002899D0012027 +S1132F3040421FB0F0BD252B9FD001349AE7444B8B +S1132F402968C01A012383400B432B606446B7E70A +S1132F50059B181D1B680590002B3ADB09936446FA +S1132F6023782E2B0BD16378621C2A2B3FD1059B2F +S1132F7002341A1D1B680592002B35DB0793217858 +S1132F800322364800F082FB002807D0334B2A681E +S1132F90C01A4023834013432B6001342178631CFF +S1132FA006222F480293297600F070FB002844D0B3 +S1132FB02C4B002B2FD12968059B0722C90528D546 +S1132FC09B189343083305936B69039A9B186B61B1 +S1132FD04FE75B42EB6002230B432B60BFE74B439D +S1132FE001349B18012089E701235B42C6E70023D3 +S1132FF014000A201A006B6021783039092903D99A +S1133000002BBCD00792BAE7424301345218012383 +S1133010F2E70733D5E705AB00933A00124B2900DA +S1133020300000E000BF0390039B0133CCD1BB8987 +S11330305B0600D57BE70B987BE705AB00933A0072 +S1133040094B2900300000F07FF8ECE7CC38000091 +S11330500C390000EC380000AC38000012390000D4 +S113306016390000000000000F2E0000F7B515000F +S113307001938A680B6900900C00934200DA1300F4 +S113308022002B6043321278002A01D001332B60D6 +S113309023689B0602D52B6802332B60062723681E +S11330A01F4027D0230043331B785A1E93412268C4 +S11330B0920630D42200019943320098089EB0470A +S11330C0431C25D0062320682A68E16803400025B4 +S11330D0042B03D18D1AEB43DB171D40A36822692F +S11330E0934201DD9B1AED180027BD4220D1002038 +S11330F010E00137E3682A689B1A9F42D2DA220063 +S11331000123193201990098089EB047431CF0D15D +S113311001204042FEBD3020E118433108702100F7 +S11331205A1C45310978A218433202331170C1E7A1 +S1133130220001231A3201990098089EB047431CCB +S1133140E6D00137D1E70000F0B58BB006920A0053 +S113315043320793059004920A7E0C00109B6E2A5A +S113316000D18FE017D8632A2CD008D8002A00D1C8 +S113317099E0582A54D026004236327029E0642A55 +S113318001D0692AF7D121681A68080623D5111DD0 +S11331901960156825E0732A00D188E008D86F2AE1 +S11331A029D0702AE7D1202209680A43226003E06B +S11331B0752A20D0782ADED1220078214532117078 +S11331C06C4A30E00E001A684236111D196013680B +S11331D03370012379E04906D9D5111D1960002304 +S11331E0D55E002D03DA2D23049A6D421370624BD1 +S11331F00A2703932FE020681968050603D5081DE4 +S113320018600D6805E04006F9D50D68081D1860C2 +S1133210ADB2594B082703936F2A18D00A2716E03A +S113322045310A70544A03921A682168101D1860C7 +S11332301568080622D5CB0702D52023194321603F +S11332401027002D03D1202322689A4322602300F3 +S1133250002243331A706368A360002B5CDB0422F2 +S1133260216891432160002D58D1049E002B64D025 +S11332702600039B42361B7833705EE04806DAD59D +S1133280ADB2D8E709681A68080605D5111D19609A +S1133290136862691A6006E04906F7D5111D1960C2 +S11332A01368A28A1A800023049E236154E01A68DA +S11332B0111D1960166800216268300000F0E6F9FB +S11332C0002801D0801B6060636823610023049A96 +S11332D0137041E02369320006990598079DA847B9 +S11332E0431C43D0236800259B070FD4099BE06847 +S11332F098423DDA18003BE0220001231932069976 +S11333000598079EB047431C30D00135E368099AFD +S11333109B1A9D42F0DBE9E7002DA9D0049E28000A +S11333203900FEF7EDFD039B013E5B5C2800337022 +S11333303900FEF75FFD051EF1D1082F09D123687E +S1133340DB0706D563682269934202DC3023013E21 +S11333503370049B9B1B2361079B09AA00932100E4 +S1133360069B0598FFF782FE431CB3D1012040421F +S11333700BB0F0BD2E3900001D390000002370B5DC +S1133380064C050008002360FEF7D4FC431C03D15F +S11333902368002B00D02B6070BDC0469C02002027 +S11333A070B50C000E25495F00F07EF9002803DBA0 +S11333B0636D1B18636570BDA389024A1340A38122 +S11333C0F9E7C046FFEFFFFFF8B51F008B89050042 +S11333D00C001600DB0505D50E23C95E002202236E +S11333E000F040F9A389054A28001340A381320064 +S11333F00E23E15E3B0000F075F8F8BDFFEFFFFF20 +S113340070B50C000E25495F00F02CF9A389421C0D +S113341003D1054A1340A38170BD80225201134396 +S1133420A3816065F8E7C046FFEFFFFF10B50E23E8 +S1133430C95E00F0E1F810BDF8B505000E001400F7 +S1133440002804D08369002B01D1FFF717FB224B1E +S11334509C422DD16C68A369A360A3891B0731D555 +S11334602369002B2ED023682269F7B2981A636966 +S1133470F6B2984205DB21002800FFF791FA0028F4 +S113348026D1A3680130013BA36023685A1C226043 +S11334901F706369984204D0A389DB071AD50A2EEA +S11334A018D121002800FFF77BFA002812D00FE082 +S11334B00A4B9C4201D1AC68CDE7094B9C42CAD16E +S11334C0EC68C8E72100280000F020F80028CAD0E2 +S11334D0012676423000F8BDCC380000EC380000FC +S11334E0AC38000070B50500080011000022064C3D +S11334F022601A00FDF7B8FB431C03D12368002B9C +S113350000D02B6070BDC0469C020020364B70B5C5 +S11335101D6806000C00002D05D0AB69002B02D1FC +S11335202800FFF7ABFA314B9C420FD16C680C2397 +S1133530E25E93B219072DD4D90611D409230120D0 +S1133540336037331343A381404270BD284B9C4200 +S113355001D1AC68EBE7274B9C42E8D1EC68E6E785 +S11335605B0713D5616B002908D0230044339942CB +S113357002D03000FFF78EFB002363632422A3896B +S11335809343A38100236360236923600823A289F2 +S11335901343A3812369002B0BD1A0218022A3898B +S11335A0890092000B40934203D021003000FFF7C2 +S11335B023FB0123A289134011D00023A360636974 +S11335C05B42A361002023698342BED10C23E25EE7 +S11335D01306BAD540231343A3810138B5E79207F4 +S11335E000D46369A360EDE718000020CC38000024 +S11335F0EC380000AC380000002370B5064C050020 +S113360008002360FEF7A8FB431C03D12368002BAA +S113361000D02B6070BDC0469C020020002370B512 +S1133620064C0500080011002360FEF798FB431CBC +S113363003D12368002B00D02B6070BD9C020020B6 +S1133640002370B5064C050008002360FEF78CFBD0 +S1133650431C03D12368002B00D02B6070BDC046EF +S11336609C02002070B50500080011000022064CE1 +S113367022601A00FEF77AFB431C03D12368002B57 +S113368000D02B6070BDC0469C020020C9B28218D5 +S1133690904201D10020704703788B42FBD0013067 +S11336A0F6E770477047000070B505000800110088 +S11336B00022064C22601A00FDF7B4FA431C03D121 +S11336C02368002B00D02B6070BDC0469C020020F4 +S11336D0FA0200004005000040050000400500001B +S11336E040050000400500004005000040050000C2 +S11336F040050000400500004005000040050000B2 +S113370040050000400500004005000040050000A1 +S1133710E2020000400500004005000040050000F2 +S11337204005000040050000400500004005000081 +S11337304005000040050000400500004005000071 +S11337404005000040050000400500004005000061 +S1133750F2020000400500004005000040050000A2 +S11337604005000040050000400500004005000041 +S11337704005000040050000400500004005000031 +S11337804005000040050000400500004005000021 +S1133790EA02000002030000CA020000DA0200008C +S11337A0D202000002000000030000002800000014 +S11337B029000000040000000500000006000000CD +S11337C0070000002000000021000000220000008B +S11337D02300000024000000250000002600000053 +S11337E02700000008000000090000000A00000093 +S11337F00B00000000080042000C004200100042D0 +S11338000014004200180042001C0042BE160000D2 +S1133810BA160000BA160000201700002017000096 +S1133820D2160000C4160000D81600000E170000BF +S1133830A81700008817000088170000141800005B +S11338409A170000B61700008C170000C417000078 +S113385004180000566F6C746167653A2025642E65 +S1133860253033640D0A00008C200000DC1F0000AA +S1133870DC1F0000DA1F00007E2000007E20000014 +S113388074200000DA1F00007E2000007420000075 +S11338907E200000DA1F0000842000008420000045 +S11338A084200000142100001C00002000000000FF +S11338B00000000000000000000000000000000004 +S11338C000000000000000000000000000000000F4 +S11338D000000000000000000000000000000000E4 +S11338E000000000000000000000000000000000D4 +S11338F000000000000000000000000000000000C4 +S1133900000000000000000000000000232D302B08 +S11339102000686C4C0065666745464700303132CC +S11339203334353637383941424344454600303123 +S11339303233343536373839616263646566000082 +S1133940F8B5C046F8BC08BC9E467047DD000000D0 +S1133950F8B5C046F8BC08BC9E467047B5000000E8 +S1133960000000000000000005000000401F0000EF +S113397008000000010000001C00002000000000FE +S1133980CC380000EC380000AC3800000000000027 +S11339900000000000000000000000000000000023 +S11339A00000000000000000000000000000000013 +S11339B00000000000000000000000000000000003 +S11339C000000000000000000000000000000000F3 +S10F39D0000000000000000000000000E7 +S9031C518F diff --git a/D21_ADC_with_DMA/Debug/Makefile b/D21_ADC_with_DMA/Debug/Makefile new file mode 100644 index 0000000..57184ae --- /dev/null +++ b/D21_ADC_with_DMA/Debug/Makefile @@ -0,0 +1,459 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL := cmd.exe +RM := rm -rf + +USER_OBJS := + +LIBS := +PROJ := + +O_SRCS := +C_SRCS := +S_SRCS := +S_UPPER_SRCS := +OBJ_SRCS := +ASM_SRCS := +PREPROCESSING_SRCS := +OBJS := +OBJS_AS_ARGS := +C_DEPS := +C_DEPS_AS_ARGS := +EXECUTABLES := +OUTPUT_FILE_PATH := +OUTPUT_FILE_PATH_AS_ARGS := +AVR_APP_PATH :=$$$AVR_APP_PATH$$$ +QUOTE := " +ADDITIONAL_DEPENDENCIES:= +OUTPUT_FILE_DEP:= +LIB_DEP:= +LINKER_SCRIPT_DEP:= + +# Every subdirectory with source files must be described here +SUBDIRS := \ +../src/ \ +../src/ASF/ \ +../src/ASF/common2/ \ +../src/ASF/common2/services/ \ +../src/ASF/common2/services/delay/ \ +../src/ASF/common2/services/delay/sam0/ \ +../src/ASF/common/ \ +../src/ASF/common/boards/ \ +../src/ASF/common/services/ \ +../src/ASF/common/services/serial/ \ +../src/ASF/common/services/serial/sam0_usart/ \ +../src/ASF/common/utils/ \ +../src/ASF/common/utils/interrupt/ \ +../src/ASF/sam0/ \ +../src/ASF/sam0/boards/ \ +../src/ASF/sam0/boards/samd21_xplained_pro/ \ +../src/ASF/sam0/drivers/ \ +../src/ASF/sam0/drivers/adc/ \ +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/ \ +../src/ASF/sam0/drivers/dma/ \ +../src/ASF/sam0/drivers/dma/quick_start/ \ +../src/ASF/sam0/drivers/port/ \ +../src/ASF/sam0/drivers/port/quick_start/ \ +../src/ASF/sam0/drivers/sercom/ \ +../src/ASF/sam0/drivers/sercom/usart/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_callback/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_dma/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_lin/ \ +../src/ASF/sam0/drivers/system/ \ +../src/ASF/sam0/drivers/system/clock/ \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/ \ +../src/ASF/sam0/drivers/system/interrupt/ \ +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/ \ +../src/ASF/sam0/drivers/system/pinmux/ \ +../src/ASF/sam0/drivers/system/pinmux/quick_start/ \ +../src/ASF/sam0/drivers/system/power/ \ +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/ \ +../src/ASF/sam0/drivers/system/reset/ \ +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/ \ +../src/ASF/sam0/utils/ \ +../src/ASF/sam0/utils/cmsis/ \ +../src/ASF/sam0/utils/cmsis/samd21/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/component/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/pio/ \ +../src/ASF/sam0/utils/cmsis/samd21/source/ \ +../src/ASF/sam0/utils/cmsis/samd21/source/gcc/ \ +../src/ASF/sam0/utils/header_files/ \ +../src/ASF/sam0/utils/linker_scripts/ \ +../src/ASF/sam0/utils/linker_scripts/samd21/ \ +../src/ASF/sam0/utils/linker_scripts/samd21/gcc/ \ +../src/ASF/sam0/utils/make/ \ +../src/ASF/sam0/utils/preprocessor/ \ +../src/ASF/sam0/utils/stdio/ \ +../src/ASF/sam0/utils/stdio/stdio_serial/ \ +../src/ASF/sam0/utils/syscalls/ \ +../src/ASF/sam0/utils/syscalls/gcc/ \ +../src/ASF/thirdparty/ \ +../src/ASF/thirdparty/CMSIS/ \ +../src/ASF/thirdparty/CMSIS/Include/ \ +../src/ASF/thirdparty/CMSIS/Lib/ \ +../src/ASF/thirdparty/CMSIS/Lib/GCC/ \ +../src/config/ \ +../src/drivers + + +# Add inputs and outputs from these tool invocations to the build variables +C_SRCS += \ +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c \ +../src/ASF/sam0/drivers/dma/dma.c \ +../src/ASF/sam0/drivers/sercom/sercom.c \ +../src/ASF/sam0/utils/stdio/read.c \ +../src/ASF/sam0/utils/stdio/write.c \ +../src/drivers/padc.c \ +../src/drivers/pusart.c \ +../src/ASF/sam0/drivers/sercom/usart/usart.c \ +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c \ +../src/ASF/sam0/drivers/sercom/sercom_interrupt.c \ +../src/ASF/common2/services/delay/sam0/systick_counter.c \ +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c \ +../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c \ +../src/ASF/sam0/drivers/port/port.c \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c \ +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c \ +../src/ASF/sam0/drivers/system/pinmux/pinmux.c \ +../src/ASF/sam0/drivers/system/system.c \ +../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c \ +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c \ +../src/ASF/sam0/utils/syscalls/gcc/syscalls.c \ +../src/main.c + + +PREPROCESSING_SRCS += + + +ASM_SRCS += + + +OBJS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o \ +src/ASF/sam0/drivers/dma/dma.o \ +src/ASF/sam0/drivers/sercom/sercom.o \ +src/ASF/sam0/utils/stdio/read.o \ +src/ASF/sam0/utils/stdio/write.o \ +src/drivers/padc.o \ +src/drivers/pusart.o \ +src/ASF/sam0/drivers/sercom/usart/usart.o \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.o \ +src/ASF/common2/services/delay/sam0/systick_counter.o \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o \ +src/ASF/sam0/drivers/port/port.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o \ +src/ASF/sam0/drivers/system/pinmux/pinmux.o \ +src/ASF/sam0/drivers/system/system.o \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.o \ +src/main.o + +OBJS_AS_ARGS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o \ +src/ASF/sam0/drivers/dma/dma.o \ +src/ASF/sam0/drivers/sercom/sercom.o \ +src/ASF/sam0/utils/stdio/read.o \ +src/ASF/sam0/utils/stdio/write.o \ +src/drivers/padc.o \ +src/drivers/pusart.o \ +src/ASF/sam0/drivers/sercom/usart/usart.o \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.o \ +src/ASF/common2/services/delay/sam0/systick_counter.o \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o \ +src/ASF/sam0/drivers/port/port.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o \ +src/ASF/sam0/drivers/system/pinmux/pinmux.o \ +src/ASF/sam0/drivers/system/system.o \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.o \ +src/main.o + +C_DEPS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d \ +src/ASF/sam0/drivers/dma/dma.d \ +src/ASF/sam0/drivers/sercom/sercom.d \ +src/ASF/sam0/utils/stdio/read.d \ +src/ASF/sam0/utils/stdio/write.d \ +src/drivers/padc.d \ +src/drivers/pusart.d \ +src/ASF/sam0/drivers/sercom/usart/usart.d \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ +src/ASF/common2/services/delay/sam0/systick_counter.d \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ +src/ASF/sam0/drivers/port/port.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ +src/ASF/sam0/drivers/system/system.d \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.d \ +src/main.d + +C_DEPS_AS_ARGS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d \ +src/ASF/sam0/drivers/dma/dma.d \ +src/ASF/sam0/drivers/sercom/sercom.d \ +src/ASF/sam0/utils/stdio/read.d \ +src/ASF/sam0/utils/stdio/write.d \ +src/drivers/padc.d \ +src/drivers/pusart.d \ +src/ASF/sam0/drivers/sercom/usart/usart.d \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ +src/ASF/common2/services/delay/sam0/systick_counter.d \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ +src/ASF/sam0/drivers/port/port.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ +src/ASF/sam0/drivers/system/system.d \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.d \ +src/main.d + +OUTPUT_FILE_PATH +=D21_ADC_with_DMA.elf + +OUTPUT_FILE_PATH_AS_ARGS +=D21_ADC_with_DMA.elf + +ADDITIONAL_DEPENDENCIES:= + +OUTPUT_FILE_DEP:= ./makedep.mk + +LIB_DEP+= + +LINKER_SCRIPT_DEP+= \ +../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + + +# AVR32/GNU C Compiler +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o: ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/dma/dma.o: ../src/ASF/sam0/drivers/dma/dma.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/sercom.o: ../src/ASF/sam0/drivers/sercom/sercom.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/stdio/read.o: ../src/ASF/sam0/utils/stdio/read.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/stdio/write.o: ../src/ASF/sam0/utils/stdio/write.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/drivers/padc.o: ../src/drivers/padc.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/drivers/pusart.o: ../src/drivers/pusart.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/usart/usart.o: ../src/ASF/sam0/drivers/sercom/usart/usart.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o: ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/sercom_interrupt.o: ../src/ASF/sam0/drivers/sercom/sercom_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/common2/services/delay/sam0/systick_counter.o: ../src/ASF/common2/services/delay/sam0/systick_counter.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o: ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o: ../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/port/port.o: ../src/ASF/sam0/drivers/port/port.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o: ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o: ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o: ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/pinmux/pinmux.o: ../src/ASF/sam0/drivers/system/pinmux/pinmux.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/system.o: ../src/ASF/sam0/drivers/system/system.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o: ../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o: ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/syscalls/gcc/syscalls.o: ../src/ASF/sam0/utils/syscalls/gcc/syscalls.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/main.o: ../src/main.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -O1 -fdata-sections -ffunction-sections -mlong-calls -g3 -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + + + + +# AVR32/GNU Preprocessing Assembler + + + +# AVR32/GNU Assembler + + + + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +endif + +# Add inputs and outputs from these tool invocations to the build variables + +# All Target +all: $(OUTPUT_FILE_PATH) $(ADDITIONAL_DEPENDENCIES) + +$(OUTPUT_FILE_PATH): $(OBJS) $(USER_OBJS) $(OUTPUT_FILE_DEP) $(LIB_DEP) $(LINKER_SCRIPT_DEP) + @echo Building target: $@ + @echo Invoking: ARM/GNU Linker : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -o$(OUTPUT_FILE_PATH_AS_ARGS) $(OBJS_AS_ARGS) $(USER_OBJS) $(LIBS) -mthumb -Wl,-Map="D21_ADC_with_DMA.map" --specs=nano.specs -Wl,--start-group -larm_cortexM0l_math -lm -Wl,--end-group -L"../src/ASF/thirdparty/CMSIS/Lib/GCC" -Wl,--gc-sections -mcpu=cortex-m0plus -Wl,--entry=Reset_Handler -Wl,--cref -mthumb -T../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + @echo Finished building target: $@ + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O binary "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.bin" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O ihex -R .eeprom -R .fuse -R .lock -R .signature "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.hex" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -j .eeprom --set-section-flags=.eeprom=alloc,load --change-section-lma .eeprom=0 --no-change-warnings -O binary "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.eep" || exit 0 + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objdump.exe" -h -S "D21_ADC_with_DMA.elf" > "D21_ADC_with_DMA.lss" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O srec -R .eeprom -R .fuse -R .lock -R .signature "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.srec" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-size.exe" "D21_ADC_with_DMA.elf" + + + + + + + +# Other Targets +clean: + -$(RM) $(OBJS_AS_ARGS) $(EXECUTABLES) + -$(RM) $(C_DEPS_AS_ARGS) + rm -rf "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.a" "D21_ADC_with_DMA.hex" "D21_ADC_with_DMA.bin" "D21_ADC_with_DMA.lss" "D21_ADC_with_DMA.eep" "D21_ADC_with_DMA.map" "D21_ADC_with_DMA.srec" + \ No newline at end of file diff --git a/D21_ADC_with_DMA/Debug/makedep.mk b/D21_ADC_with_DMA/Debug/makedep.mk new file mode 100644 index 0000000..7b7c3e4 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/makedep.mk @@ -0,0 +1,50 @@ +################################################################################ +# Automatically-generated file. Do not edit or delete the file +################################################################################ + +src\ASF\sam0\drivers\adc\adc_sam_d_r_h\adc.c + +src\ASF\sam0\drivers\dma\dma.c + +src\ASF\sam0\drivers\sercom\sercom.c + +src\ASF\sam0\utils\stdio\read.c + +src\ASF\sam0\utils\stdio\write.c + +src\drivers\padc.c + +src\drivers\pusart.c + +src\ASF\sam0\drivers\sercom\usart\usart.c + +src\ASF\sam0\drivers\sercom\usart\usart_interrupt.c + +src\ASF\sam0\drivers\sercom\sercom_interrupt.c + +src\ASF\common2\services\delay\sam0\systick_counter.c + +src\ASF\common\utils\interrupt\interrupt_sam_nvic.c + +src\ASF\sam0\boards\samd21_xplained_pro\board_init.c + +src\ASF\sam0\drivers\port\port.c + +src\ASF\sam0\drivers\system\clock\clock_samd21_r21_da_ha1\clock.c + +src\ASF\sam0\drivers\system\clock\clock_samd21_r21_da_ha1\gclk.c + +src\ASF\sam0\drivers\system\interrupt\system_interrupt.c + +src\ASF\sam0\drivers\system\pinmux\pinmux.c + +src\ASF\sam0\drivers\system\system.c + +src\ASF\sam0\utils\cmsis\samd21\source\gcc\startup_samd21.c + +src\ASF\sam0\utils\cmsis\samd21\source\system_samd21.c + +src\ASF\sam0\utils\syscalls\gcc\syscalls.c + +src\main.c + diff --git a/D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d b/D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d new file mode 100644 index 0000000..c53da4c --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d @@ -0,0 +1,341 @@ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ + src/ASF/common/utils/interrupt/interrupt_sam_nvic.o: \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o b/D21_ADC_with_DMA/Debug/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o new file mode 100644 index 0000000000000000000000000000000000000000..b34701aec6710cbe590f08aff0397d3001e4b8d8 GIT binary patch literal 754580 zcmY&=1zZ))_xC+ByZ2toz!n=5TkP)c?!fMj#{>}tK`;;nMG?e8MO4bdKvC=t6hQ?P zR7^k-dB5kf&+q@dejfJB>9e!5GiT21eb#j8-Ia)R|NbLgP2K-tI^DV67R*u`n*?g= z#?e@l^cvtS=cGYr4Z3J>g9hC+=&r#{8uZfO77cFG;C2n}(4emdcWKaHgL^f&PlG`k z4A$U54Ib8Dhz5^oFjRwK8a$=Ja1BOkFj|9WHF#cwF&ez2!7CcPs=+u7-q7Gp4c^w^ zT@BvX;6n{Q*5FeOKG$G^2489LwFZ+l_*R1{8cfsR2MuOu@RJ5JHTYG7-!=GCgV`GV zrNLYc=4mirgFG1UpH6B})u2IxW*W56pp^y-Yp|#Wi)pZg21{wMj0SBqSYCq_HCS1L zwi>La!5SK@rNP=7tgFHL8f>URdkr?xU^5N2&|oVKw$Wfa4R+9ACk=MdU^fl+&|ohO z_R(NJ4Gz%YAVAArHq&&q_4hNs7jf3rHr&%yG3!-!o}p*4X=Gn){Jd@pB4WOe^U_sO zK&3_k*Dw5-|M$<>tL!vtS#~^IF}tttWwT6ITfIjndDe7@nAh!p!e|g#=ybwFQ79&d zbe0zoR-x(o{|f!DEfjV7oJBgR7~%)=^VV+~VE{1REwAXLP%CfN0~m&804VCgr3RgH zIfity-*i}jlDbRiq*1l5WdtyEv=BO_WNQdD7z@6-8W(+wBSJ?V(XwpKubF{5tGG7b zMYQ>%(;sH4 zt}w^NgP;h%`BGQZs1F(s)cWchgH9h@7eryJv!3-EZjM-jA#4?$Qfd?ogs_^D?+8vP zzvfEFAw_2_$^BAn>K36ZZLFWfmiA61ov|S|VX64InL1;m0<(&B6)WBUFr#(qJN^GK zOKS(by8lWRDL!tQ&S;-%vQZp8;DlD;CAeha&lEbGF3nVQRgKM@@aU~|3*fZHzu`Z+ zD0Rk`|9WlWYtR{66<|i|$u(4+v2_7vX&rYQ_t2&QD`I`_HLSEPz=~Us$^_P~04r^M z|0%4rFTl!KS8_qL9SX2Yx)NC{a4Tf&8PBm?M;{w|ag)2UM-Z&^W=z^TlJ&fv2(2&Q z3Q%D^zBT+0C_vWUMl1tBQbzly=o zgc>HE(b^P`1NV%ybtQBl+brA+T>jIHn=5UAl%!$~>!E90JPnw2rh@hoZnBSUX}GVY znh%)i$PfFmgVaqkhF@27c)as;#t2Qi__zf+W27creB4r5D4 z8co5A+hoG3T8~Ua-0>$(SWWA1JJ9JjZ=0|>#m6mn&>8O(cxV6&G2bnSxj8TdeXqb@ zYwPo+F*qJRH0iasE{0Xj_~@+(>r{N)ELeG3V5PJ5ov-lzqCl^=_3_Q{o{(;ev%htR zSa?rFK!|g&b+2j|IB#a+i3{7|){U*<;T^wn!gjRvj*hUBQeb70t`u>L^-7yAeBY(} z!+mL{qx-*sRfbt*FUCTg2ww5D0e?qs&;hxJ{9FxRtINw}H*R&FGPCV!nyn*yce#gnMY* z<~CImVXBvKHgQ|qESU|ouPB%a+S_#Q4!`|0;m$U_(FMl-8n?Sm=}SNd2se{%ZyS3| z%ErN(aDN+*YY1bA>1NTTHqA!D?=sQCBs|=PUlQX=!7qliy)JBw=xpOE!DC+9-V!!H zJ%+VdG>5}k%?DdG%-hvR=lne^|Y+-4GDJsze1Cj?hfAeiQ99(ksB6%zx$ zdEfuVG3DpDqjM|L9NcrcgzZ9SX|$!5c;Ggs>9?AxjW^il*-La)b<@D&u~2yix}pXZ z3=JckaVMB7Y`I*GT?SntV>@zUGvlg3uf3_wW{R!G^XQuslI@H4XCNHqSr9l!GXEv8LIi@x(DvL+9D7 zNJRLPG<2Db^?7h7i~ER>CuN(uJk3oJ$tK*DY*u0D7^j*%OWOdliAFkRoMuv&w)@GZ zxI27L*YKlcGwdN=xEUILnrwW|Ao7_SevWJga5S?tJeF+i+JZk@vww?pg?Wr|G^YM5 zQ-E_cCfrbffBhFPr7Qo%xImP_aJ4Z%0?Ug{ZSLQWFA>NRQOopVEYlU{S&k8to$|WE z{2chL(eMgijKfT?dPSa3jB^A(!-~JA;O>_S_E+F}=D!-Hbr$CJ^*Sj{5QFLbO{iM#qMVOmdBpQy^>(pGBt@5l87#rOXwu<`(q4!Lo zvv6n00)p*lh*6yaq$+*};8G5RO8BE5)pCJyvx*7TRi#Ir zq>ecA*6G^gkM&rk`a@i}(=72vjgA1T@h@641sF_!1E_?C$(Fsz6E~~lkL-1|wyhC5 zu}qS*D?3rqiPc1$4ocRl{cQy4grkX|t5dE$5D$`dYMxYe!if-2QL0v`n1w84Q)r03 zC=H|{dS9$AI#E?;VbRt?A`+=J3n6(csgs3TTC}q0Q4F2aM8`m{kSt^Y3eyP$Lrn;k zLvn2_3>!L~S>yjHwXg1%L2SXP3(T|M*{`U7Z>VwFsfviAQ4rqk8Y#4QTMbyYFO1pY8AOjo|N z*IN8y{P$mb4f!t}BbBL`J21v{B&%7d)d!W7I$6_7t-iZHHcU+nCZ-~r7SbBcEY(^L z#~@$3urw>8nzuxTEG?y~R}W$5Wh|9u9RB6wkzB;DE_Z>?a~E2MYbrT)~r?udqF#cBI}gZR2ti; z)#o%oh~3MXC^C)8tCgD0!ws~nP#{x4UszEsTe>IQHV_5me?5F4Gqt+&c2%dyA5^J; zCx239t(8Spv{p9RYONfqsS!$|QCDlN74@{1v#77N)`(MF)+xM|9L$ zdqpR$6(Blmt$m`4)(SLb46GX@x@oli0;#FVZ?Nd0wGN1$TI-)&n#w6#&SSel21)hI<4!h2F!uNDu!i#NXX z1Xcfyiz2d&`d=4&>HjmWIcVcxYRI-Q(P<(AJ%u3jlm4Tq$j*~htCL6Yo|T!R*0}x@ z)d*R3s-|xtH{@*V7j{%uUGt^=&iQl^~G*i+4pdCnX6}6L{J#L`i ztpCU=vc0)#?2F!&=3@(bR~FIYmc?hQA{Lu>MV6fNUma6hUZJ^awfb{Zovde}Xb+}9 z3ISt<)%lbWxR%(b;u?9KuiMaHs_w@^rWf`mT)P;N$>>T~=XTb6ruW&(G9FJ^e$79q z2c{Pr5ccTPhb8L@rdLJ@M%C3b#na(7`^rT1S=E*C<+cu$ zOI0`L3QKP6&+YSHm>ZtM-m7kBzrL8@RNamvY$v%l)7PQmg=lxORuravRrh5rbL}u) zs5O}%=m4O^Rox(On` z!CEiRaC_=>Zu?zl%dec+rfS6&ig7NjD|w$SuN=hfxv1o=6;|FV%L2X3U zUERp`vwt#0yk(mWQF>K%X;!Q?p*_cQMY7hcNS3J+#q{_TrY}-iG636OJTG&mUlIHi z^q5#w-TJ=FRVTBT&~L1JvT;I=n*s_1!BAI@pc@=Z@Mw@7KW>@}Tz z85^=p-*J4^nYf$Fb9+=__BB?|*IlM3+juh5(`u ztHknkRS}lVLw=8*L4vR9o+p^@cL>u@A7?LC9$nLaX>+x4q5eajZs&A@IBve<&Fx&;qR7P_*`@f&Qx^B<;U#k1~ad%lbL zmznjuivft9`|l#`{hxA$2e)u zeuL*S<=O~t&%jm}lGwUo9`IzXFw>~mXqwL{m~GZM$i8gT*o(^ozJ9pM7Q{o=MGA|n z(>Io!F^su)P4Bp!X`J^d%6IXxpwF@K#Ojd7)|LmbO{V_e#h5J*?gUX zG)mQ3;P?VFKrXlKvDjl|mg2}QOm9TC={-GKgXtC<`MT&kNA(l+IlSHLxE=bDubJ=J z!aP%-lnUmU^G)|Yzcfc^hhhZA=W@1i*cAEqvn;b|BkRuX!uQ+b7DpSgpS>Te$8=wB z)>_nowRWCndaXu$^~`7QOH^)mY{7QcnIvzUR**G66`fw!3!7xUt~e43z0PGgV%6Pi z#MhHwm{I{_L$Awg%-8C#`0DS)SD#$AydaPLPBe}7QJa|JYGf}J=ke8SBF96~ddLrI z$?Yeovtl)T%-5e4_+BQNR{71v*`v1&$Mew^n{r)bBzsY+;5bKj#k3aN6Zg-a(tN)+ zOk-)&KAhg@Z1LRnIzJ?AdY$K7jydTnN74W_bFwSeO7 z&-50SY`J#@*1Cr|+?2u87}^Y8Yfj|3+wR9{*m5JUUg*w^l>7~n?(`O=P}PH;O@+Np zREu9LPny3Il3p~tIlyKr!6Rx5Nd&FmN3DNA;VfO?iQ*hRu8CeaPooaQ*ah0kZ(Iyz zpoFSo7grMfbcwb(0J}^f0pMLB$66r9(y>a2_9|Vx3B7Cd-4?t!vbBKs>(r(XzzuTv z3e)kl`U6BB(DQtB&}Wi3J67F=BQFXbA`0b1b(5$u6+};A`v#boux^1{-Yjk|=cvV{ z(~#UMvRq(un`r(VlHS650l;<7!Qim_MmC=Q4<{&@Nc zVs9CMEwb5Rc<_;@y5N!RmR=K~uunQ8=`(e9br+t5o4x!61FeqYa8+%$e*+x#>W5Q3 zbwDqa`P5-mvGG*LS9d~t&V74u7e8HrD4Zy>E3CQF&cfh((Oqw_cF{>r-~z}u5yp;C zkFO9pL6c@d;WRySgN-O^xfPNZD1~37SbB+Fta^)nv;pxh-B}NX2ek1Zu*X!5*Pv(A za}?BHQuV9AKG5q05cy1QWr6*qm=fUqBIk9$oW+yQ@Zc(P%Y*18W~Tsb6L~zZ?G=5R zLS(;K`UWD$#kNwwBE<)Ph+GoAZ=na`#hBdyPsC#!*Q;+uwF8JORWxZ0@Ig$S0LhP{ z##k836fIUm@|&1F7QAeciT6l#lLmJXy`<$wNczd~FCpnKrw@U@5LwO{HY4O=&eLwl zxlw7na@*cwv4a9J&5Y89z=aZbyGVW0?|{oxraD+s=K-(GJiFJ)9XOhVLT*{ zs>43OQJ8vU5_pknN9-ik^Xio>1aU<@v>PIKRL2`I_E1gILo!kQr!07H)XQz5kfC}_ z2l%Rn@br|eeqRQWKkAoM_;b>C8VImne{mYr-SxM;VQjm8Y7&V1^mQhJcv63TAr#K* zn;Zicub)y6#uD`5IIdJv^l$M7sXz3-8=&`FpPLDhjfR>izNkJ1Pwe&8-3E^!+}|O? znC4J8YM8YgL4+Gp7>hBq$6~BrHMFP&;w?k{%g}pZ_=-;o)R%^;(;$*$*i{Bty1{Kf zL_QmwZGdGP&MXF&XXwLeqO*}WQ+6{B4FPehF=;!1k1^&5y!#m&?FMg;u~HTSJZLn> z*AQx`vCApM8DW%0NM0~D{=`8T`|#@U%sA>S^b(D60l?lF_gn`r)2KuMU3X?hJ@=X728=`OqxqHoU(u>sJTr z$!6cP;r)}@V@HU5H%lCXIRBbmuMTXT`67O0Jk1qp{n&%`yz|yxAhFgf`j|eD)Sq|BU za3d|voHYvAJ+`d<2Ueb08hMpUu#9;ED~XmzzCb-0Hlg>< z(!MEdrdhsk4Pv_G#4)h>$?`AH=U*%rFN6Ab%a&dsW?8QL!yRe4yC}dP%OMS5EZ_3u zb%?CBsxk+diRAXjJ*@(97OQTt8phMMx0M_Z1s|)T_aW(PmCq~t zZmY9MV${7>-9~~JXtm26Ajs-SeK^{0l`|ROpp_dd9JXrWidaIdGQD6d)aqpt)K6H= z<-9Y@O34Ox+UlJjZYNF?-M9K+rX?N9%RV6Jd&eOR!@DVn!Z?CAVrE;DF^6zrrShMH zpw`U8x}Y}z_7vAUyowAuW z@L1U@4A$!BK0v$8!it~|A`~{&+4Hue?LoPy)~W|+eliT6ql9ZPc!z9pq=?#7BWz1e z_hr5phc{}GA2krWU6uXF5^DQ+LZl9#N$cxA!rLwC@rO+M`pwEAZEetNAR=lQ#CbrY z`Mh-7uf$Qd+PEG*;8C0G_J_}=v!;X6tW$fm+ehcZemC!iknfj26EY(&@-i^l{Q_=x zPTh1^Slob%N2}BE^^NL6{pxUc(5{=f32$=aS#2+QAlXDZk&N%z)Kk>@5aJ9cQ@9ai ziZ_x>0Y{N3;%G93e18EvUsXkoU zDgPx4JX`)^Nv+jr3G6oM8VI>|KX*dE$Cg>JHE5a@BpoT8Uq~l9!Yj;Ls$d4pW`F`4$h8s9e>G@CyrAq;Db%L&!fn*e!FNAtDja~=d z8CuP6X)O8jj`k|)+0iw+_6xj6bc6G+$5gl|M4r&1Z_rDnQo#UeWbY2%ds@rORTeFX zhC((K;r(e2_2Q?zUd-ZjYJ>2}0_G}S^DyucS8PGtAztl)qn+YoU0?@9;v9HCD5^&x z_(LLNFF=G?eFDZJ#S*R*MTy~@^IQ`xx%w3+j&MeDSCrof^{3+J34m9kPCWDy#f+-p zy%Fs=t4 z*?JRic-j=L3Oj8};hAsF9j~?X*fZp@fZ|L`GvjXwg`y9VMu294SaJA?DxImVf%pfz+iPdvj;tbzRSa2HTUEUk!m zM@9|xIppOo-27SzmhMQ+oUCHpF(D7I45q)e$_!F|CsTHaCr@E)zuXr zcmun#H$GfbS4C&R&gwb}Jg!OR0*E7d{)L(oJ(>*JwN&aSfHSQf58^ti+7;q1l-VBg z>uGa8C~TmW#Q|Jt*GL$2qjTrsX(MeWIB}=-ZvZ^#E|2m}G>uDBo)mHi>RvS93~X+u zG9j?Jg<_(ix0SXygSd^F@W#-a;)B84PH(G0!G~Iv0B;9*@-A~Hwc)y|FIEb8_oMAx zi`zxH)^N0&RtPxqr>RAuw}<++0&g$1UkzRW`QXz>bsu$H17094pADNq6gC)+_S2a) z2qKtVN5bX->U0O#L7FoidWXn?H&cfx?jEosREl?IA(ZV4(?_ZCBM^_#`^q35r?QP8 z8A@(kVmd(|tU(N;(9MuMN%NxsPEpO$AfBfCPQb#c={ZP7&=E5bBPnP-h*8w_5{S_h z&Kc|(O56zISqi)daE?aTMeyh8^eSK%C^Zt2F*Hhr`bFZ8j80+ zIF=e*1o0}pw*>JTg}g*h#L=*mAYLbL9f&vR#Y#xV)8hybZ&LBE5V=L2XM=c~yvl=k zhn~F$xJykJfOwD6cOk(0bb@zE56C?SRvyxW2_QbAf4EZim{PdJ@r2Z1=sl$cC=X#X z+zCfX>T{ZT2*ejOsSxfcfrg9&@g;2<2=I!$%feV9y_*Jw*K}qNB$Mb7FSW_^3@4B3 z8*07z5CI2DpVDV_7~ zEXv_cLpCj12FV0Jbf`SiX8h>jw< zG48=hSoH%~D`xZ5g5)|;m}hSn;fV8QtX21TU~Uk3^U(#aVptOp-GtvRe*Z*v zI3(T0ie?b;5TgVD$0FC=$~*mofA7FT&|N%0c zN$7d8lgHo%F=;V^h!IE5f_PCR;!6%xV(yQCyvd1z0d4rn`^XpTFY*diWLO|swxVzL8gNK?}Jv}o$|e8^0@#hdKu*iA*zL!Sa*@-6i6;^z}5RD22Ko? z=5bwVSzBJd|5?nL;PM^8&{{EZ3ba=GjR$2_NNGr}Hr$8In(Dmya-<+WXmp}xx8P?j z&D(?ltcBr^x0hO_EOpjSIMnx39j-$KQ(6(kd4Q6+T5ym&N<;4u4KEMxhslO(_(#ZW8`MLn z!eFQ$rGRo!KSnc?p>Uj5a=j&#R&p8g1l8>Xg)lmI8Q4kEIRQIG?(Lv{nsx}Nhf|l! za2rAW*27UG?OFr%DEieL3enUOXBAjLBf=ngmVVhm{T#LZ0PH*k9EIBpv}+#JW9VL0 zs9&VnwV{5AdcA?R0HM0~BIunk^KrQUp&5*Qg}lbR3!U$-;FSUl=!igY56a zZ9FAzg8EIm+Y9Qqs5;l7Z&M^E?|0}n54gM3m#gjf=*>X5y-&eAq40pL_QU2w`pTR4 zN7OO{;4xL_(fWiowTIqQ^6C!BXSB2nh|j6N6%<}j6JC50Xf7v`FX;e}o>%1Ni&zp# z1Ot0b4{Aa$iOO(3olFkDq4$P5Hb&HMDeDq~ct>G*;H6L#3n--0wM!65qs7s%`JTRw z0rr86`{5{^N^%_`gADit1q!O;&|G!Bk_(urB{o<%-EAZF95E0D~gA#=d{MNLxST_ee7xCQ@L97?Y@Le+& z(DRqUa}{p);mA##=S*ay=v)j$cMaPDG#2fcvu5OFQKmmh0WpyA6ab? z+gBm7tzt_IB)5r^v2f%q%2b7;?V=lRE_}rD+u-dGnGeC+DW=qfy056f(cpz!g7l^{`wPs8?$qDdeI zi*#Fl|HRGOARZJMEkHaZmOp{9!(z{FI65Ni*8_xz&Aq@oD((}k91~0V@gEn}xTX;* z-mind6Jo)45W~c=MgS+phaOOf5MS+~eoegN5qVuSAYeB{@i_3}MVBOCH$`0u-Yt=q z0@JrejlbaC5mCGmxhtBt0q>ruS{%1>U(Blle-A|XC4h&*kMo2_qGcegJQj!eDEx^q zaAx;Zw2FYjGqI6#z~^H03V;{lHZQITV*3w>ycAt_0(&JE)P{PZxcMB!*P=A9{z>A& zIs}m{s`G;QM&y@+`dg98GtoP7(g2Vmn%9Lwsu+Z`N;OUFN`c;cQI{v&4u4EQ1J za?md*2XUT|C6cZ}BwIuqU?oQwXT#Ai5xozRzeTNPu#zjv4Fd6x&}{@UPdvr%_0+$j zYIRu2NB#soM;XT@1}8bWBOI-jX$PR^EI&Vk$U525H1y@1jUcX<-FXYTLB=7q{{VZ=pQ<~KU|lH7a(AzhZQ7+jI7`~YI5t1G}& z`Ix~qS?E53h?5T{0lO|oP6oIkcb7vD@$yG5Zu+L&90}}}4CgbU+p_dFfIIRC7u)a3 zHjcpV$qb%i@5{d3akmfT_%Xm9%1T^mek4cK0eCEHmgVxF-0{mlS*FprS_%03M8~kI4YB#g6E{X$%KcsO6*;j zc2>G8n;0ayz_8XwkrT`%FH4#woz&Q4aVFRvjhMSWgCM{ zN=qAr>#3yfgQSVwG6Dr;H+oKq5bp*^qEtO;;ISydV!Mj5RFTvRSIxTLiF z4!z4t z$}!%b+ivKLYvZ*&wW6kN6)VKnWgI8wUEAB?`jVz|ndBAK;5ROjS|3KwzoyG;A(uoq zwm?0Z?3Tkkd#L2+1sz*!e)3go^EYzUE+lKk+l~WxF6%UjQ=ZOG{9&fsr^b-z-L;y& zK)b9f?^LQL5687u+19X8XBvN{SU)%ma*aaxtIQ_tc~{!pk}L16yu%^cw$M#nI}E-D z>z!>Q(C*#P1Kh#qpFnH02e0>&5_^C<>k&WVx&IUfWxZOwq?cd`rVPVR}c!c|}R30cpU}=u>IveV~VY zV4F@)_P}&5y^ja+4?W_l?lv)q*AQ<}m%#H8xn}@&h|-+_d_@X>bLA(Fo7OC0%hQIx zs9YaluQD>du1Q^zO9P>BS^m=pHe;pkAAqaUX*5h&%|G%XZxZrkbZv-yS61|gdamNg zM@X*f>AS$()Q}wz*{D_-3lVp<)GP$CNv*?m6Hm26RRAwF+yyqn)rDL>j8NUZpb)8! z<|Dr-HR(HyMXSr(L-LGTUJew1==QW67w2im%Cu!6( zfK%kbWBoMQe}-f@Mez1Gf?E87qe!xz3StyF1OY@-9Zm$#(EK03?$PdZ!0yvB-djAR z>YN@tqRKwF&Byex3e=xa)F9m7Q@X_6{fxdegx+)d;tb*odcl)$0zG#|xG(9=Jz%eB zn;syM&h&-p*VMlect0tLCygu$ zy#J+M+{yVA-5Hpp$lnK^lL+Yz$+cpR4vw6~7v7St6TRPow^Ic0J`NuYrUL8|t)2ty z79}kq=`U6{gybIK#isX)J5_-Nhz6A)vQKOn1uRgwz5oakyEvKMFV69~Y_OQc>(c?@ zw+B%l6j8IGa7gTa44a3=$tvixBjV5|gcKsmI6&co2(1T^7*T|+ToMg7L;bRNn+oiT z7{wd#SW*876t0S)+@IG(`5zF86Z2bwcU?5>58@4RqZNqp;uJsBMDdQ--q&I}e~FVU zmY>Bvyb+Q&?r+7X5g@)3SMj9-wyUFgiAxnf`AjlR_;y2_??s_`Q2!vd6@rI!v5m_H z8Dd!p@IHz&Txt6x?k@!REW-E)Hksl<6M!$GR%h7!DuP_$C|`(75FMoz?^D;xy#%7O z9RCrf*U5n?u<0Tz^1fre9ApE@4YDhKT#Y5?A1*q($r_^oHp;V0!E={^cIY|}spp>G zB+GM+*Hg~t-KUp~T>>mb2Hb+=Q8|?>d&gx8e>4>;r=0{iAXZot9-dzX+GbT7nlL35gcg413N-qNM*o5ToS+E@+&QcJ1N)tSrfc=$!QDeEz%~ z9uI{JvP(CJ#K_*9d|i~2IlZ|gKi&hlEO&6Oa78xT24k`ESuF&3RqEX#c}?bR05MK3 zI|-ZDWe`7=8!~x2OvlT`KM}-D84&`>TkzXYZq%R(=KJ(0=b08iyUGZ3H2AJ<^>x%A`TgS?On+@Y5s zx0L|#rL4jW>?>K8KTS%M4t*fq+Lny-pao9zbObL& zUa95k9OUK`2qfp%DcX^NNFF$0{J_z@x?A;h3OZMR4vt|8V5Xq5U8$iTG zX~Ns9^@@E-7;{x#Zh&_;W$}4n8yR8&ti?)j&Lb$e(_fmC+aviPJ5x$4k z;aIBFwjrDbv@2H_^!Cp2Xm^NNi)+VgTnOuAX*_*K;NfYy+(Y^NgS`Jbek)7FW9p`jW8^VSnjrJt)gAa7Fqbe+ST)ujcyO zigJ98uyQMx^;hX((j?Ui!&xM}z z`i76a0wJ=2RxAQ=rFs+K!i~bX_PLSFe!;vu-C70UK{4GSvWdF$;ixACo`Z-Nz2nq+ zGhLqw;uebX191->t`5n)^qEtheKdJHc!A{4hdV)3i9ey(Pq|SbM$-IE08#Yj8T8Ij zIwzE8$)6{qb99AwBAz#sK+vh#L)$R=v}8moSWRB1~Wj6r_YWfbP49Ie z=2G}TV1KC8IC#jT1pYOTyGZH*%tO>q2JjSJxpL?DG?DyF=KR)B90i;A#hbRU@<3SfDa=FR(g(yx;^_zIJr*I4pn%QvWhguqRqufJ zOr)*_crFfggUuJhiT6w2#7zEx_q(X*1k*pn^fhqwQxxV| zE=&0EhBaHnam6S{#PAaFOO)eY`Yp1#GMOv#6X58NnAHu$Jdw@s`(M$WH^})S=P8Je z@+t2;oaFffFuhh_%iSw%DeokJ(5@XX+D;}8pGxjd9Ei!p32NQ;60P8G60^- z7b=J^WYQ5>NszU<3XvgmxcO*0p;dM*gU9QCBT8x^5JQxnT);c3 z*u8{al=6l*v(bvfM0hx>IPxjsIps|?csQ>d=D~MCvE};6O+}po?3QxX0gmn{G5pc` zU8Tfh@a`#-Ucu&lrQLZD6P4EdEzE0W@EdqYRz~vBf1?;V;eM;!%z?-|<*qe6d{gpc zxL=fN#Q}aQuKaFhDa!{#FI#ctxgbYb$XWO=WpW4)L}SN(;;tBZLf0$qV^45$?6Q-12e5Uj|aW=r=6g*-SZLR?QS1~ zrS`RXmhG^*AAEG&!vnO_?kAvk{>fiA4Ao_zJ#0}9bVtN}#%+u&y%UsC^Ei7P9sLvX zW6p5KH1^C~T*v9EL2`Wefv`CtXeZhZX8c*##4rCqeo|&-Om36=N^qwPT#l%wQbn|< z&E|Dx`ckg^%y|70HfJv2z3Hp~U&OF{hBqiH`tAT_)#rHFS$(4zC~G3Tq2)+-d9*sw z^jZL$X?YSn;x$@>s=YGQSb}Bv>L?5a+03ti+5`X@;lQ!|>?@Q%)Kp&!d z9Oq$b!QBx;qqyLElN!ICA#$q zR<6)bUJ7CX6*XS1SL*pph61?j)fWND_L4$L^dqOx+;MG25Id1hiH4cZd z7Zm*v#u8{=JBXywKekYKPcEEPr&E7hNM_I^KC=2qetd%Xi5gTvWWNZx0x!_3uR-Jw zCDekIJQ~2irTa_YcoEL0fer?3ycoF_Te~c$+;t}FVW!IouKjl1`UBVQvpEatVa1h| zp3j2O?)9C&$mngyOJ$!$0igH&#V3dTUOa$&|00|d4)ErzW8fe@BONq@iyDI~F9v1E z=f02`I_eNm0)?sFMbVYwMNBOo&A`rRCC;uK$&YZ9+LB3 z+(di6!ZYB4E7jqBVTDqlFIsXG^u?n%!CYd?+nlAV^1)p;GzQ#%vTj3uc@KBcSFD)} z$(2>Ta9yQuf$QpwvXEKxvo)@c)Q}fBC%TvmjkWZOZ{L}^9fMsj`osZlrtYI5xs~jO z!ofD$$_ckO_27JbJN0#ew9DDUPS2U`j6m;sJ8uD#$@<#e0@;`ZETO z@WBaBFj1sn9H87XAr>NMXoLl@vy{vg)N}NQ=j|KxwlJ*3)BFku;ufWsh1=WI^eYtZ zke>>huc#J3qD1mp3M`36mjp;2;w{X%QI&RE#?|iDqY|mVH!Eu z!NYs1T^Ga;w1uBdI;C)emOqKqznFVV_vYMX5mX zZ53<=3Hxgx?ibs6N(vUggJI=>Sh^8G925_@*nLRUtOVj=@j4v}M?@b99=6bYG=5Z^ zz5x%%M2ohtd0f2R0xVPvNrBB1qLeT6!bERA4m&BX41=*#B4Y`_Y0*Fr5H5narWGNg zM+1u#ZGvDkN|ZYTE72mGH(h50{~v^qfU5j)?E~?Ei&788US5eF3oG8fJP{ND;!|PZ zec?0lg)^7uq9_0F2wsRW_hB;u-#5a_OR;zY?%|ba!~4-h@pU11uLY7e&hK9F%#th` zegt?Up7NyiR=nn2;5)Hu3$PSXjVG8?q11!hG%;o*8?z;l)6mmujT*Q5h% zl+}0u^nztOVqMC-&&%BWQ1He9mGiK>JBVQE-(X#mh*WtdPar? zfOl3F8V_(ze&IdMd3l7((idcZdrWXVweLM2;{?gcA3@-Kgz_e<*e8?@hYGG`FE@+6J<5}5+#!GE z1V1R`OUu;=$x#`~zt(e7=5f_xt#UaSL}#TF=Wu(K=C1G;pcKQ8j?_Sl?9wayjHfZ1V~cUE&$1jM;O%KC@WK- z_g0z2)95=TIS>jd%9lGJrYeuQsGp{^tAjY-EB$zv{Xu!jlX$uk#$SbGDEIi&#cbt! zCGc{T51j1(R@%>ihg`+!5sdv&Ud#uUr(EOjl-yMBy8s*2rkw11sPBry?IyK84=GPI zp%-{w>Q2s8cB`$6g6FR;3IVoPox(Xzfclj)E{pXwLWlrLX|@x8K$Q3*W#zt z9^)Z$T5ZFxQ@Gmr0I&$PPep)8btdPjw^TbWAKX?CMg!bczxqS(o;tK06z;3VrhxcB z_27cRL-lVl@E+l(#vndcgSck$M4iq%zo%;6IM{rqeyk4gT(x}*^%v?~F2^OPM>_+1 zsm@ppf3H+0KE6p*?IxfjU#q+LbxKl?HG!36bz=~~8?|W_h`d!>U4f%_>O`KsQ&ekC zQB&2zp}^8qV@*iDSFL(Lx-beLS1rv2@jq&r_pp+u&f&`0U-f7(B=glr!x5mPe%5bbPWmyQU~H|vUI%z^ z)}JkoAlB*I)d1$A?>H0w*6Ro7Kytg@^Bwej^b5Cww^MKI4n1Fe!&eaT)0bZd;x7GX zUV(P&mz)Lg*H`=wuty)xWq@P)*8?DOTwjw{$`ksx99fvY8_zu_^~?Etqf`3P69G=^ z&vDr(T)#LUk`elqTyMClA3PTKa7}-gH`~|sGq*wghCXNpK)gPcKU}`4?`#0Lr7vm) z_1pTk?oha+zsVW-EBz%2D~Wo;Lj;keFIO1EWPM>?>fY$vHUaOgKE6GSz0<$u>Sl_* z7k?d>st@9!|6A|82gF=`+8K!C>96r2*I)f&K3~t*dj*2$XsE^G#mVqeKxD094S(F@ zZ1~X&*gC_r)lhIT{0xQ7^@cP2)3ObQcFq8gVbr@@}9PF{vwURpOBT5f~QErz!3fNeG0;tttn@O=$QZ-c@m&+UdeyruIo z%;y{1VJOAP`%Xg!uPeTWH^0F1GdxZOahKs6SI%}Dy6%O#zoBdgDC{v*sSnA$hF6P# z1sEK-aJtVh$q$l&hS}YL1sQz#W03uZmb|46Ht1i%*a1U{iwNnUp?GJA95R?SfX%~( z2HpTi48KF55Mr4B4c?C$A~PX!%+QMux{n*&H$x=Upu7R`grVddU}1)y{9*4&Lt8$g zIb|3z9;QzlO0R^Ya6{rEU=fCZcHl)C2G50+C_~UV^jWmw2#?mQhCzYg#Tou^+4j0& zEN6!|4B>&$i#O!(4E@CLdL%@i8D?^6_PL=-NAO-4EIxslVEDmHPnuyTf0y{du)QZ@ zNjJnz1IRE8F&#`8c3c22$I#>kh`$X1-(fS?kROIv{upLw0LwF^^2RvKsKFOD#Th>N@2l zDBVK(L92V82MBURrN3y8^k{+h=rVjxIA%Hzx3LrUz|Od5ydxTK!H3Wj22_KLLnGe# zOnl2Flu4r_L7%*c7sDxW{5Gtd(F|Tzl{yakn!-h(>qrxlfjChiGeozRp3Q~2Gxg^L zb{#!00&y2|?hN93nid1e4RpC9c&^m3BzSJLi5Jj~)P+k9?o_e|h#ut6ALaVeD((Y6 z6zqZRrpVLK^QRMuu(F5zUIW`p(LA*rB^N#wKSry0st%8!jLlp=%-9@2?;CTp(4DdXKAJ;>?L?hnGZx%ngg11F9sR*!D?5_>tHnEK7eQ!~M zC&cYy*)zD^CwfO9vOtk@3nKeP?<9a=(S^$@2gK}_;2jhb{6Rb|wzz^AF5+SVBE^Aw zFcu|Jd&3Hf|ty(D2*6$;5>5%?hp5>U z;HPje0g)_Gn0Ko9*4YE5bHtCD+>t`zQowKF$KPYQNk6XOY?LeFfqBSpTcNi}UgYYk zr+i`t;3dm*&26*XIth|ny}NPzaIXyc3U-`#GJ($Gp$MJ1hM-%{V6$ZvmW_ zl)ChZSxUAb&i&UrG6KJ&M0OF?#!1wY-UyVfz8>wE#YNOZfj8HK1zeld86K-J^%GP zTo=6l1j<6eulu4AGoicq(N|brORt|n&6!HLL&}AGNBlpUzB<0D<9VCe-E(e|(iRF7 zX$!Q_0)+xC#ie+0FYc~IifbT3LI?zjySuv^34{;=BoIi5013g}^?l~|{k;F&xij<3 z%${@4*`1x;b1pbbTF#Y$gS3jzcOY%HfiQ^vF+&qWu%{9vlxFbkF^qJcv4zu5!EhWw zF0p85B+cO;J&IzcB2F|7=DL0i9n1tImi}l9NF3cBjp*@of`9D<%Jl=6NO~ENNi>a@ z*OJM6Cy*%=^BTfb+Po5wH0qfFE}fE0(A*6AI1(h2rksN=i!ykVVKyz|ls$*yPa=9Q zJ*a`fDLNbrVIEoXUc-Dkx)Q?E)TIgrXUK#5y8<%10&t5xqkhKT*}b0Cp7;Z%%4&d-lzABHu0%@PHlPT;R`y#rSX>(5)0ug%I7uv*EERd z*mxS}Gz{KS1t0A@O6FO_d&+K)mVKa9UJ(6AN4Qh^MDypu*=K5f2j-^YCa(r!8xPl1 z4~Pt2bv6@gxoT%Fl9M5{5RJYDXDNQ^1)m4SE(3^_n7~87wW$6A@eYaKxdd$^)>Omb zu-L{&WGgJMAl?y?z$MJ1!kLf3POSP92FFA&cLc{p+dY7s5F3Ah&sZ^(GyXVnl)L-{ zv0yN~B#NK8W|$=2*1;fI81v&vDdLyo@RBN;@+F^!Ctv_c7YY0lfDAE{)9Xyp(jUky zVNlRzixN*5ff?q;gM{Cth(?Iv)$EXy9qln0LvX5qBE`QXr=BLq}&t zf-`i5BBBnub7IXs2#Z7`E(x3$rF^c7Maj2tc0t^W1-U4me*>SF#H3y@C=u_!2c%TQ zt$~-zBAx5WSHwfUZ_32VDj=_lkJEs>CU)@>(_7)$7u-A1d^#W>M9~&-AI0g-;6910 zI*`x8dl>9Y<){5{y+TtM1_?LE+b9n*QNmg)QiW+&t{;Vm9nonAXU=I2*PR^XAQd=`QjMJ4f*$6*xi(^6vEz;lemM%P9J}8 zb#f3_8gHRGDnKmN9KOH~szVD9-AcLgM8jG|bMf_% z+P@FPM)`1$d00K+B|=-(d>0Iks6*vI9#s*`;KfeWEP?Qt`hj!M`D2*7sedg&+|^)H=sZ*^ z@5%5~cUJ)7rRJOl@m9~dvg4x`a0$>?;XT>Nr<7GS4E)sx&J+SvkG*JhpenJ0L6FMW z1W2&Dx(C7#RmIEAq3Z5T7=)?xap1z$eeMz>)HGg5ja17F@DimSe?(iN)g10^VpJWk zJH)Cn4MF16ue{|nUiIgV3<>JnG6)mZ{6RQpNh+7iOUdf~b#N(aCjb1YDwrqUX=;8N zkm<^w=Y$z5y9Y?7s^w}*mOAJLE?cb%MRRjhdOGZKRSn;2r_`PlaCu7bbUI%}mV%sC z|NVgIXOt^fb_$gLOf>hb8pj2*LiO4bkaMbGG)R$}{Rfce)${4#id7TN3@)g&D?naU zzw&)_No8?TSE9Zi31_9sekz2Q)mO!UTv3a;A1qULzks`{y7FGWYs!%qFw527UqDx( zTHS`hbyeB`q*4v)2xOINauGhORS*8%Yt&x;sctCCMIbj-_xm8X)E>S?Yt@u^K-_^nQHnyB0N`N)4;t@PW<5MOVwlmxL0Z~-&L>G4PJeEqo#EO_f}Q% z_NRBMj+gJ>E5F}i{y|0OqY)of!c&k>s{3akKdT>jv@q4GD}mgv_2J+BfELN=pPA;k z91+a5@pjNzXz8;cwA5@kFF2?fcz>XkRvQdrt?f$&k6x0<++D9-=JtJAqa%`rRLx#cn+OFB#e$lBLVv z!T+*Xyl%hzKM#CgF@l$URz~fHcGa;t_`bR&=SXXQ<{W2j=rj0SSL=%J|Kd(SZn&jC zyloiA&njJ{_I}*Qcp~%K~Spu06~PXxv)p&Qc1ml@?O- zW`LZdYmVTGXzMl{$$65TI22PGuI*o-$8Et~q(6$``VviE1yVvA_$n)<#65sqrm=2- zT%q_FaAh>$XXvhCmmJ77GV24^<@DJcfhx%AS46)~242gnq!6AtR?*lm0jZ|M_HbQ8 zPb)xf(EJdPn>1_$eBPpVy!um1nY`#!M?-GI;5MZk2Ukxg4nTK@R4b6X^n(Qg-J=g~ zu)9w`bD#%QG!!T6A)PM<3c3&ODfQtb?HRdnDfBt5Jw;%|Egi{M}!D8exAVY;ESB}C& zJ6mw!;w)b-5hC+4kddOra*!xd$glm57VWZdL@}aEJV>lKH6LN)M2`)C#EWA*=SvV1 z$3U1U_V5jwB*ry|>txZND~&1QV>BSCV#*n`I!y$$f|qphvpKj7G3!f^O!4g#kSwt< z3_i1k*J(J*5gV?;S*|$E7xgL8I~2M+QN~wYzDRQicUs)z?VD$WFW)%@Vo5j*&Wfx5 z!Jtq);!5#3QI`PMMZ$vT8Rx|-9x{r>OYT`Oh({)Hc2RgwfwN1Z^9Be@#42}?QgL)R z%rA?6ycTptxbdXBOeFJc^s2bC4-u}3Q7hoAT%5lLQXzil>CJU9o>#>x#U-wxRf$%- zZM<4U2SHdP-h2=4h8Vd3&Tfj>)o9W!F(nD4R(PhtyiQ!Q1oF1nI2fc}xcr8;oRr^l zdDUJX;B?MW&U=Q_<0Q+t+jN%879o&}?34(ht1Nj7q?=6QA>Up4tb%I~8O7BnPq|cw z&P&?z{x5I&kOxQ~IXnVFUzzzCLO*$y7lHg`wI@h`e9!Akf$}V`CGlN0m zs5ss?%RVx_k5-C?cP{|n(E zEc!ZX8Ts(1ysZh_|vi1}arm#J)8pfUfKDg@g>(D~JHIOwwP5kC9=#eH%=hd=}$aCjm<2l}^#-$C8I z;C5^p*DJ@xaQS7zJ`czzjvE2Vq{xYA*P@qW5NxpvFM%%gTnF1_P7y#Z|Hz%(3On9& zvGO+_hE`<<1G#$4Vt82N#p%IXLsMwibzBXrf4!oBS-*%^cQ?3d_}qA#Z}LsQo<R(+2Rho?b^;i!0wg22D``VE5<7H~<_oYp^u&Vo#Mnrum~Q^6gi$__wU(Hzdt zt!d$}@P3GX#smwQ#ZsQ&9;R3>G22pmPOy*At_5&*l>Rvfh#lE-mwgN`bBCAXbZ9KN z6I9(3EjmdtO+oA_k|$jbRKn|`j&y@dbWT(r3*t;u>_A*-xfzHneJv5ljlSn)TzA^N z7n}zT^hG>R%DMuG7p*nIVS1D4bp-MuA10zq=eTcx;M$r7sy+5u@JgivgXZpb@aL%!rOGc6YT0~ ziV=i&$cUSCmnz>tc#mxML3p21xCrrp9`TI$Ar*fI?h*af1>`X$6UY;4!d2F%WcwZB zJtMzeAkQhJCtCf2TCV`)B{i*v5UUUIi13;Ws~~(s$qK@^6v@dIs&PAUdfwAG?(RO2 z1=o^3((p7OKhbs01wT_I-*2X3!XrTTi=gQc9uPJ>|1c9T`Q9=YbGQe#5SO?Fc~X4h z(Z^nlj{|WOVP0_NB(8Fi-dW_Wg@KEx{Q*ce|}nYuI>+G)dD z!|IM{osapN}Q{o6^rO!0e~GLbT`r-QZmSX7mM@`0#Wp7dtKJ{APHtB!5ov4wCb? zXtfp9E{D*XlF|V=gg?ZDoef>(0sJs+;B4HM+9ZQJLIz%TK1ziLfV86p|H8{LdLDrG z9H(o8pgTdW8bf%J&PmwW)7h&yeh2z#7|b2%EO%N?be4;V&NMm=b}m%U`zu}PU=eg~ zG^ZQP-O2t(*m+Pub09s*jfWpE^5oLKH~q+UBOmJhH+=fiS?)Rg=&K@d{5;1(-jiv<$TK5uM@=?J+sbhusr;GYOEV z^wTfko{{fpM0ifqJE0LTXfUt8zN7+9Y+lin8-To~rd&aLLj!n1`Ifd1fzU;K$Hfm< zah)?+chNE*#6wtc^}v1Ug?a;t`0SsQm&ue~~i+#}FXq z@D{~DG3-z1f<$k=wSvW+yt^5_;Xx_=4R2_jg7L87R2hA>IQ-v^g0_H>0oxiCEm zFZj<8KD(9TJHGL&L@%ziR}16AFs~8EIkmqbF7YPTnIfYb}E6y%O5`UK=%@eS|nxhFmt0J$$t=Ro*CjN#njp)gwu-6PRE3V|Mrzj6`i ziO590i}lbuJk5V5+S)_-Tug8U-$vMZS!LxvT8d1VT62;XOEadD0Q_JmkKvh~O!k@#X|CnfW_} z-ZEw{?0jUd0Xn=lDG5IPWRVvj{&?vVAOSLiuaH2wI3X*Wo;yMb+QLn*KSL> z0S8?#hrNQ`9Xa(Hgm>lkF=)g+IZuGQFaPEx&j<2+cO30Qxy=jC9?7j-NqQ{%a996C zuI>c#R4QYTXEL=K$mjAL@2q$sHwIn~s;?|Stdz~KAl7Oxms$_05!@Hps7_S~dsy8xfY_>5f5ZHU+VLZF zD7be(tL>CCUtq^n#CYhAD>ELcPN;UXaXwF~E|UPUM*$qfLCxWsr=yZ9pmS1@+z4mY zdlonsHD(^XxT>$_BaoXqa2d|rl><-7J=8xfA@o%H1R!3@jc*`tHM$%^AJwS`h_5Q= zd5ND&1_*=H`@XOXR*kLUGei~hAQh?x{@=7)op=UhxSGV< z%_CF_=S`971$X{Y>KTDVtHr#(D@G0Dxrxew97)YvWPyt<A zcPzOomQ(RlYC}tqJoS(ZUHNLpc_2@#4SZXiQS*jFSD+@lfjg@P7^6vrDvw)Trq*-< zxvFkjKv%9zxaX@-k9oRxUA3J7u2QZ1RW$ft@>91E^3E%GqTgjNkAwZLnWDJ^yh9)# zxTzPonr5mN&E%u_twoz-{;B(qIY;d4&R zU|{B+;Tgxg_FTD}f6WQD3r0jkyYRLtye%5=0#=KA-G*d|IghDJAMtIwEbbwY%Wnt3 z!Q6vg(cDIG zWKGT5Kz5vFjDV{X^pRgj=0?+bzp^_`8Et*st!Q!DaATh%55nf`&r?)_+2^TK=rwflA2$V0DwubPuFuexX7sM{!t$9(z zan4vSygvd`Ax>@k|7HIe8=yLm;VZn072j7 zn9HYUCXGdVW_5DI_t{Rl@Hwa3NFe8SY7OMPRMzmzKg3#Rk4DhoGw1HY(j13ni& z;QH{AEf$EfEzKRD+q-u_Yj;}k?wMU~AAs3ik%P}Y4bDNbH!T6)_8qr|#FYM-gGTHp z{BbQO;SKn-n9-0ez?su=-cV>kK|JxXq%eOp=penW2GWWme+1GRn&sfCLDY0S;^D2j3m^=oh7HlAFlx+~c{ug|2apId3WMuN zGVu1dD2nw2B${%&fyB^NzN2I5XP$${Q5Dy_F)`;VXae2YkG3RIB45}^^zA5kNv0Ss zrlinXS42pq)x4P@jV7N0mrn8hLGV6$F63v@j6&$LXyYT0Y#M$N(R0ZBDtzYBY|d3r z5ek~Tq%rzC_$(pUu|Qs?cX5b*g^HU%SVjfBE95F=H3oN$x^@Anr!V;I-l5C9M0$?~ z-h-F>WHSlE2h?mkgb!)o0&tJWldpmgRP_SnBfV$HXWCc^Vk$g1o!u|K*?}e<5L$mk zHxm{(p5OVhPj(Cn~JdRV$LBPn1?W~N2@(W2JgD_ z5;ki=yhRUzE7V8ieS)2@h~e$$e!{vENPlssAAH7$t(!q&QBj93UIh2%GcTg}6eNm2 zo!~l2^v*=QQ=)w$eC7-1pCLRgM*a-p88JBy!UFNO1YEVS-2v`~ILf2PE%CG!gmq#e zPt)tgE}k>o#j`*NbYFaK2JWFqUI*kG@%bWrz7=g9Vg61u<_YS1(P<*gKMEI4^FN7m z$zsM+mKC2CpAj%XMk+c}VVb zf!$$AU7)j-6Pkb=krS<;J1Wn$1b0lfnh5jbGJ;dA6Y}975ZcSe2f#VV%fn&rD9x_J zz*%nO$+L@WUJaeAtQZEQn>^`>271UImjUsV1NbC+$#u6O^p>qXaJ0Vio+AwWfMMC zBkv!F>sY)=6UaC@hD%KGvJo#^B+6Cy0ZEdFc~4QYY{8}N6xlNdBu(b^fXM`2|WE?aQCN_lN2;#JG}-@&{_zTrj08*(#mwYw=ZUP4$a z9Yz6CC;#mT;cfZ02Bcp8^(DOAl|4P+<(^#5GoAagF{gqLz}XWyk+0;Z zays|o&*T~2H2p$maF6m*MsuF`N-OcS{;c-B&!J>!KJ7T17Vk{{^eSEn(Flpd}gSr?Ljit zSC2um)TjB-Wh8=q52 z9%xIEnsXIi&a34^A-tgS$H4BQ8peBWE~!6FU{Iotnge-Ry=@EES5yTL-es!QRQSBA z{#Xu!a%B_)FBQs*bGYkj;8;MaR8l!ewdzv`^BUEv7UnnATTd9=Qf=46yjIOifUZup z!fu)WrQIusLD0E>8MIwI8{o6A&u@_QOXec*fMN6Df8a_^hzDKe+RtA%_^`)qr&Z-L#U4k?5*>f(Jqk663sac<0k6<(6 zE-!J6{K&)fs5buqGdhJYmN9~thsJjL4Y9{P;M9Knv^KDvaJe09C%)o2-lR!RKu%6k z_?&-|i;4?ESiYzs*J>7bIEc?BB^{vMFlZM%Z+yUeWBz-?lY`A=Tuk0ldkddipBm$H zTc#EKZ=Vp4?>p9WZF;9!8IZf0b5gwf=HHO-X`~?8JLM%j?_0=zOv!?)8~dr#b3{Hs zbXUggc z&V}ZVgwB=D-9!W2=n&U$-Dz`o=Mz3B}%%!h_sL+4BJfzbI; z4qt%&bg2or0QxEt9G?2-aW#nAen7ln3i|~lfd+9tl}L^eKqk}VH4vuIeSYI%Dh*l+ zT^hOFMyu25*cm`FXw^`VOlmX)(X;5yZurcmKO;bL$l?Q#xiq*H>`u`u?%^;^SpaAG z)RAx6(`0lU!ZY-vg0O%L#xOWb{kffmRMHv3b7c7l$ZND|9*(G-x|{^$I(6cdvXUM@ zfa@yy&KB{iDVfui8v33`nj3W580I&r6YmkYMcsJ!LM@f>)lo;|0|B{B@7y7*rzYWu zfXwSBAn($1KInV&YAJ;G$%U7_A5Z|V**qkhchEf|{}b@?n6~lk?||@l1D%;@#k-*_ zgbNpcEX5xUVSZ2)IssxOT#kXW7Q?w`JS4WRgwRGjsswi!dxJr2#kVaG{fM}D1rd&l z->eYUPV7Dpf-4gL^8E6=Xvi;uEf)6N_g)aQ#-V{1g*`ulaY_976Sxu) z&V6>NC>{mKWzq9JB3uyzFQ6@DBAN>sSH)c3etJ!mavohSnh%ArLiFQO^GET`Q5bv@ z`*`p(m4XM&{qhSwbq8bwUj=6JKKCr@0-pqlywan)E z%puvdJA^h;dLYnYx#lp!+Dc1)VDN|>(GHNK@^n2QcCy?5je&C6D?pCR`}`B1kUm}r zds43Cw%E%_H-L1IIlSZ7QO0t<=p;9E1aX$FIaPC!bGYc|D#N(OOD?u`k zyVYRnR1RH;9LGiXP+2)0(Zl3~_281_>c2r!e0`p4wZZCvY(vfrJ8o7`s(KqDM0vs*&<8t!yQV#zN zAySzR@bX4ldhEQ5Q?%@ZwCM%mN7;kt5T9iJCKKoK z`_)BGY!0X{TM^w%J(>@lxr)T8``<9Li*Mx4U#tbTOKvpm`!wJIqi>lFg7^2}q-wwp zz5oZB^1{HN54`VTOo1udJGPR?>hY!)Ku%aZ4q+w+@zVGtKh7uSx^pdl-X-pF7dZ9> zeqqRVd|zZZ0&k0_4*}-i;yTFJ`|(h*u|piRo3ee@>*C#egJJ^Aj*gq2)ZUOQnTh zqAh8(m{$SLP$VzP6p-&&=n5&HOAzPC%yZs`b@8JVt#5b@r6J^{FnTs*pvs#EzmOxsH$cE4z6p=jXwi3;_K4vY3 z{0-qDv4hupY(xmBm}N7 z@8T^c+as)x$c{%?y!rYJ?EJ+2Q$YF)7v4G$AoSia4-^wQ3kwqId~pYhu6#L%h?BQr z7b-qAfi6tAL_!!Y@+QG&gxDSibF3Pn6pD_yDc6UhMI_(KF{0;};9|wJA3@^8j$LR{ zylCzRWP&(!7G4sCJFhDziMR%6XR=uRe`B`rnFw8~2$%u8G|`?b+Ua8ANBGPTma`Bq zQ^?B@W{H69fMkmZ&fIdun}KkhE1F${@RX>lgTZAnor|DXM2jLszbaO94s}iZAYfiD z-YJrr%kp|`c zfUtjUaKPt~Jv`|h`s6u04O_)O@9-g?As=y`JHCYskR zN;v(&6j;sZ%thFlCbQsq)>^&?W)}~__c=GLfuB2(>r?aIAHetdYr4bRf`&Y?To_l3 z?~8QKs2AVg1^klqL_}P=o-@#8MZD^=yr;zX6=N&#edTNeK3C=Q#lEvOmo<0o2U-#ZpN{l5KTqUDFMfs4nP&Y20~gxPBdjak=K;)( zKJ|o8cbXLdhzAvNitb6(TnP1|NxbXNo0|0i@uBM-(Oh3zQiWqkpsFUYOQd7|aF$G8 z^Xf$k1#xnjN|#Tf!bNQHADJV#la8WmAMAA~(m z;frBcOyf$>qzmN43$_=j^cWzQ=&y8eB{Vx7x>D-ErLD`kO}QrWlD^p}3R0X)6@ zK*c=0bQJ&b-QXn5Ps68+Fo}fDRW#?V2X5jCua%-=$j=&i2>U@0dWtT|XqlJD=DCcw z*vTbSA7Szc&V0oK0WW@{wJjk2VsIFQ0is<4kU+e96Xro8XC4fK#h09NgotUq!G(&d zaj**$J9#=AF2)+cb%coI>H_vVH-&kWXv2w1v^e}93}VDO?$Kh!I<7Fsi5X!y%nKrY zDufrsWZsirB1Xlc@ulKtKlr>X8uf?nib%Z!U74`D0lTXr;4z$C6J2=%P%g$e15zRS zaPcUOFs3CKOMn`is?g}Mpk12NYN&K?TQ72G3ncPSu`g*BHd z4$0zgA+(XZ_-KPnYhvFv0vPnwR&l;${;<8u9AI6EPyxPzROMqGff zm&17IbCARG5!O)_aq-$o4%v&AIZFpF9k|GZc5v+~AO8cPn>@<1R(IJT5k5U+0G~5Y zS)K+jUUKPMaNe@-Hy}Q;Q3o`_R}T6c=6>?RVHo(!?~j5DkY^@=3zQDI@R=ejcyns1 z?7suLborKloD5mRJ8LuL!Cm08J`ENkt`DNa6S}!k0;K1%koyWZQ(r*idA7pDzHb2SNjiCE1YlGp;R88c5aKF;` zf*erCB4A*qF8RQjx!Rrs&O+^Vhn=Omm;t+kYI`h%E@~z}wcx6{-G$IyRq|4@hw|Vb z$5YjXp-Eoq=w@)(t2Q$W5O?hcckmwCyBI|9 z)K2E(h`hAFdVzRr``W_XM>GEp#8=zJ6DmI~?FpRuYj=JF7ohFt5g|}}$Gd!jwEkBR zAyw)1Hii-EFOwr#JO@e+kH4 zt^FpDds-rI3cRlk;hy%5cDWMdtrpG8KJT?&i@<%*ema77e$+zvGX11oe-FFQT5c|U zn(D$95f1A`(_vt%$MKrnQN7bD7})9UhN3OU^!KhH$Mv8NfOzOncxlH|@5_}!Z@oSZ zLLYt0EC_vdW8R1Br-x2~>v(+%r|SuNPcFSC>Frm6Bs7U&(nvrv51i=2`l^RJhL8M{!j*N1wo3D{}SP#(2bVnTcoFQ-SNC`vINn~^?Z9kD)b!> z;iXcK<#|YzKHCz)YJCG2Eo$_aw$MG$zv8;*L%lcmn2+`KJdt>!cjpW2sqV#(7Ch7E z`NI5@Uf~Pwv)zFq-6HsQwN*Ps2jK!@Uf>+JW;n_})OPeGKnE zg7Y=}y$(7*L(yy)_!~qxA_N!?CP5cy81^^91{rp9RvT<^;VN8+!I_UQ)bQ0{K*9{Y zU7!m$RBwZq2tyU;-KmBq%R$l%N1uUY7#`UoUZ#OIqt#i4C3z5L8)owSEXS~X0^;Qw z-o_!&DZ>J;zvLNO@bX)}p*jJO(}oMYhDnlIA=gFDYr&Khp<2vlfz+ZsO48SHt6 zRAg|ehrxM6aRPM3h6U)8|2Ok!$p!Y#Yc`;XUF2MRj_NcC$kDF{0yy^1EEtco-3?FU zJ2V7x!r@5BCwlJ#W>NzGDwEgqdeoF{%^{zr8IqyR`9m)4);-uMl6AL@pZnxmXvT= zbm@7n=`HIN3;go`cpO@>s0Z4x@*uC^t(wAV*y`*uAlG;-#P_wk!qJj-*HD7j{{4%) z+x5RSz~=@_9+Ebe@oLZ}(HHptPVz;2lwx(5+0kO|@{W^LPY6#?Cif^O$!Z@sdy3_} z&ViP4UhYVjx$fXZd8r`IL~|i@p|M=~a;0S>5et8|>j9lRwV8*Q9@NVKohNPh7Q~B! z`EK;4wpZZOhkD%t(wCxmTYw++FT_Fl(@Cyh2hczd9B3c~@_-UVD|sO~n6`ZjVF+0d zgn204w1YtyH7|uQoRaw>ilDznfJ9RECy*%mbrxJlQ&Mw4VrWwagt7E=9Gu0`l4gh= zPd;N1?*jd@4Gp|V;r&2Ls811urBvn)^UE~!0fbk`wWgtQoSu};NnoPKptDzzOAUEh$4UjkK>;gb;QOYxLwN#o9 zu8z#z;qx|K<}D)iv}^}-cPMcjbayE_39j$aKWX6ZQ`QVPdqBUK!Qdf9a60mcnpJ~) zOlN#>m`^C|HoQEgB))T=(W+;#drtNSczHpoJm-8#&)i_}ib{Fa?=^L3f#$xU*XLpP zmd5ddg}vC(8$t)s?UXp9)J3i)@#5vbaGfB!akV#5>p|F6iL`7)uNH&)!&!}3SP$V1ahdz;o1*_MAYX}1 zT|i!orZXUXE9UTi(RU*F0EF*F$nOaBLCjtT;YZPE9K3uIZm1Yx_d_|C|4ij4e$&N% znK=Wx1F{QWA!f2aZ*4G_`@Tj4Eo3pj$J0_;`~{x}x{l~I#)yeWM{ss{ACu8w*j(42@C?|$`>F(vcp$!7A#BlfD4gZo&pjo zV~^oPhRNK~@EI=8HiR%jPUmDjUz&G?@U)!z7a#@l;cG-VE6?!+u29wu1vw|D_5dl8 zV>x3vFLyQtq*!`zu6RMNOY=EdaI19;C$2!YY;y*j#pCsm9PgFppfq3%&YZ( z02!h>c165Ub)+|hVR*GDxNuds2N5FF@ZTVeR5m<@N2$S_#m1^<3n7eCgAPL&uhO}I zlAy}Cs+Fh`cwkCW!Q6;s_3!{ln(F)qjy7Gj`5opNs$UWsk*Qkug|JZlI0)Q1_1_zi z^J--exGq-o3SKU#2RzbVRC~ElSEKfG)!~L3%4>YLRLun-Yn8_`M5t57yl#D4MZAWW zdbPU~bazzck05td&2reiRXsC7-l=XsBJ2m%qaR#F z@7Kpg0A^*f?T7w$s%(Zfc*lPtVVD6wHa!EJ?FZ!4V~E zxA-}?6fN>QAXBv~KS7wL_2#K;y5=|&!VE3e4qT=-iszeIS|WEd*_z8~M99J0PvJ9H z^Y|9RQ<~15YMvI#KV!ZY?*+(ZEtdDyT+#mK3C>k5nin*#X=a>*m210tKv<#0M!@xT zEy5S4pi-+Z0IAZ(@iNjQ4RbVH<=Qr$u|L(Kxmxi|TgDT{=UVP?czL0P9ER|v)}aIj zue7Q=@cCMMlLyEfEn_(#Z?&BcfE?1lCoBhfAVGx2Yt&S*g5Lf&)_9Q_Xx(Zhw2+SZw=Q? zIa!O)XYD~FB6Z{8FptuI=4&uo?{*K67`@R|IE&RYxR;94r#}QTULSNBkOV#28IVNX zji*;h`u4{VChJx_cS_M|1)`_wL3JQ!b(bIDrBJu!CqRqzWTc{)P5gKn!eYIBD#!&r zlsErg)Vn#u;F4~?0KyU--*B<(0dqiV^$P@YTTkzVc=h^1Pk6bb@8o;zu3qbiu=n(D zdES3tuZV}22l~T3FnFlzy&!y~|H!rc&-$O{;7kou4+3()kjiCcGs7+3$YE~K8$f4a z82uM~S{g!nLU+(`$QVc~Lw6n+tPNiW!^>GE8U$ z&d2ZvFCY3Ee!CAZeuf5|toj?CeFh}J(Caju1sXvlG4I>tSL>QKF<`HSQ$vt0`!I)F%d_%vFaE-}%RhXPV&XyguZ)$l_!yj(L>arawph~TlY!Z2+LoLx7Zd_| zQaF2MDDDE`Yr~*)aBmEC<8ef94W_)N^3L!q2Hbl?56%QW7&daTzs-w~HKLK$za;$*P#V8ijBhA&wWHzFQ8?E7^gbRw7jE)?DmlC6r zJ|Lw=SDqm3O{0;#5_HSxg#{pWMhkcq>^9;-SZ{PM7e4P8eX|7-?ixAqmYg?6uL2-^ zYc%sY4Bi_R7ee^KNM=L$(dbWakWWSh=b-y+w2N~vQ{yp*5NN+~Y8E&<vi^i{4A_UmDK-Ah3Ws^wI18DmcE#9=yO}cMh^{cWYFzySuCE!JcL2F#-1iW4ca2A8g4{Qb zIsoAV;{@J(_R!dVB%D1mcH>py$Hpf)d46JinWt<|jcd6Y^U=7iDGWXtf0%|qrY6aJ z?d~@jXo2VlOfJ|Uo|#DlKFLQ-%$`GNXHv;asmD#y2;2#iv6EnM(&Q88eD)?ABH+`< zz4~*qt%)2!)pdll5GjE;Q-N*X}u!B_BYFOm>%pl$(_DC{tn5!y2U0 zWcLTyRhf+V1X68sV=c@dn6w)O-9wW^{*4}+yv;(OCnlY?!2GF6R}_RX3^dT%t>W>! zb60MDmrZ<@M|p8yKDwqUS~NC`s~Y1fK6ARbE&7^I-r(m)PkMdJG zF9vez0?tpTwXehXfA&0vhv_Xg;&Vm;541C{^9szYiLQ{$zK*0uo6|2A-{-DQf_9$4 z9k%nUII&)^h11rBQC$&bQOj=lzWB&~d@dRJ656H7e6KCb&EAMmK zzv}Z4$Sr7B3W8bEqVK_3kta`vt?3HS0S=KpPb+O`1jJ4ZOPOg$Rm{K1LRSf z_zJ|1&b3CUW3-o#>o|2wM1xMyE?)6HNn^RMwx`7jAP#il5_~#RS#vmZA`=gA&gA(G z3|vS*1kRQAbNRxJ3U`5XrC75AFU1#FwlkeELxw zZ*%ddQLoU>07~@+GKVHzf?Y24<&%_0Pa_a7pGFOb-D%pwSNIufyBwr|n%;)NS*m;u zFNO54Cw!ix7iXX=q5@8*&eM^O&=pgPKgb1|T@B$yn#4;2m*@@uNF{XlYaBxsVF#8F?~GRc~}f;hXb<}Q~LpVM9gdj;ZZS` ztGRaKrv4=&|Q4w26~8Jn!z<5ZrBS0FVX*7G$Kw|w?UKQ#o#_5iDESm z@kwIEk1$9U??V7d5q9h|Rg|qqJJZDAQjm1tD!3p)Bmr&DLU}vrck7`2IQP5 zSp@SU;WrP+^J3@!WinzmFNxzJs)>MH6ghnXxg$DE0_3iE7>>5w7nwhUJP>QS9`H~M zz6gUy;*}AQk3|zFa8JYnethw%n8T~X&qQ=XID0OZe+MrwL?^Cvz7$t7Kwb&|A3$D< z#hkFc5hEr5`BqFmmFkI`i%1AV2denxmY}H?yrC>36w!x5($zcJOK%o$5x;%A=08RbfNOVDsahi#Rr_86dBIR zf0`V-1JTpvNDXQi<(?2@=~W(g7a2uIf?gCyLtP)uey93#82(|7CL{m|NqKJ zs_;)l2vlM%ghA>iFPH_Zd%WD5sIGPdm!zV3uT6@|pmje0q@%Wu^Ghc!(h26y zS|fhlr;BE4hhuluGWqg$)3$O4;jTU12jZdSABUZ%Hk<1YUfPXqF!$Dm=Y#lYRx$A6 ztBn{9ouB5g244I%=LB#8+FhPH25MV30U4wX91JhP+Et#8hG^L?K!$47+i-kgniX&S z2-mLgv^he%&1IxW?OzjcQCjX6K%zCBXU{QOaG&4CB# zL~ZmIL{HLoc|ez})qVkCiY9p{Ose*`C6H-aP83>}uAQC@WQG?0A9R`8n#S zWo!L8naj~y9|XzO^18sF1UpROtW_f&6h8*$C_&~gio~ly}&)yzAnMhKGW8H124}t+jx){_^Ud&eyO$K zKo_Yb0iTC-pGfFz^j`vjJgnRC(u}Qsm9yz1`lg$Jxa$K= zK|FL%^lX}!K9Xmp-nwHa2z~Uj8$kN%i+h3d)AK7~?yviCCJ>-M{1(DA{rFilDP0fa zIaQ{^LiWnsRfEB7ux`GL3igp2^V#*iO^lr ztJZ-l(cQTaP^z2Fh23SnWC!A1(a-T@zf6D5`=PGtiQUk$Yx*~yFeulD^CCcnKK2&K zb$!Dq=qh#lm+)DoJH7;@TL11(nAhkI-=Il1^rSyQZtCGY(B9IsmqAynAMb@G)#&LiKaYs*X2i;x$BTs7I>JJiO{!U-ZY?_CPXi0PNn$dRL;pmBfi_Jh|^lb6BG=wY1Oj5)R*$g$|KFy}a41I+lo%^{y) z_YpoP&gTO4q=uXzPoBzku_>=|@qKDB7kZ`@_Ww*FjGy|$&&)ubo97?*qB`&t~|(B=a%8FP+Qh zU|Hl&cw0Vx6RcLe-Hgwbu5BS%)qxkCS0_IOa!u=&@U!+k=XL8YaWVei5l7)?{h=OWX^PPHx#_j_-O4s=e*wN2<;EvJXJmMXv#?3)a(BU)~_|n(m z(D{)q&w&DHZcj8ikdkEic5o}tP9<4)GA)6HC8^@V@wJD;obm$W;(N6gN0sHkbL_Y71HY z8jUz8Tdx6UB@1}v-&*Q+FgPSTjsUTd1z$sWSpItf5L@}-G7OH$v2oyz%G3@ZcCvjJ zK#s{3JV!V#H*yDmLdM@jJ5Nf7t!PB3ypRnpOs?k}FG6m;1TT>?Z6kjB~`~UZx=lMLJneATp+I#Q4w-nhVD#-}hduvHnkr6@}QL-Y6 zXxL?Br-*D)L>U?RyfZwD2UY9hFm_0taSI@^!;kp{dJC>@Bm;>HTwTRWDTk7O2*MrQE*JB_vv?0fnVU8Se>_f_eJAB?( zoQ1;~)?7zaWhih<+N0ld_1o3LaDL286_&?t zXVq`qt*YRTw`H#3Hi%KigpQX$ndmSHeVbJF3)=2y$AUY#6bFDQ6;6UOb@UWaruodq zae8g;`fD-9kev5)Blj~S}qEU zp%1>Ii0Q@(arHBZe&Wy709%Bd3%gszsZRjGA}btv+r^8!06RoI?hrt|tTwO^@tVVC zsK_mVm0e_*T?s3D#LPVOYpqzluhb7%ZB9rm^VG-31#3N$#|7JKhM>vWS1XnF!gKMXdvJUBR=zI=V!Z5d7FdFeVBI89CXIpK1KERvOu7u33-yO`We#|cq%UhYnKGC`>SI~$ z5k#KIwx>bNk`*}VK9#;KjXje?SjKuTKV?Dhg?wBLoqs9c--F&O>FW;gT2?Cwy*Dy0 z2-sU$@C*vsvR4ok-pTGvDRSfm-oIS=lQot1()1PnKFB{?fR`to4ukhm*5|GIB&+8_ zJzrKG1FS&Wqyl`F4fynYkxRJ=>#K}83-C>vj=^7{e7_J~`YwNRLHvjOJs-rM($*W` zm%Pvp#3H$VDE#3cbgbarLupoLgKHu2N}IodB`Br2uQo}U`WHmbDMN}u{k+nb59S5Mr3=(AD(AVGXJ1ziGF7^vJh=zGRAoNb^KL3F zD*@b6LYXhzR=jt@ANDa70^C(vz69@{GNujG?<-Ae!)==KovFwJcf=#?_&0~B5>1D1jJM#&9;mA6X8Sb%Kh>kfc-${L1`Im(bYbRt*L81TPW zI!*=lK^d6@(|O8=WjL{qO4NK9`=o4b1-JQ1RaWu}l*L^5{j5xT0k>b2MKLh;Rp}B9 z^>51NL>Mbn4m<_#yE5w=6n-c}c+Gw)-Pc3%m(m*H2Y#q=j>`wXmD;}`>7mZO2Y;UG z(+rsQQj@EK=&cT6GU}r~V$E;4+MpOjd{qx#r4?$8Q26sx-RuEYsx59oVU;?JQQc}a zax)yQQB`id2vZj@CETYT;X*^Wy3-6SLakf^yaVcGL_!F?mb21)P)+!P?jBOD_C0x?S6&*IxL)taO5arG}(fN1sgPWU^a&RqgyC)K?hL5xuon?oU1 zwPvt!N?matrcbLmu^`5&o5$je&Zr$z;o-IF&gHZ>YPmFE+3LI$7<;EaEDyaLbtns~ zx$0=Hi@aBBoQL`cwKOUZ_-mO>As~KKCsqXUlNy@}V!o%!P)^~3}8<%?>? zXX2}xFbJLarjBI*Qm9rM50UTc!s@W{L!H5p>8IL=m6BiTEGFDVs#il0f2%IsUgV)` z{sxksI!7+;dFg_?!JoHo1FyA@uFqY#U9P*pTji_Ecmd)Hosr|9pYFsrfR(zooFZ50 zN}AwdweAv&0&8@GS=Cspo7Ne6{<@<~7Xx&|xwyMdXIm1I>vffQivx9a`Sfhi^*IVh z8+ET9gSScdB^sUBtozP-QjpHD7GR5R87JDUI&1zAbDPeem7ri<2j*C)p(-Hmz&;g# zow};0;4ehCHV?)^b%B521=^*n%|T$dZtGMK_voH5>))%}R}wbEbZy^3VV^FagX(_W z?4Ph1t}A^GScI+x3zo6E_cV4&S9=?Xak`SFp?5}CiqFeg-RuESh}W&+?gPL)eV{iE8lcKI1U!-j%)_;yRJe8M1JV*`~>z> zSB7!cFWpk^GAhz#vw!?c(7hF?4N)`~by_-O4zVP(1Yg(I}D zrf@!5p%r(6x}R2_)#R00AhA{2x{>JhYOOK7uhB9_09&g~ssPjeT5?Tz574GphQd1S z!g3JTYejcJ4Ac}>(l=;Z7$t1f963sD()4>Exmn9Lz*vyx%@=5k)>}ehtLC&1ylvVU z?i3Ezmd^*aUAy}V*bePVB09KJ>&TN1(P}dw57mOVz~(OP!Vy^6tzG^Okv-a)ap3LM zy8D0_rp>&BF74CCjfa)}n*Jh0!Zqg{@FKKumM;%zU%CTCYID<}cTmgV3v@_3(-nG$ zHR%rzN3?jRTSv7;d=a9wmDOSFn0A15q2pQ$JMf~lqXAGqp}lAgk&{|Bx8}rX`GGJU ztNmmia!Px`r02AD;Q+8W?dEnUoYBg23G%EK!2l~>bDaQ@1kH)t9uu`G?*Wpue{_&M zr#0;aW9PNMxUhdgJGutoqIQJS*(Gf-w|2bJ_6!Aht!?QJ4{x>0oFB5abnY^Gr?uv& zpQ9a##QEiFfA$0RUh5eL@IiY&4j@lkmyf=D)J}4$`=njx8=kLikB5~4&5z^RXU#!} zPJGe+X6fjwb}$Oyo7SisY!+%uIFo$Wj$ebw4{b3wJN(qFp*h z0}md0501i~`VrXxUiur%fxPwOxCz5YA8;L#%k`yr34HatdjPD^SNR4JKfPxVY=-M^ zazP|QKfWB?M(RB{2OQJ~3J?$J*Oi8q!}c_5i!6|BLVDC4E{m zob6@({jrd|qQ9{hBFTDNjuR>RubY5n>#voBhj;om0-ea!_hkX`z21>OhxnlHvlJqE z`jqz|e$*eU1=FAOsc*r{*T3_Cl>+_FO#q+umSa%=qW{5(;j2FIJ4C+eQ)@uIP=DPD z;JZFG2jGW(fh#0`>fen9_Des5CA=d26>g&Vt)I{M+QZP}A9UB#kRAg`FGH3MFmJE(yg0)EjCjwg)f4P`?pCqTxQrmn1`KAE=)*w0#01 z;zlmeUocFX2;N1*9o7Rc8D1>rwKm+H3+#%)dNw4J4GRQprWjHjLA+`hb^unc8Pd3X zcik|MnZ^yne(u0cHO%e-$(x2*4PpA0VE~h@+lFn20PYyPUqSt@VcJDV-ZLbz9Dd*M zY9Z9q45P|`_`q=086e$omtl5>A!Hj)?4hAOU*AWDvy7TE4LL6W9ve=uvi`)-pR;I| zp^O>eslnkW)Snq_Sjl^CX!#q&7lwW zjOR)KBpXj&1TV#SgR7!fjej4J>7Gr7iiE-d!h-4WLIYIrYvHv3wpBdZmv3YK+w;i2$VSMl> zL|z&n-G<03V-};J*T(Dq=;s?_tG57ejqgT7FWXqf4!n29VtQaXMi+)ZxyJpxO!vzhl#FH5D5Sg>9yC)8Qf5lynGSyQzq0yTjCb9Yl7T@~Z=cn2a6K z`A}067V>tPj&(=RcAJ*7e7VOI6ARv6(^K} z%)jy=>22=Cg-9QB%|QUm&6OHL(${?dIwV(`>-fOtD)T)Hh^x)6gMqCvCyW8M*1WeW z6#UKeMgRnumlwk3dUIcPKG3}K3nVv~t1kuEXdYG#yrbrdb$~^geG707(PrnH@P5Kv z?k&Jc^LoY_G3Fhdq+-p*8JC|j+xCINX>;A9Af7eLNHSTWB{9;zQ z8R@He4cAk?nb&55SZMw+5FPw(&f!!3!~F3K9Q`z(XEylDJeRM1k-6P35PzHN^LJJr zme7kh6;F#g2AG#+;SxCVwzR8*C(FlDZ5=!;w{&Ox;%k|uz{(0s38n*n7P~6|D=jvy zKwM?<wE@L72(qeFfqgR$74mz(b&8tK2jb+G3IC^WjQU_SJW!z>c zytDknKrqL$AQ)J#rFCx@dv6)Vl=OpT*%9Y-Na87uCwisi0_y;jL} z0K%*`%!K4VtNuIScE8ol5)cWu%HfM1VP(x>=Ae}i^SDD+{g;4u*s9tnU`MQ`F=9Dt z)p8n4M_FBA!g0*1nhGl?tmZsNUrt&T)(0`hYUou+##+6921lo?wk`oUZI$*B#^S6x zZ-wMpt4n7A;;o9zFqU97fd&0Us}s$j{>Z8U-^NUs05+HwlmXR9&&a1LLr3OT=jwVLr2lHaU?6JYGSRc~Jq ze^}+ehr&;*zaBv0msP+J-5>wkc(R0L!20tlgWRA83uqk3x<-pUnn4aBZtFg6wnYB`i41 zJcHwi-HeDFr_F#hr^yUqoCl^rcT_WGO)lG?!P?Zl%!{Tuo&;sa+Nz+;d{+&+vu3A* zGP^qSvN`Er(Vn}Bw|(B%g=o)T8xHz{H=dv@O#BJTq9E1+7a!njzhr6x=u2zthTmnI z>wxPa{_%x^r>Mj@#Y?QCJ8!Ws1(=U$%<|51(P}b?zQUb}{R$Dy6^R|9+An~e!pss} zsL*pC_b%c32*lkYgk#|zQImt&UXgnMB4MIVJAi#6{4zSSU%ZL}FI{Idk6W5liFkc<@u1{$YCUw-EJwD`dsH%|C)rTL7osRX^VV$4mLju)OB zcoM`}Cbx+qW(0UiA|n{!oES3%#PcG9PrwE7=L|?*6!v=nE(ud#@G?ctWH`c?u9yU5 ziLO0>Jry@rqw~*1|6q7{E?y|WUWgK0_ZGdN(q7ZINTK8V^!So85eFH>4`H`DMSIT!BsaDBptVV5- zHG?3zRhB9X5G*f!grn{97qgokGMDl9PFbD-QHVU40Fh9+o$1LDsU@N>N99aTcgJMC zAvn$Ba)AMo(Q@)Mbnt}ydn~M+lmode5+f7l!e6X>#oG5NIrkq}IW2cA1~E>$aBMmw zO;e$FR&H7a5HCvvgO?z;WI!QNHsS{SBsrG3$2sZ3U(1}AABy1if~@`&>UZRJE*9UF z*p-gC3_slmmL_lX1b8509|5GxJFJCe$ofvO@=$u;gXAN5&KBx#Wj${g%a)xxK{7{1 z$Ag$F*YRT~@1=z$4t(N@74$s$eL6b$QTAj>^OH<^jt=I_{l8(eKn~!d;b%EnHY;OZKp{xkRShCxN)XFJ z`;qtv_H!*@*ev<30FdVwYWXmx54uoG%#3=~eP9xNlqsL@qGj8)rwhr%hf z*=MMqR+Cuuj8o^cLU~3l9tZWaYGy@X@v1NLwFFgiGD%cBF(OS;uQ9DTr(SsnkfxS7 z1e*`kI&~nDp}yjh-a~auNu0_fHH&+^Gu6*^0UoQq0j|Bu*F0%X3B-!yNFe?%pkk#Ov0$ z;fxY=wK)W(={A;t=?A*mgTc$t2`+p-)H$)F@`U0L!)5#^CvCOIR86(}H;ER%#cmfvwUUXT#WPZC(Mu z8qNPN*j%gidIQW~YsF>W0BuST6xM0Z)nRPCHiC`+WZda z#6fNHZipPx9QFdlYhz;2r36hK0@F!a5+m7jT3knf^O}wk_XRDiF2F@?8gqzCT2+Rg zm$h*(LA;`UaE9AtO?dz;MO*g=uym~wgX9dY8^fkYS~{P|OwFb>PWrLtHx;}mS{YV# zv$R?Mz@BQCM?&P8*3%z-d9GzQhU5$F&`gNrYq5;}3$*&rA@W6Y`3~?^TgQ#`-!#uK zh!kqqx5CkPZD1JGe`pI?|NW^&vuD3F=bex&(vs#uZLxG>XVXS+F!ry zFMt4jA6CuQ>D%>%NVwi&4=h3-avQuzeF+992leBU(6d8&yLRw!Sif!t{2kGkWeN4D zK8+h4qV)a$hUsJakRMP#u74JX4o2&J7^9rf|HIQfsc&-&#$xo_8h{t8|HeYXDg8^v zn@zpZ+&wHW*&x?oYg!H9!2oy zW!T5!ptoWDJ^&v>I~JFg8x||D;%gYkAY+B0$9$IH2CxO zhZyE1f){E?v<7dN;gbcu-fj4O6}&x$1@`d1*HDbhi(!VJ=Rn+N`0yN(`wfja(S{o~ zb%3!%gTsADCKP$@tKmJ>u(2J~ZyG!qL*Ft)zJ%m$!;-#GzhkHu z0QI|ul}+LAwc!@$+c$=88nA4`RPM)qXJ|AB#&Qg+JfW9scyj|?dT-c!6M7#E4LBF) z8ERI7v5$svX;A-UxWULj-|)IP{1q4qr$fQt*t!}N0*vk)U)CERhC(6GxVj;*4aQ-7 z`!^b^a}{Tk@!lCIY&MoH4}~COT_ylqj8Sf|xz*UX3OcdP_`D@d2OE8^fw&!Yc@tpL#=F~ii?@N^Ipashb?1$!5#d%Dhh2pFMPt?%bmEe+ zMJRZejiH>*t{DGr2!&*0b4JD~#!X9sT{X^9;o+Lmk#F&J<5*6~sOEDJPc?R(2b-wo zvxJjv9Pt}g-Wi`f29|4F$GP~uanuRueK7W_0$!f+b`$VE8nfR5`($)rAee6~Hw9J- zj0+3F`)usPC*+H9?ipZTjqV4)`)1r^1%*OmtL{+vZrsTX`iJq3FC>2&FY%X{zl>{E zf>>ls=ZyZ__^ufgJWO^45b-pvz6{`Hs`VLH$=kF#0j7OSOBm&CGj;m+l`;8n1J({x zK_?J*n!@#v3^8?@56Mu|F20SsOwp_@?l!&71h&Vt{TX|v(!d*F7T=@#a1 zRORO^g}-pqg=4@XOo0;E0h4WGC`6k6>I>pQldyw_OQyeAp}cH*)D0lnRO&Y*Q%u*3 zAad38$`!2 zb?4&4J=3)h0QXHM=CEm|iQ|DiFpU`iN9iVOddM&}=I(%prr(Wl4v$Qm87yR)>az6u z*rc_AzbB@=Q;^Iuc`%auV#?--^3_zU0eFR`9zMXnn=Us6_+jeASNo@_a0M>bFVln3 z=vk5J+-?~AZR*2qsUGG`Rx3Qst9Y7T=G6(X>1|%X_{GN@*B(}un~(Cw`I=k&iN35b zkK6*_XRfP*>5b+0rCGyCwR+;2Y5k0OPeo&SVL zgt_HccsOA8stMjH^8qdb#hJb9LE((K1oupxHQTzvX1uvaXGkWP|K{R!qIu>EC?uJe zY=QT4=Bjlea^C!~7(_0ZD|&!;(VX@Tyi4Y4<3PM;ZoUx2H1nRTAU-hf7zmJV?y~|Q z!(7OK=b^dPJotNLHZMTWGR<+b03MsmaWH;jR#?l-GS{jMV^7TvV{z`!%vYU3%rkFd zUE`CvG}F0!^Y~8y1?G#jAoyJN>?coy`UaxVi-;P0X zgOa}>(;<&L4mw7%(%ZTE0T}M`XK&EE_T-vIx6YBEV_^?kJvK4L@7eq~+JhQiMtkr? zMkYg3S)U(vgY{?owZ}jizKsEhLufoGBZ?kDa^&I0u<6(@4-}`Dw;}KRb0g@Z!WV$z z(tismqqj4TbG0NxcTCzP(8tzha69e*mrlkT_}MJCoDJyVgwC91CywFnmPx0$A;EnV z6Q#*33*dc9y&AAE)v6X`rr9h5ce*dDUo$3gKkZB#{^nxVPwqLM9mSDo&g5L^&W+(9 zI&Yc}Waby2j^l#)HaIRURReYwx$lSM;zwM-TGH}8&SmNSWN0lL$^CpDBFzVEPhq_h zw!K8jf1v0sI$Z?jBYgJ)EEl=lSm!G`u%5g^ROSHZC#Ez7Z>2cv4s4Y$cLBCq{Mj8g zw}?T^y0?nI7sGV0sJ0Qr?P3Abt{q|~H@@r?9*H1^h+%7?7b=400_+l3m%{sQ@oGGJ zxko&H0^(kAmJdmoXu1jt`$Vl&*xWC^l!ujY@sfMGBgE`G@OMBorh24k{1zeyMHQ}S z9ujvP(Cfou^$I8)5fR-Wa#XZ_0%DXH%aQb$C};%J$HhZN#nB?4G2{tRj2HN%@OFXZ zc@eo6#0z3AOQ)B_XU>F|#cgZYydtt#48JG5_^qY;B4-fv9*9Fy4C z@)zr{t7UIKb8F?vzj2lPWuL3S0_4#P&|4?B?EkRLZUwmSS3 zR$DJ$1NUtvrQ+B&ki%oU(f(++zjg%%JM8CLTu1Q$20G<81f_EtS9iKR;GEv|dk!SK zJ?4e(UiBJ|)`dSo@BL*Bjy8)H!e$7#hD^W8+zHda%~seM{9S?Wkj+hT{zIGZ24z^A*05l26Y<}*xzD$^ zX)k7~%_ccRzxmb^Xtx-Uj$_LvB(uxDvl(~UWpkadpYJbF`sdz7dq6Z_lYv{C!{Z=#)`13(`2&(eiihGj zba)E54*u&QKjH*a?2#*$K+@6O2NWl#nrJ&`G(mgR`%&=da*#V{MpwJ^-fh_u0iYn!e_vXxsID$~$FX3e!JzDXb0X!K8hlGi%m^np11=lUr!p|3X#r|7U_n zkZ3+DA3jL=g0>sU zm$UtoTw345m$Bm)j<20UmY@fnm$SCg<%2sUyB0Dx?skn;n(qDHK(a>;pZcByIqml9 zeH0Yy0d}z8`zotnHn&-Zu>DvT+&;O9;Px$j1#P>XTzcu}at}T1|BE@qplkFs_!i6d zLr(mJ?$8sQ$A;}$01Ni}xTR}&5F=*?FRsaq$c%>W$o@ybb(}f^x=zQQg5rF&D%zt= zJ&1u~Pu(lRTLU zxu>#(G-$;4>p5MJ|H#m>RYY)>wJ&Z*oU+_@zg z&&(^f9a{5i^6gj^i+?N!Wr+tPn5Buua9mb`xvz&fxfMd5VhiIe zFOk|G!ro%zzdH`Z>-i8|F1kK|h_9H>lUX5pvCi%%D*FIiDH52+trFNZ#+j|b(azC_YpK zI3&iFfx=-?=MqdG5lvZ@J}NfG1B((tHDKkKXk>5fb6-fF5zn~`<*XQFgk-$98KwLGm%3>sm~Hqv z9^^&^ts&F+PgaeZWX7&#>^|;E zA5g~IP|IyH%ZU?)If62=E8CN13`C#Y-GjiL{CF$mr`U5PY--(}keN2w74+$UahjQ7 zzZ315!~D>m6=T8moNdLNch2ho(C0?+<(hXd4afQF6IfoLXTf0MSWonG(Hw5JU%VL) zvTn%_dS4p12U^SGDx>WoYA~|(6ob3Ns+Xw7LZP?V%)N6yB5^bXmy4!{a7}&1n{vQb zh=;QvvRd5c+e4c#od z+ye*_%^U%?h&Fyu-zut3_-{78*cJ5VmZu=Mc-s+*EtlD&ORYvMgyq&Pc*EK>DgnD~ z&rC$SU8^6EZ$FiBWQS30(e7yE>)+LnV|urpEN69Z%}P*@X&XW5Y4-=(y<&T!ZLRf( zh2H97v~7mFfo@xn3om^(@-66lk4r3e&zXGnE4T}K|H-4^Wx(J!kRMpv0o*|s>iqW@ z2R8xU)e?(iw?CP9bvN++>`{y(Q_qliw0q6rZ)2_Nw?_YZpY#RACW!gE?K38#eUg8` zZr=exkg+R&1N45u92xpo;hNci%KR&%fpz(i588AV76#9r3Yj4zf^iN*EedpprGA4Q zd;gxG51%y~bO-77-*xT(7yLDCj44motY8w_%|kd=x3Fe4wxy#7D6Kj(s%jm{Jf_Wd zci3v{$V8x{qkz{=4Y)SaS*-wW7n@Iz?3&4PPq!`Epme{x1nnN3Sc~kL!j!+4Jx6ux zR?Oymw`a&}GoG`IZ4&o8^eHh3$G&q|rM7dt2n+r0AZOF{ztalmHef7bmH)k*>)OF$ zJtH5X`VTn(G_F+tzjJ!Y3PZiZI?$+JY8Tp#!>9c>rjKzmy?6oi+N4LJPi@b?!?9iDXvno6N?#o+GM?$U5yOAmDbRN(Z=g?&e_tA8n za1`xsdp7XQ*RZVC!)`t(Jv%UVwTWB_dE3og_vy2QLq=bFzASdn@dE$vtWvg7-;UR* z`$W$9J(^5{M9+DCknXk01RvH-7$^1K(i)OBVILr4`!ECTK4t9C?&}$b^Rt_|0$Tmr z1i@eb9w9gms4)@8fn{q!W{?dhioppdVP}ZbXh;sN%GHEnJ1>B1UynoL@bmRx(_zRW zv`2L0R5CI%05YR`MMK_Y&2UJLzQsA&b*T@yW2{(i92+zPTI0s6PNN=Xp@R_ZOc8L(dFc#`k+j?TO2Y|KlwsyT09e->E^rO&iJDPxHCUp27Q+8 zThM14SK~ORZy4m~UhNE<^PX{Fn}6#WWEON70j-4-7=bUc9)RQG&4?rZcV+)hgx4O& z_QPV&hXx#bVVJ|!EX8ely{(xs*xbWtqqFV69JB8Wi^gl7quef;%LYqu$U-FF+qQo%`qQEh*^J@M3i#?~vaJG9&geh9CKZ zW0IqL5ZX=#K8?<0BVcFLac*lF6IB-6v5D`YJI;^@%6QjZ=$o4t7nCL(I04Cttsnju z|K8;nMg|S20-eFW0kAk^*IQ@~^*f06Fh3Tz>>D!C9qy3Le&jF;7_pdEMiz3Eb6mnv z#A(oNSa9CQ(Qj0yKaMWPIDC)ZkPo_R<9g5)u9&4->liuSO)SLn69eN`NXKfMgPLs|B5 zgrzguBO6J0b1ca$*s1(TTsddcC(uWI;$o*u3ZItI%5Jn>6VKo{rW;4$u~{Zq7?;8G z7{4k8M>p$g(3-GnE4UMjNpL4!*@dIKmzu zkepeY(e

jyT8J>pI~$M^pxV?mvyto;R}uyv)DU3i1o)Qfp!QMNk&`+(vuZhs)6Q z5Y`t!@)Rep|9hQ|{07lm`02#|U#HD1bqs3CH+Qgi9q0`ytb*gvPW(E@u(?&hwYPbR zehn`$K-EEEtzpDSh5;kHtB`bDH3t-@=FD-Om)M|pqYh4hyh}6#nbAW7ada)w2ZWb?KzsU8Tgc29At5u?8Sr|FsJPk;Ul?HOgJq7O4ma7ANQ1#W?wy@=d7H@iW1?u0L(%-b0S z?tF!b#)5lzLUaqSaG|Gjs2CPQOT z?RgLy9K#K&LoV^&53R~o!C_YpfNtM60`1{rS+j9?iX|7_h)vbei;)%9LEbUFBHB*b z*`Pa@@P_$Ok*qSg+?WpT=;qe2;Cg!-bjK`X-ZFO9AV`k8@eRlEFF6{!)iFYT!qsR{ zCa!Vd~BV!d`Ej!A@fw1$?VbSb>AT4x`k!+F+sCHAFE#hU*oJ(K_B01 z654JT8Q)DP&DHRU!+BdLweteSz4v=qn0yY&k#36HDRg|QaUZm%1^xzodP6=XGiq@K zYUZ9m$j|a&g>H626L&HmyIRVUDxIX?brZ!Iop+j$`F&*FZgm$L}{P0g_hdF3>+3yKUT^H2F zv0E@}?A$-WI1W9@r*qi(u8^@`Hvs(^?#7Cx!^i5Nk5Ko6GP00S zm}4|aJ{8}lO#|EPBO`Sh8?e7vr&8}%IcyzPjsNQ`vccJ%Kvk`WCMxDd4R~H9pS(kr+ zp7qwTx@XgRGPG=MtMML|j(}F*i`C)J?%^EJ`;{-xGxQyb_JHR6ILW|2-Jvx!CmNE& z;+dz}XYy?tzJ)o2!&B}a9pT0^85#BrZO52l=z~+zP*9v-=YTTmUPH*c6zA{8M_+n} zqiaQf^lD5wZ|(oja0h*NjOMXZ8b`{`Ib1>P;xrPy={jT<=-nRmfZgsXV?giGn>E6o zb{dYo7TyHiI*X%nZ|AnqwekN7E!%o5X7p+11o^%$ydrl0bVa*g-3PGNKZbk$2HfY? z#DR^?I1b&$Ycb3#3|#w}%$|k|H?$pg6~fwxfxTg22Pss2K%FZib3ABQYF|QyduY@3yNF z{B@sInrFC+*=Nt~Ds1(#l)=$@CqMq%yTSrU+U&H4mhF7LpMA;&!CGHEH!RszD}`gf zMVX-V&t~Q};HDlj1FLX09NPBZctkV-#lAJ)x8bcghdUS^!`FzeqtG5XokdQ^yc#^i z!I5Y?|5QOAmA(u|m&+NTk9Ok1o$K|f=igA;of_&*t06{Qm;D97p%9kO5S^; zFDN#GOXRlqwu0X0)Fkw*?=}-G+L=n@*e|I7$Noo}LuP>M5pV~->x(lPlrbLigWr|_ zeTX+_m7yoIKp7V72zmSVr_k@=<+&!~Q1d;{;a5p$jjZazb66J%y3=k6NoUC&Afw(r zL62QLj^Q}^^juKJ71e~y`0K&Yb@S%uV<-Hv3-pOar*NFKi|dr`6Td)a@^R)8Q&uq7 znCiv4)wF&6z@5H=5#@~O`$3u6;~gYtg;^judwvVh=R{nF%-pGN=+(T_tl-bz;`(3I z=ye0Ox{PBjziZvn=u@{ZAJFbzdM zmgk`K+01HE-`H5ctcrOtysxCS$*A;l7)J9OK7 zP=>waW>fpWr$BP#A|w1d?plqulLuoo=bwM0Jt{N?bQd3HbfZVHgzf4sK^fz843x1U zFCjUujSG(BA8@yZ+d5XlC(LXHUlX%)L77yEch^1L4(-XY*hlogr{);0Tlab$*WTRsx> zK7-?M?AwV?iru6dIQ9!Pg4@5UFOCBaRKRgy#Z@>Ca$;69xbsa|ws+!aI=sIX+76@g z;C;l+Zs^s>n)#4)9Nrgnr@=2kaX!fzXjFebSuXn-Q;Z(Vncp>n`RJH-?9bSK9IeM) zW|d%kN6u$%{o^1%A+YR!W8QnN|HX)UoaST2Kb65fB}OuFI4!K!!)lzE$`5v*5r1*} z?^$t(<*j&eWI3<|v8WXk5=AA>_etU!Q>=4h2V;ZtVg%ES3&PC;;zjZ37Zfguq8_k$ zS!7;^$Q7}P*?O|*%$uGfrc8jyRdIeLuxnzw5nZ}29PdH$hM4LKkSg2;pl3HlsrRsX zOSH=bc3U)Jjqr}}XX0{K4Ce=B?ukb)!MiU~rvOV6vk|Ug-P`pxx|=RmaQQ4lM0^Jk ze@|Z;;E{+O3xAoy;ScCN7BBdt0sPe^gRCr3{Vymy71Q3p*fWvS5em=6vthtqh=*Pf zc`51~1@V<|83gs$Vg&2QZ^V{V@ZJiqt#F$yUR?)xCx+C-CCCv@3juOP2~HpHMRPAG zd=Rth!%Cje#{qm4O&JD!60ew{=8LNoDG(XSz&?wwq3G@x;r}3ErDm^%&N6AmTAjf1XpVs4Y*k@dqXjzjh&L`yRZNN^- z@{9~)WT`8VjFtUavpFT(G2=Kb>oeYtliN~Y?2LRd7sRu&4F|$_8O7K=L7w4ut3)}h zDzGFO&gJlPaw_wW^Rht~@Gi*5uJC?Qx>tjhWSP+p=a(XXbDis|9PAJ5njAjjzvQ?y z2dXBMxqG?kvP!Vl?92t6bn^=sDs?R`JOH<4I+v7N?c(PC)+y6rr~Op_|1WCA%dv+b zm>}Qt#ZHv%IqTw=SK#xPSDk>Jmnr1{F39}Gki0BwRs*;qT^qnnvRt?nk}1-oEJUu# zH11ZpCM)oTxh`uq1M!Be`!^illHOdby)CnoAaX}8- zU&GiQKcF0M%!f@$Y7Oj=(wooRVI`68_Yo!S z9Eed$SRt$&Q|uN2JFbLszrYFQ5!0}f$`s~{G0Hra^iL@v8=!t#*@Z12_&L_h4ghDB zxe6rX6{jZAUfQ6QAci`CS z^D$6bcRdeon`_l@mD&!2OI^E4MQFD_!eODqKdb|G{MiD>PJ?U0X6JIe)?JQrJ)!G< zPtd!iWx!+if6n0CdhEXiZcpzap!X`yQP6tPNE~~2_jUYetI&;op16hBtFZ&br zhZnZT(P3>KbVvNk0C(gmjzo^%zJTJ?>lymve8~qgqtfoej?2I{po|XSqvd*tHLfw+ zxQ%UWTMlaDEX=CMH}?kDZP)==m{5$b@x)?%K$%pD8KQg9O;9Fxp9RS&!)K@rG`#37Ny*Y>ce zTnXw&#OmiTeN-&tew--bwgVkJCQ=uGcU)ZT2V%6iwig{dA?)e_J1M@r1}{cb;bxmy z5qAj0Q=&#|5KoJQG!WxNv8}LqMpR;ca8@`meU2C32SGAH)NKHfMDb!a93_d7jX*pn zo^As1yoinixFCX9-?%7lZUgUqBC!H#6IQrfcT^8e{Z;3xDLjATVY6t9&ut|W(UGeNN^zMo6hrzoq zCOrT#O*s65l?NhaCLE=Ut-Ya;AxaE}zlXwZD-<4ysj*PV6nDx3do0$mzVt+VSp&%| z@zxcRPsKYHuAhmmZGb%&mlzMf5Z@CZ`BHqn1?-imz-aun*xnGtH{vC4_*Z9mi9~l0l;WKRJi;rtSED$~u06vSp zZ2-QA-j8APtJuUx_?vja9Xf@gR&n_IE`qHf@rGe+x`+lh-(42Fnl4A-P>zGJ)-oW0;KX zlvk`_Iz)QJ0t=Pj80hSh>yrTxPA9_39(gwaR`$w99Q4EFX+L<_Cl@b)_x&=X33%ah zU=Q#jC+k@S+=MGVv2M<0qm;O+XGya9r==6mlcwL-H@Rl;UQHXWgKx+rZfic zmMlmI@wPn3q4JKb8VllGxs;FFJ=v`k`gvbAX2_5xyK+_IflRm#V!E6(5FkUAdk$j{ z<=wBa@<4mLB__Fsu-N5ryN{qwVdMSIeLG)H? zb%hllWt0Z6TyfwG?5o_W4c-c+3uj+HrQBC2tW;XCJg`bBRuW*flFPhdjk2m8u(irE zzRdng7^mC-#fvqObxL_I%&k}cnFwB>a^Wbz24zDx5H~7*EEa517IF^QtYrPd83iee z89QuIHZmgKsx&!`E3r-a&A-eDR+1RoY*#`Wg1AGO&2_V#O6$kKLX_3Rp%AKg42E}n z+4~y6ZpEu46!s`y9GdqkIx9E|Q;uJO<|@cBrGp2+ait~WiD;!_0c@U7 z)(--9QrSw87)A2x#wuN!f_F-3@E3@ul^;m}aY~0G^z4lCfm?UZDpr2L5|q{Kb)wRm z;dPQy_bPbjloVFD&MQULVdaAIAParDs4Te+?2>ZN4hokQ=YEj9q6E~0WU^8s8N3wb z|7d#;@HmR|4_N2By*;M~NPyG}Bp~rO=9(Hfz}@L23#%M=Y9@q@EX%fnEEyGJ2ubL@ z7XyLNd+&r6Ak>78X$I4K@4bD$-;^zPdy>EB`9437wYM|x?##}-^Y(dXV@lcg27%1f zMV3Ab$oqr8x)YEO1lu_s4+hugG(Hr3mcr1(!L9c~CsqYN+6Hxx1e-}i9u1z?h`Yyv zzgdM(c|6!~0|w-Y;9`c@tq#7&y+lt2vj+m?so)CQ$<_qF_y~7T2fw!qK%NO^c0%E^ z!I$qw;d8;?&XTmW8r(j6s6(!gJ+Rkd=Oli z!+?Ak95wN>kAf|E+oo=+eQV3&_q630*|_?9k8~w4ogy zdW%Hhh|rH=oIsL{f1iSP9u;b!Fm!b2su5g{3B7nUo;x-){4ze}xX{U;qHtws`FHX7 z@uBnY!gD8tZu<^8cw*=ZN(ui6J;_-)DYQMU#wUkjR7Cz6+MPa)Q$mk!gSt~gcax`{ z7TV)*y!!OeC3oSuGeYl^VxAego@)PDp&c&5Xw4IN z{QS_oKcMh}(4KUkUl`i%-?+OdG_xP?yg2l|3(@SQp@S*fUlzKOT>J9S`RC$muLxcH zN8DW*+F)aR%2lD2G!R@J+K`gfHKEkcaJe@042>243LQml?7Gl-ucGk!&?6)XH-tVR zUAi$eZx${$h3wZ1K#<+(7TNCx+iqarKr0%w0b>U?h8E` zz+3JQHS7t<2SR%c;PD4TKdi;wL!r$RxI7&C3r)SNLRY;B$VWmq(`)c(r~si8XHQ-_ zAANZu^!LqBw>mU;LtLH=ElJ~oLnk9>VNGbqU!&QlL*Fgp?wQbQ@8h{=L)VaRJ{Q`( zh!&m?g+IXqFNBs&;$<&}uA~n4Qs{EZAuornkK^%ILiKcQzZ#mLP3E;w;%?l%9@=pi zJoiTE2dCp@Z-#C-50AeU+VW96_jc%O+5z7QJwrR^yP>CEMcsR$KT%wMKeSgfKt2d< z`3>qm3>_>C1fg9%M&ZYyf6#F9Nod1XKzOF+Tmxo`#Q8OwXAPK7iaO@x1k#-1?_3P{ygsXGLAbMU$nPzQ!5JhF@`_G1N$0> zky!0#{IC-(>~GxCjKTwqi+%*igN*fli_5{r$Fyo4VjO=Z`gy3a`&%eH%veU=c(@T= zAMG7s40FhjG}hZ2uRhAyi>~scjm=x}+%d*mb8&a9@zOjzew?w42IG}R?`?Sec;ni) z@Z1T;bJWd|EIx+1e;8}%Avnoc`8w`SHdcNBkbfGtjN{d(7||o~+^NRtM7Pt7O{;M^ z-9VxQEH&c>F3K~F>$y74GFB|aJI^-$$)J#PjOa1AI~PX~p}Xf9Cv@ZPeB-_XATKc9 zAsf8Vc%L(Nk+C^Fs23Z9Q50Tce7_!$ml{{lDRPRxA6ik5H}mc)Ix4Co}`j}tI_`zF8?uZIUkqX4D(iW;&$WeBLI1avB%DsxI2xP zp2gi=#--oIWn<1|v;WAu>!-D{jnKf`^-(-+}^`;Ce1ae2V_55>?2jrS>v zJ!ITUJH*4r4`^9kWh}ZAmq&~ML*yPcR&v2UW}LexARjk=N`ul9#_z8{-D+dy6=?QJ z<2;%ro-!I3PrJsrn|$SIBeo-&ea5(r3e~g5Gk->xo->Z5Bl>yceG;n|5Lt-FUo?Jp zFzQ}1E?}U^%f=OV;4QBh(c=K}s<9q*yw{9({sfTMjT3Ts;0@z3N{(+DU)SL7E#vTR zH1xLd#nu3M$2hkOh3^`z6x!Z1BD>@9_mK+_4}4%8bT1%3G;SgP`^fln7Jd2HIE1+L ziLvxMc>Gi2H^<=enUOgWke?gB+Zb>8!Z?>9(q9^l=hxH~jFavSOn3tvp>@bGZo-gxea@cT8m92stW0d+@( zUngZaIy`?3?v4q6_y2G?HhesJ|8e14&p_SE@MXlh z4F8&uFlU9o`XM0C4sUfBAkPW!b{-(l4M%HncV74mN~-6FPvyj25I%vka$)$0*(kgy zyxtpl{NnIWzd&Cu34iYeTrLf7K}+ss;VmhVT^`PJ`Cb`5={B@*RXG1Ayyfcf=ni=9 zn($A43dn22S8j{v{uO?COLYFa@YQr^Tpzw~bJX1sKK&FF-WX2)1P|O4UjI5={vH0s z5vaR4oG@^?CA`PCXz14Pk%!{)pK$ZzXy~?ZDuRY?5AS|0K<)_ForA(V!$02*FS{#z zO&=id4qtyh>i!!(VqM(*FMPrGaCcAm#lN91_lEn{$K}582Mm$AKfE{f#s|Xp(>VBG z`2T2Gd?;+e7i(Q{glIj?0?xU7X^l!)xmCmS@82b9kN&PjXE^7v8514Lu)T@?E^; zh42AKqxF}<-=k>za`+FNoL9m>WU%F{;omOB<+bpoWE!uBb6mb}gtvMX&%GJGgr2Xr z!k;ZiLvM#qI0<*}gm)YV$h+Znwg$+1$o7M}_rnpAybr<;J%NTk3_pA~E+2)D8ph@0 z@W7UM;FIumRL(yQZ%~Eqeiq)D&iv2A>vG|J5#H(*KzoQt}z!-rgk2fhh!K*!*> z;mt`g_cT9T50HDAUDQSQHt$#tkbTVK>QT6_Ih&@W{mheSciZ3m`Tgk20p>SjfIQGV zgR0&^<^>Pqa=|cJGmZCGOwaZ z=wx%&b}0O(`5=5F#wq58t5J8Vc`fDS)67+8;&Qrq$tCE-8Ro9HZ@J%mh0Nvw^E2Z7LuQttWe=PGIuVeo%&)$|bB~zcrM~&7xluhX zkC}}h;JL@mEx6V939~1UyVd4b)TEv?)1(YfnF9v^a*a9M0FbB6FG*OQF-M2d!n5XA zw5dL4ewRTI&zp~Y2jBOCx!&8>HQ^hDK+nx%^!V>=Uy?t8ARQy=8cErf!EAS zzsB7g=E`59?oIO^T3p^TyS~EZZ8LceK;AK*G;sH>`9ufa@}7D8qv+E6X7(Ah{((8X zJs$tiy!~o`d}O{z7s9T<6!9QL5dk14Dl9NCtN(IJrys3{&A+2b;R92WV0GYSun zd`{+eL}bftFjz-M-snVoM@1%f0m#vj9Vpfx6Io0>?%2ptJK=I%{bYa@%9LTpkIH;c`V} z&iSajGV(mt@v9>1e2c=XBTXA(K(2|*x)FERMoyp~;a`!}@8a&dNbWK8`ufO5wDa8% z`NL*-{Km+sx8ZVAWQ-2tnb;SD@+TjYazc-ifdA0Lgo zJ0fF~=;xi08KkXuMZO?1+#UHHBli9qxu_kN|3$i}M%)vLF2Gyvjifij<-W+KB;xl+ zP9&9jAo3W6s0Smr-+>1nirh#;`NNTKsoSrL?6(Ysk3?R39(RvMwmBA;$0Gl|0uMYM z`Oi-Q`9$QKhtb07$Q+uPo{aqKX%s#cxrUT|O~gD4cTY!_j05DE$R`&91qDKf&3emQbBJr%D+UV09XzZw}l4R^0c)*S}q8FZt2BME5 z-&q&${5W!O7vAzoA{Cqhc|0)9M0ZT2i zHQDYrk!Kin^=;%hZb;j6X6!$B*L0E z%$zq5&mB2)y&s@wN6ozV5?qd+`M^nN;h33Eor}khoq2d8F2~JWMaS>TnSZAkbNtMc zKL+FpGe4yV=fs(}9tMzq%zT_PcGAql_eVn~&phF$DxBk#(8K5815OQnSw#J5q0NYu zr-wGB`|XU-31mNKgdMC+2+r=yKd+@dO0rU4?k}mBNxb-A7wr}9dhrmP7J>%E)WFNm7I2$c)v_8U1 zSQA0NOh}xX){9g15Mi3^11D z+{HJgSf1L60WS6T{ukv9KP9W)@=IDPx7v*5bCz6-zrSDgCc3fhR=ePL`jh{m!;1!a{TBPo>p@keAbLqDPo_0K0zVIDDW!tc>8st3z{M+s|uXQJuy_o+Ah zwT7O$znw&v$=@&JfbSF7@_7^;9H>4M^@j(3NCE1Izy;glnWF=HT!0rG7r2><$jZQH zlqio6Tt%(s#K10B;qiY2K3{>$$vE>Jb*BW*rb>Nj;Mb%kmj(81L*eCt%z6O1B5=fS zQFvwGV0y!@4y12D-8F%owm`Gj2KJ)L`EFq66VU8efxq)DUk46+1CZYYn%Vzv1Cu-B zvgeGC@4(CUnsG2&-+RWNcR_deo3WDKse@-cvIV~Sv>ElM;_loTs~G5T*^KY)f#?+lc%HC9|V899lqhKVEtyeJ32IeEuK3zv?W*X%FvoL>W&X>OMUXh z&{ebpof(=>zIa|}9||-Vhpv4Xm&-!yo{7s`s@x$)B;bm?m2iT~l9*BWgN zD7?Y=V-Vl|Z{rfGLAMwmQ{2DZ_;40L?ld}Qq3~|w*`x55dyR$vMnex6-Djfn4;p9P zDhW+^qp$d-o4x_dg;=LE4x%++m0;j?@Exzo>8~z4u z{$%Sp_=35Ew23c#{B3;SpS!4=T@lz_h&wa(osatKX52~@?fMzVZi-&tFk=;Umm6o? z{u4ZP(~Mt}^!w>Zo*He_VkN1^i$LRStp{@4Y`>x~U|N8R1V zq7l68zeX!9a{n_HQ+B$?_%StvdyRu>GrG@cUWIqwZ|rKK@Im7U#&ADmJd#D-!^Tdu z+N?4b@_|Q;6F&sxqedhB6ptCZ{1|nQ8{_oJB0cgtxU4qrItZ61jjw5lc*^*{7#>(- zv{PJt+Srj_^o%i{L?@m#KG+I(&lz6`0mrEO5G}l5Y(=5|MdK(ck1rYPUyZ)JY%Cy` ze#Nl3Yw=a1j;7)_jlcf|kRKYW4@KdZ#<#Bl@{sUsBG2LBOa6wtW5dhtk~3{o??T_( zoOU?##kBl-`Biv0`t#@T_cwFyM%^Z>PE>U>pQbbPJLkWGkNfePWWDR`T8-DOJ4yrO zdaW1Y_XgXLv2VEjeDv#Q|NJdJwecIW!7WFL$Xos6-+-BO`vS>uj9*5LK34@5Xe(^u*eYwlYWShsI z0K|5;K80sGcfS|U^o6J$>^Mrd$DiIm7GJwCz7uK}bnoF3^*0{`0-Z@waMCKt;!fz8&%`>qds zvK{Jf4s5#<>TVC52{8n*IJZ%}yFbv%NRm~7U)+SkCjy(kjd!jNJUA2Yd?xU>nfRP% z1K+35?WMrYuz84Knz7~YQ1JbJ%A7x`{;!Jl+kS`=LID9Uh zC-62ske3A3;oU2tACSSn7TSFyJbnn6Gx~6z@n;I`_ZlzJ?{=SY$|@tw*?q+5qRHrS_}*=}dp`Ko_2|c!!GSB$^tqurIx(IIJ-;XFo(oNEjKbGKuf2%7 z_d>_KfM)kJeqrF92f^Zo!efluZ}7m$#_LXG2ma!F0311suHsRI#hA;jEkM9@$Csok{!nZBN<-qVc7X$L3@UBk-^knKOA0m4Bql|c%Mah;LY%QJEDW1 zg=5sXKM$XEH4487|D_X`FT+2e8uwNB`_JKlZ^DZi6aQ`ag$Y3JX`TYqf-&^37vt`5 zb9N0{IL%x`^X}Q^@BWCwi_KHNkIOY?TL5+cGKYx?*O{vxMklT}s~F{TgE=#g7Vb7{ zcSqfS&85Hu*xOb=h`W2ub#BGoedeZ%aJk?7!|%}E1Lilh$vkKlsL(uQb{~Yoht02P zd|hQ)TjSM_m>a&1_8v3eprZe{`B#q16Xu)Lk5-%gXX5cE&AJ=$z*FXrNX^%npEHR5 zY4h~YQ1^`a3e6MGnmd#JK4&(650~f7i{gNM!Tk1VK)z^R_6{yDnaeH(^EMtB8MzvD2SpZ9LOM9I4WujB#F|e=+F46wZeSn&O!B6n=k@=GVo!oUVfc)j6 zWq?00@V9kvb8g^l%ADr~meRa%eqi_(5Qpa@RaG;p_Tk^amp}M^RRI6cef$s1p1sBR z*uWO)V)GUQqf3{Lj%+bGF*H2B#n8ya;MmyYvWY4e#Ke-Jv4zX}$0l~$BHLbUEpE{| zGB7;3aIk7%%N>`EjV>PRUm9IBG(0#StzOYkSF>aP#L~gx9mgjo7Y>c?SU)?q#+CQ*lXypIzR>pT5|Nrbe zhKCmHxOiYde4+C_b+ePRc$IqxvSU9#s)F}1B2t^qhl37mJIeU92~O*dQ<@Me7l)s=7Qn5M$I(4& zWN=rsYAqie8y^}Sk*!;3etdEnZUEs%36wyRnpoD4&dcB96JtXoi+7hdOMyLjyL~e- zsb7kxhDK5TUD1~XpdPqk$MpAO->Lll=XgUu>To|WIyPtxER8K2p5!NC3gnD9UA2o` zgMhMr&KR6_{R`EPg}P)RD3GjMI6f(V3}U(k^x$&LiTt^EV3;$BS1eiDj}KhIS;5^< zLIEtDP$eVFmkvyf@ncZEOuu~DQdKZI#=$_<*o10jd~gh%m4#@}c~}EX2z4Su-Pps0S5FKm z_=y1pJ29Z(CI;%{0}})F`iK4ijIQbdeyQf=K;xZqpxJMMl?oFfYI6Ayd$D?~{*mz^ z`BSbO`Fmh=WYN%K{KkSA8XO#4#Ki*wF@UvCoRNf}e~chNdz(N2M3WPM42~=u>gO6H zX>s3-4-O9w5DNfgjSnpzAJjJ!LrVvj_Y(n8#hdYEgG4m~mfS9zSTY9M?P^c|M05HR z@f?0iI)vi>VNf-$M>kEvE$9n`7p%3as^AuZbwe|P56##v6bN4S*V)PRJliFbvbk3Y;yuPL?-_mXsa_J^3)7F`t zSG{n2ViqK#S;K=PHPNMmO9z(iR?WhiSkRvlDt)Y!?RW%e>$z4dL)G>ay`ZQMXR;R zYRPq==e1U6Pjj@kUbNfW+96uSwY{?`XYo%WTFox`R*Bc*d;I_~N;OrM)!Nw}T|PS8 z59x2P%34-C?*D;J>8xz0?9)->Zl zHy3kVn3HT(A=hGc^t6lS#apA*n^hNfEetM>*KJl4TaiLHQs_vxd_%D--PK)mUQmxA zYs&R>wxzpT+j7-h60?@~kJUu0#qXMG%bEl`TsARgP1IEDfyD?9EsSo40)TSVJ9=8P zR&j75KQ=TvHZ-wY%h>4TGH}M~KD>#*HTYH4l~o@uCOg~N-O&}VQ>YRHsuTbqP(Ut# zd?6?BuwXT{_QdO=)l=8&S*r*ohp`>wm@l+)tYTJMPaA$G#1f0wRdp9L9F5j&&dTNG zP+^>{%)D5kX})Wsri7Ezg*9sR=K93Ebau7(VW@^D2QeC@6;}KB&e2UU-U3wuAevj}R^n$KCz zcc%DQ?bPT$C4R~^b3O+k0#s1j1Xm;GA;Z-d+O92;L&(0mcbm@gQUh-%wBuCI8U_D+>92Q zh}_viR*V#`nOwT7*t!EIS)hprZ8ff_p;lu$6^I;PMbFiDcJ>q+q6J#@n}5W zW;dDc=*YEMxu%vPF3st-w$_do-sYm!y5Wi-nr`?43YyU}IAQe<4=oKdydRF93$TG&6)UlVmzG*m}rO_YqS zTGT_rAh5WZ6|HN4G@_)HM3W`^ngZ%K#T#f zDt0TH0?M3eAxn_xCcaR=nq-AIpRqqp5-`xcctb2j0w$TS4QS=tI@3*xfY! zwk1`ntLihrRvOx^l0op5OhH6z6@JuWbX7;2z|?XDh?hw;)E$jC2xgh>>}YOn0Rn<2 z*4isj%$2*wXYrN8$aP1Q6^KT$Alu#~9tD=vOY|tK7RXV|w5PiYt$msUIn$r%Y)U4< zfr0uIappy3k#!7FU7=JMYf=eVVSHt!|C}v5P zl8QGtEJZSU5`{D<0<~DqS)u{GvgL8BIKLx{MJcM1QcP3wo`bQmCL)+XymUO-Of5eK z%md-AmXf2V5KB8=2LU!({y>1A)#<}JQ1tXDrjp5^a?7)aiLgd2Ff~%1tMrSt!2VAs549d?@&v$_txcF_p-Ad;(X-dl$g>6nztym&b zWS)y>1gk5ZZfegBDI&ftehW}GI=9&AR&o3RB+@F37xJ1!6@e*gQWW>703;@+5-*I$ z)w&|la&fKZ);4?)G$ngYl~>BHSyp#b+G@?Tc45Yo2~Ff`Z7roXTH9t5f0w+`)!Lr3 z8rq8v2k@!X3r;hMQV@l8-Aewq5u}@PzmOp_@r0y$%|(~N3*w^(hjSh2Oq*PKab@w5 zghiN^q@D~rjg$iAlBF?4SX2Zy#KZ(Q7uyxHP``3kH)YQ5c917d@Du?0Q!9XpFC~!? z7*|2t6X+Ie0=l(i+vY)ZoF}A12yvV~DHc=gAv(ZB*P1D(!h!-jLP}>xt~j?776BU( zfc~}!qiQ`!>JYRHD0iC{k{k5sDdH$4!(tH{tNm&n6YK;KQE!~vVDlpls zSQ+*TR00SHZW6QtL*h{A7{ooQSB1WOnZgWsFtr@ul|l!~Y;iOTx$VVFr}T%oR%2Ud zZx=|3SH!mMbw$}T%Z8F4G&HsgTt!0@L(mO(AA}ESU}AJ^yt*d3a5Vat=wG9|E`bfQ zItHF86#qnGuIL9$ly%WWqRr9P=gtFy3B6tZ@>M---M$pspFVT|(^?=ENSui`D5Q~u z5{6wZDv6(Xg|Z^a8B7QcNTx*&B4&glp`%pAge;&fZ84imm&gQYRKp~+24ItF2xy#9 zn{2wRQ4r-IS^8L`gfgce)3R$vQ@#^a8P)?$n;rSZt>e};0xPJsCQ_JzRBaU>YEBos z8pxTV)tke7?3ASYKoFtT*Hrbj=UTwr@zQ9zr_fMlQA&5MSUR}4KM6*W6gH))pc1up zYXKE+Osox5qG2td>ei-PNzoqM0a>zdNHTfYwK-PtWMed*ghJ(23GHc&$EqZE%?j=c z70g8tN^0b~A@P#ZF3dE!bfL9tz7@CCGv8Vt70n-xi@~uz#B3%OZ*WWxSdp*+_SDC> zhrOVZ{g2i0#>`YELV_e>(}TnTBAQH+gH>t}l~Y<9Q9ordt?<2yq`sFt@i(M^6I{Ts|$D3m&bCUBON9$a`!{h*{);;tj3wM*lk zy$)ho+vruRS)4F>VF0z8t`{t3Y(y#@!!w}zH5Ns))P)cXaBkZQAU%F&BVa%wr zI>5PM+oajaWy+A{S`aC(6|6#wVwI*|3g$onAz*g;t9jq)lVis1t!$wlcv zVcNhDVMTS!p7GjP1%qfj)i|w16fkb_B_*AJ6=XnRv`kXqvAQuRa5B0z8EKeEByCwD z9<6T^8gR3a>fruOcgzzXP^-nnwoE!ZPheeiQUCDxU{!k;M7!3exT7~UH>avV19D)0 zMQCc6>A5*P)7sJ6RSoA~O;p)9;&F$hf-lIHm64`Bo9nd6I9HIX+-EvUA>>?uGG`MY zlk&Qq^3b5D#rc_or5YcAg+rT%Wzk7LJZLRlU?Jp&)}d-G{At{Sn?hTUH2QlCQeN%Y z7euTb!4PX>FdsFFF}G<%Hk)gT2!F;Vsi-p%hwtm?K*!BywWpysku-{Ua8y19sBYB&;5GS7PW&9^zE4 zvATcOf>{Hz7S0-+wP@DjSxaUO)kOQF8*jV-{|E4YA^s2I|04WfjQ>mUe@K2{Mg}5? zCWY8r$yP@{N*dn!qxw04V z=Sx*MC1|+aP{GGAEY&z!BVSMaaO0+2I6yP{Vn8)w9L01y+Rfmn&>YAIw#R}Zr_f}c z{z$3##2TUv`r)L5xbfy@8NR^xHo@3sQ-0g~oRCgy8)JRcU2-cj6d$%~&#_;n&m{YT z$ys)mhoar-rY208;#7`a)R3UF2?H+F3IsQl>J=<<6bs9u2+#~KZFeDOHMghLh$U*J zUep939L5(5#IPw5w@4mnU`ux(Kp`rIG%w}@x^PFPO-)8|xACr_0XVO!)i0|HQ61=G zRNrUP#T?`(eiVil(GNMFZ%H(y1P_AytpIN-ZLJ%xP~I#LN7B?%vSwgGG6Bm4tbN3d zI;lg%YvF=|K_2o-N4to<;8n;n*4);XxoU|pUWjon5{-NE(jAs`+aGOYZp*iK%x9>B zZG9jXDwVJlihTjoC}!fl)sx8tg~IA?QnXFPZOtDNe}shYwhplih|uXRFit`sxnAiE z?!oHAA6bscDb~lfn?jdh^)$K!L8j3q1c=ln9J!=6RY5GNO-?J7)FvrIcW=MFBSAxX zkc&7=X-}|75EnVKg-%2-kr2X%ELIOj9?nte(C`5fLoODM_J8FI=^~_I&#IwkA=XHH zx+GyFA&AP!bx7LM;9A*AoDgzzu2YOYt?ZyyGN=hUtBmAH0P7eD0W8o}H1KM7q;H+X z5J#Zpv$S%TSTqE&A%=Fg=jBLs_tDe>N~bNcly3o{Bw}&R(Q-*|Tv@qn)&f)$T7(-c z&xHoV!^Ru0z<`<)kP6p>kuPQ#_l#uF}*{n225QO z7Yj-kC@TnzAhjjRyApl1E)w_ny5hpw#YJ2ahGaX3eX8UaO5k$wAz2$urtrz|$IMMr-iMPAW-8Y*&u8a}@D}mMYfP^MC9qPsIp~@oEykE* zyy0)~hUj5Bl=GYHSzuee?dFgKis6>Rj^EJ!$=n^tIGxErKk4 z3iXRhtHev}C5Q9@SFW?nKopUOT1fNq{iW zt#Y`W0GT>mPJl4z4$4^{yiLV!Asu5yTh`d%E|Wvpm0=C8z)r03q2+_svuDpHA!M)$ zqRvM~vGrrH+O8JmrwW9w=Z+ zH6~up+A)q=m!J*2vl%h?5YUSdf%MBu;&Ku&D_5-2YuE>%9KEv5h~tJl3qtH7Cm#HA zfymiX2Af=au0XV!FD*6I;D#l}Vr82)Pu2scR8M7%vGUKt@S+bz4*C{L0*`-x4 zE^*t%Bghzn{+2b^;)YM1kHp~zMO?SBOxTpbvuG68G29Npfv6`|hQKcr3rknoIz~@D z1m1qW%Wo_tad*RbCc|+g3vV*(l#Hq4xn-A|ItoR^k_(9eTaZQu7eiNQZMI9&kKa`&_ShH!Pfv2a7L=(394L* z_So7{Ea6cPvzZ>fPL510N$ynZuz2GX{D7zVG9IK$V5CFPeUM(KD-R*_LQFMx>P2v zai^kt8z@MqnkvK=LC-<(53TRPmTOS}#JmP{~FE8_cL((42zRPlYDLN8BByX#0yZI0b8 zgW2G{q2vhjqT5-dBB){>EuONg6yV?phb9cep`!+i>6BDFt2c7UxLHlH{VV0t5$j3E z9cHuAa@?q?HMFL7$Fhv|-kC3FeLg^HSz>{^q6-zZO8QbOgoer;35qz$n`(r&X=)`! zgm~NzvlLNoN)(dfrI3Z>C&XbUq4*0`jw+YBa8XiuDQ4%Ug)0hX1P0*RTtOqUrR8wF zjv?kt*R>PxR**=Yi8&6o#%L1zgNUDk6-iq{F^_GT9nCgh_OJF~%@qKNmmY-5AvB0z z7H5YPwt7T1gkRbiTUt?ywI%gJ5KSTH2F2!dyZD6=a;a9zJA~W#C_xxk71z9|o7m5> zaOu(c-gYHtQjD>%gB8*CMR?Y;^o@7|1sU~c<0ZS0$C@E~Mt{nfv*;rLGFD9RO-9|J zWtShx8(Tpsx164_Nt>3rNOUYglY;g-#59rg#JUSgmx(2434^x)+eIy_e|)L1l5;f_ z5oty<%U>Nlv9S)OYuEa+=igngm#qxZ2I=IUO!ZfhH%;6)>a6tw39_a?AudM8ak7cQ z(HlHz+t>7{(KZ8;sz_o4WzyF@P=K32ssd%616FCi>-((eou5pqzfCsn2T}52_Ml-~ zqgWo;qf_oK@I;~F5vwf+cPL~!w;RYVbNoOM0)Zj?D;5KP#JMW?BdLF+Ah-bmoejz2 zmlSqva!?V%hayyl<;Rz{22#MC;Xp|2y%Srsou0Vs^n`fdt|9PZA(P1kj6I$??1FSu z0e7*+&04T0_>>EvwR~r~N9>cNPb?2P1bVvmk#p?}@vGEL>=oZC)ariwzCmDvcEgcN z(Eg7Q9859%aEy|xPhl56WgT1C>B{C>?RhCF_nD1S2ssCOX(gm7!kUkGIe~xhamW#t zRw+nUFon35dwHcCPp%Vz%w%M8*Ns*H#3t^hq4E9&!-K7`OsZ|&PKn$y1N>IT9}m- zv8-A=L=<)QVfR1|uyuHMu^cdK(FhWLjJx<@axLWhRGjj?@XtuH37HDJK^kkDn!O?M zw4c*|;0{M~Sg%r#Je=31MPvo%wdI?5$`%7twrt}mERk#6b&{Tm?MK>x-&E}C%!{cO zI|FU6k=Ucy+gWI`T1mUwK|XTaw~QY~l`Z=Jups6E%zicLN;DyPI1B!PWY-me#nX}==`Li!ycZ4<@C zTo!xNc}W+C~A{u;3N`QV4trxRYP5Nw&e*+ zn=mRjd14tVfylL`l%KjX=RXaBb&luXW2&i3YUPLPg!?TtQrW_(vqZ4vg1b=cB@*)* zQ|(n!T3)hHN~(pTAtY$VJ#o+&$Et>nrgw5DZ9x|M{ITm(nWJN#cvP-z;q^^`JGcW? zT@@NPe5(a|cx4pkwXhj>d6f^(_5tF1U7N))fHICixQEbJK{0Jvyrf#ru3CmMZoyJ{ zBJ_H!E!pCa0AnAnCW|4~`?w3r+;gt$|HGWFMgLEU%1?cyV z+Zd$RaF#L{*N_TE&8G7rw8?faSFY2uJL%l# zAGMPPAIN%}KX>(Y7Zi$$&2vI!&cx%Iw&)me<$Nfs@UED$2eq*)8MBRDAXJD6mx~zQ zaJ`;Y3y_XGnlc#xRY2G8p1>eJ43XL*7nR5@FO+Zcj47o-)t zL>#t@jX>^<6h1wQHHg?zP2PI=Y@r*9Y*R+=j`gFg-7p1~(_4i9a!N3M<#hsMh)I-K zTD#_AZ&}t}+9l;a_)!WW(SkyeG`KoeEYu)3m&T`rO!gq9bR|(Gm2uD)M)VUz0Q|?j z+!6EV#zBXsrCeC(wkWG^VYe2{H*S&Ec0a@NCc_aVjHEPr8tgO_*(_G7LI`JC<#sTE zXZDo3!;FYysyFmp5s*7dRq8VA$hFd4G#Xnyt;JRquL{AxJ=acyERhhqjT|Q!l>$$l z>TDMHnk`r&#uj+V7y=G|oE9OQZ6FA})vZw~ZX$~1dkCz?0uf^#tZ<4eAcYG+;)L%s z!Mf#Nt`XL^R^Wm#VcS8Xay!3P^rTr*>jTuhidjS*R^zV|;)&lXywkEEX+kK;AO!}T z7p-Y-=}sjRaWPy7SQjg!4l4upER18F;J#WW&=j3kdJ3gzje2e(ULQ?B{J|i`tfsD3 zZC(SOQIspTb#|qjwzCR|>4ZY${!UMo-#};pF%EoENQg|F(gy=wDyhN{r3W5li|UWP z^ojTy2c@xIpsVXEl8O2hToo;(?@Q6}SRNkZ8E=`x`0 zpZ{sQ);3ms?QUzcg;f!(h1npjNHxG1BQ{0$^#R!{`IfjTGG+TM{TxdYLPi!<*fc3Y z@@oSl_s31~o`z{V)1b0~P5F}PJJZmRpSCk`&K`d1%O2ryHqLfh6 zG&2=Dk0o97=9}{ea2NoL?27zRJOK5Y?_mVx)fE_m4dE}NPR2&R&yb`8oj5h~~xd3PXq z&=>;*q=Km%BFvODNW3Pw7OzQ8|C)wrIt(nw;7!wG2~Qd9U z)i7mKWS00YJDkXGJ_XFwJ(eJ;X-R#&P6ZB6$-`>b<~?i2!={=F+3Ci$V3<>5vwTnY z^y6IDJ?%InCach#p7vGA#PnmGOw>;I^#FmNy7FS@ml0;#AtKPUlO}-H=2dG0vo@_w zk0QxL=ol}k>*o) zwWW2g5`ccWKbUAjm<}_^m>o@+h}JQ)6eLq=g>y^-p3n%yQy|ka5<~Yvuord~6&2yC z(9Qc+yFbtsVLiomc0VX7%&YG!iLu0H9UV9cV}rI-mUtvwR>KMqj>;$8di+DzaT6?w ztzE6yrtBzKR(Yi!1Ff9dAmaO}E^)_f9@eL_JY+PSrNpK&r3xXTpy46``CT~~#$~I!Q$qPDNmIjf zT?$9v8?gkmg*CTs_}c)(9&hY zvv3p+P8L85h={YS>WyZj24&HWq8qb5y3s8DL}7HJZMVfQ2`|j4NUzcDlfo262Trxt z>dN*h2zM8 z>`pLIp8d(5=<+I`+4TXEQ!0X-VE2oskm%hpAe0zwi1cEUl}|Rh6L#;i09GVRc9wLXyxcqOQA;m`H7l zs`uX(Rj=(*-fdC!_O>YLX7Hv8(5Dvo*2z!Q#v*>ah;WnVFd&;jvM~ob!q@;Es|c3} z7K*(R%d33m*#}6@v+aacQFY9=n{=nDO4XP08I{yOo~pC0al`??~gytU9{EN$18y3WH)zW{}0_HQRKm~!-b{Y8o8weKfojqbKUFH#$G4t8|Sc)qm_~d z`Oc)E9I+KVX^HP54oC;4Jy=eN4#*(~i!c%gfnv=A0Y@@2r;9T!p%0vOP?; z_~o~ifVJ2&twK~QK_sL?JjFW_Vi%TPPKY_6=hcpffqA+I`~0RpEeQbnt1G@od_D3l z;HWX*d<)1NkAK1|S{IMjVO>Bh(AXm*i=kY&r6IYkRLDuf<=}))!UNsemMI-wRVs(K zbcxDkE_UPFgmnrSA967jG(TRFEl5Oy7$IJ|6y^B+sU$@U#qVMe#f6Yj%YZ_7ie*3{ zCnzmvh$6a(Ta{{uUyYs^hvAC36;Fye0csRfiacEPW^Vk%X718&5;<%HNz*x6rht$G zEtk1I5KbKS6tC-(1jPyY*X6pmK2M3xszs&oqC{R)^0uwGAQr)m1fFu)J7-kzs?N6V zE^d+(AwKRAEv?hN^nF_N)23k(Nqd?wg$ync+ZW|DQGK^j4ZOxF^+7IgJ(~bJp^z_e zdo6Y1`WRy>BzZ&NGi5En`W-=21|_<0;ZdXz%eKezZ8+Zzv0}Ln1Q=woJHaOt65Im# zHYc}|3?lQ=5S#)aBi`yTNh(As0fiFkOAk_I6Srk)$##0g7c#RC!w(?0Gqed!Ih$MM zpn>@2`mA}m`KpaHcTTBv2JM*w_H_uIHdm6-0B26lM$Qi&Ba3X0V>rMNN6;cKh$FG2 zV|DJM0^mthn=4Dpy%J05V|by6pU5C_nU0u%y{ZaJ4_SYw%Js$SX>xrLWSU%G0z~He zBFg5|UD!pDZ|UJiawVaAO6A%hla%yFBymIpjeF;rBROD`r`TMf!jPRE+rk}Ou-Y>G zp{9m$e_GgP&K;hi=A2+7NF$j(SvgE)-c2Z)nfpM=9`oaLystbf1O_X)kjskQYuH;U zRzUmE2(wO#)NcJX(K*rT1vNF%ZKBn<*;3pHk;*kb%x31B#SdGWLDLyl)tW`{Hn)Cb zryXB9{_kY$ttvT%0z+fzdIvb4BJ| z@L{$xA$PGRU7qLYVG!Q0k+L95k2xZ48*g<(sNPa1ndqRff+0(8!&8h56 zWwu5h?kMP#PmP{--+D3P4%*u%w+P|D9$XjZ%l#!vWrUZjR8wLAeF7PMeJpY)))qNU z$g#|{p5ho<>uj0Vn=A%HtJgzGh5kgJYWo9$5OF)~v}BSX`X;2QQF1ZTSWoIgH#Vt= zY$Pyb)R#mlQePL$_F}_@Z5Ao1kty9~wf;h{6>o zE)y1P9+U(_TZt>AGKik~O7D^lslgRt<@Sn9X5AJ`k#tn5rzx)*PQQQ_x#TuDkCx7A z8^IjeuLQ503JEMVgLthSy)W{0yAW6(G)pGBHV4f*aIyi*YU%5<7_8db(Tx3wT)aF{ zp|yjjD*z8%%U9+GXVxFdV-<&SiuEX$JtIC`#gvwv#A!=N+`K=$V9_{^LlMo&!I1Vs z_BM)Lt5TB(Hux9)g{*sA_ zv7rT%6NB)YVDT>+9b4Kz0UJAhtA{OXR#ZJ3bw3O(2DpLHZ=qFS^CHr8V&k(4g_Alt zd@EW!kfbbL=h}bAL@h)wMcRdE+vA$rvO10CBZGqraW)Akxok})KM=VOh*Qc^;a1aa zl%k#v5k_>{bv!O?4sz3p%oC)KYerbR%P7zOcxrs;eK`!*i?q#Y8!%J{wO<`UK~kIc zt3oVmLK5w^sw$oL0QcnU`{RN#Bsj42+XSuv0{y zEP{`zRHMJer{4V`awh^zLb-xBi#`jaaxdyCf0zeqsH|3Rb0kLQL z%t2g1R9UY0gA5?14bJV?ah1w(lCJ9}SAK1o+6JZ- znWG9Bo}=7j!8sH2$g!ef+O>h4>Yie}3HvlFU4ux;u#X(+4lSx4nC zauvCe-L}R782j5L!n-7yW+vlk7k?#Yk>A4iD@MP$*xTBKY=go#QK3RFKEG;qM{hYv zSv=c)%9zvCwDC~!y42c4=1u=125(N=8sg>F24?yfF}fFVT+(z-l1|$vHu$Pt3~Eht zu{*X}$e_$oULvjg!iZs3CqIEuRvKh+-dVgZJ!L4NJQOWKASu15O$Yk)9xx8dG^M2) z3(D(6=PYYtsWmjdaC`#GMoEY+139U#c!MB_Hp?faf-O>Qp3_+tu(T8}l?(*SiX4a7 zEAv7#`S_GBr$kn`oCMKTS?G~S(7gds$vLoW_Xt5$?%-yBO2jyN6Yx*;$!=8SW3s6y z&RUbn0db-uCE0k7NX9SCSlS`oh24}$8`u*4zbHgd;p+#_izkv=v8<(6zE&J+%+n?0 z8|AhxaJ0@ea-50t8@#XW?8>L)E8WYJoAyK?ncDNHm%CH0r_=W-F{6^Y0ZBm{AX&Q7 zdjG|h*n5`iH_}}}q=1h}kQRu1-mJN0b(~Qx{wcp8Gy~?e#Y{=5u%uY`x~x*X$7sPMQz=+bsTZpoxz zH5ZHw$J&aX;xS;Zlc=a3SONr;=Y`nI1#2YNg|zA2P!D+?fJk~w5nAQA>uPBOZz;7* zreu!kaxb5-k-PTmxZHek;YD%wu)A&ZI8A{2*k!-rssN9USxRM-3|um5S45QEDaWFR z*d886f{d`0uqxy%baDR<0XTGLDmaPaA>RG&O9QMeQ@Nfj4VyYyxV?W<`z+E4CshC)uu4S0hEM$=TJQEIi#K^moJZ=pl>MMd>#Ok9}H|aLQsa>XcA2f6BI7N;ISLQtjvw_+!f+k)~=2L!@ zy+V99gj}!cxJ6sakVbM>K1A(Z^UqVCh-tH`$Gm}J?Oo%bXqwiZSCM4i!+GR--Cm!h z!NV9+_Hb|~I3mw%k-+|hCWwjB2EYeAyL1t?U=uX4Lqk&v*%GG(XClD~&x60zv3}*s z1Z7;bCfStBzU=r5`8uy@2+2OAONI$j?LN=Ngg~f3AcPYJB59c~h`=&zhi-!rk2;%g z8u_|ZTe4g4So4K|aycCcjF$q$C34AcYUOV6Ch80}wX?fg3gJWcD#P;I6p2UN31m}C zT{tO7Lm|U|6A52qS|aZ6%Z=N{G_^TllKYN}a-#x&i={~e7>+!IQYd{t*i=Dm2ia>G z7~WZOAMmTQQ$5eiiVq@)#d#- z))=u32%h(D9kLloTndT!6_>uC2Da#`IJ}*5FqBXFu=@dVPJ;^3@ABxJ`M5$ zF_mRr5ms#p6W>fn(X@dr9w130<-jT1ff!^bUey*}U^`$WyAp9o7|Nwbds*ZqMPyr^ z6P8IV^}sWNCJs{&xT$ZkR%**D1^rMsF1m4y=cuUiWjga=BCbPnO$WIh1nUcW7|gD(Dk}iWMX5zu#oD@y1q4e$gp(1nDs`;4 zQX-R-Zjl7sEk0mPY0b`2>_nJ_hXiX%VAuHcx*SG!*s>5Muh0JE5ryivOKZq9^-4o9 zl3|RG4~|XXgsx>{H0duN<<=}HoyzI}8QYueS;*YFRDP&qpimj%TxvvFoqKH3@f2m! zq?Adu&=2dEmYR`P&z^DwU1_P+U05o%y6POMxhzy}t~*ptL}npC0v64tqURt6Ne|#R zt?2ZYC*8tMk#Ou3>abFmqNNU<=f+6Q4D>EiEL&Ht&on#B+YKkD+C%gx4+`}FDM`h; zfj}zEh&Z!Rnnk5mtdJ%7w3ndT;{YuPHkkwon_tS4W*#ms1s*Y6Aig}>mUPZ#sw!JZ zkm)o_fg}jy2{v6KrBF}0fV^fZFb~I(s-0zJ^@6@}5-7sqI7O_U@-R2C)liQF?V&vA zRM|tdNk>JxRDcrAAg8H{y}-sq$I%X~!?wuLA^7D-;CF{equqchU&$q&^p#vt?3R=1 zK4)Mk4Ly_#NcZeAxkM1*rMuA4ex^pV!zbX#v4P8~b`MJ*xPeo6quCn{8O zqIELJFsHn0NIeFlWS&Xr+Qe1)iD4kFP@!3ZPqiFS8o66>n1N+29+@1lmiJr3LoolV z@k?oa!Vc455MRm((Qt38MfzVoe9EqM;-zs7LHEB zgUNGRtMLa1t;r(z`hXT95~pZ2GyD^q(};7fbzmQ_PFSa*hO|g1Ue{b8*rH6ri~U5y(8M5QCm}wuSKj3qLB3Zl(HMW8LiT-1GQKw zY0{m`C?IKU=1H@jM7f~FQ6zb?Sx8bQ%cbE3!kl6up289q;;sWLf`r_Q?dt{nwI|nI z=rggNYB{Nvm9JhlHadYBj>@a_W($2FrZTKgn`}%O%)AC%El|MHVT+ycu@Hq__Jf9! z6N9-E%Qhd$C5*^YI3$3TE{B($ zPEu=NiCV7~HIR|euxFB=W>!n&Xa1~v}m zn{pdo>zIp9+M-m*0ptTIbH{-#m1;EeDXnKGxZQ)>s-V8&7toG-TCl4^Zi3*U6s(0C ze5yTt0%YowaRrFVQZiLTO!7j3+A(z@{4N$vs8i5wlU3HUO~ek{fD2k_Sz`I;dU$+^ z>m&jkB_!Q}G-;H$TW#^1$iqn34y1FVlBYcI?qWTpWtCz~sd@vmg*c+!5-L5on;4NfpkAxZ(~{@7zuq26a)DnY8TaZZI1%mOnd_LC+>reRHM zH2bz=62Qgbzl9j4A+$nWM=DpM8? zC1=R%G;0!(`nFdj9WSjY9r}`bMvJv{bVHCX!2Ym3f^(Pl&ssXfh+S7P3!^BN#7-sF zAo&7vGaWl9NRkJvRias_l=%}YkQ&qr8<`$Gm2$@s0kWRaFQl;r(`suf@I*TYPm~{t z^W%Z=!<34!5?7?aR_sFXZ#!6+WlfHZ4fYQ#;Wc->a2@F+!o75=Jf~q4q%A%i*Ndxl!$mjWy6D z1CxjF6DozWL7HA|>7TsGyJb+uyr3&o8&tJyMv+thG#|+F;d|nvljcL46mS?DrBiCB zKxr4t5lpeSpu^D$7!e)^O4PvNtgdmeN2)uIGl5+qQbic~0eB&ekBu-r3n~lgIE|?5 zc%#hrZx2eLPahQ%a|iwBdgT(d;&t#qkanrv0PYI$Js>lF)m%+gcGexC5*6p=dc}&g zcQx)d-ZeC^v(lJEwRlX26v>`*-y1BIGOVbairOwM%sRvsB_cQk#50;=bGn>viFr~T zOH?6_p(#2G%e{zvyXmgZcCcfWlbs2rwF<*iQXo0utsCN%8G&`mWb?X=Da3ZMIhoJOSCm65_Qo;0tS0uTT&8ZP^m_*0H1o% zCoWR4J&?}Txj3i5rQ9N@MJq@!7a$s5I-e0SF8hp3dp<-h2`jh$Qja7c*S6iDa^X1b z;UsrQ)3&LK6gf-CigOsbupp%8<+_F8T}G2CWTl%@Ym8)??qZ3Hnh2_sd-yK*85sW6 zJ}kQeAP3kTjPev3Prmk%Q1U}Q1oJg&u4@m95_ ziZD@h!6PgPHO?9yoUpK!V7z*GIi01mmiCYDOhcW#g`)QRCzQ>96iKLEKjbw4}Z5!k7)^VrMB$tH!2N6eU}aZV*+kS^G6$IMuv-e=+}LCP6a zIvtjuBragR>Fm6UyS_Om8br>N2h})3M4#Fy!*QIWC0jdSnE-{i9UA&@Nm(J^glFx= z&`ukqg7%cEMj<#TBo>>0YX#SnX9|~>>&c;B@2wS!#p++Uu!Lw+QpJG-=`6S8L0YVr z=FCj2!4^$GyWnNUqzYpfI?kLDrSmB~X9+Q%LJ`@nvJMBye!J-vk?rEO)2R>unbLYC z8d{6DOsj}&hf}nwzn6xr-A7Rv{6-tu;hz7NHJAxpd&RauNTuJ zxqe1T_jJKv-KIsHAkLXZfCZ1BvJa-RMXZ>8T$|Wg1q-7RvC1k+M6A+!uq?fS!9IUn z$p)UpG?0X_4_2=>YRRP{Vr{I7J9vk8qe~qWihsp2QIX~{UQkP6l7(;;d8);jl&}tL z^`t>mtQWs3xoDhuO1GtvgtI9t8~X{# zrf_sM)N0$^;ZrLYhIUubot}}=ps-AJ@>?8#Rw<*Deo}jO{i}VZxB{S@XEErFU@eW1 zSc`KL)ssBP1!7D$^66|=vx&H``9u7V5D=ths{;$uR#RubQ?5F%Emch%efDSsDJ>ac z4MnL1K4E#WKccM0r~lbcw?8oLz1 zDytR`A(BL&kJkl5W)7$7(zTCd)r{8|8JQd&W`QS|L&kE5I#_8})L_*Kg{x$ zp#+wwArGmNvv0#YawcN&(^xW|CYz9Wnm7XQA>YG~ljc_O{3te4JI|v%`Mk$)XJ{d2C%gzd4SzXq)GmgN}^YgQ!se@r$H!?pe|h0t-k? zZGlozZ+M#deTV^YHXGU|oEQ#11;s268>AV^t#5gQ3e|==gZ?b4WU?dXcasrRa_F1bH0698d!9!(`;z5Q?%`K@OgSlK2X*(Si8>dSV z{}@H;L#-v=L0S{8-Cp93$F<88o7N&E{u6OO0&88n_py;s6M5+t6WjNbr14_h^y%YG z_#tN0vjsx${-Q0U3DM=uZRlkKwuxMJ(*-D(oU#oi5_X88OR0yWNJ%F`qjlSMwSPKV zS1TB3ePgscAmX%?T9PV=wIh1jpYzjDYdN09bVGBYokbcl@EIO07=x~bWm3n ztA`S|o(#vzgCKF9Ca&p{r0&>AY4@P4#-{`2FlDF-`XhsnZ8lDdr6giiDd)^)?`25~ zTu+F+NDKlLE3Hl$`C?+P6U-!ZY(R+T_j5VpbT>b03#>lNPE?y zp~2yWayYcfN>+?lN2MVP?hRV9kPc&DVs~xAVrMy;VlTPQhFWr_db%s02F*yw?1=g% z3Mb+MxvoVD9+6$LG>QuI@b^VK`QVktvs_C?V(lICUtGYu;*GZJk4qJxKcU;apYH`fk4zz zrB(z5OYZ2Gk=knbw@Pdo(3MyCZrMyf~r2gYiJwp|0Wf#d%>9~b6shpt} z(7F`U*tpJ1Vn=;I%XUgN0V;ihYt+pcB(@3;5524;{hK47dI9vyT@1$c9?=9$wmL|# zzAR?Mu8G;kTMEy<`S0st&9|uo=&tgLf_k|3%!7|%%3)1xfw`FAib*(e_M{oEhC^PePiQOH$UBR>@_80mGn(2ee&6WL<-D z#AtG_T?u<3APehUOXY~;LKiK3h?Ue`$VgiP!ji;x5PNoDvT0;TaP+iOC3=GzZ7H>% zu`6WX*_<6K zr6>aEfs8G+Bm{wp3{qf#Xc z6N{6C%9eRb%6(cYh2S_!-vHu4i_j8s1$@2NzJaLjR4Ngtz>GZeFx*JTId;%zS}cxuRj!XZXjgZk!$L57 z6UK+4ymEsfwT2u#7j@^JRRnoJW%G`r*vg-#DW0=!H&}KalpGTKKqyR$_zDIP4V&R_Yej?6uK}X{^Xz8yjtL zK-guKj8*9CfG5eNcqvw24kL*g9gL;nFP`8%p(F~c7c>*%CIin)ry1XZ_(_@FTFP%= z=($oUSd__Tg(*Li{C`M$7v?sOENf6d1>rBEgX*!|D7+b8^h5w4DAFPc8XzUPCuS>T ziE=Ai4-ZM%?(MJlx9>Ukk*~@ky|JEYk*rJJIfC6wiQe4%i zlI2WnZmf}=n8f6k*p-L4$D!tD#N~{}`R2!irA}hx4r$r_A+&oFD)>nI`KPb%Ra3sa zg6|)7tLgTczt{gdZuDs@xDItp(5V)@EtIorMjUzs#Qi?WkiIfWcrqGHnqaqTD%5Vf z74;!4zU8*5*WQB0yOz!rD(l&7@bYTAt9bn3I==8j$V>Me6+1OZeG700?rJKs{J)deqSWNhhKNc;a9+BFE{JcNv0HuMfh4SasE3G)NlM4g{l$ObUZwf*G1Ox!(N}Av2BQOkfJ6ZvgMBgJ|(}6!Zr9oMAvmdB$fy(0dYqKLgKiW(}MV=Px(kqRcm=fc*U_3?^cl zP~D%Prng@{-`x*>{qph6JrsNV^5Gq%H%RIh#6YzhEOUo2e}er)jwrr6YNj18h%Yqd zsj^Qfc+?iCp^1FQNe;?_*#q>6qF5GvqQPx5^>pWuCSz*lbn&RuW(r(+*`uZ%B)jYn z(suiWg;o)cK}MZ|$~lS@liy1=4}#P5mcXCH)feLcctDCj5{cDf?&C#DWQiIwHOEWTh^${Hrcmz?5Alyk9ltnX9)D#4k9Gsibko%H4D z8nul`k6<8I%XM0_uV;q76~9eh8I|;@N6->2Z2?q(VC^?eSF_Zh-;1&rK-WjQoc6W}_G(0b(qlo0-w>pqx3Lu9mfX1tUgi=5&EcDQ> z>LpAMIP_0Zy2{;i$1IE?%vSmvbuD;aZeYGw+8*JuCsmqFPA|PEko+gSbZxNm-qmUP z>VDcI{+E^9Vl1{gu3K58?$ZS)DtC0UN2EDW(>7f^woxjCr@Q{OusDU!wAW^ zHU|Z52fg{B(FawQv;E0fHy&V~q5SrwJ>Q&bROlE1B8W5-x6LfXnrXJHm|)d1WoA&F z$oK;71`aSF~8fgXD%$x>*%!o8EqBPK%ch*HbD;HQA06D)Lf$QPXFp)*0g%T9E3 z0Mm4X*jJ^(T{>uU0LkpwSPyPdZQ*}Kwz*JYC!ndXF$|h8L23w@?HLbFA3|4DOz#Nw z1j&hnRA*pyioa^lM5Qrlu7_4*}I$4BI zsfO9b2>N>)4D`GBIvz0)vcoOqcYh((ZR}pF>*Jgw+LKl$DIGy?cSX+RX2Zjph-863 z9K(9eWM+MW-qUhpLI!?N*G05M4{(;%J_<6VN=J0pDIUK=V!@MVJh?N$=-pVzN(=6` zJ5aYRHkHykL3wUXm{$3=q-rlf{o3W#Hj$Y6zdN?SpMu6BJ^5E^qH+Og6GWhm%aP#~9&F$yd zNs?DVkP?|=1$+MTzX$S(f!^Xut_}V=_){@W76j9bm`~BuJafs)aE2>5@frL`?GfbfUPn}lQ*iiTGXcE);C2TdgUr@`*tfOk` zLfUUztSU;1BC`RnSh`AJ0I{c20DXU&^shc#$79YMe^Cl?3WpC?Tb;fZwzyvELmrkJb5h;1o(4LezZSx z?Nf3)iQaOvjm?cZZQF#+O~cvD*<7e$3{rN{4K-wQsi#br=On6g7Rj|tt( z)t~OTY@o7hdLDw0EJ5L*xLVf#lvt)9Ir1SVmC6M9BGvi=n_Zt!pH?9`2I*#3w1n3_+^lG*A<(t=;QBAlm)aGKKO z?3h*Ki=EGsi_cBFIEtUNWo~KTUMr@fY1P6Mkt`Gn*Hm|X26ug6fNgqQfz2Dle z|HdzRk-y0(exX|Y?|+wG6u6)+QDKsZJyY&FAP|8sj6y*y2cK+V5e8(e9F#bsqBau` zSFA%(M4sI7z!Cij92Vmqhs6XA3wHsTo0WhI6c<4Xv1R3zfvR|q*^(e8KXXXxYu&cQn*fY_b z4Cuw@9ye1w+#{zIq6rsNI4j=S%5_M5ZSeK8;aU-p5VFt}*Ph*CkaZ7F1N0*ZLLLLa ze9Ec9mo4UFw89I1{L3PU%qqYBWlT5zh@u{m=4dEUD35) zjk2y0F({B@DLfyWAYFW#Cb9c7me;Sx;^Nrs_Yx*u43}6nw_76S7E=5?ESDXJe6+Gy z^?ABfBBhqYQSj!%BZ7D>yaOim2VyFyF`h|0uMJ7tjRIJBDRt4H21nIX^k{^s`f%k6MeT=nWJQN!IS5?x zwN_K<;uw{BsFunr&@Pbei7_B5Gj2L_YhkRv2yjW)hZ2fP*sv-f$hpE^IpYv;n9nIU zj@YhALvaEP0y%!vFz|y;Bm56yt$NvCs52<9gtKonz3`GYxRI{>a+qAp7d;)q;~*5J z9>?MD8)aX^ukw_Ys>%ACwSnYG%VBv|4=eflv`jKTyV@oyK;IOXWNKq@LoAZvo(qfv<>K4;<=D`K!tD`wvx2Sg*E<5oT)2|&?9#s!g!iQk8dr*!8 z{^0ZpLAJX}oDfR2dR5mV=tbl~(XdzxIof?<>@bFk&@+#$Lyum`$x+_cXg!T!LV~g7 z2lf!^Hg4?+qlMhV>4|y-id}2uCOG3vjhH;{M4G^h#9Fla(%o-~2hM<$ASmi$wez;t zp1JC1rRWgiqybf3nV zvQ0512Q&2PM2ku@)L|P1p;;TO$js_AJ{%}T>(h@j5QfG%<90XQUN^2bqTLM=#ope2 z`F7B&Ha0+LxQ?^DxBy>7oa98nVR^-Gs#SsVse7qKAha2?NF*mf?Se;>0@7)+8~z-& z%$jOtS{b3+%4_;&>4uU?EP#LPHT^IQTakGHe4F4nD`;Ktd%86!8+Y1y}fIwl-O z?wRRg&N;J-1=mc+DhZrHFz7zH%4xg=oifX(+OS6reGSsQC3)O*AbrE!k1V%Kq7(W> zahHaRG`OLoEbJg8I}=l~sOSrv4z^G$T^VO9O$?lZ$ONsVncOA9t+SsY=!{&M8;eGnB~0@jC2}iIXO8l6<~4OW!F9?xOyUQy-GW z5`jLXMx5xYsG*w;7YGPRr#6>m@Xv$1=>N+MiqwT>iVB=0rPQRRk|Z%?zTF6ekR8m- zW!D`lBf1LOQF{Y5y)Ok-nq@CGQ}2WYPa>3(wA}de%DQgLC+T7-!J+oiYf0;w zs17Yxg)oID2U0fO1&cFTOqjIIT}GW!BGJv#pU;m#K>_dR=qBrw!sCR7F;2^tTt?}E zAhw5ak@dO_Kt#8k8LUKRu`i~X&3;uE(p@+9D96VuadO~s$V++-aPSd0e}YLoEKFji zS&GV`@Bm`l&|3yQK|+3#mp!6x(VZmc#x#eJZ=x_6u1JK3#){SG60|vIk<;jubB(+^ z$xf5N46QINw8|`>L>&g{pnizVi|eM`NL`e<-wn1B8}``2SS)JiVlfYzMRqP0a~EPT z0-=q+dUvnv5DN+_8ZzsOdm|h(G2x9F8JM9qLzc7*zp5$#X4*60vZEZ9n-$8;_0Cww zSf0?ccZ3QRxITi1+sm*v6U1#qd4$YZGVRh$?s6b!VXN|k3H(?k)c*2_R<-kITM`~T z@tWq7kx*0N^Tfaj?vCD+qGYOK4uUGe;pOQ5* z2BDxBNrgg%EHWzwXpUJW93iO9>q|O6LRA`JUsiKoR>;$O-Rns=MrJT1uL0Mwe1kU4 z(ph5!P{`O_3oX`Ky%xl1k^NCiLP`ryPYA%6|B3l~ND~0-z!{sui?b#`?By0cO|wnt)JCVDgQKTu5IcbbLgm<%QhZNMGK z8}WTc23SuGQVQKJqwb#_gKVDcDu+EvCh zdIMeR;h0GeEDC_Gw%BqxEFS5h9U%#x5x-_m{?b7bT>dOmVd{diBGJ{!(BbaW+el;* z>!1yeI))WUl*%jF2lWDd^it)(9Ju>gCaP-gIasGYsP7;6JC}uZ0E1=T84)VWd#ZNl zkTT$V!Xq=uN20l?Qpkg8twX_w4edZS5-_9GcGNRF=YydlDCMtI3IK-X6cF<8VC6vN zxjIwqv7|KiI*ptr?p5NvTv~x884Jrem2Ly6+)@6Jc2qF7M>{5g|3R=GsreN$uc>n% zU7hBoTk`?krB)M^QF!pd}qDr-}jq^rZH0zUz`9iI1ztF8(f7ua}U zgyTjy6LW+B{xzANT`U-2I;Oe}{h)o{qpBhn{uCSqcz_k=s@lP5I%))*B7x$Z4w&u5 z*^f+Y6=GSltVA$uo8x0I9t{sZkM~rLw@2j~NC1dCKs0A&Ht9T3_(YWOe* z*MkjSrv_K!vGLTa^Ysh5$c+cP&dZ}w%-}L>-NzaFLZXCKu@wNsJD5bv`}!iq>I(iX ztnnEh&XzKnCEuhj)J%$iwMj^w?JBO}Uv$*LLQRu?2*Y@n6?>*os2Qs z2EKI?0RErsVOC*792S+4G9#~-N^zM!XomX`H5U9TCvK?f(`Lqk27X>#6&MaCXX0IE zW6sD}kTsS$w*s)C$HhNX7e5~@U7|#yCzd6}{$eTtkdWqwF5RVdX};^xbQ&zoKHoLw zBvij_UjS2`Xh+Fm5VcepULjs{{o4ondY=hx2^Q{e%ev2rol#YR_PVOKnD6z0?v`sYQ@P_V+d2uw!s!iR()p5PQvyE``K5L<(P5W2WhV<^f+$_vYSOWv? z5%+>^8P?-CF8NJ{snXVs^%y&s1BLr~#YIJTL{kXu00bl=MmipP`1`w?o43_%YQgT0~-7Lvv>7K}sy??;8_4+-}3rL~T2ZjHhzv(WPkl-6lxgMgZI@$yw3bZ^y{T-xhJBjOQl=Vl>T@>l#b;=HmYTC{A zp)xGoFJHWW6-!89GaG9Wf@mGyZ7|Xn za0a?EGljVmUE?HXOmxR&4aGYI%Jz&^abu5BvmbVp)1VLn_AngJ&VX)V_<*`o@rhtO z84In;9Wl}TJC!t&6Vl7(4WW4j$3JR5#DJ=y8MPoKO8 zJo@H-(6Y+MU8{eYlP-W4jQ>l3O!&W4-Z{mT*^x}JUOSk zXHl@txmI~uNl(vCiiQ}B;7m`$agyPhD0baJy%jR>SnU`6%)a^fji0<&{|B8u*wh*B zM3ni(nMQ6Y-omQ7dS?PId+G*mwSEo10A)Nn?M?l?jTF?|(wU{l6N*RVA0^`i^8*8v z>XJ}4+BIB+Y@s;t5Qrc$SIg_8R{r7RF#E;?a~6?@A=n3v#!&Q7bUrJO85h7D3Cn9N z)Zfm;=99Wkd$^}Bp8rjr4$@Q8Z%l@?An-a$!iHO#OQEKj044g6#lFbg#c=qc;3 zS)il-&gC6s8;WA5Op>H$KoqB`MY_;Gx`V1_m`g1e6%#=#Qvg_9mXwp^3G}=2@}m`T zThXW0CjhTAjN3mSbeaxq2;?D|xxT!P+Omrl)i;T`f3@`7ZWg0Um>8TIb!g^j`gn#1 z=0vJWkQ8|qxRXpiL79z;Ocb|Kk(t`r5v4j>OB2TTzkT`ThBbEIe);l`4>#W;Z4IsQ zPq_vb z7oP+bo!aT6vCoOV?9_-4A`s(?HIf4aQax+4-eWOtLYm4lx)a_WsVQ6Y^)DSI;^CgA)H1cHtx_2 zD~r{j{zhC9Wm{K6p-H{sKtrollTdWda!}Wn zCKwkVFASExWlnT>*2-9g!PaJR7eW|21!M1WGuXDhoS~1_e){S5LzBNo4Mh1jk7%3RDe!FtXEv%7ucqdMA#kFwMf;%*H=QX*DC}*!(R1GsBBp8RNb|d7qgxKv{h6W zx!!0+NLh7oWS^yuowWE%T>Y=~NAypW)et=p1%Tk}c!q^=d;2T7C5G+JO z2E>mKrs=6Z8g3nHxYct_#1Em@3I}*HJ@sHsOc`Rytnv-03@xMzwOJXlZzw7m04hU% zfM*oj90VS_!?SybweOH(Gz=bd#jq(@0WAlKEVSF3yE~MG{Igtu-1bXwe|)%m^V7$h z_UDgZetPo}S^6jfa{Kj{`-7*0x4(RCKivLL`%b4E1pXL7FkY!Cumj_d5&{1LFFR78>oF@Ot%qxNK0Jm?%Gp&QVKWP)Y^Sc@XzZ>`_!XB&@F}_Bx@yw) zJZ3C3P@f>fZtuVRqeXp~d(V4(wceqX01^=>#ve$1{Ov71`spVXgMnxS8>X57GmX6( zo06-Njp;Jk35hx?+Z) zu*=2e6M#<9qvUqHol>}WX?}@=JM*A%K#zlSHPPDTXcH2Mvz>_7-Z^>;zK*RavRUN- z2woN2jF=^{tE8srfdWgT1KIhn_=Na~^=XkFFPB3iO~Hi#3(CyOtj7cjn&Ruk^0fvN z#?P`);+Qp?(YfgidIZ7nIQk{h{dzr{oI!JCec-+sfM`B81#SeHl1W9?VGP|n_rUKM z;s?9R+Txddz91Fo`;sJsj=YE?x*35jQ56uxL8K0Nam`{GXCYU1uCrq|h9a)#bvSWU zucS+ppJStAmQ@fRApkN`=^?YWSLd%G1@JNvq^9+Jhx6fTHJ`yf`-Hsx{!9DQ4JwbJ zwdLJ!Z+?;Ao}BGp#oCkbP{rbtL)3Ec!blXfN!4o?m8;>C4HfX@7Ox77?F{ajt`gN@cnK;VvN z-LY-QXxfcLDhujaTl$rDRXaX9TBW%fTq;L{%b0!}FMB}r=;|z#EpiI%jLT+6wR|)k z_j-&*kE-RPDa3cdy|!ih05w!t{Y%JO58gvR@-ZFg}|emd#$kdz+Ae0oy=UhqtZ!b6&5vi5a|RL zD-@*`&x)Ufs8%4l=D3OaU|8+_e0TvjQr~iOxH)`q6*y%ye0)kH3+1~nEj?Dxar7(% zXPOIP2*`f~7NPa>fm6J>XcdqdgtpCLmkm*fN{u8KM@)+V@B=xJGYUblKGCfx36k$DB7k6%9jjGA%x`PXJ5&&~$z zBG3r>9(?|Kck^!0DPO18t$y9}i|e&y(5}&)2aZK;+9SQg5Ill^&D6H$(Cd9fjg4va zk!@E}zk}~4*1qWX-K0tcSKkWVz`q%*A&Z959rSJIik4Gk-~F5!E{N=SyTw6|cDuE% z$S3GNxzcyJ%zK>(mS}aQd|llxtZwGeSh6Tp|3`}yNw^q5ad*pJj<9vooxmz3GII%b zYzLc|W7bu2oq>yzJas;xPg}}*gn-YZ*ReWUIsWx|$^^)F<)u;nK6#k*vOWqJfvP&O z{bDk|GO8a_iUZRF7XuToy8*`04KSn|K=X^2*MkH5t+}SGvOmVzAFK2)#s^#~SeFHX zPnnHqL5tc9p)49rrFJPzzT0+to+kK$G9kvK2$DMjT{rg}10mneB>9@<<$l-xO+SD( zezaNbk_^XF*jrES50q15H8rI`wAyY$TYB+aaKm8{94$|_XCaT?7AF!5^vGEg+^s-V{ z;;@(U-zYSq*>+ZKu{$m=;RKND*MieSear3&^mzu8#f<5xp6~?^naDG|WCIyW5Cn2z zn3fu1LF}@T9HQ=^zGY(Nd7^>zI|O%GzW{R$6?PzYNy6qtF%cpixa2&GdIdZ#8&wAF zp)*opAl*4!M(D~+;FVFBhT%WoHW}?>T$Tqa@jiqNcv+;2V8OqF$EmzK= zH#R|TBe1!{!$EV1)L$qXJnrg6Li_hAA>^IwEi8R5*qpmN@bbvl{<%P17MHb1C0#rLOx^&RLKW;<( zygUGTh@L*c=`37LeK+uu=s+DVq&Sm1j1z=29eZqp;A98|sv8LtEVR#*?Aq@9IU z6CD1&gzAXxC#kQ*NXmL;u^TR1;j+2$?iCzu&kxY=?3@4i_{{*nWZ!MlICb`*#lm`z zI5gs6h;e*<3kYTV_cw3hdJ3Zh#ieXt%%6W44P0QLHhnm^#dX|RSyMofOQ z=K#<>k-PN?N}N!k#B4{NDyUTKN&^~uZf$3v@CKLT>RuHSk)bz4ppgqs z$OR>!+rtIni)0unc*sa!>fe{O*9^z3^PyG3Z$jNl$#titg78^t*)-@C3nM1R0d(oa zdz<8VsD2O86T1y5K3)g}JVJD<_Q zR7x9;vbX~dn5qFDDUgCLwGe_1=vT?hD9*)T_S448&=S)Zw;{@4OEQV_$a)k!B*W7w zvIFcr2h9`7r8vj?&|~?GE|HV-HAsGqx_A zPZ*N>XiCWRr%ys%=KrWrKl*+~Stl@r%WJjyvGi;TN~IwWvMO4kn8r5+oDyNbam&b` z{6-U1B7q1kJqKq@tKvJ0kxsjccVbiek(8x1rj+XIVJVui6N9iF95V-Plmo@0lphpV zo`|o^Q->zAa*)6Q3bGfh5$jw;d$ei#;Ga$NIQ$cHx&jTsK^ifd!wLf_{j$E)T0X{O zzI)86C#bDnG>$l#3*(eSiS0r`F%V|(ks)Kk_`Re|MgB%VnPNZE9BEX{Cf^2AkW7$_ z)5OT;a72Z|l~%aAQQSW`O<^GTsZ6p-EQ~HpC$_Y#DU$JkA7a-eUtrBDcQ2M_C;+4U z$=nT{K{>jC=p;UFm6)8~(rX2&B}3R?wjZ2+|N8X=Y!|8N5Uo@lX&9nKjCSc8{Xu=RY!ikB$ z2k*byW{HHUYG~@2O-dL(4jm1B#2CBQembTfu}2jPW^;A2J;LLrxRRkkuUr!iYHMa2 zN#B9cZ4c1WR{*msM28YC&C<%{=(hDDIFu6KefO8U+qZvN?vMZS_RFVFUq1ikD|+qT z{Uso);&1KUn@{cMUq8J4?yWc>@O(T3?dJRvW#>R&a6gBbfT*LiBeqNq8Np+psQP0R z;tq!bE)8Ae*lzslwbl62fob&fgR@nZqge|cT%lR&ieI=VK1hqVe}8iuo+6e>Kpo0+ zG=v?VBf{&?SQ~Yvq;HOiMtDm?4U?cSn4^UHL^3L$NIXBj-|gAu`TlyZnIRg|^`%Cp zjT^mD2}uU!mLX5(a<@!Sh3M^~~v?om0jKa?lK53&=Pu?`t--NNxveTCeh z%!k$_nh}1A{=cV7Bm9kzeDjU`h<$AAx5qF1i*QDy zja&e_s`vR9{;dDM_P}Y-5(iCjET?`?=mu|){*5Ww-y?i7d_uDDlTT|%}@9( zP&8n`8{jklLIa-qZ(IReg@-40M+g7r?V&!huN?QnngjWwB<+D@nK0dJi;0Bj(>qh) zY9yp07&`aGU!90X1Gq2dmZ zGE~G6f-FY#EGFDMtuP#jyMZ!pFP#{y?S79;2)yr@M$^f1Ck-xI$iQfu`zu_rBBe|Y zchZoyPgq~=Pt!P`eO+aeK61JTPvucX#C6mgB zAx+@)13jWr638Db93-Pg)X8!?Q}`(AmU3U6g{>t_79BL1q)G#_A@jn zoJl}?Y%TxhicwjEMk(qeRVdQHPX=&ofpx@RUq5`j|L{4z8q5e7=S-Bde_b~SK-oyz z=`>k|+(fzD|E-PIg`@0uI(c7F3GLr)8IPd1mTchG(v_LgU47iZu>C zdjo=o16&SNNVYRYNfzSSxym_tpQthUMdcw>Y!N9IPj9S)rxlC9G1GE+wQ2IE5s=v` zE)%gcuYOh?)31*1eVnF?{btt2-n?mEkzV)p?tV&F8!t!ZXTXA(oaP5hm2N*(ooV_a zil0|ll$;M{zfNG;)BB{SN-}smXe_Ow8;bRL)K7}w^@ROw%-@R}QH%Szt|pO9xTK$F z5+bq8!2B_&ODo1C@TI#o;A}LBz_m~Y9Tm)Bu2v)+Lolq~@+z~t#QyaevPV&_u8&b5OWMBKM-5j{ zn-M+=tT<>4D-!j}BOw(Kb1|WERQv8FTP9fKVZi zG;5V|$Ki1yK~Q+Y_PdY_0==AyTvIO)FqXv^6&6~CXW}LGT5x<>umwNVwVISgV^~Ow zs_+YjPXGmeizIp~D0lq5y**F^;oAYOW?tStgm%+Bj?l&&*TNByg%-}R2cJO0GcKR< zLX^(#tgyWIEs)Qlv~esP--7Zlt^zT1Mk-{`or9-gXuN`yiDCEZXy4rnyp8Zvd;?Dm z@_j^O#81L!@cJ*|kJj^6A4|_$F@p~-^aJK(vYWqgb!RDq$F~^Sg?{jao0-^HZI8y# z#rQY~y3Qu3S4^ztJNqZ5gmXQiwM<~mT%M!lrpUb$iRDxyd6dx|AE5wXQwMX7*qnsYZMP$gaVH(R4e| z;+4W3*pb<~@se}1jWK<6+jExW+FqdV6Ke_6*kK|m5{nXKm1l7jqw-u5FHU3VL{mYH?bV!0Ys;;ga84DJWHpu6Nf$E5gre#Ek&zEhA-;sZ-|wx4 zB;F$_>W!{VC^k7b@Vl_@>fk>Hb0p;03&*_Z_2o9L>R%n#wYWGwl5~axc0>O19)(uk zwV&SH{p0E2&jV!OC!Z9y!ce7$MR^QD0D)yi$POU9FtJ5d!9)MB`ZCO66n!hpU=>^J zOwLayP`oouVg2&qIR}I7M+B9*8DnK;`Yyra(RGfuQOya{cIZhc|{Tl_8PX zums(UV8tOeq&3vEyZmdmUA=tPOm`Tuyz}+JTO_;F_l}HnC6K&vmog>vq6=rAq6v#= zD<(~pbh8qMSCo}@^&&=V(VDS%cgq);j2<)RQcN6cYK4iiCx9 z23yo6XMG-txJ^iU;RpM(9lPNV|B}hV>j^M;v;tf*B1_*dClTDmw5n8X=T~BrQT< zGYmMyUV>|QZrrZ=c=iI1e7dH zLqNT%LL&gR%40{dkTmx~Y7GO?XzP%;d_8KD4A!=yHcdBzudX}g?SuNxv-X>Hf++*9 zp`(XD=coXx*$91WCOylEV!`lot#FJfgcod`^-PhGICs-(Lo}>{( zAYx}$?-u1nFnk3ma(!`ne2NHEYtXw@hgO|hSXzrp2SRV7$w1ac4-y=EtLJovC8{!) zr5M#G>%!8!I^ytmCPPxj+WGVsNN!sh)!SAU{0N?8S@5y-Y+5#p6ZRLA@~jQAwj^%= zObSpTm6X;5F)UbUo24*J-AI8>B+q1Do#I5i1TM`dN>(Mu6v#6U_E8w_Ph%^m=qtRKgh{9};Q|O>Hn;g8myZ;tY4{FHx_37ZRg9HAV z82a)Ulx#J-sHcJrRhIitsO|bz_?@oR$Pg@CPk@9nU57$k(}ZMqrVZ-(qTCU|GrQBv z6OCjH7HH3+)(z`;S?15~6!6Rl`KcWb^*t)eOqyeMu*Gt<2^vdg!5ktis|uToim)VI zRukNt&0!jM2lsXSUU9u}auy$hmm@^T(tau-h@W1}Z-pZrdU*hV=LwKJqKO11PY&`- z+n4D%#E$n=lw@21=>`y92bXW&YE%cC$N$(RG3tg*(^=<`Od8j^KbaIXOZIGhK~S|R ze7iHI2PFOeKIN28_BfIdx(lfZyRC>Ou{j`kwIEJ+M897Jyw$3NxDii`5xW)pqMX+b zBz%Vq-|KS5we;=;ku7_S7IF|USF*t8k}a=(y# zFdk}&?p7o%OoYp2v0=T_WPv;UQ(P(}Q+TSdE2fBX_co`&3MdEUD+{o8@mZmKKJ1(Ww=T0ErMa50(CSId2{-{lO`Zl>U$@TKa<|V*Ej4 z4T*x-8B=!bD-d)D@suAih#ycyy>s*yk<^-bkN2hd1H~Fpt3iVYQf(yT20ZrQ2bJ3M zLJHR+m$?~8HNAwMOXHHZ3Ew%rtk2snQA+Q_+k>l+t@f|MRY!#rD+H=*aa1~=t!--v<%@7jSBe=4|yRyRz zH9+Z<9+vo;v%c*Ee}7CpnI)w*(8CqmN9h*}wa2XpV-?0(o5lAK6UNx1_DQ|Gd_|_| zJTqMMRp|^dIHJ1H1RP?CIxIf~p&0d`vG(kyeb~vQtmoir1{;X2_8HlO(z&5dGghhV z8}XMY$K`gl@0m?(Lz%c|4i_L(osC0t-KH~)5M?8ehC$= zLdgsoxu_ML8t-drcRAWBgoI>mJ5YAmFi zSw_vm6$`$t>4xhQ5Py}EgdZPExC2{6Dq`e`I9TaO&P=W1i$-?y;*-ux z%PD#Tb<|}Ic~F~GH&g;)_y_rGwor8b307yjM_qGdlmra`{8Ic1lPR(%lf5SeVCms2 z*{`<9B01MZQNrj9rfXA7k<|%aCvOE3bfnHm<*aw-@TOk20tizn%H%&ifT})2JwiU_ zKjonioIu(i{3$9F4DdCP&@qsVp>h!|bJ zJq(e$*S`oHlbu@1T#jozbn{9NZTRBuQ00p& zlk|(}y}-KIvWTAms}gRm%i@R@pTLo;YG{;v6a|&wAm_?7(9;V5|k+|Ii z^;Y+`Q%u=oF}(G9fsBE3EURJBL5g0_KnRiemTUYio{QBvMeP6FDRog%xP*(a~n#cWP3!H+qBfoL9lB1LrI z-5|kRfHzJHFi1M$+Cb%#LdmXn7Impz2OYi}1Ex#h=V&owarikEB1^0$#bdJA~~UjLYpGleZ@#6i@FhlbP-kSK?}b96Ve z`rc4pc3F9E9O%pC>26Q76fqIboUF5`)h;v^72syJ`L@+o`YH!kWK(3zqQ+zBmLd9e z&W~r*z^T$G8AD>C_l9iGxWjYBOA41L6t07kAICALo$3sK6f|j+_MTcJ(gOtUB%(C- zYC@SpBJD|tE{n=}bzIk;JWZTPub_cPCaWqB{S5dI^um=910oi$5)?aPmC;C%dj;=U zNqoH1M2Ii)c#k=;#%7owtxsrXgx8xDFr<@fd5-F@2v6xR->(egphaaB zMJ}M=UZE$1gfvk={)e<-v~U7-5v0wcg1XQhs;CT=N!(F9kU*rre-I-5{i6`+?-e3# zI_AtPhN4p`VyEOqUS(QxmWUY--VK%C;Km+t~1x}TsgwZ2W9<<5sBsU)ooB2 z@XhBsWy5+dw7}Dh3ZIty=OH$r+aIm!-7`-m)BY7Z1n`?tT!O!PazjZ^Et->FBEZM( zw%Ri&YnYcjg1-kWgpOo(zcZn(;4ppzf=$>*Df{3C^y71Uf%;}9>x8y+GcQyPf0Y#Y z$GO3S3ZeesjNQXRYRKp2?)<)E^h$b2jc@MKLAr zwi2cI*ZJh&T=GK|77DbS(Rell97H_>{+5+tc~Q!z_1W_DobMW(kH<^5J5Zb#DG-Kd zau1$63}oSL%znsC?PO%=Sis8TLewYdGRX2;I-r{lbBim9KF8>wL__e?p}q9T5Lt17 zTm^Ct{1h+`)bdxd1PTgMC!oQou(n>mN&BGjsrccV%||W$>gSK`Pap2@4nF?+=Hu6! zZxwESV1GUx{9k}N$u&@?RAky8pt8xEPai)J2Nix5&6JT*>dF(wNCW5RfzL)N$E-Vt zYp#(u6#}c%B||qtmtz&Ku^)4^u!4_pGMP|era_GiWfalTw9Al`B~5!ot8oq#2su}J zBqos@_oTBX7_q~ZV!O=|8FS)D0KPS3aDrX4;ON~=AbD7)NC0BzFM!Qh^GpjfSR|<2 zwT3%}PSH>$tDT|~{~lL7gf15NSlj+~^X4Dz-9JD5^yTBA1F)9C7E7+n4YEnH9njb^ znr>>T^DXOB!x2>J!_MZfkd>uvR_5W&OSmdN#vEC#ld(S8prtZ)TnY@Wu8*|`nW#l5 zV)6Xy1UOas!H;R3IIIgy)`HIY;(AM~Aj0||4>UBpoh-g;!`*W}S<@(U8-5?8%qrgu;vO$CFwgRN3TPDEaMX?f}(Gm;H9 z@4AK&FkBkrg*p)9p)hR{XLqyJz%p>fh`Ygw1;o)ywEuXq`H@fM=aGy}`wi5m$+7IJ z2Sg8ZjVmMif(aN`8`9xC1@);rs2_^&;qSUUTkg(1kkmJ9ABNkM36b2zn$mN~X)tn2 z9y9Fl81ZjFQ>AGnAS~2dMS4aO=+VS!$%b>YjrHsN1R=qx$b8}vnnb5&c7`SaL|lY6 zwf-ocW}Yy>_aDE!(NZen;CLW8jK_mm7j0i_;XX+Zm(Rw{+1U#d zfTpE^vn+Q&PDAww3XO`r?u3e7(>s;4#D}^@GLLn0olS%X3mHk88C@gceJtF<7g|g< zc%gFd8h8e_-j|-9QYVp+RS#(YW*gq3K3sW+o{9PfAWkSFgoC2=UHAi8RH>{HHA@^l zk_7Kg3pgb@(F3Wg&@I7+>+b(>&3Yn9^;TL0~5|X6;j;R zm^bhz3-EbpYHnsGw2YDhw1ZgENp%={Oa1$J=A`te>n(bAF{6EV_XV}xKfn8UgH!eM z*EhHCkj(efKPgb%k@NZU7j|nu$VT<;AtbZ{4`4>(?2mv9|8D79hG(-{yzX}qdr*2) z@gG=b;ehF;YNv*DLgk3{PSrz3@@Xb$9WYrKGDpH5x!^ z8SP<|A&68w8V^f8ZDc$e9R_`;kB%`17LwRw%Vrw#DWZ18a>JAvF3UDR$%UuR2lR<8 zqd=)k)0jz2qRVJXK{k|~=EY#rYwY<=l2ej;xM8^pGJp%dn4rr-xAA*4961+0*;Z1@ zQP2Nqd(AGStUagvhITi8%W8|%j=N+)m7E?CY@Bxe2qYL}Ba? zB?ADQ>?lZbSusJJDZ`vXRsp=!~%TwpUCh3?K^a5 zy!m|pUHfr1dBbc2Py4KRO(<2tt|<}CLJzxU`ZzWX4eCeOH7uDyNYZw4?k`BLVbwEt zK^#JSSaY?L&fOEOcCS7)uuuntN%f=X|6bA%&cALX4dC2fX1%$KY zP^JZ*$upI#L?RI#j2C|y6EB%z&qbpXK)qWoB8&kf*aAe;X({d>u8P_D8r9ECva&u)sIHV$a&R@BKOLbi{w|)=S6SWh^s*6z;&$hqOg9=M^HC6R znK3dSxkbLH2j2ez~77l`^LeY(4~Yf45`d{6}nR)XZuguS3B@{yJQ z3v)?k2+6qtVP*U2lxHznE)Gh!gMQVCCH5`MRx5{&T2qM7c=kAcleH8=3y6Z_T?6lJ zY4OdQ3B@L&9ky`tGlWoi-lYl=UCR7bZoLQ&6b1N(umP&hS_k!yH^>)(K8gQ5k&c9U z*8saDuiODl{j%`YvX!dMkdLxr&3ZbR&qFRMn|CC+s2a;Hb0YThX)X|~RVOL5!U-O2SQRSL@{2hsWn)38&73Z$q)U`2JaeA`x(}4fsQBHG z)}p?IJaI2(9^0a7`AAY0Umq##-FSMUe%3 z)@p%(1NdJm7p!dH=H-^=PLQ<1{i|dCWtAE7eLQ+uj;#Csx&yMGaXW{@AW{&(we8F< z7TcMQWrn_UeRM83PF9$lEP^>y6R-wYA%1NoowXRi)$6Rrrl7a8J_ac2B(`Jr?VC&b z-3vtmr-3{Ji=e%DP(3i?7Xs!MY?Ul*3RWymY#D6yxn0Be**$H(9*GkK!Brzzp4K31U-Bq8KUfI>{o+AZYgX z!Rb!rhx6rHE0q-DDaT)Y_%rlXiGJe zMGznh`#HL^lPeQ1>N~mmASCCmezbd&7i1|9#Hml@uN*C{~#;u;S-; z@F~O)V)V34k?9RV5Da zkVJpwwDr&wy$J;71%k7py$#-e`FwZpa%xmBX*Q~tG{a@rCmq&uxTwoHPk1ck+}uC! z2V&&}1O956Q${t^0;mS70~(H^BVYy^=V+i58c>x9+?<5m(iqB2D1KIya@@bzypjRb zM38SxLZ&<+(z8mW_oAMSAG$c_FS`iY_!&_KwG4%VqW7vf>~q4R>upf(`@C0}lUguv zqyt1P=dmj*hHH@(sl(3v9Kd@@A$-2Hx-y(yprxCv5Wt<1HuXT- z!h{u+aXm*uw42w$!H%v@P|@Ep1<`hh?SC;YwCH@kFOm}YTL267&8+ad$8Ii<0RAVU z-)m|X3Whi;D8FeP>vz-TwcMSev6HUzNL#4|ye}5XjNOTOc*c2eV{zuomv(@ILP;to z6PLZY7^BBrRnl(HWOvlDf_ak*fX3D&TI!92fQ6f>+86OKzmT>?ODnnSi@^H!E?sOS?oy(2sM&!#ZjqEEru<0&DjhU@58cyrHlPlf!Y}L7BpO!!hRU7isnqT?4(M`KQ3( zEQWZx1&W0>=@&Fp`}5P`?-YUjFE61r?v$3b;MI8isPrEL`NN$oJjqU)oyK-t_v?_ZbTeP-mlVf4SSPH~ak-U0-3dB!uB=uPtBH ze;A`HP!=J2_qhHu8bSXd#W({ADJ!`*xjKa>Coso4*kc5=N5yZ0%Yiw9swAV8PAhn_ z(qdq0NP&ZlHTq40GG{`ipseP!OrgJMU-#R8wg$}asa1Pl&PWYz0m%g&Z8%(trKX-W zFtS1u!1lqA1D%TrA3wGco;#ZlW-}=obfOtJc#-7P(W@5+;$e%|15*vAon%!2YW{uGWHH(HvxlId$4)Ae)>0cufKL&z`Y z8bUUvK*45+czn{676rkH&njs`xfLkG6@`fxAItTJiF2^b3K~sN+*l@ppwXNB6ls2^ z`%^@jQ79EXDUqhcQR6&FRAkbCs4Yw$v4qt~h>0y$4TLlc_q%3Kfy@3BDGNAXzW{4P z*}H^_tK+u#NurbRwB%#z(q0QbLHS+ER+_ofU~E6$yt$K(0vE3fhKY zM}%nr(E9vryF$cAJ3;`nW`7Gr9C!f0gMR-2?6=tAr$_S`&pkduK@mf^483HuN7NkT z#3cO+$SL)!`n!*--jLDQ*+X^$aoK6wEUnOf8+r=MQV_D{U!7Nhm;v(AH{v>JWn2!R zUzgRST1th*VP41{jE;FFEeS!Bqwl4my{gL0@Ugc>=jc*T5!Xg*%MB7 zM;GVLkH*gIvYp3eKiQHYfy3H|f`2}pJbySX9Wx$DT*nNQc4TiaP?>hsNTs8DjXKSc zo%{_|Cg+gWh@_-I9}LDLm9=72#lN46xik0tT+E#vW~INwpA>4qZ3U*y=S^P?VCnVhD=V)lv64o#1uTaj)9_OX4J)y1L^{2>X z=P=#R$jKIGGZW$&M-*KSG@5`^LO4zp9r zA&0}+Wv9!^psZ!$!(}Mnsdon)QpS#sA&5EIqrBU zgqgWxn_;_LZE-}DD|!e6=T-a{j8;I^OSqZ7QZ}lm$7^Lg2lEFY4L0!egWIQrZ$5rA zz|S%&7?G9Y@M=$f@C+DYse>bU38y5yfR3UaPF?AS_mdj0Z)azq*v@hsq7SF@Xw;y{ z7-3Sed`|=d;oz^=vCm~WP_Yd=Q@GitD_XBT{n0pTLpW_Qq|2Ey9=yLUc!r#%neDdz z?lr<66RqzR-6StPHlBM=UJB&EgMzrXM&A)j2ct&`1O4Z~s{R zIQXaOJ5-?%70(XPYTK&7#lDtv^+bW0V95l3GcHSFhQ}NmnNw(UO(b}RG6BoWtLq)} zyDqOpV)c*tt5jyla|BWyjUCyB)lNyZGfN^Q}i?xY&?UGLx+EG z*tev2l94ri;%Mk5k%V9jMI7~bGCWq#kyw_~s@c&@U6SM?V;ankXan_vDEyj1JM6k_z%X%25x5v-g)$G_1r~H4x5VKZeXJB>b7&^2 z@pqvo;`=H#Kxc|Pay$>sp&O;$Cz<|%Cz&$bt#E5Pm>4!n>5NV*Xds1R>592pMU@F* zT@7d@(2aR3@o}?nX_v~{WVK3e8o`l6|zIZ zXS#`kaydjGb3QXVbOXL*{Nu7cagd+GvQ(!fO_kRR=~)a%hs>zzbVOyPI&%n4$4Ciq zz1PhW0M#F($V2eJu-G4EzNjTomh^Jxvh;dAn;cC<)b>pPER{3FBu1PQ@*P36#5~Io z!$Fr%VZ`P3CS!^tF~)Lq41_@DfQkdU1ol}PaP zb8<9E()1dfBH?;EJrr{sWuggF^9Ms`QB26iVlmiRb4s^WdnU!B7U!O1L3PtzTy(h< z8c7I*s@GOQ8m_(a9L1_YqpA~oG;)0*lYzMGGB7fDWl>zg)r-a>YDoF|`uPskfj+$d z0Jt3xEN?#EAd33_O8`~oIdma&QE`9SwX#%n#hPH<0*>@pXI-m(7Tu4fM2f405+p+XVgUeae8@ir>gw!EP zKM`?zkmz~^)0>hz^y2`7p4 zVtJorEJbicK2X{XqtWAb1Lk-Ig0mMw#{l%G`T#I80wQMqHe|(7MTPUb+>+o_f4of= z9=UXLo0_Dh@Ff)7u#8|}h)V#oQC{Y6Ej)*ni>^O)hlG%R`Fj8M;P-FeOJ%e>{?*m|>thF6Xc4X>&k(OoiuJoK_}V8JMZb6*N90nYKw$B*CS zU9t;FhHXljsz%kL8;LmG1c0;Ji1hQTVYu=IJrj)*B3hdw6lm>=XWd7X zZ=-4~-j5F^-!Eh_?F3r)2@Iy6CG6q1AU!LLjQT__$Xx|%j=IIN# zhG6z$R=fsi88j-gdSysw(xqN|5$Ltl91KrW9j!b~eO|hpfbnHrx40Mq%oyzW{5VC+ zT(1jFAE0Em%OdgHfwvx=YS5D2kJLj~9IBum(q{+_2z&)e5Q$9AuItYFo5|B7;feKK zMMY8dPXlrrSrYIH^8y54oDXv)oEb*P49mJP@ukTNj7mR%umyBPiZ;cQ6k7%4dU<7% zF23__*Ko+#QWtr3+-UmKPakhSw|6YK_2jI5dw+BL>BBqkZ?J~##Q(WgFI-YRUgYgQ zEH6&}>)@lbDvgOjhAmaC8#OAwkC6o-ExyoN{kL~-{wd)eSp*)ta{w4gK1e8aeJPBw zyx4ZTlJVg18V#$C_0iC|c*e(YInb9JRTz$_HLYLDyN@sU~c_Jxaz(4n=~w%rg6CSJ3r=ghx0;ul0)S&-O32JJSv&1 z;lh^Svu3&xUeFs=FUm2Htpr-SupKkBJ{PtFv5j_sqC!yP( zr<-f(McQbQI7U{-t*aC87{}%rWE-NWgR2voADxlTVTu{LHl$Na;ar_9FJ3Ux2REzw z@CA*bug1j{&g<;5loLKIlorKzU~7it5ayE~PChl-MZj{s+GesWJ;C`Te|Cvit#|@Z zb~SlrMm*?!u@Rl_hzpplNg*3`t(rd^#=4a&jDD6nh87T7S3@(&9x=3zeYN6a402#G zXr%W*x8~P@F^-xlaCa2iw`FVxmz^<+;F9%}UeAHhi|Z9KFK2KcNv20DM6D3VX%K^X zeTn)N2hYYsK%7*ofab!er1@;nwr3ps0EQzhu7F44+CIm&isv6Lf5wDb=%jOY#KeDA zJ}m>R2t{dJQ*$K2cbO`=xqxE@M`RS)hHn5mykmL%gVrWQmAn0`%}Yqa;K|RFC*b%n zs*f1Bjp*_GCoFb%sIuObNxI~u8+L$^P@QCmfInNcm}oGK7Y#EuWZ@GSc!=~OmEX@j zmeyJbzuH|%+Ao&{m909e0b}M&%&Ls&OY5_Z!{G`K7F|iB^^ghwY`tjY9)zxlTS0gu z3X@5o1l!K=Oj0Nm96H&JVniPbV6DOW@Nh8uZu}j3EDVu*GaF&eZf}_Oar1QWUr-kQ za`Wk@n|Hu^Kbt-K>GsX%w|^hp-Vj#u5=|2=s2>HhCuKmCNCtd8~!e$S70 z_ydKv{`!`{l;59y8vgR}E3W-K9y~(@z-M>&@BaGp=dbwkXYW6M!JoG%xc&^8%5UyI zeEu01-+%r5_8#By><-n|@e`kYPkxd7{Y=_dfSLUM?8E1eA3opU$KB_iG20f$a7XUL4zJ*m#nqCBcXU=RpS8F@G*e6~2FID$@StY@SUiM(ly zeo#geVhZ;Tw+^2t<4ZNnAK=7sm9SoJ1=Uun@*tL5iRIj(jiVS4l516#CZQay3LnS0 z1+vo)0gjcFDX0c*i9G$$u;mS{?GQt9@3!k4i7~1;{@Bl$%}w9$UZex2uiH z?Ud2cI3i#ou(^-~GMbFZ<@olokN_*tl6@9eb?N!!Ma4feJgp8+WkPr})qVhMwqk$5 zOy>(EgSzzY{Lz5GON$uBZp0?KJz{_f@;SlNPZy}kfC?AxgGdgoy4f_b|`Pb8r! z(HmShiaujm3axrE8jc~OLgsMbJ%mCf%*iyWY*<&B)TLXFg-DC*BB0Sf<`iF@AuC|Z zW5$=zj@7qgvb3dR5{M&b419XC^>j0i9<8*qoLi}k^|%V`e5uUghS-N54CUJ%=lpk+&m<(Ps4_jqpGCSkT*v=@5 ztDHNZ;X58xDXZd?<=7+6c8tbC&o@E%C#qi>5g?s8wDkag@% z;Aed3c{@p@$MPq80?o}(63ux(-J=Y%yhye@2?ccvu?8$=w8F`r55yFIs*0HW@hup7 z3iuj@tZ6~T#|`WZ6gyaso9TtV(_;S>Ma881%YIG-RDg1Uts z1WXS`iVqutBez;=M9FQ8G~occI*ENVj1f@)DX-FcdM2cTJ{Qf_;Toj_-SpHN5Nw2- zu$mO@;5~AKoC@^=GdJ-fLWMIY(IU8sc~dL6f=E#DZE--)b}(4f7@}&Xt!xq#z`RWv zz*E?Z7T0k;i!BUY?Gx4@S_cS*4i-2e&M&nrwU@mtVr+GRSx%}-LAA&P9sN_ZJn1Md6HCbc4Gktx zvpGgrP!Z)d^A7lH~TU+`j$tDbPQ_D_(3$!q)-Ll)r!D z2;uzwn*b(ug-oc#tCfZ0W~V{Z@!37XJ*N6x(0OB;TEsqWUa8Y5P=kihDidT)K)b}- z$Ku@+L~B^;@fd9d!NgC`0h2#EeU8I@SXKx|vR4r1l>B%e(HaaTLVGF?Dpn@(A(AX( zem<}RbFX0mkYY@BfX-0tak<)zN35WI_uHFaAdaIR zwl(SjYXAqo{cW?`3b|P!;^i>Z&@d$l9ZhVxLs23rgc4r7I$BfiH2#&}MUD|R7Op?3 zODuKn_UtPzM?di-1{n`o1~E@bqc;>FhYIg3ERVSI@aW*{40vm%+me|6B!52rulawg z#=}pEPo4)s^R&VdAvg2Oey%!$N3$oM~I~c zr-1rI0@;LPif}2#6jhPM;b0-zu7OCA(#)t1(B28<+C_iia!4K<5U1m7B;90Laadz> zDIR?D9XjFC(I^RVTr+2GQW#;W^u~@Bd@!`}ghPLGb+SFe5zF(QoqYtZ62My#$Iz!| zp-HsB>~~|hL4dHs&+pUl;HaAb5$+y+XZ?3ac<=;y%DdCotM+t08!rZCrz7=qa#(R@ zr#LNhVo8sa3v`!+M~Cawx$OH;3`VlMTs2wcaN2On@6bI5#|mz&tO!9=eoij{Vq`T| z>ONSXljRUWx;_LVN1Ms=K@g(Mnf`$}GtNo#Ka3wK=r>V1qJojl5T*fL5_qNc_VPzm zc}Dkd6b=MN>)qY`w|BR1dF1iy(*gQ4^G{VaM27QR?_O_XbcDu8Hd5hT-8tL>eFbGb z(mbbl^khUIncy0I6V0V|i@S4Or}KOhKXWVvAF=}d5D6&yC^fRQ8PWt{QQ?OYK_Ah! zbxmB6uUW-AVW36HI~e9VN2p6!9sFmi#wM_oIc=;Ql@jO3M?)k|+OU}8s2wA9QL1pA zB7Xq$2B?f}_}(0?@AQk9Uf6$UAR(NDnm6L?q*=^jxR>&e<9ZncHY4eh?*Tg-`o>pMmdBFfBh?))barxh9fnA_+pxMu3!r@ z+p&%)5e48SR@k&H;_h7l1k_AmbfQ%atJue8ICrN~5CAU7f(VF)1Z04FhJ6*!*RJBG z%o$g80or!8M{>E9)Jq`Z<3{=!G}OGV|e*N2YD>KK$P|?Y*m0XQocEg^g`V zs40={$Sh)p^}505W&sFf)UHpj>I0Hgy}OmwWenGyy>~t(udBxL<@FWs){50Tg0HE1 z+3!>tlzS;}z_yo~yp(P~6G@38NDpDqG5R3;=f?$u9vkmTrW~B)MWWpD>i17KKfgiA zi{)4(fpQFQ4@CW z?-M97IGctc#Mh^$G>2ePZZ-MQO28&Y?XebZ_!0qM*E1KuQJeu0qP{<52)-L_(7-$(YAy9a z{UB23UcW{SpHnn5-|bJ)hXDohhM7~}%7SbBcQlqUU&7=4t5fu0Kvzsw4(f|WX-MkIZSu-kg>-7O zNSOge(FCnhoB{AYI=h2=-q{JiU2Dl*hgx!&Mk)IifS22w+uH*qv>Y7#`sKsBr)VRi1( z+KBbp(&Leb;qx&+w)IcT!6mnC#%P3#GewSsKm|Aw$ShWq0-}J5KB`H9+n&M*i!L^Q zXs?ZIjt#2S{0}RqE~%yo5MWfXMkP}x!J*SyPJ+XF2yz%gkPL^zfdsGpatCkA)n+Yd zWrv$zpNTYhRf+}pNiTK6iO{N#fh)lc#CrfxOFLs$b!LB)Bm$PMSwJtHxefLR4Vje_g*`t_) zN-uTgM1uOMTFXaV3*R5_Vh@CS&3odTWteR(V>%o%Y3hLIx4uGOk z?J}gbDr6ac-J;@=e=}PV;g`L2p>~eRfT`55Y>=vzGQ(uRNvb+5bQOF7MCCG|tAIPE z=tTW(=wXsT|7!j^em{>M3`4LA^#c&e+x)y4HAczL|{(^`Ik>mVgZjfhV>KBHVjQ2l$^3#WVwEX_{=Jt;LT)(pS_Q3(0c#tPN zJxGQTa7YF?^XcF}|C8Oi3lKJYSDde310Fhy@Q_O=T5tdrC9_MMfokI(vmK?y5&_4P zu5B+&q!Prd8pFhVYr>rp5D&X#AZCCQ)3z6zS7)b4u{wpO3sn~ROy*yMt0CL75HUv$ zT!EYe`5hqVW3)KPD4S+CZa_>q+F8(qppj)|Q&T5Pw=4_DLIQsniA*426o`CEmgkARq~492-9Z6)Tlx=*5pGfZWX=R2K8%shJ{2WjQbj|qK9MQiDYB#al;m+&5M)2 zF`G;kmEk0GN}WiXOWRHFM5rJdh+67>solDM# ziUB<=3J4x$y~N-fGEJ7eySiq6_UnWCY}|IZ&Nb*4HJq^%heL#+;Xr{_PLlw^w5%I^ zF2Nk?4(bvKV6wV|>bxYD$VkjRdUH)N^TII9q zW`=+D;%KsfJ^&mez5`l7{xIPMqk3$>1HU|!9d;IbhT&^1of-xXh=p1e473P73U^|@^ z_BivZgHwzaa|T*Pj}dud_#x6ODmInVaq#F}bz8{x2V$PPy+C&{+I7YWxH`H4mV@VB zsl=Tw{%p3Xz-x~CXkNn z8cDwjC>HJD=69sjem0IZoP#f|TNEY+jMk&rV!KGr@l5P~dHL>nN|xXf$BwnUS3iQ5 zq5`k2DP29LibbzcjY3AG5cM63*v3Db7CLn2lC!aLK=_#GSg1Zs&7~#sT2+X#NE_j% z2NCme7F8$=E(2x_P(a73hB2%I-VTuU&uE=VTuRDS}*jdsuqE! z0%Z-nfyG|GfKy!V1n(0VsMj4%Jd@xH*HGAtgWS*m+UTFjl}UXPhp*jn;Ahd z{~9Yr5O!Dcav+ZajjWl)M6pk{lU|nZ>YQ@XLcqYztTqrcvR}5w*~H@-3L!e0%gznU z=9%jvwFC^)#p4Z^Jgc@QEQ8O)sG`OW{YDYMDZIZZ#xM>`T^+3~b$y;Lwb0!|G4G=h zW8M#yPLmnaM`u8n?k~Tl-|}E&MZf0pDG$eI6;}?j#T00y5S$)T!gX&T}QDFO>?ua ziuw*jLYoV^IYbl5?BD!v`e6iu9w!>zVAbzdqk|F^w%7LYn>ikV@zJx7NMXv|T8u_VOH)$Ey(5i#{L zBbv^-;((daE2rb?_4SUDmS>}LU2mF7x9A3&A%NL8;%uQhF7FBqIV{u-FoQT>P!u)M zb36ivkSE``{aupx*pkmptwKXbHaO1xN3v1*1o_hRaV#3<%0g{O-(EyE2@9?;Z&z!c zGdI#UFRg5l2GvLsq#@^O#173@G$6|T(;e6yFHg^0uZ;{r923gHcDpTf?2-?Gkc&C- zi9H?i<;pkL4-$;D=eVjoNQOvut$Hd0|e-8m!!Fgmyl^mweI zVhtW4Ifv?F>||1_cD*XE=S;O6E_7_+PY6bB70*996wW2;p*~LVLm2NO7hDe;oR7ps zVnx1>p|aX`5EBxC-pjLB%OCe!V`|L&kmifO+~2a3uGD3vXV%DM6sqV9C!bgnb@ zjOuq+B_wN0sxcFsjwrZ_h?!YXUf4kSybS5xu(RVO;--CYdJGCwQagM35pij>OgeE> zEi*trA{AEb)cUdx{@4E+oSn($E?;W4JHGf5`Z`R;X_IATrYg6y*wo)neu4jR7G#++ zP&2z|7=*QR-S?yWDcO;*O|T&xfdl0Qr)>E9jMfPL`xd{CkAaxLe>iuMO3XD)O*@>s z>S*QM)#ve<61)UTxx;5_4J_qNP;Ru4CMLDiK)Oyn(&<+evy=)7(m@m`fD&@b;fQ{O zhs%Ks+TKPy&KtzZdWU=qx)XE@fa||RfF)7G@rsx{ivBp%SeJ_P%d71<+yZCYi{}z= zf(f_TK$oRfj3XdAc&)ZyGGGV5eL;YDPMGq~y4nQx9xD4^d0lBh78`~Vi?za8l1+uG z@7V^G8S;L7?cmrEj2GfG!9u8v>Jvu%$<$%?0e)cM57g@Q8ajOd+bM9r{E8%Q1O6Gj zuIXj{+Dz&Pu2R^hehT5y7mWu$SEwy1VTf1Towr)0wp%i_;!ZqM-BW#xV@w6AIMjaG z2`W3&GAIi!m)#^n0@QOJBDXs;4}sy`eU5xu|MpDYcGF$H` zLIeyQSFewW@|eDpy2%FIkM%L#Z`Z}PB@fp>Ngokfs-F_T6f}OWF&B1-my^7J*E@zC zqi1Hc7W~v13VpRK^mXv&-8*!PJb*$ffVu-#ry+pysg68703#EP-O*Oed4MYI8f*yu z&WA@-17+8cDJ9ru`x&@uZLq>rtJAovoq(yZ3lWRqf#SOYE5?#4sZP(l!zE)B-E>5` z>?B!!Mo3DeXh>Me;+MW1n{79m7?D`&Ti_Jq4O%Z`lGTJmEkOhkA=t06$i0I7x`ANt z_x9t%8#>s@Gook0yxh$bmVE#Fn_K4gS}phiO1gdddatY1alYWe_b2TIjd$Gc`DQz&3;p~jj`V+rg!A-z#R7DrahlEy#M0^-)*4m4-ebv*9oG%1^ zNTj*FWktiFeh9gCfGi_Min&6fup;bBP-9n0hnuQC^AeHKEzlu>^;gIBJ^75P^B)18 zklIpuFKv=?7@DN8C#%Q!Ra0DTw^x@tak?Pt>toD#>(|FLSD;^2gK|yw*PWnjH}Tb+ zT&6I;)Qf`=+e(wbtL@Pk^hNm({{_%o38o#W63ILXF~sAeVb9}F>~pz?<&}AisXt)9 z_ScuBtvwtqrx#}w`BGQ|b|LNg9guqF30x1yG`mEqqK^}QLYe&>jlO0U8u&nc_keDC zu`v4550H4&$wC=J1jPPo>+Kc!0;Db3Xde%~I92~kgme(m#64jjSQR1BZOF3xr55fC z44-*GyfMIR!@6HWj-G`OHpJ?36@gHdEUd#QuYrte9MrWui`*vqCZiQS_oO9AA2*_t zYsGR7Y>Ut`m4~3o*F8Pkmj~bBxPP-ws|#|QfjUC*x?LU1Fy?` zxFTvI*$Dj#_arM&p0Fs?U_f3aoqSuad(7*t4OV1Yb(+dFKh=8TciSxs^T>SyC}DjWLbjwDOj~_8`UkT5dcVnv(`O^7e$u%Kms7etlBo1QbJ~GN}@C*m8I;N z*>B%-?!#Z+7qfo~>WZA-a}5)Fw;f;TQ8~WV`FFZl@ ztD@NiJBex9NKErZ%2exv0CJOQ2iOw8C`(o_P!gOyP5Vu7@}bDfLk#J~oIzzDc>cqu z9l)6%zHCvuP2GuwylEUO#OmO4RNm-W!wG|FCEBVkU1r%1sJbGf4$*?%A>JVCMg<3D zP(O+h?nsQ*huojjiz}p+akn%d%}E9oOSEm=tWpv%GhA&aouCAOL>h%^#i19Sjk19ZXx~ZuiUXZg=~Bw|D=?=NEz` zj9;Exrd~@GvK9FR8GM707@t{dT)JJ646nG}7(^ z3qMUI5HRL}O*y1SBGf%rzg}aU`YG8sb&pM&Blm?P8abIKK0mAO5kCU|VHt(dgay;d zQ5a4UIp($=kx;J)y|=?>X}d6Kg)BNtdA-dp5AzY;=o4+ z{mz|J$4%>iGm7FNSs!%)8$L1Jb@)KJbJ&qhk*=Cj#sZZ0pmFylr&(KkHt>|%AT4}?w^3{O&b!MB46p}bK5s_Y8a!MlBl68`ahfhwdRbQs^ooGnAj_IRU=AMXo{oM}uKWUzf6$F; zgB8`NI*t3DSl&qNKRwex%OBr`PuHGWk! zVGznwleGaR)NBJ>R;<2?Yw9Vo7sx0B{z)*wER5|y0`im^on+t++KlFF2XhK>W^yEK zrMi41GEb+OP;6~Tw}K-V@Qic8@lz5HDxJD<22#kA9_UsddjwC&$h(+9^F4*8h9J!p z1ud~pnHmtqXl3i_^WxqKLvzcPmaoPRT9=)dapAK;IsQ;zENp-u@Ep*40I^uT?00Gn z%AL~S0h6p;fLV=OYgj%cObLE(Hx1wcXW~1?!0n>$j@}BTQfkio=HTh#SjQFGs2k<1bx(s4^Zztiot!1wFm8aA9vJwjI){8ED-&c{#N#WLlQmG~#>Gs( zkf9;4E)a9GA@WuDZ=c$g{DPwB@HFFS$nd& zytuqtLkY-wmb51LTUDmXTY;I4faNnrS!kzcRVIKN(|gL}SoBs&vs%j`J;Snesk0m! zMBD8!ll({)!OsZnIynQfEA%EyVN6;hl;iRu-ZYC&Tz1Xk(J~4KRZS7a3+6#NRC!3$ zPvf;(&)7&o$+z2Y_Yi}>Kkt3`f^e##EByZa|LOgo-amdrOAHqKq(%^YvP4mBTNJd! z$=Gayya=Dp(CEC=0?IaE8!9iU4*4!uK5L7^giv(H_GIQ)`>U6I{9_)bQSZ{RYS9bq~@{)@;%r35Zx_``*wu~C? zZ^cKBdcd~EvWTWPX~x0l4PtYjcX~1Rfn*<0*8)7SKO&tNI4mqa7s5w449|qHqgv1L z55wAk0j{7M$d84Hu-}J5;;Ml*qfliYe8TI&P+vo6mJ(y5d@W>bTnVNcdGoEr{ zw#jz1CSgKiLN4$|MpOczttrqA-f6N#W;5I!9!FtLqyY`^(3$O+(4d=G?McbQ1N|j@ zA3?Q~&#T^5jO#}wv;ZZ^l)+$e6xS;nYdM45PVEd)Us!2pjYGmsDMZS_%AKpz^!8j| zDH!E#rd#k2EnXBiG}MQr7pAVafwn?KodQ&nl?^wZ%OR_h4d{|E9L)5`&T#NWfAVNJ z_@e)4Sr=|Dd16uRb0ik-Jh_|52>K|4>WAohf)1kmUsv*U3N)<9t}^ByXBeHpYlzrj zKcP4OO%gQeHyH6M;OB{5K85cAEUbSrW43kQy+_4H){D5n=Nzs+df6T?)qh^l*s9YV@ zCSdVau7>X)2#fZtSp1|KHNnq`X;FlI69w9iniQ+{Lp*LUy#o{YPBHf=JW5RepHHEmF`kZO>Y zh(U*PQu3$Ir8ydsdQw-i;QW=vBjv5}9bHTggO4&fj6cfcFfL5aPKP9TiT)-3@EHnh zAJ+ttt=+-R;tbstiitd}a|CYiv(@Y*+q|97DE%2 z(7e0}0M5m&XMQ58!3|Sr$l={3NjfdDCI~d4SQEH&Ud zzPRmA$l`L%!;4mNb5sq_fOCSwn!djz(JpCJI+8@Y;0oCzf*f|S1gZ52#z`^W9E#;K zkS%MVa%TIbKKUiufODS>zSgv*q+T>th2V3dHJ-PKC?;?z)Q)$94|-~bWL>DKnvs(x zcV%RFTe9h?E>fSYEU{3F z;oiF!KfieYyyt)R-o5Dk{G#{XJ(E$n0A!@gx|m`wYKMSHl4+B#nEy&Tj5BM3M;1XI zC&CGEBa=)P+|sP^@_J5$<;V083VTC#1tiVC?4`Mm^yetJ626!GW#my{LtAC~jJgK; z2cC)wC&mO2PjEC5k^Xjv2ETi|?_cj;JiPd0cmLw{3xi@mfBX39;p6T0{cm4bOUUuP z)b~8Qfq~p{U)o$EqmCG2QB4PQm?+AzwhW7PfxCfd zJ(=_XODJr@91Ell^Y!$(yjUG0hX9K^UBm)TreqqrG!1qXchcQK2MQbmSIGUn1?_x5Le=1h&%4j> zKYzs${IDCKSx!&?E|kW}8LS4h zDwFixD0BkEUh~7l$Iob0N8Itfhu;NuoIhUv$HVVi^rvD!pzt^1XS{$g8L3iNdYHlK z2GUGwS4L91BCm>s7sZe;l|SBQpIs!xBBRfwb{j4C$+p)PzP2~c_`$Qp34^LB;Fn?n zz$Xc*CkhZ9!a>oNTrO1}P*04<0T%k~P4M4OvWuk}lYBq`Nd$wa$jNE;-&8|0@>D3e z-~7hhQKsU&`vkuCAb*A+9Q1mNrUB86Z=eFpyfA#RgHn2Cd;l-(UnChRI9uS{Nzno9 zVQ*x4f+QN5Jdlm%QpkEiN`;+?j0*U7WIptwxW_=UL>8BPX7{3$L%e^176F?hv(wzL z#{ViHCm2B2Y*HYEb;bw9#)MI8;5Vxy6^$5F$G z-N6Xxjt4>^+W(@Ay5Btj#vgy8WcNjnO?1Be0#$-no+I#V7L|Wcp!OGpO1fiIn#l;n zVClBE0Z*)OYn>MPv_bZQIMg4R0wMO)6ck6(t~Z@UX-|@lP{M-rOoYi}-Vuq@bLCHd z%F;~BA*BLp0#RF%-*hJmcG*2oh=~-uoTiO4G=Qo7-kpNY!-qxIR`wO|UxjjNvy6Jg z6zONNZ$b5?FMo(8UJe2%n1g9KAya^uc!lRdZ z*5#-I9o&VMWJ;=IOOgeB6^(y%PLoCC;lff0(?l3r?=4O-WQ+eysB8G^*hW)+V_vth z+TO5m{>y@s#YWzHD9@P3T*F52x$>dPK2#>@Hf)i2O>fK=LJDTw?)~4vLz9$hW>o*< z-QBH4416{d9L=c*(2eucO%I15TD(?Dm-Pn-*Ie-n{K!UR9nmA^d@46`&_*;fK$eVU zlO$yd{CGY`ZjjlAaYqRFR$Sl55}`KA!LR4*)WJL&>-Xd{Nl$^kQYBvtiw0)^or}LVl&dZmf{K zfdQN*G)F>*}sz?RtkEcam82YvMJw zet1xt9`3&F!0<^Gd*uAqDvyox__w7X!8d>v$3ZasR!Y8r>N5xe9Qrjh@7AxJH?!88Mc()(;?QFzCpFT+4tvjSOrs+6T7GNVYH>=yO!0 z*wRiH@EfZ?KW7Y8<@iWH1~x$bF-1xZ5BmbboiRkwGDN*V^U5BPl=H>v@>xu< zI;J}^xTaRi{Bn62=&?FlA zaLbzqY8~>yL0wv_ueTan%M+So;agKXpwA9Owwtb&U(dgWRyHaWk!*==jjr78uCv1@ z9l3*H>J&cm_Q0i282PH6YTXrpKZ4dxmgGSJ1g|DjD<-f^x%ufzoP7F&=L1c&qz(u9 zd+xP{1+VpD;;=>~+1Xi|B9xe3$di|S4fG2vmJ{z_!@ z$O0Q_Nvch;ybKDB2c-W_CxZAa*A2n~k|*Mt+>l zP-$ul3T5~8_V^O5D$nL9Q45^HPkc76?+K=FT$D+vDdu8AOpB%+efhRz5hec%6L%R}7L)sUSNY~5^Sae|9G3Sxy+E{wZ ziOMwviX0X%RTP%9V_1DZEjD-!`MyJ1`dn=^C1-Ha79M{ohZ*dr@!w|1symf+D3zu@ zz+^f&Q%%${ov|&O@HCjxG2rV|8>SYmw%>#q7j04zPAi(RIhqajJ}}%wPn`|PaieS* zwsL)p&kvrimm0u;R($GXR6t#=&=2M8ud2;tnf7VaKof0YDo1C59z5q1gz&(iP)4?C zrX96k-}WfDn?&d~jEM_n(V$K_j5sHhkepk_fLLI9?V$?St4!i^2lGRjPCVo{N^WQf zRh-ON_17Ayd~JJiSUWS?%hC?~un}#8OrLp_O1wij z6zECO$ReSRCg?Tw)3zx%Zhel>Iv*&d_h`*1;Uh?EY|c(I_}qRolPJC5lMMzz4I1@S z4GQWpNRZ33xGVtG;`JH>vQc?FXi3~En(gSr;qh@<#i--4Wp=JZQ#JJ%5y8uw)67nK#+ z2QEo76!qQz>Xnkf4+uXw|3u% z;2?LlN2qubD{eY4HMgKtn4E*EIg$wvQ%(77u8IL4K(eM;BFyWS9vN!o1J|n!R(M`@ z8tH{Qg9X9HI4IAdGUf0u(0|PY?eCD(ZP)v=$1%{tUf~tj87yxktXuQo$$Md zDZwU2!f3+Ml+`=32J_uj_<)DHz{t=%ktn~Rb{CBsJqeXb`kcw(XQ+&YNu<(FF!}ic z-gdaJ02TvztPdl}N7MD3q0af~F<2^cSB=U?)ynTtN9Fe@RDMSmUp2UvP zxb#L)Y2RibB+C)Nk_V(FLG;=7?tlAo_aJx_q<0FOZf$VXA53sCYDy;%(Yut@#u;$! zbJ68%4pX5Dv2p=en^uZs+%KOMwzCB}K!Jd5u3YzrYK;o31!~dVmpg>V7)wE&G*Ur; zX{1;2hbgT|K5W+5L5z(QHVd*;Zd+<%eW_SUNQp;BRo;lGj)kpW_BSX8<(pW~-1~NW zkKzy%G>e}0@%H@>;M5;j3p4{XJlGt5gDHicBVd3Au)k-c&HkfR8N5Mr@br|!r>BfD z1-$C*>|_FblO_Ga zk$|7usPVdgNotzvsCa0owmtE$Pyug68*B(Oi{1tBuT|PuFG`tSb?GVx`6miXoV^$MQ zb8t~)5av34Ylbg(eV#1278}oS#F-R=$VoxTlg;%!GEhV5@({_*+U?H_yJU;IHFXT%f#b#L#F z=P#S*&;JcS)m`{@al`LNF7+w$Biuoo7|7YUELzHh0h=7OjpBMm(I{u|c2QTri;6}m zu3Il4ifI^DP)v=0DI6pq#fsJSOH}|rV5WJY~{{d#ngorW`yy^ToMFw#?nGSR_f+pz$CiTn z1tlJ50qs&`@kSNDN$4!0@~7RZ9&!tHxpO$LP^ELaW;%IJGp%7E89nFjT?B;EzG7E- zc?|AHn?mJ$|NDSuG$oyrgq8|wWOFPUUMQ?a8aNS$7fbe>$e)FWs6I~~D!Qba&QNCa zCt{hHqqcZ(;&ovdqmF~>Q9HkT?7XTzERRbr%Dk4=SvLzoyzPSqI1(`tB^u}itk6@< z5>44&D<{X=+p;xgKo+C&?UwBm&=~Ipj`8#TuT*Q^-GAP0_ny5%KI_BBFSor{zuta( z#q1zdEWCQw6Tn60X!yK$_wPUcSMUFQ_N<2+^q%1tN#XEemI{9S^6mba2PzH5pUvG8 z9x9LNZ2&l?=Q!K-DWNxH#gY$p%+QY;g3fmP`@8L@kM|FfwsCaOJ3>OM1RKRJ$3K_b zsqC6C7O}$=>x;R`mR}xNqwHO1MaE6^hUIru;StfpQd(T zIi$NFl_H48TKqFwEF!F0M*!^N=PzH7<~zl|iGtK<;_>l1$vaN2m1+v9X8+iEkBp&o!LzVK!i{2QAO~}TxURkvwE)A?RF}R8BYGcs?Zl<2s%F@NP&+jkOS~_BTk3&=@h5g)Y6yU@v6@e_ zN83S6To1}odo)ma*hFG|#bXt zhS(E(-N3P((LKT=hy9~Gy(E2kM+o$#>3jBrIOiZW>B;gz_Zhd2vJfpU&u=t`)l@UkHrweX zf)ncHr1y}@ZLCYc${Xx|<5lqu9kVP&{Q5^;n)vk(edqlFOou#CX}|PIgsh>_lhT>x z9>G<8113xsQSd%#s*#uqZ4mnKh5LWv3-{Gjs4atMWsy4xZBB>%RM?}R0kZ(4)M3zs zgf}DUY?%~`LV2iwjgF@IVWf%x`o3~ zNkSUkSrkC!m+inTX)YYJ+W!C-4Btg z7S}6JRnCxy3D44Ka$Y=ah#};i6QyzvehCU2w#uH&|B~!#WmI=m>7>cPEEoW_Bn6Kp zg`knu_KeD8wwTckrfBR;vfM5!@7kK8FN-Dcgty{!iS)xTn2Pv*iea1tS7E3jFG3w% z*lG%PkGv5v1R*hGaS6<4t6p#=0Xewq1-(3QZ_^%VMvwXo+X+F>(a=V+^TIFgTrdkr z*=5IEp&1!Vq3)2Xmuy{a*n|M&A>JE_n=>RgK{Y1?gYS1yaI`f(IYZ8pe( zr|mwu=rsdWfVoZ*jB996%px_lvmKaE1wct4%&Oa zPwm~BxEpLxdacmjlOa{C#d+E;GJ#@=Q!ki`w(c=~jQ9+Q&#OdDyTmD-uioO_CulpO z6D07hxfz-^>{$-S4CyV<1f|=N%~2Gv5u>#u=*JlYApbovLlCq{UZ7-%`h>EODV(UY zA#dz-Ewv$0YrrIc^8?im9Vift+oCU6uQ>waM=OUd&`;2}!B2%6Udk7cy?h>A21;Y* z>5tr1P3_~VW>B9L&npc#7 z1!EK8X-_ALpClNctmOy!Hp~ODQwjcqOiBLxW&y;`%0;K~a!3d~TY+F{6;DG2KYwYy z9t&;ZxJ39ITXl^bwTTtZBM(1Of`UtYF#EJtRTDm3%hY^59Ssw-g^tA%H*CTvrMM&!Flee|3eG&N>Or?geD z1&ISqnnX0E5teq_3e{6dx}~N;>4BIn;Wd`GgA`2s#p$RJNOEe^aJz9G+I||`Mk@Pj{kc%BRQ;s)8SzQj^mR&5^a5|Po*OSb< z5Li#H<#&P8&UwEaSy4r(*CKb(SJ3K?gxaED(yj*uSW_7RB$hd?;$Y7`f!E5J0>b=Qxglw(?P-%-G{gY+BVW*hJqMl zZZNn7(A@^CxgkjhrlXNS*ZDeYS>ZR8=5Dx2zwnqtDdTEOU@)*9>%7ZE;!MO6Z$a3Y zY(#*0@mLU?x~lB4IcM|c(iZ9%+Um+}D?@pGaeP zOC%$v_<{**KIjUaGzU+|PB15p9EH8Ym#t(^kQ)G~$mKaqm;sVcvD|~86#&{%u5_pq!CczHvC{*e+M5JO@4SaMQ!F(e37hPg1%zBp4~wRg zWH~AFQB;JQA~Gb~!oXztHit4Ias_(y@o|6#KwUOIyuXh+%8nc_qmvPxbx?;_!~I88 zXO1@GM=^+H%Hh*f4lpJ9EY;H`)&P4+l;oCDTXkw6yV#0c6NBlEgGiqgCRuv8XYyDTAe@?6ttx7z;JtLkCZ-D`dV^0UPtZBXX&((Ee)dTFeDn58KOW;^cyLr1Q;ok+6RMbA>v@*uJ1hW zZV(C))2iV@S*Np0BTrdQ!(!{eL)6(495CRtoF1FQd0*aL7Ng9~) zOGiUGuc87$`38$WYTE6gM->qNypVxz63=_^uoqhdOB!B2ZjmYv$Qix3V5Q160fIah zVywD0MaiAUCjq=As6E;*Z#I9;f)I&+EatBl>#{=?F6I-2pMaee->B2%E7iNy1b`9f zKIRNG$t|yiP;}iGmv2Eg*1|k4D>94s6%$|b7Tk-rw-sR z?|!`pCNuys7njG+d;b>^>e76OrX%9ge=_`mg8aIDQbXJi!6U8;RKT>Z)QrG{DJc_Q zFXx;V^x3Wn6@u!V%EfnLA!^!cvbkKXdxr^HZ$Ie-6U1C{t(08t=XiRDBtvKy$X1#6qGk&4phY)7rW z9um=(f9&KyE}NF4UdbfzEz^XQleIRn%mOz4x?l&kM~D5OJT^(bM9)Ih2v;|~eR-Hj7i<0;-r-_^_*ZaCB z>2tzwg2uY>+^M3wB3dW)07q9>*D{DhAY}Kwz@J5B{2yLN<8eUxqGtk-IH(GTg}Y*@ zXmm4?QOd;iTZ(_qZF9=eSQL!(3|>$D%obPUVw(M$gDbT=^|M=oyF82Vow8(hffgcWyQZ`?fxZBS84479|eT8M3d`Jgf;# zaxjzol(Y09jR{Cb)X)oH-3k!wJ(L)y@b9fLy^pI@wy=sTY%p2ykf#xJEbmr*X}0BD zcW!w&3{I$F(@kqvNu{hgfWtD;6S?8g6XOCU{+zZY;$H+~82E){BYeO0WSw*XivW@I8Xz2y8O*)jO)DVV@XnA}+Zb>H! z15afGhUUOCHm8^&W)6fVoib#iydV0iORl4^CRDUQm9b+URpJo9uZ-$Z;!6qQ7Ve1i znrD^5U>w4y3jI&HCZp0ID*5s?)S}HL>_&e70L^3JH5xSRs;LE9f%K5Kvp)Unf}Ipq zmQb$C3&H2*b{iBvLPj2;XE)8uDZp1G110=YS0n3lg;XoTp;6yC+%yoH>&=S!A9zJ! zgDwsjPaVR?od5tcA>S97U#LJh_MyxZRIoaCT-Ou7@@Jlk|`}_(WZ=A4irpv zgs^gDQSCxu=Gh!QMHDceXhv{4aJc1Le^elAC z*sNl2uxC~jk_s--If57^xgLBrUoCEedT6$6#lHssUansWT?mBoSq^@Uf^}7dGF`)s zO!{TeC@Sj@e5_q+5q3aGZnPL%CfW%11*PIG10H^GR`da(3N`1%j50cVaF8<_R%}~Y zGjq!v(p#|IvdPQsx352-0Bn7Fg9vzzl$>xoU5}N0h2M^B z27&m=Ie>xXJ@NB3Uv#=Tn_(>-^fr@pVa?SqjA@7cahg!b1Wu(uO$aBJ$Aq1)R9lr) zePx&tB4U2J)Escewlk-1F-M57ZGjvD8fqtPLFn7jx0d|iC zu-iqp8W7B5Vi%a&RbPYln5+RaK;W2cqT}s&5n4t_I-qbs{!?mZ`Dl%|2H}Xafg9*Q z{95#!MXOmL)bp?sqMoPJ*$#@+C+AE3*9{%ocDW&ete%)uT@I1JV|;rF0}92hyM_NJkX(>!?Tg@Zz!4YWc7>c(C}QQu1j#GW(=F$M?yMy^$pZEq zo)$1BLA@IE-SF`uXg43qfn2Df8&dwkEZ%m|6>)10o{qU;j{DOGBmWXGQAP-XN=VsV z2LGQ%M&}ZEqZ-*TQh>Y0uw85AQ;k@aO6fQwW+%&f!Ai`L`GygIO?fR+e7U+{c4{XN z#zCKl)I@C4!{b#XxIu)e&R}{6hwgGQ`)q(Ph1$K+UrG5Gv$xDT4|bhc=K@?zrc}6G zJysJap*alLh^TLNMLWG%P(b(d#(8+@}~~x^_*vysQT)yiB(A{xaFc;$_mY)@hb>70(Je`av;xCd26! z-t;jP9sqN57V}@owJk7%SAafI1ZL*+Jdw1O_DpV%2?EWJCPbfTD5|%@l8{(W+9*|2 zl+ix!S<0h*kz$FI3~Sbxd{7Uy8UbV%i#1EfO!RA}z6fFzZ1OM+jF+N-2fgCqOD5zT z{&Uw!BB+JQCmG>z@mJ+QKII8vP)z38$Nr`vHeGSZzz*FY^$N%=;U9Jw`y(|*=-r2Q zZPmBT)RT-3oyYgdZhb8IA zELq&dD?nkixSmS^HB~fmo_hU{M^dS>=N<-_a$bK7TeW-4pQJuRw3U?pgA`odz)OlV zYXwONB$_L;a9dG7(wg49i33f;vmd4lkYU~sXgHwGC7*%rpH&FM(~5i`K559m4!`hO z#6G${?EgrF(hjM@;pXVkOhir@^d%E9@Vn;Fl?%vi>3kG~UNKPcz4C+LebRKh>8J7T z7r(yv?Zw9z|KoY@9hzYMjQ`%_zYq9thyQ-Tf4}0t-|*i@{P#bE&Etf_ZAykz(f^SJ zHUL9+MN$wrSU7s|da-qoYI4)_#p}uT<_5MwmvACN9J&IctO-_FhXCUo$S)~Ou(MMM^-Q2YJ-Bq zK;KGu;1reJZwGRH4UxhZlPAK%Y;LxUuA@(vKTwXSBgwvEIXOuUr?PV z-;wHiU{;p2wORd%#(!FXWmC(WEoW~eq6Y`&1_@IrmBv}tE86m67`*h!BXoaC?r+qs zU!3PLl|#A}ICaAtG$oUtBlC9+Z`|`WoP=u=^oYPcfDf|e?VOGaIX1YINyE!RlRKb9jLdz4vWAQx9{fl$_kV-^z}2vPS03yH#X>mn~;OQ z9OZy%D#tWZ5K;NjyAJgO6SB4g1zW`QAW?(`L7*UeQ*_MsfUd8Z&ik#FOUzc-9|z}T zW;*YW-E`)AGr5qE46tsq)IzzY-{Q=(hH=sK2Oj-rFG1Q>;c#lK(;dA*!w};@0;!NN zZcx!+uxo{0kxYlS#9-{@d_|WJ(Yf(;uXhiN+jl>I+MU9!zC};Y;+h9=)0&iElE68e zrH6QY9|h=nVo4ludar&iP`%dwU@2l+6;zMM3{=%510% zfqPm z035ImU$kEqUva^oBq~o$8ap|kf;3?4G|;D{gTmg+VISzJLS!i9B6cRC>9c8Asn$qk zmD+Q3g`9It`x5m=9t5-Am2+g*a?X(ssjJELA*w-Ktw1-H{Kr>RJPOeu3*7cOTXuI0 z_`24Hg^r9sSmDkYm6h(Et1GU$w#q!H zhB#otxysARKb&YH{h`JP%%etvI^wI<{-msx(gAak!$DOJNXm_aGS?&{Qe<#S(RzWR z9wGB7$fYKP9%aJeuzQvX# z>H$OQRA$gqkSntZRT|=Kuv!uM@<5FtYSqm8j9T%PEr&r0wA56CnVGT`yuOq@u5RR^ zkqw5UO1GA91$kwLAZd*8hsFaL)TxMU-|yOx?zz4t8Yl**J6+KBh74WeoB24_voc@t zN*%o+rfV}=?WE_?_6BqqVdC9*i|9M*<>%W9vMEwe`-#4V9_xY`M6 z6k0SPJ@HId-m^oj`xVhl&L;@D>k3lKbG8EC!`0c6*mF@&)UY%hyoIATE0XusvfRq` zTa>XUqPsS%+kjOLV%R-oOtIv$0b8Af&-_3~Hre)?SLn@0&)z;5-C!_2+~2(%j*naZ z@X>a4%CbLFK$?M~*L{uKqq4%1$*=h{C&(`lCqH)*7(Ns%1)akwKN?Fdp&cCsSk+cZ zPE*_>qej(@1vDz~zV=Xs=~X82anlf;S7NHZ922HTL6hczuK@(Zx1DH5vMJ&eLWvpF zYl!Tj5R=Dj1W!Ueorpa<0mbM&7?XSo3B=AM?TFw{>`U-|S4QoGda4-ObCh642vf#u zxzJ39TE|#DNXfT5W!f>19wrY}W<4!Omb_=2kJ$xT;cUY9B#$ImJ%*riy>cvk6g__Y zuif^c#{e5(_u-1^a)Wa8i4G<*K z$zvvzc|KcQs>U_&6pf5)BcH?Nlfu=p-vpr9a32V@!t00FNXtj)jkqCbirMlg_YWUF z-XiiV3ImdA2T;2;u4DKK=qbDpJMCe8CYbuwg&f;i3w%_EpD9Bm#K$ufn2 zE!|REraTV&qF*GzR`RqW@u&eaqhklH=@l(x-#r3B7ZsmwOccv~y1)rlM**o!cTn2X z0wh;Vt3WOhouuhEY(sW&iQ{m(=@rRF)&mCJU?d;uF|)a7(rASTa>Z@)(~rW2M+k)2FRQpbRR@l0Es;{AytP57bj2l zwq=)_bAb5c0B8YQq!EUSa@IwCC?5~pRl3da6`{xkf{(Bp!?Cziwp&)PZ$EtbhM)m# z2&re+zkH2iuQz$9LCZcKY*}gDGLPl5Z$kOvQm|)+QRXU+(EfA%Gi+fsu zLt8b|t?GKi_}5yZ`w6ZjYCl@pZQ&lGX0v(Ro6E z4)~ZCshHFysN(yt-?ks`{^$1n-A9B9-hJ8{vqrOpZ?_M-J2_ga9V+xE9}y>x6p5pw zeqF0XZ29^m4NKfB@b1geqU!8zW$ht&o z=F}H=LSI#*ihl{wAb08`W}>W&K4A3N;j$=19Kx8W`Xc81Ki>Z)%g6o2wAa_ukdSsY z8&0rX%ngF-S*n~eig>uF36D&HI{efWG!9^PtjRq(_QbQz$3>1z0*tX)z{^Ku4D712 zNeAxfnkHLAChA4asHmGS&d@{Q>@P-R!Q7Lqo**uUK$n)qwnBE-mSNz?Qeye6YHmrD zk7Xxsii)|r-Tk*u58J8bRILrSe) zgoQq$SU5yb`}EPKFMKhj9le%k9*6~FZ-R$jY_ObH0A2hp8mbAnLFUb8s9fouZpk1e z!^wKw_?A2zn&94y z9KgQ}SYIAQypMW}Ll%J_|8&e2O+4-9W{++>COtwO7y;bxs5|Y_?b8L;nTigf(^Xvw zLO5!jLv4Obj)AYkzr-e%*f(IEXaKjnDzbHR*N6+-`nb?~#nv&(n88@4ZWXv&k|wOY z0mUSj7l29C=Bxf!BYz5;@H4<7D~rnjz5tA-g{Y<5<)<^BBF|}O%Vqck(J3Tf^EpB% zNTu~9`t?~2hNT*sXWc#Q@}W>#(5?UeSh(?lGA>$CP zl-FZA;OcU=Sg$Wv;hXi~w8!OJxmDVcO!LDn=}!d~okN~E1dWVE!_bx&zu*6H9~5R} zgNnlJ5?|nDVi_p%pk3AKA4st@RjsJ68isgC-cZLg_86%U+=%@jt~RGO~cJ_{srTmigXJ;tL8ElfD*&N?mG-&dqAjpyLfB*}Y zHqqHCqsrvsP337M%w|U=b+Vo#v0X0H(O zr`BuUval(riRWf|L2yz-C&y#;qjJB|4=3jnIEHV4gMN(Svg25^SPoQlz19>yIz%j1 z2_;nL6JQT$g^2#e;t(u{(vRmyP;^g1)XcPClxM!JCM3K?*P%yKVz?IgdSQ@~R}Gyd z7#8h8r8Jl|OxfJ)@=aoJ=JikXiRv4Ov`i}Pp}4Zb7e1P7o7 zK}!Upk;m(gOh@60A~y|bAf=ANBC)>2AG=o_-rA7L7fwXBFPX953^M=7fZAOQE;oZ# z`es1miYc63PEJ}JE_l)?lK5=vlIQVhejaSsTa#st`80#!7+6V%Q2}PiqfMFaBWiF^ z%~Zp}$LxzH!j@SU4|O@=sf1#3uX&Kk{DyE+Qq;Bqo#i}{dgf!!iO~$qfRI20X_U53 zly~BGr{F@P@ zH)ALAOdY{x|Gdx%atco`0^^F8D*P%L);cy=YaG8lqjQs$xC{nYCMrf!WY`Fdd?qRX|f^)T5XK0%X> z7CM`7-9FYX#a27rST^JbXeduZQdekoVMtSiF!g3qkx|*reA@aP#m4AYUOHf?=lsne_?!bYz|po5?C|Xh-P^ zH8Ti6bH*Z|rzC;!?_{oZihMa{TQdoLHUr#}i@NrJexI;BhJ>#dUjH?giX}0C6TV}V zE8%&!zqwy>126MrtsGtJ{bJA!1M&WE4yun~I8OoZ@#P@Q6NFA5=n5 zgtxfJujgY9(65g3goB1dIIl^y(C)h!^ndPtHz}2pl2A z0#kO6E@?dD*0OIFZf11;Er4}mC{)=cL@obk%Cakztky993O0?Ma7KT*xp}0%oD-gG zhJz`OXGO?etQigpM<%DM8H!ZUCkW4NQbpAFCUyy`&5`pUMhS`(2S{X!s+uIth~e6y zqckczN_skGMA66z8|8)iDH`Pn=rx{ztGXj`ppqJg{`V23MsdVulI70*kCDxA{%lZB zcp?_)KLeXYa){9HK~V4c#oJ4TFhX?5KT<8$>+L-{?5wkMk}R`3w)|L4hr_k|Wzn`- zO_iaZW+KsLV45)yFaXLKXpr7IL^Xgt4(`5izR2JiA&WYISWTsjZ z!4v6h48-Hht;u)JJ^Kjw4EGsvY3omJ0&1~Rrdp+Goe)Ls&&q;CeYMjyF?d>&rDK8& z%5&Z?R@WYEE-x#!`xoOjPJ;+H$ftBH%NqnO!DGNoe1OG)Z$lK+f^7x5woRD}nj& zLD=W|+1e!eH1q-YIpC*b=oS825OfmFP$Td_iO1^PP~V35k`jBYNuYN*ZWQ27JZa!}1CcI3qywNfOrxkhF~@PmN~d38Dvsuq7_?6*<5&Y6QT23WP6=Fgr-XBE?JY&vnxNXZhbnq~WfI?44@MBZ6yb@o z$J3FG>G4Qo6rmJ#E8vTrL+P?p6Qit%pOvK-9SIr0^z|334{koAdD>OsFfIL3>S{4r@%Om zz$e-Qd$vR^vYN*ujR=SEhxe|oRDCho4BVK#<*lcIp9kpWc*ovesZsF7E!a>F$-=~U zY(_0GJeMj+h<)lUn~{{_s^kTlwdCzG6GF9Zv+rsOf^f`E=?_l}Cip9KPLp?uYJU##juFXjGLz$;6Q@q1)!0@uZjk}m zRx|ca*%{lyWoKLJ1Tu!71FKRMY4(rM1Hu|PqT_J_afqTv7sDcdp_@t5cHOrWgolp} z>72YwWz+<_SgA?!I*LcUF3t^592#bUld9-kL&xCoAgcMm870)C;YrgB73qj_CyU7y zqxux_cnw0ib?Kj@pm^$dVGh(*@UFIuWxGvARi`aiDoA^i2gQrI`Q#4IDp=DdyYl!`&au zN&9?vd;0~r4QSOOV?KBL%eUM24}jA{*!mhhPFAnc;tFg? z9s&)TL-jP;+n!~WUQEFt+5;=SRH<_DosRgrrH}99vTi9G=C(}eT-{(xvP8N^X6ex( z3$uiPlL^xL$PKjnDZiNuR`JR1qu&Y$CSfPkBN1^ujj#~4!3s04PU8!zvs~XS<5aXl zom5c>VajjtTea|`Z3)~#3PFVy5A)h8wWjD2`6JTF6u|IZje@bJ>QH@Fx>bIAq@d^7 zi0ZXT3_^dYEmicK6Z_YcQ}j{6lhlh%2oE&Pec6Atf40(pv0qfhMbqBvN?+hOqzE$@ zG`zy&g3jr0q0_Cl8zQEel96)>mzy1<`gG3Wv|+|_{a1oaMJ$QzveSS2GqR-S5)HYP z66Y95t5q{5%U-K);WaSvuuP~Ekn#Wp4o68xbAaBEhJP}-G4K;&AVscAj;*=XA$Q%m zZZf3r8XU)M-=jH?TLXmyxg0kKcPBCel4IyIU{dF}H##)e6oju7^oZgD7cStDMMqOZ z7|RIDEQ8pA4{QA|gnWW*xs#SbDa0fID6ib7=mG1R;WX8GCq1pKKJKHaxbu*3_-XvjzZm|er(Yq% z491{njB>til_?lLm1yd3qF zsotT+!{DzzNul&C1Ea-jZI;|(OJ*%-z+g@N?}xuAv80a#zwmY{&IqNK!q=}caH-+m zq_rFwQ{+*!DS8yM5VbZy!Gq9c4CSekJg{J1mO^W+CUf+S*dj4e&3)mza@JY2S~v{y z!HTS_-9sLcvW{i0I1^`6;1o*Ov4_S_yZF5lE=JZ!Q20EbBn-s2m1-$EXCWj!7-2p%0mBaUzrXwW{Rg#3E4VZo5v>gP$qjlO z@@5UsMi1R`vznj6`ObbYNw_e!QwA^iQ%IX6`xI#tyPgJaS-EIjUIHU38I?G-SRuDm z(h;RxuYE$(rQKbqfWk?{;h4>?02RDkpPs?-O777tUyiR%-lVTY-ZLz7M-pZwFmSA z4EW0ZfUqzeInaLd6wdy^XwoQ*NC%klcSAguY0E(m& z8l#EN3kL}iSZuo}??sr!B06Q^FBpS)(JG%5ayIveuJ7?Ncou0v0l_505BeGT{qK+91)QgzYOO4u%EawD=kLd#Rn7jDIWw{j;0&N zM=M~>s583?Q*-qt$gQ2zbTN|*TrlY*Tiy1M>>E1~1Y3MxtMQd|qV-#}IS8_lj7S65 za=ju3%NcwY4f2F9TD(mNkfEGpL}^b6p@1C*??;(+=aBDgeS&!BB-3KpcytKC6ehF+ zq*@J*q!k;WM9^4|w-UQ|%jT9Kx(ymqPtL+>bc|P4rE8|H4mZ-ypv4Kt6f(-&6pA5i z4sDj`RcS&bq;#t^!>1#Cm=mcnTH6xDF!>L6p6@6M;hB&r4yo*#?is{AiszwN>n{Pf z2Nwfw4}K?Z4?m4PmvfGs$K;;LRlTctNZ_#~zFc&ufhV9a_ucKUJ9KDx_~YyD1<*I| z9$xHjKLE#IyY=o2I@XiRFQH`=*X2W?&h0O^yveOcC1>h-}pFnI*o&D9GRu zOjjv6fs!z)V7OGz$xnhGEYF`#eNADCyg_NRh2UqG&1MzqWW@}OqW1-xV2HY1G=hO- z*Eccp_SQrm7M}LYrVFk{(d8FAY`5AvJJ0!Z%{}l5cBRrGn8x9`L zql^AnKayZ!e>2>h;wB8XC#SDZjOUJUtnn}s4M6j#O1d_D{I%F7E_;xGgyFiPw7z8P zP_?Mk;fXdx4+gZh{jDcXgQKI~kvjg;7r2-&FLC0idP!Ctlt4)Df7xsI-k}FsEIg#_ za<8GK3H*ZmgRc`Sfi{UXVJ)3tQDq+NTmPRWj=i2K_9f>_dBTCVz+?KiEGZE|@swGo z88bA~ogMTK%JsCZ9rX8~+*{1y8>*vt_x=5+Zvp~P^%aL<^&xloii4@r><4!-*r)l2 zvWta@l8(t6YVgSEB5-=W?4hP2rj&q%C%iU@E=ZinYbydPA19j-0yqa$*eBo|f7

    fC3!M;p zGING!JRG$%h?-rl&`kL)?~M&ImWIwa6^=ISs5%~oiq- zgFMqtmz_g?!|DXNYi{AePZMe`dK_q@=n2)E>}XQd-W^ zli)d&tLQZp_y`h*333}9Gt6Jqx2#?D7c9r*-Te53z}f*3bX^~@J!eoQIk1&cef~tc zID1(nP^!sr;-V1w>H5uMQ}jC|OgjY11Z?V5seyS)BL_dQ${ho~5|v?Dj4nOhv%xGJ z)HO}+kr~eL(Bt^Fb4qxo%^EJ}%S{qr?Ih~GBdZQ`vz&JqPa#4U(QmQlO7N~f z);I|!uR@=8_#?y}aWGmwIcYd}`bL=Zr*5=VT(?Ia>0mT+z!qfu7eIrP4WAlQo_uJ` zdHR81m5-KBeH$jh87JX4_gZ@qYN?6A^?^;PXkue_K_vBxYm)!CJQ9)*S1Tet`;;6d ziuC!9TPun=(5}l`u&L*sUSn&unw)+f(T-VqLW@8F5HT*h+7ZUEsmxK1#Fk&HW9p)h zk>$aNbN}r|vTZ+1DOxKy0-KWRw zn`Dp5A^iZ!E<~)BtH~OivWyR+GxZoWmbtLOoY7-?*xw<{9CCut)G`<@&dsOs_5;eKnTrxi+*}vA9ZeS)Ibw#LJhy)E5 zsMzHey_jABtJ$_V=J1ckeH!NC%rFI+fLfXajS_&XHR{a5n-lY%*bAb@b3htT+PluMM z5WBd^F;0W4iq&DK>CQ<)+9k!SpP+qC68`~Aj`pYIX58{DE|?W>#B$5A)NVv~2)%@b z${su`Pdh}KAq)g^8qI)weXT(U7L!2LWT|4FM`&pOW?i+$;u%-2(Van&jm!H}CC%!GFF+D>nF}@czlV}ttbjc~P~t8& zYRPCO;sLTZ0kkA-d&_#95Y}l8IJs9or#lmE|1l7saSF9!pmy2sDpQo?ujlHVF#c*n@XFr8cR)Op~Zy#vd_IeR1YgQ>I_@q=^Kh3afb2!pcqeC9?wzdyaKPvxwx zmY3vyS>6=AFW}f*Xi6F?mz#jtFd3u?rS5wfzyn^aTtKwsb#nnv&n6ddOvS2R_9N9A zv~4)tu1_XxA_^B1C|_4?5Q1cqdpGP27!LdN@z>k!x384nFK)#vhToneT(P%@KVSBm z=g;8;`~Tu!R#bRkxdatW1lYa-JOW`AfD}7EgN0q_Mlnj(G@79BYTjrmEwYYx~!;WpWoXhw2=?MLbn#FsF>YrB~pQKkbl;`S4|nvY_bB>SLW29>LRIF*ey20Vr=1jsni=9Xb+8cSp<_Uf-2}6HiPHqk<}s= zfT-{zX^HErDO(eRH^?GtG>eE&ZQe_5VwFVevg^7$6hmz!NN*+3|31benkcr_JBBk+ zo^GHitP(&(Em^E23%?;MYf#`QZ37oW57D=K-|#CPw2oblKcD|?h|(iIITt_-Dmuv4 zn@enT^>TVhvd_pNsjoUDV#k2rtv1X+bflpq{BB}u+}gxIGvakkC^g&ae3ouTB4NnM zkg{UE7(fV72OJE#%%s8KX*&sXil`W#L?XKz$vjd-8y4YST_A=0^#wAbENN`Dn9TRs zBUbiL;tAf2V3W>)`nsp1>{G(OSh7)u}V$Pxvc*iY^jQM4}J?cgcfdvZPoz z=xmil!al9!z$|?3_G-CWL(9Qwvnoxm;|10yehs#d9D;nTBExI5NRnx6LGer03j`g# zk2eU<&ZeSyyF>|3zh$zv#k4ny!+Z5ka59D#J-Wpn?^3)o+7S4Z(bc99UdGF z;e{O^42I4A;qG6D{V_oAX`+PXu#9S15QU;`a|d|3>TE;df%w2xt|CwEnxg0L+c9cO z4?#R~j(u~%L`?3|z&p%u5pa0S-PBm8sYg7H!@-~MCO$a@&Lhl0USjp7EsIJOddc}e zN9(#Ln!aO(HGTKx^73Ft;$$6wnQ01nDBDU|O*zKTC=v1$uNFT_9z&or^OI9I%EskW zLe!yhb+wXGKycF#53EnHucQl01lW+NgUG9$POji9Yxy{uk@z^22Qn37vH?m{<5 zgPiIZugI#dnOq*_W;j;_m$x4wrsj4c8D2g`RuJ_@Xt?$ZGpROGEuNrI;j$tJ+>zj)e-+8Jz;JlKXSYFnJe~=E(Oq7^FUCu(o2Enl{!SEbW;{Met|Sv%zCPSBvn5xuIWOv&!IKLZ z;KgOwXZL>O!REA}HJe6v$rqvhR6BbdIS6ZXkhhfaXmf z`3_wrs2l~XvP5k$xfPeU8=wvMlT#SF#{->jSF;He4X`k4*=t^osREkA<2+p>sooUC zfK+kVpGjRjmmr-Q%f^zaQ_o{HubXIyhz4565ltVq z1j&%dsTLh;_45Cn;XA$lOnuW`uj@5ls&vF6uSjxyNfXOMsvBk;%Aru(Fm}+Zasd?C zJkA9q<`fAN12M$i0e$-0JSny0%n z6x!gJ|CvD&;kw}6# zWT-TlpPZbZvt`>OgHG|4DYD62y)<)N{s3XMJ=X%~)VmZ6NT^Xi= z0Z-!q;8yOlSiz^3W@WU-bje+*k7#4GV<7T@Q+k0Q8Qc?3PVr@`Oq6BP>r(B{f5HM4 z*DFg?&d^116CHpw+rPNun{g$4CpH^bGQ z^ay=Wg>9C;Ly;N;xV=*d?&!!6$45hs8sijbRSGwWo`b+=Vk!&N2?mhbc@g$pR=eIF zmnRaD<2CB)V3|pYy0_6$oGZ9s=zGy2->J%H<(}?GGdRTVg7$oZk`n1ygn6d%v6EKU zh(AtcTpuT@3G|djg#f5Tw76r^fc%DXGsSBH|8bMc8v!CoJVlivHDvMFkp4mW-vW7n zaN#F_S1aZdkiqdA9uaiqOQx>JC3y=6fZZv)XxfOnxetw~Vb{(0T*&LE{0d4AYDH3y zN>^Y5PV2x4NppL`4xeiQko@t2>BZ``;C|piaiUTC$K0aRYp9c4y4r|uM{Qj81N&sY zc_S)K)u~?go0WrdBQ`DsT|Cm(a0D1WM$F){hKsmNftAl6jMh(0fj|0BOnLG)Pxnt8 zVG3?TKb9^nT?P!?Ig3$Et{j!ccp{1en+CO`l_<{Sh)Rliw>7Iqi4XbxmiSusdsO>gJ`K5Qk6$<>%BmT47-hptxWefx)Acnf>S z3UAB3ta-Q1v$`L&E~NfL>r%1i8YqLCg-lF`I>ll(iJNJb1oBnZ8zMy76Hc}?3Rnhz zalHC5;l}CZvQDAin9m&vlx#t(;>DAF@}EVW*R~<#B7I~d^=r(WM=|JmBU$J)kJmE-s_1DoIF%M z$Azhi8y7UH+%Yc^3LrQ+|Fc6LwHMb@;}m(xROaaBnpt}|J;vJ|(YQrg)C!1#+vR)- zNjX0i$UwBXr~}M|uU58J&@+mRG*}A63>1%2eh?e3Dx27aqTd*)Nx+7uO+z}M!;pL--JRh-B7nxiL2m=#=FXz@Vr zmwi)_Srt~HnWelT@%Bs9L)}*U>J#Lda8C~p6aOb91;|h>u|yf~Y9so20-I)p0%d6m`lg><6OU?#ii->Boe~)^8bKl`qs^RjJk5n2u0n5IhM# zGQ&L&SN=0-^Y>6b`q*rew>!0PqQS!v+WT`QtY(+^F=t|H!ZItP_V&<&n}ad@4seQO z;eO(wAOa=)!bu-nOT~G7&>MpQq_%X>4-)zSCY+;c3{-Fv_)`>qd&42TD& zHBcOwa-6Z6GP#Iq50b*}0kie~q37vD%pLmvToZ@Dh(anI)`~XA=JNIHGetbD56Ro( zX)2!;eq?nJ&Gs)iEAyFJF%eZUl=)7O>33do z2!P}F0J1f!c`)FdLp(eZqR)hr*J8`)KfXs+R3CGa@0h^_6|>$y=QGW;Hw1RN2>P(Bf~1`y zejFy9&^Rt$44qsh$j_=Wa$BIlFv~#Sq(+Q2_C-@{0jQ zAW1S@?8~9>#G_RJQ-0PnXqO+WD zQ*{v?AgH9mZ`dI6n;7c*G}O;ST7~e3JiU6>Fg~J-*9tw?*DiH!btQT=0AY9v$Odx? z)lc{sI!x4nlT|s4Gn7;`6j0Be@WAAOPVN)C}6GKN_=^>q@Kf zNrcm9u3Rxko|xe5xO19N1jPWJDhX|SlW&pxq*~3(gSX=-bzku<;Jym5(|PQjBl;TT z&U9H&h1fSmV)m00u7h=T%#ufxgGDGcsDJvyGhz8D4>H0r&(-ExD=+JVkRLW9G)fpb zju(JsQUly$?x5o2AcZYETb>tI$s@D$E1f1|-ZDYydFFbgTV9KsW=W`@JSsxv02s8R<`?s(TR+dPHdzytLj5jGDTIx;mgNXce*S z1jWBin$h(_;`H?C!f?STx3QwqdYz}baEKvb7O@IA>Sm-Ape+7MYyo5pe*5~c-QB+X z`P1%$o!XF1uIZa{JbQ!vEMwmu^pGoK{5txmaCDg1;|E<>2F^Kwmw4*h14?@&hlDyw z)JoytAULrVxDYWx!bkKK*Gu*#*8GP-0QyPr`Qe{&wJp8sT(CVRyhRNYbP1Nfl}7%Tb%ng@Fo6LXGy(rTWc4VTC*)sXI3}zh`ZRthOHL<{)vo(6=JOa?-~R z8ViPk%5S#!M`sdn<-x2!hi1=Z_gO3=7PbP9Ih2WID>zOHqI2gmQ1<*~SP{zGsBd>D3oy?#$ zGzg5vNCpr5ln34nF^fA^Cde1@;nRRnpwV)mLZ7WEN}J48oS}{Jdh!2O!>w+_+T=Lm!9|j zTRN*fiF4p1K{|W?=rKbTqmrvGm>TXnYU5yrk%)!a=~Nm*DdymK)EjG8xFVM2Fgj$j zB$NVz3-CJ@)?-47P7u0OM-Ke=EOCQ69uvd5COKKwr^-g>)D1AH#gc6~b8kw=B)LIQ zb`7)Vdah}M7TEFrhGitB}*SbQvXN$`#HoGvylDAcXYQDB9)_=?V1@;>TZ zb?o}r1fFURZcKlny-~W02ZG*V6X?8+yxvP(&C01n8DN6v-MEp;iPnNqs!}b`KHV~+ zM++@60ObL+H2ooli%I2U^wT~`KA%Ws8PXfjC?!#F6+uj7iTDA*(lOwKR2h~s)f_kL(y+H`vSgQqXNL9SKu3$b(gpW}_VOoc&>81cu)tB`T^Yl2u3+4HR(wSvp z_D0dLQ{r(lVT)b|W7DefRHsP)KoZatj;7d7IQwcZZ8>|$(^AUS_=dY*!eKzK6s|MFQd9XC zY6IH#AZJeYN0G1W-u=|A)byEXC?_m!Fh_`~n-oTgcQ^Zl4bV<6t`|56>dhhZOpO{; zc_f^y7|~-0g&(Ra)u|S&ePEJs1O4#p?tzh2G!r6LAi9V?@9|Rn`~Km>$6H}G%!wRT z3+P831@xm>KyQf`A?by5ki3Jd*V(wfiPI(8lk)V9B<$;gGQE~lV>&&=y`j=1sN)S% zGu)$#6awKK*TQ-{7obr$a%1dkIc*MtJ2(c;QLHM#RAeN%S&(`jw(sumcXtnu_i5ER z*2-1z_feObwrFju6QHcZtrj#5ExgXmFEL!mdQu=4XAagQg~O7<5l(2=go>c*oT{gE zg*J|ml42gke8o^+3;%y)=v1IXIUyc=eZKk$Ae;CN%t$E15>!K44Wl~04B?i zcvz5d#-W)7I$UaUR-uBj=9ubxg3J2D`j7jE-RIetyWNaO$UG_Viy%7_JCv1Y(u*=W zq+&x|h$;+g8H*q)vMz@xYP3lrH&Hu_wL|kdb1pV%B6i>l z`)ac^sE2HtqeO*OcZJH`u*sNL*3BpZqXg|>S89@N@z?;&_l?3!~UPhJ3{V8qLPSeK_{{F2uY~AbB@wL z>O~-+(q#S@fEpYln9w!i6i^$N7nein;*}ZTtoN){f@WiW+v=y~zVe0Mzm9#M1G{dG zRCslJ4sWWlB_~K)Ko?3n18pY_YVKsdYH2TC>x(5@r1r;%OeSXr zW*K=<(I%B^lce}qmad?V*s(7P|4gb+n&Nsc)XQPWK~r%p4=sb_8OSOcRt9?>gtg$~ zCD$tpQO?j+2qYy%Yw`~UkQQVN*7H$D$gw)^?YA5B4Y$)v#I@ChAh9+GRcdk8ezlO` zk`GU>r~_Go`(TQ2XBbAMQ+>8P0kC8Ua#qKcPTB%N?pb%u^$T@)a&jQcHWA1=3Bfm1G--B%v@^0@SZ9#J3M=i$4(>c=qX8 z?*5GrBt8s05FP|vwK;NKAC|PEkWc#oPg^wRVQ+}u09;YglBkmeJ;8#x)Jn#a%|wmEPqcGIJKNO^@pYwrYQo_(gqEXY?2QIq(jYd@h7}E(_=WBcCLpo6GALf6{9C%F=yybge6ZiO z*n`p2%Z)jz4GKYWn=Rln14bB#h|5|XN;!8qL8yeQbPSgU!dghE0PJ^iv|D5PQg-5F zrM;UiZiJcbMm7kmQ$OTVLfu5tswpc%p(-#Ai5fATk@lj0HofXE)*h4BQvNnUwTFAY z7_FzDN>)t&4Ju7>y+V%V41P&s8hT==>S}|`VU@$Qrrs(UseD;`j(#0?*^~ecj3s;3 z8q;NW^7M3U1Yy>w5f!gBdS8QEtWVbvZtw|Q5%Bf(9vqC%{{7Rl9)7_%vk8w8C%IZ7 zdG8XHh%;RL`i_y6Udzp**O3FpxlNq_QvVIVl;G$(8bz@GYvk7)BM?+K{d2fyHs6 z5-V&<=tv?K^68@9{+RXl(rQ=T$(~jfuKNOsS(lB<@?@SKoJ>8N1Tfnoefsu`dyWUv z9EpLcczgy3D5>TGr>vDotZtyzaWiP6YK5O0mc>;iMokf$QI@7jqpsFS&V{F1Yk(fP z*0vC8`Sg#{c-Ijwc8rbSO|=v~y!C4m+vIISg6?PShiLGwqeJa=HQJiPXGH93WO50 z5EJTT`oQMP2#XHJ|DUmUVQ%9{vqb$<5W8_Fs4?BQssNDS?cKfsfS^c=F9V=N_rwN* zmMB}(dUz$Ot)AKW?|aVo$X8{N-7_Ys%B-ra%BqHfM+ohGKGb6qus2&N2lt zP_V!z>+>qhaNap^gDgp#nEJ`lh$mfcIWTbMoX6pLKZ_4 zFZ(*k1F}I`0&I<2*t~AGCp%C*Hhp8g<()*$KzKmIOjw&1^Nj?ntiT-k-wnFK2vbiD z>!jmc9?AniFQCqmTA7?7T5ZIl(h+e#7$#JuGS^vU#DGz{8ES`)xn*H=ZHnHazwD5c zczT?a)=iK*n^2*5gHyS4>hQ?wb1z=BQ1)aK4l|J+pGF}DMKM|SH|s8y4f#=}0=-R; z!}3jZ>HHQw3QQ^ttU!%Li2AN%4 z%2AMOQMlrzCrY_gE7ufk#SDb9AZ8F^fsmLtDdj@c?4z%0AqBD(jzDVVtCmt1aQESt zFP|Si{qY(hRu6X%_qm0fT8PGT?GQ1AJySqQ{nQF3X?Itgt4h?vb`orOF-gBL1>U5r zsKyVPw;LLkTgcGIM3dXPR&!!cHh>iiOY5M7XGSpC8bv}2dl4f}ULOmnYZKr^wlb2jACcRcMTX)WCecSdur; zTjmP1*z4ItQD)8X=WaFY^pg~QF7oq-wy;tVO$B&8Y4WClxnGme6b$9~MS zi#f7pV`^|RPtQ*`@KF;nB-nok%P~sTNLG*l4Y`9E+8c`dkc=3*M0*nMQ_~>QDDhE_ zu`ltD@C7k;x0#JuZ6p13OPx*3$PGHSQG{%Orh*M+kfZUM>X@NzdiW+fvB6*iW3!aa=s!ou7HszUvz4FS=)ass zNz%9n)wtr0l=}6GuF<}6QG#2eVKH-EO{(ARj#2>o{50dG|LEWXqul$ep3fXT! zYS`}y_nSoAEz6hdRZ82_%SQYlO9`>=%lZKGa$~?iF6?Yvcjy``WW;W_P*>tD!Rfb_ zCUT#5bZ<|+-WPPu%Xpbt$f)!jhkNY_58l#ss1 zCmjtn$~u}p`J|(1W{41@4Wcp&+YEZ*(Z&EORtyMqB~--anv4F9nDUs;&@EA=vn)Oa z2oe!0ji)kj_sSl z0z4sx)_9c1VlYNvZpHNqJ1b}KOCWY>Ei^D$AlwN5f?W$z4J^qheTY2oWA%<*Y+7jS zqLWc+f-wnA#S(uk8O6sBckdBq%#xF=obgBrY-FL%o~Uo#K3%)Iowl8{qcLOIqMgti z&64GbNSj>CIb=HBB5hIeE}kdiJDkG>nY6F~%;>%~R!|x=khP&BU0Q?U5LaVkk>YW} zcKFB?zLHI*5e1_(SscS$2WWRm4fVCuyfMiMq#0Y#>zbk;V`14G55`+b8ieNwDeLSs z5UqPGUMECe>*h40@nK=L0=H*r%JVDqb9U~cDI#*DK95u)pCi}X&BcI2I z)F#Q@^jEQVyUUvTul_0q=Gim)4mJ$H{w+d{%W<2NT2T8TT8KlaF<#yFDuR($x3L;n z#;e=Vt6mSQnRqcyN%X;1s|j5B%GC4=->e{EMfWlSx!E6zdN}W)OufAY3a@s5U9GS3 z$h>60>1^db*&y^To9|9g{uDL`6j-Bt)^(_+2o)ar(9)L{2I1YP`xDw2H;3cdbcSE7 zZhQX&+tCJ_8trP_*@#FQo1c2Fp!0~(WI9aG0Y$kBXFc~uI z|1(GJ3%lyy+q*-)HV4?n)zucRu~3@KGU5j&$pcU-ae0j#5Gj-}`f&f@_3!_1*}&D& zxqbvULlxtM&?gE3>bMLPEXdU-bt|1Bc7F$gt;kEd;q*#f8xpL$gP);#*>|NjDBneF zz?Q*Bw2<1o6#(oe?Fs;BCnZh4!vQv0n@9gGF6kb%!-{V&R?p|V1r|Bf2l(5E`(N)4 zCX2B%3|QI<4mID(Brp}uQjg^|4P)Y*np=3ab~bLlX~r513*I>}wo5_(=qS`(i1wH= zIitTp_L6pD#aHnZ5<{&@;-bt3mQPE&9U1}I4}hU9Iz8;+L=`PLg&rM9f{{8&l1!{_ zjL9OfwXJAXE{Z6<4SBLkiq%doX{n*;Sr7A=sa-+Hn4p~x3IGw`q8R?tD=n3mhb12i zNacsb^e5kkoiuq_zEDpN)8Hf)3}N;MLK|cr>j}T?Qey*-QhY}~Ec-!oE`+MxsTETdWrXT>EfOo?Q8uhAHQ)-s zq%xuj2@8q`NTr}`hTTA_-RkxllzQ)n@u7KM5GUrg9OMa4P!X%oklp6wkm=x~!KH3T zMTPxa=F%Xb;BvP;Wl{}lV_|oiZ$uRQ@0iAD07kkOiNKm&uL`XB3~nMUF0fx5L;85d zjFlpxsHX9zHZL8Q!w_CCh>EBzmJM~ds*pMKJ0hQz?xe;SQDH4LJNOQ*Z#5{@Lk0Yy z_>iOn7VTJR4w9m(L|R9Wyf+h81CqWCaE9^{@=!o_ZKX5Gxub|4dkjI^@a_a-qdUid z6T?^l+BKN3tYPvU`)%)j|N8KH@0)bD_~8fXZ?XGh^gl)o%6y=W>mR>-eY^X-r*@(J z(H>HDS>bzsgi0Z(46=frib5a%s`vdqLah)E+CRVlet*>96uJF_JaE7sMjlAias$pB zjg1l0ULbcdnqiHo;o(dk&w)|I;v9Vh_tc)Go=|8cVV$&f(Arb@y1y-;m zY*7XGKM|{Qyb#nl#5R0G;UM&cZcbntO7{YUOfC0#-T8SynBgfE+<9cOqETttYIc{P zGiaIya*!5Q*6U8$`lEtOE*^!gC3LH|MX9KREQ~^(LVMNm5x%wN@}QQGr{33Q+1K@A zPte%I)eN;N1rJ^bPx0O#>Jr`}ueUg8(a$J8$Z=1{a6Ak|NjBk2Qf?Q5D4WWO4NTPU zam%-bLu6@KP?Qa;XINTt@ZmJvoC{#X3~fkSRx`@{M!F@(m$8d#!qRG+BEqK<#3=G6 z*1ncLHy8~>M?qpK^z&d5DMl3AT*p0`Uz{Oi8oMw;rp-#?fqTKjNzkxH3?pFJJQsNl z+nr>uBkK6w1CniDfBpRB-QVx`m>SJY!_W5*cb`#VpgQSaWEM8>HUD@Ao8|7!{o8je z=pw|-Pbj)xO7aZoX(tUCNn+r#sGJbhLg+&1_PKRPv{RDO-$FO+$Mp{f6HHn=7fP(Y ziL426kFq8dfFO~2pmu+jqxisF!E;jynCS$0uyo`h(}@Ou4Go(U=p-~KIqGsq&l*<+ zE*wk?U~*~*lm1o3sBaumrC6`Ps*EBaKoF?WtIma*JWV{7J3PP%q__yXvey*$Tg_1} zT2?)TxR{~e$)}nRhFLhM$(Mu$z-g=3{2a>JdH`VBJi68;BPcN|>61XSiNjzqs&6Oh zE;77uhFbfyGGsU9bQDqyFgLH4s2kXgyq#clX0|GgXCarQ9LP<0 za~dTTU?H<2D_VqHv#v;Mc4XyA=%ZELZPJUyv(mAlBJqK&55!sZdDOr}CF_Q3PBP)) z6TCD=mAh=pNdgZgKRy&LZtQ?~lyEuGG+xrERUV*^=mF9!Bps0C2VMgqJA`_0>h|^~ zJf%ON4{?bgem>gJ#d7MEsLKp~i&nc&v9*YFJHE?NYmBCnX%kLV;}`2UBy*PlP_tKBahnLX(y z=_V*o06d}6w#_>mSOA4l!pc&bnbb)l&F}!;mkUSl;;J5y(+bdX+6^UfC!y4}VZD)0 zV{^B>B6>&)b;rrjH15AJOyvaO54V25&o^MJwU$Sx9vhO2E4Hke7Paf1X7JVo9hhsda% zylfxzv!M}Rd2Ku#y>6cu+H)=)pdc}DPek!%deY`}&hTZTMk4~0--ggAL0lM_=NIEk z0R4~`3xo5=!VWRMcw&4>^CG`3i`Ijlw%#VZ90twv`6c>w^Ln}?*$bO6{m~)wd~p-hc}F)?ex(- zlPL#JPdR*g$_!IrWWgyOcP;%@jHH-fqB_ke%|QvW97-bbBoYf_-T(hm64^rtLE;ab zoSQO)%&Uzx{(!X%C9jz*iT{bKtXHQa2zDTMPhB9q1HueVpI%V2Ow$-p2qJzO^tX&fihe`pvHv&?{jhyhdaJZ3}3 zF?;$nW;hJPx~_3A9Zc%;V=`3>OTiqjF>#|owknmPDGESfS)Anib^*sJToc$A#tRoC zh$=vJ2^oa%EzjE8oLwA`JJPucqZEhe90ni7HjFe^E(sSTUV8|ey2$z(c*$XTY5_XQ%vh>%Yj1kD#wF={h*g< zD-m)7v2fE63uju1Zaum_3p&N#4>hq2u_VH&n{P)MRfoTSK&Ee-xDM`AwOd((WZz&A zMi1GW+gRyHVW=Dx0NP0&=UkhpNw_Guf*dXqe346J@@y*x7M2G`HqR@h81+N{SyN|= zx^o-rD5}n4!8SDt1y7Rf*7oUOB}`FZO)1yO=GECTV!vU;II(@CZ_{XKgHAL?H6e@h zK8k=E1AKV}If+(`JYE5zO!o>Gx79+|9Lm4cv>d2x1rwuNDQJ%4@y_3Y|e%_A`` zEbOkE(k)!94|Bx?-9;K@%K~-LNXmMfA|8~Lh>!?~2sevl?!}A>^_~VxNERJ6oqFjf zvT6x&^r{0W|BdexowI-4_q%tO?3PIZLTgTcw%R11le=H;zT78*aTaY=_pP!$9wfQ! za5C@vSiLI}AT@{nr48Mu64`A<&rxA@fSYuar}G3cN2zBJWN3;LTELKW6AG>_VM!W% z%VF64A)bXb8qc~qUbB>b>B6LahX11rQ~!dOrSHOwh^{U_#0x}gvY8wqD1c5+vMyeb z{@^l20$+e&DhU1bzCIw==P5knspDIq>yXflK?uFtB@J?ZF9a6jt0%@!slO)5OyMX4 z%9Q5Xj(KMlr>kCf$nM`Ipq-re;Bo&Gr;Wp=7UR@j#R1|=EmcdaBe~d%0$5PgA%UZ) zj=;jKIRHRFQbp07-IsU&anBN-%zJwGno$6m6)wOqj9--i>D*P%IZA*7`r&@z_2Q*` zOt=niOnhi?pMSBI3kmSDa>Ox52mbJ5VkY)ZVi9n)eNrz?q#7QmjD)!enjjI4*3_= zCs4U^Pg?GTJD7M}94RRYI^j^l3fUv@<{BOeV9UUOzqL0U_RwKmV2f}Ywk}95&9Wnr z@2%VdXgr2cdKj`&1&a>=IJNng`E5)&|>u$AL@ zsvP`sYsGfkPo2&-|E7gUbCEd@aPvKin#pl!VVc4Bvr@sHo=Nl>xC%#W-L&9m;^Hj z>GF{6>-A#8!ugpE2Iz3uKwSn;Lv9148U$aWWC{CiAQsnxkL5Iyl2ROD&aP~>4&H2} z7?;(>^5%Y8UaBcVJIba3S}9X$PI^9%vjmmTQIS?B%BvqYQANttYr27 zpT}pYdFYXcpjkBm>CqWaK7`5hk3ZzV3{{W3C&?741^&txcWOFzqM~7nyY&t1$H$AG z6Tlpp5dqW$c?s0Hv=CauK!lU4QAQfm;XptfNj;q?=OVoeHbRWV8eg&LF6p6#iSHb_ zl}NPdm%EOIuyOjnc&{M5yqd2!v0+JlxB_AW6BWb)KY*i!xE1P|8cjeY$U;XcCkkya zr98JS^yQ&cqsJ}c{JuWEa7zq>VM?+H+s);6G@&_+jP>e-Ry`Q))fo`b(sVf?Tc~J+ zEbo=YCn!Z^wO$@eK`f+RuQd1-!eTb_C=*pmK_aHd)q)fhR5SSJ+MJ71T^gdNn-{p0 z8aeF%B!-K@9=65BEO`LG8oMHND_GRKWe|U`hhj^z1cJ;RnGQHdb#W)VPu@guQJN+; zrsyar2P$ybo5CliGMaGD<-%)nzfvaT-#bs5=K>0 z(d?N3%+Z57X~cu-yJMEm02CC~-+uh!%ud8DaA`FfqQF+n3sba#AVI8|!4B4wJApgn zWlJ9n;m=vUhR5>*lV(^_KMS*3#DgO55S7&;x=qYQWxh=LrcdkpUxSF&&LlQ~AMPfx z_&u|%xCdofo-tcXQyhcutP36oQC&rds47^=Z*LeMs2zgRxLx#4LGW-to>#9sW|vGu|m#mGl0)ay4&dn-O_(7T>A8inq12b4L17n#N5Z{KmFozUH!oqc5PI;GnS!p0g zh6eJ4n{Oh6GdFEIMH4qGW-xD&K~BN#;+k-EF0g3Wsg7VmTVR2qnykB_ng;RM0u(r@ zG)6y>B8m|uBB|9eg5$*UGMky?Wul%ig7qwM-9iDqHjf5bk*d=!&e$ta9B0stQ#P3l z_^wrlW$OrTr7NkKV^1>lbojDdw{ldTM3lDZ1qectZ3o&~yXvdzjmdME9{1t*-RHXx z9sZMSxevc5h(uk8{QBBhz>m#@EsW~P5f&(hJGPl&_c@y+HTQGI>De=&WZCXLnxo>e*5oSl~4d2wyaP03!S>rcAU{L(~q=ip& z(ID1LIJB>pa&Tw55S6}s?cAEn@fjExboL$qa$d7@HC`i5VG z_kp1Z^t}m+h~U&gw3bq)p&0|*q!s(kgdM8Q`+uEqM;8o82EvW0U?8c0;Z?4(FnVH( z&;rnEtOr4kl6N`U)AY+Z)inF_CjPyMe=g&n$MH}2;z??~ofDj!W+tI=s6OBuRU0N7 zQ0?al^3IwDGZY^gwDw|nxdS>nQUMY}9J4m48+8XkrZlN3 zkJ8JeW|HiD*gv$8yy7H*i(nBKS)EB@ay~HZs0*d-mlc!@a}*U+DmhAp(smq#(tuCw zpr~j`%TC}-2TPUt%F41ZZ{z_U6!&Ctgd50`!P22PLc82%1GUJ}D7);N&>xhqSI)j@ zCQ+D=;Wg;0z)nzq-2LMV5;oo=(_+-CQk)G-v0`Y2_(n(vq@iwa_R#5~W8R@67?>Gr z>qQCFD|GHkjtCs-G{&J#D(jNAzPk77o!&rf0$jjFr!a8hJX55RE zo;#JM`Rx zZFYIe-dO(q^+CO{sB?1x9){YIub}gicnXyW{~(zd@(5XVLNXkjvr`VSqREfMm!j%> ze~O?#*-ru`jCNJw4fF$X&Anpi({}D0h`oI&4+bHh?8h$(?a9UaY?v<>v5QH4qE)CR zwNUn2;Yq^ag7)mCP7M>=!;=-ZKu>(AD+J)xahp$hokgxd;DRkIaTlSdORH6VQQxjqFjk?W#?XuBo^&(eB_42a|7+Hd(p~f!AFb5}d@#K;~ zOqc@exM23{$~RKT%j)|^meKWlWQV|%@jszmkJI?-ZqjS*79JMcyAAOlEG4=$01`rh z4Whilgch@P5%m~s&1_}eQ-hm3*s6wbpL>d=Q z7vbsExz;W^3|{n16UEVpmVUz&f-kS{KE8eb?r-;dZ$B`Fc>nFY&tD$?e)k!FS6Z09 z{q*_6-2>JHNknel?e<4@=P123b@~KL)j0y5BT-S%a#|j{BXO3{l|s=H?>&&tY8ozp zv@G(&EXk=Grd003=XyShyB_pq4*rm);}m%pM~rR+MWb03NQ!EqC)ZMWoeox-Cs53e zHO6n%-!{(TLxZ`CnVxF*W7Y+QD2UTwTVf-@e^~|9HpIaf6_X<^KpItjlfAFYnf8y z2CNrhHWYW@%Hg7tkwbY^Qs%u{9WhA=3f*1fUboGVDZg!=w&i1vO@+bb|LJ%@M7%n9HxkTXrJ#E{fPSf~Hlo>t-`(uzAGIGn1 z?VIJndWYj z$?G+q6RmhpUu@7EDAVT5DoAxQx&bU#x`$x#$dD~f8%$<KyfIT+|Kr_e!_O{=n0M=Q&&&(l?tTss!;)<_3d+mE&o#ekTe5LSUd zy_aV+?B}(#^v;;`yl7@Aoko6$5mT8%v>cz6}O41I3z|!6pqCV zB(*{w!Dmzwd8&emOnDqxWy<3yFH=ash6#tRPo0b8mmpK-2804Tw5`89V|9TY zT7al>IW8$5l;x<%)i$wj1}Ji0*F?g6*006XQ_rIZaqHhB&)0&ReR1C6gb)DHlk|Pa z*Il2$SRrNdx_GE(?E2B7b!?BSS@C^Ffq-~0IznYH2CRuZ1j4UL9p12@MKy8tZCefb zh1HPv1_W{|kLjR8P)zGKO8|`2QqDysvQ$VbJ}4U_=Zb)=?ep_^vMp@oK`Uz;kMU9O zdpa_G(pS?q(`Vm_9g#xMWC^hV7J(s|hU55{$EX*lth50Pfjb8IdB}U8?lS<{wwjq7 zfwq`qlf=A00nBn+cHZmB*=p7Rs^F>Vn0VTYv>2Zd#-e(1v0WLTR8l481tIfMzfgk)(}pJKXRT>N zJD5*h8erZ!-5i7S1Y)UW;N*3QG4?PqH)L%bU9O@_Z_v&foPOrEpJzVX^G>Lr9*TbY-BN>HEf|E)F5?9*&!&UY0v|O zm@e-o^yW?GiVx56^ADkV1ng;p=SkfsQPzOi7$Bwe)`@=1IZNC5;E?rM2}NLhl9OU!QG zL#&y`VASYb%dZxUCmT#5d}8%u@#&;=mA508iF7C885#z7tK3?yA=WEM#tt8+bE>uI z(Z)J3j9^W$g&zx-!>y%1p(_DTee;UOr$~t4o0ncsXV&XUDl$t$&_o_YzcAYLzwF-L z-#vW&EF~R+lU8!`*)EIpFb0^=tqK6QIOw% zm#^yNRl~HsZN?0tNjM5=H~2=NB>kZZ9jQzrgsGj_HHf6%kf!Za23)XBHIG;lu%BcB zYSho0B8ei02PkF^MW|T<_o72I=upsDCcCH5+wbu0!t#p2%GXz?>Gua}83?88=wh-< z!$3iB6VF*Oq6>GGPTj0tv1{gqA(kY8F}oFbEug0rWbiNGMNde=ElzFnicd~NxK8fm zz%1F&D1OrnGnAtAPqfvX!2MMonZlMsJ`J5DXckHnx<-WMMw-`~B5Umtg4BzSUDxJ+ z@uJwXAkue-sWA(oYaQIEdP>qvE8cMwqnyt+YbsCZo-QZRp$KI0{!rxc@MMfm&`10} z!sqO8G(-De;C-yiq$k|5@4QZLdcMwR@DQOut( z#dr#$DZ&aoXLER)KnzJp%|&=NXZ~YArQS#dkNR^IiFjR!c4lmV+E(bQ%Tt}EivMyU zL8fsIpda0lUaij{DlSmVl14v9m5dlfQmSaHHG`32l04ak4i``5n^K!ieS*@D$bzP1rH}S?vi^z5<3u4T2nb*h$WLJE z#XIt140X(+OMQT3Rz! zP%OCxWulmyPipFnD>y46r4Hc=f@y+Ml98g7hh~)F0rZqszE;%!Z~jzp^vx3;t(sTZLuCwI7F2Pp+upw1I1dkb>iOW?FJB0 z-3A#%IlUFaq}OPp)uUqv17+0o`Vbux!&NGKTbBh=t01#RXK${OYPe4zd}aFtJMY>o zJ#YQi#UpP1L;{e`Fc$foxW4DwGA0d)2Ktxx3Chz3xT58H&;p3jN9*75_T{6V3C=)? zynyyGA_^Qr;$Hd)(VwH+!zOZjKR{BleQFN_JV7b*fO^DYvfZ=NGlV8VD`Xl66wHX= zQhO($L)(btF>OYZqaGMdcBepYW4Np?kYcTJ-GVjHQqqtL$Pz3rPFON4Xrzr6Vrby; z3PQs%yEp4^@=Vp75`{pK^f36u`V%<=Y}VxrREvb1v0lA2Z3p?&z>4p_{BigD!9??= z_V&I)g*ce(9-i$Si*~=*j5sEfVHAOB8+t1Q3iPO6t_1yq?4h9+8k--qg~$oedmJun z*YZp?&lsu_E1Bg$?p0OX#IV0&yd3c~L3nYm@dx&CaLD1H zHbDS^j9!F#Y?(+b2O%I@ki>jTS+_$++rvReIg` zw||%HuJaOerpl2?t+iOOqc`M_tlb;vff?JD^J}!chWd@)k}1s1tB?6(D>LM&a&Xt{ zGZM6hNpSeP4fdq!XvL;fpT`$uS@WnwECnp7{y~#pq(Wng9pyAVBC%C0+Npa65W8nN z7HSNGX8{f(NR3I3YKPL6bC7bB+PS&}s3T7VEXUo=zSqSp*%(Lu`8Y69N}-JRHuBXQ z$Vou&MyU&>T%;Wx914FmBzURD56x(bfep@}c02Zj#mO-8EEQxe5-mwDjtT?(>?%>8 zT_VaO70(l!NIJz3p_Tv>%jDKa^;W`I&_``)D1GbY%t~ZwMA_-rhtum0xc$52c!G(^ z3;>H4yCvBC+WkOWi*i7E1^WV`T-9ZpaMvF7av&+)wMX7vBNJ0`*`+&j%M^M`+74O@ zpsA$KA51B4OO(&jqI{Mfx=7A|G+3TLfAjUA>o3V; zRRW~pJ3CM$y{Fq44w~0>BV92plQ7!$ViV)&YNP&9Lr;(|^FgdLL~TF;eLA#5Cq)=F zxplkrHdk;67uyEk+)z}JHaP8rZPNG@grEf5paNd5UNLKM2SXKAxTERl2!#VBJ0h^yK09L~$0>J~4=aI505an_C&yeeKZ$VOSLWG*}I1_tX@B z$H2tw{LFQ6#mc185W}c)`<1q1Kjq#meFn;$Bcp5Bip0?;!sjvNuj^Gb*6l#>2DCm5%6>Y%zg#S!XV%CD3hq0WP}C`>QCYP41+aZY1Yub%O76kD2RDs9a8K&st69sXwOfv=R^ zt5)mH+0`X$U$GXHCzVV}XQk3rw+a+=b?kK2H+T(aoLGgK9wtISU$JeW!OM0o;3gDb zefR?#3R^^C*+R+C7uw@4InC<09w>(b8m@0GPz=u`c@)r~w9H_c%d5*;a{yj;1nJ!) z=k0=sB-06TLlvrIb=7fQ-Rp<{*!#+aJ^c9pM^Q1h>;E5&k$u}&Q#NVVZS7ijHd@cT zj-^auQ>%iRfJ2bX$=-;Na-;^H0EQWkI zar5#pL4}q~>cC&$1HzhP#ZhwJ%y)aSIzEG;N21Lu1AY*_`;@*Yp^K(~1p>({y+Miz zdK4+Z(wDKZlHh`-v6f?yt{oQ4>Pe1Tk*#a9xK>)8%_-$N_t6YT;;`aEZKcGv%EUI{ z8&bVbp%Vg*5_S(KQlTqQo|xkWa{%-883Td>sIw67Dh@a$qx0npn5GlpE_nv4;Bbm= zs$#XqFNS>Y+q@4HD2+J=`|bK{VES~YyeS&xktI(KMw6*HJY8D{cBsgq<>kTwrcz%q zr*e>w&DH~zZ)GUM63#IBg>;^1RnA9rdk7t{RKl`=={3H>bir(uAY9=nZi?}m1me^D#mzjgmh z9jg@Ocl-g%K-hkMRXR}F^WGHQXahMK-rEsP@QHzi);rQ+*Bz8oEn*{)$gCq@j z7Jm8k_2ZlSH>2;M=Wf?mXs0fLOEQks7qBpXGo}4kC>}sJ^7-n-t-bs{=j7J90zk3e z-h_sup01U9c6^e6l0U$kL%_A8Y36WvNil|!Glz4m4_8ieV4|LBG+Jup-$0Tx+#HOy zbO_ksGcD|8b8-wO6Xd&r8Hxt!$6nkZj-CIhaUo(|ZIW!2eg`Uv0nb@bYE6Fc(IZUaPa?4l|~YC4L1)`&-Bg9AeOwnDDXe2nyVpZN8CPdw)K`wx*XbTa{FwDJ1 zIOs;Rvt#f)UC3$fzbc{#X4!1jo)WX;qV`Vf0U8;J6*(Th!=lPOQkxfoZ0)K*41lda zCO87&CJ5bhtDEJ{0}r!7x#4=dK0ZU;IV+u*{FB8Kcc0O)h1{L4RyYiVJ||?c;#NT4 zY`Qj>SGIa3+rE0GZv0&ciX*(k&A{{tt?{Pbi&k2^k0qXJBHbuM;8M@X6h@w|v<1~p zq(0r;ENBQ?EOx9m;QL$|mVJxD6C!m>O)V_0ebVvVHqcC^l;GL%*d@}a@b=`=pApm( zSU@R!ahTNg`UzVzA*BlOu*#d)Hnr{$7Mw9I;Ms(YDyO;ML-+cPK zv-)?-PaogD`xP2_%07bu8pKTmVL^FD>nH;Ya#++}##(JmZKE3$mWhc9?HplX*XVP7 zyLYz!=_3?wa8GeMgBCnIV+&*bLq?yC{_+>}qCY3e&jrWlY-c*VHFn7mzf=)jLSaoq zfH+=Ch0Q>ynO^r@?%yRFEqXo@8xwEr1QEqz{Sa>fpdpd4i|ZAPEN3V(CTOAlK#8S4 z24D#?Y6uikU(hf7D4J1{s6dI^H@yO8pOP#QXVG-UE@#Mz%Wgm-?6`vkASsGecFmB` zZCET85o@}k+@F9`nv4fKepJV@0ew`t>*GM+dLxy+?9b8N^nK`;PL+KK)f)1Bm;^&w zCdmp@C5oeGYJz8jb8L^8!OL(fSYiB66qwQY0UbeRZld&9ww^GgfN8`sSL+M#LvSJZd7;Uz>DLNQ@KSmxN$t%DCR0!5#H#J zOIMcK2Bgn$pf>`$gfmsF*$7Bi?G1vmRy+u|CIyfW^>Aru6WcR@?(zlBNusROk;RIUxh zO|WBuTjqLug_?Hqz4Rcf9GaY5oFY3&>j~mf#^9tcQHLgMU~#>&f8`9_KHs0Q6TK`1 zts7TuEV%5n5SoLd5mgHJduUxXs&JP^` ze0>zn+e0+W0wR;A2$>QGCaUFl_(p#~*K3|FVxWsBRB7mY1_L#omzEixpk1G8Q&}zx za}%wGYM$gGNsCl++-s8$%ZZcEtNh)o9$yaWs0J6Cs$c+5?H7MMG~O7`5Z)t1Y7DS4@cCU0V&qf2me6L?{Jt=YDtp z`7_i8fdY3ESixNNAee|o4Nq7Dq$ZE1lvJ#afvBuSxwm)m@Jq-fK+1%KG6saL#*B8M zt4@XxxaDtjwq^uJ}?zoDI?S|O!!intrbq^kvWw!dTh3ylo4ma=dE~2bDK?nTtLX# z$)UR*f%9{w=s0shibWBefdn?~KF-?tB8{@LjLD@l{GE%X$v>f@C8L{3_OI;Djbs*t z2W@z#1nVo&g+WTwm&R1l^cxmURv4SQf=UVKS>Qx7ur)IjDAb|VP)Pni2*=DUB(LR` zUCk}DizXdZdzIxmz^ic#55hRt$HP_E6%%>U#^huOm`+19U>bA}h!o4F3AKPq49E*$ zCCJQp2@(n&tAJB&mV!~XQ+$S~j_!0n%2a%{oqN%Kqqr^N@r&eW5kU@Ii_9o)dk{oO zu1VZUC^UgSI{48^!@d4h-?;n^*)(P9Fg^l6ZiOPpu;c!Qy4k-nq68K-cR$CXyC7g_ zME0Mi))0tqkok3^1iF|eXwrcD71a|49t(_WZWh^22{rCUS%Gw z+~n+HqsmTXO=5`_HtkW`lSk|;jZ|}YaY$N)y`V4ukP(^UH~^D{?|#T0c8uY+n_oO? zZ0DTuKQU**IUxW~gvWX6>Q||9X#;j1yBnZ{b?(B--@Z-Kc4DYt5YF9xI(ypv+|J;C zHfoskqXQ8zGJ?dOf)FA~%|+wNh_0B(U7D|h7(wBys<-f0g z7{liO0$iFY2iMC@5+F(N5I+0UIkKhYEFjCMk*M4KxPq;SK|i2&Y8*!+Rn%vgCe+!A zNn~LSVgK5tL^ZfCRSD^8b-lhq?n7E#aBxNiz=r24fQe#)SWG1hCmU!pWfZ1K$-YB4 z8=$5!#jYo(`9Q3VLbFh5TMRg=iYj6|pqT<3zYL;07*&O7jOt(5<5RMQjIjO*8 zfB}YUSqV2042(WI!Rb7xH77Wo)1jQ;biw?Uh~TNatoe3;Ei?6^XMb?PpoLeMpBYU; z_Sb5MU|b1=tPVL_9=Ml>thQtddh_#F^Pe|-UO;|XbULQU6y)%pt6SypdOpQLFvM?{ zW90eGq(PljJ9NwKOPT?2Du(KexQa9oa4xw;45$&QL;yOPY9E^^Uzz}B=SvKy=#bey zEyr~&Z&|0!_`k>lA`Bx4m)b+?6>>;TRumLwaLRi1Qv$OpNT!1dwA==h z?%WF_A0S^l>yu##yvk)5*n--ngpA;9&edpDvI=DpXz9DV`RONQG$P@8Cr!h0<63Tg z6NC%-nOwW}@{u$Rh6r9?y@KLr3=mimZBd3gJfSOhUJi`vK1eu^H|GFPO17>X(i=>5 zwSIRR0j@xTN*7us2myzy^$0y)wp-+5vr^amGB|u%_gn;A6A`U-LYh;|Haay8Zf+1H zjwo^EctG+qRFy@d|AJ1g0A$tC3YgXBDWE0dlr)`5#h~7p?u}s|9bQpoIaw^7Y>}Nv zWvU|FLVOn_ZwXYw0wbE*&4Ovp1WRc;Wjwgo@zWyvdaXcnA-&fsROx{sf;2gnAADUN z%S|z4ce;^ZA@EVnT`8qar*Rw8FIPZbYFhyPpr9bCITYl^vDXv&Rq1jvabxIsiV z-G(4BlPZ!y)To9mb)EYga>VIE$db6jaPT1n1WvbUtbf5OE1|G)=!7nHeA^@Hwv1}S zE%2cEO4^;6JsfB@!7iV6)jfw3wnF zr0YVR8?PQhLqqWu*aDR7mX=U-{v)8EMa-43(ooG&15#MgF^Y*SI@QZGbVI8vS^)!n z;GYO!W&CQ;PG?TN$|oVq#UqJ^wA0zND49n~9>ph=`4A}zf2s)T*BzjGqXJ6-)6qHF zTa6{uA-U?$>D?jE0UH&V@_b@ve#*DYQMpB(k7X0#*yBRXhYqMT*wOFU_da5=IE4Fv&kjdNz++Pzjdy#8uZPr6jSY*;7uozxj93tl^I4^@Fy?| z?a63=Of+$Gh9Ukii)W3OeYgAf$li&(CPcS`8A7rg$Xe^DfbeOef_-Q^>4Becm{1uk zXC;#m^O%sFa^oRS?$FQi{>|>oyZ^Y~J&b^B2hg;kL$WfK7DX3ZgFDY5ep%Uqpfye= zQWigB-E=(XQc!PL_Jh>YA)m!8MZuzTa$vx>w>m6ORLjUbsl-ExQNfu(Nt?x3TOcx6 z*AvT-5c}-k8YGsXHX01smiA2nixtpNg$njW*lb6yKYjf2fPjE&c5G>zy}SKi_FwP6 z*}va^yZ`I{Z~O1||NYr086EwyKY9(8zCXH0Gxhz^ulu9l_DApbNB<6}k_-W~Bp9LN zdiV#KT#bSU%M;qMkj5OMS(S>3)F>eTqmE8roj+!_f?>}AB{j?PnRu)d*_in21Vc3{ zE~2ieZZjKl*4ug5oM@09f8;MoYc)3`5Cui)v81gd!hwR~0itBce~rBb`g4AL5sCyI z0WhCm-at}WLs9(+xcu+?AKo#@8oMO}J4RlyKGgRkyMnz0so9PG@;@;PH$00o_IR)6 zDuvHel})}5!eGF%stnyusxmY#yTC>TANCeMJk7jurZq(GNRvh9A^D9J>!ktm-5zn0 z9$PC9sC|*`a#g$SF@=U5*f*ol)QA2OyM>)V;f6ZE8;ex_UHE2%wlG9xmd2wf&qf( zkX)~57v&6oBVbsFPe*BODivKH#j` zzMP-mtmu%Z@xe|MXiPg_xBuT7)~vE-thx_LBk%m zhzOMC%VXK1Ef0@57}aJ7ASn}(Z@`b$4*6=mOa72@Kpv2BJBEtVPQ5^ig50HbSDjNy zVwjM|$f@Aiube)=*g_Dj)QcAf0;CTNgk|=N3du?nH#&(BD}fu8J-g#po|K-Z2EJQ9x`Gr;g1R=;OX0(yfX&i3WiC95mQz~n~dn0YH@@imLH zMV>~g?SuM@`F(wxn+f7|Kd;(da$p$*p*r0P1%`A5hdn+y0Rzff*~QbDKGl3?nVAin zdIA9o>Q^?;9moT!F42<%;urZhQLeNK0=tZL%yRR>!I_)^PBZ*TL_o?v9LFcNBIs^fOus-hBG{%lrFhlRq6znuF=VWICI)$cC9t?h$M-p|XOW zaxg+8*sw)wncHhnuW$JLmjhL3IqM| z28Vnv>Jun`Du>LYS%Wf1cRv)NgBc4aChCM&l>BGPN$MOW(t%Hi6%>4s+?X0fe?V`D z;IGY|T#uP!i@kzauj_J=Aouri59quri@v5)Sf@LVA^u7-$Xi3k2K zki`Ce_x>x?3w&UIjy~14AKlVjfgU5Q0LtoW{sL;r-NVD@cfWi^-o$Qi?~nVtzhNPX z>Wb~qolFcsZf{W}rjfv!$<^usqx_N<`0mrka&f=kz5d%h zlyHl6-D?@?N|5m^k6hAaEY~^g1jY#?Q_Sv>u0Tm`eox$Wqixbq^cW3QaqPlMLQ*1{ zY?h+BQlF}uvmFOFCqsk~M792zr9NO6@RQst2PzQMo1!P39_HImpFi9^?7lpFehZO> zaluqHzGHFZpgI%Q@*<11VMUWa7@qu~p*c`1@`!?ltv;L_hme?@9CuYAui6WZrh;NpRR`Ec%iaa!|OCWhv(k^tMLKP?IlLM;gU!s8=zDp3? zn(>mUR=Us-1AF|Oy3hJ-!{%PAWAulKQ4UJ6=3Valj%gR&G?1uRt}W@rEYbvGaYV*7 znMMz_B5;5v4NVlvBs!oK=n4P_0YpX8SD$ykynA^2?*9Foz4tz;-S4rVjdXW^!@U zV0cY1xx_XQ!zLFbGr81-MjX-^Mt|aC;P{SGMq>c!jJ~gQAsU}bmwo@l>1J3L`O9P= z>wOZWTUyI-APgU(?Z+iVuaI313#@~@b|i4MA79>mf%stm z{`lzud7*ol@bKH``#ZQv?%v)%{3Fav9N@9fWHi+`&?n7FDPTmo&vpI^qV(oOLaKx& zku&kA3Pm-Kk&8-_&l^Y&8d@poQR;^Vnw=JYdE7~)a~FLJv!eNWJuSt;9BPZ`L49V zfk^$popi|c@Dvo5rWfgE8IclctvoarJ#x9)41Pu(4}0Xv2O@~|nb9Vkhj;zwUMqAATRf&87c*_WPel5Zn2HcevX885Hc@=zqwKpWc#A{Qm6OpGV(+ zkIT>O?(e_Xng0cG0frJL%r8$@%NM)th33kOc0D~BO|=EVtJSTn{&;(;L03!yaXY^! zr_t(i9jyHMRfs5QHU(+T1B!b5xxmJ8hGF?p);}-Uo@4j6_x>ubK5x{{F zXgsN8up*j)H4=i{6Jo&ob1LN1IZI`-P|sT20&3*e;ugGgE+{71Y05PkIotyr$7zUth9TStnm|L~ifJSXNm3Y)0^F{>V5LS!5OrVDyD;*! zFRW|f3ls7|zbyU>$CO^IoJ%$w`B=1$$zKYL^7Tly+U-8yqmB8;FT007-o3sD`ew$J zVi@|YBg|M-U1pXYq+u!n)V+yva}?dcha&`P?sgx<%e;I4?r)X%*&tu!!fEJIT@ZD( zsNURynl?wSZ-{sWG*H0}J$hKU;%udq170jjwIVZ$b`>O<=o@CaegDYZxQwtni(fP! z_~{k0%Hae;!O^7ev7$vbRynNNEIl)_p7wyQ0z{XpKA6~_H;BF7Ugh4O!AZsis1J(` zWxzx}fjO7o93@84{Y68{jV(h{^hF|CTO-GHLiLtWpEpmxw7|o%LHo@NY(;%3nu+4y zpUB-wloClngCj^1ZNfRm`g!PP%`^38~re{qGYm7h@Fco&y&9o_4w}NuPCgD zz35*QYoA3)OPktLubIv4_AH#6L|4 z=7u0BaButib!kpsg73IVtpR>%Ho4i7E6o^0cgiF;V!z|a23|h%u?oJVjz4bkhT9r z1U2Re1r4`&fN%d{PbUkvGq?{GCW_buVM%IsV=Sx@^}yMpM|(VLR>mKqY!}tgBSC@Y z8pkWUU*kk&22qA*K ziq=f9@oKfNLlpZ8nTFH}wIGql{MCj`P>6X0NIk`~FX2NN+i}I(O=wV>(gOsqc@!~> z54ERJ#?LchK=(D+iR&MDIR3W4DE+80! z)RFTC`t%CD9k%!xP(8g^!SHNY5xXboX9YzBSxCnC9xLL+Iu*Tr6H6<8T=k>oaLE~i z@W<;oSLiU|ygOvWPPbq(Fy9?#WUxRcy%VRCf?jeo0omMx5$J;=Tiw0d1ox`O(Sh+v zFSWV#Qgao#`9_Z=HzQc%Xga%M@dK2GW@yRbvk*kh@)2v77Xei3{9yp*;aE)T;zg%5d{pi%pN*68glq?1+K#pR;p!6S~&c8qJe(&>?xq>{IWvt2w+rPuYgfGL%~Q6 zfzj$x#!^TxdQzu6u4BRm@xbF^SbRRbw;ZUvxHm=LoUO+b-+;OG>jGOK0KHK@OaXu4 z)?<)CBnghKEVrn9NPW{zNMYgJ?R==R^vWdLHng`=0mN!E9E?2(n~(_b-6Y6mQP@7B z*u{p8xT4Ncszd@|#09O2i5G!;nAD#JCNOj(8XkuxRK8|#s(w>s^!ffSfBzX(mNw=91NibDlk5U83#a&Lh;c4l-lzcW?_K{i6TcZ3yq%Yny|Co ztVvrp;xbVFL@ZL>u9F@|Y@3NzTmW*?5wZ;RE(XG*vM12bG_wo@A(iR2lGd|Q{$rz6 zAjT5}hWU2J9FwQ^()tlRjiwW{1SYn4RsagM#uyX>IK$D2fzaq-L)(TBV}zPfmatz@ zFc1rcN(YsBvNGrP{%oertCdcT60z_-)bYTkldJlHCZo0En6c^7b2~O?{GvU`>gxNe zYbM$74bl(xv6=D}a^^@QO=(*@8A&Rsb|CG>E(T`OVK;WuT;|BYWeZ-|m{vWnwyZ;m%vzHzBup+? zyo_dwp2vXFbfJTjbghv(`Qe5$cU!`fvK*TukhigB{~_!laAZO2l}y@EE|SxD!;ZNJ(2un}0W?b|LLFF*=gmgL z>&bDfOXX#_5FDuDri3CwNN9Ig+lyP6wYdo)I5O!j6~vCA2^DrdIF-0sOOa&UajoBY zh(`vXBnqb`Rtn}Tiv=qpBQ-?bjYs3LGDT4Yh`7YtSBXo6wHcTVZC=sMIlGpF3k5^P z@#sG#Pmtm(ZCA`0)c=kCtE?-031Gy5LIwoDrv-<7zzJAwm|`G#qjCz%y+`qM?FVP3 zfXW2mnynsg=3Mibp#DuThC+G}180AJEhB#PfGR*(Xg=kraJkBjS-V=iat=@Kzj zu$V~RPEIw0y&ED4H{Tc8iS*#m*_?a`+e=&CoE0aS2*QcHD+8 zD?L{^jU~}bt`yTUd_&H&paU#iETm5mF4XMZ;zjeMj%||^|3eT5*WV`_wP7P1S~ipR z%Jueg5ku09;)Q{MQ-Q%EPSImQXMnd(I?y1{Nyjv^8?#t~kwO!>qf!2ZNjrEJcSng= z12hxe&w|>bfsFwvrezdO4aV*HI+(*0;@4Lw;kjKQc?S+}Y&tx8)@QUL9`z>+8tlH@ z-~Y{3j^HnXe=hV{9<@Owv63yRv?;MmsdNFq4`iW)E%yiYs7RJB;iUzM;cp>LlFFv^ ztUBb>pn9)-57jq5QX=imJh_Db%1u=huOtn8X-4?x{<9*OhpHoF&Um1h{?( zV=(bdpA_Oc^pABRAzHc)1V>RdyrMIU_tcQf`yH#anQG}WOW{M4tVR0=u^Ip;k};Vs z+_%^4jGhJ>KnF(kR+r8xw+kw*Z&0A*c%jPLfduazO-4sTZ=^$`S|98q1>>a>U!iR% z!v-sX%Lg4yA1fv*VigR3v|~i;!7MT%HE`TS14dv^K2o8^{W;vKSOP%Qr0kV2&bdY? z6krF@WOaQF;!9ic)g?OOpe$dWVTL5%+9Zd^f#=DgMRqNwOVk}-NS;j)7@~`?ok*T7 z??@!PSaTR$4u_qKSyISr7V5SvkVhY+1Igh)Z$>QvF^x&IRtN&cAYS9YH~8=VyRttL zRNHURQ@+6qm4a~C&-HC;#~PfY;KxrA?hofj`0yaAbdw1`K%c=#kInt(*PpDa4wJ*# z>kqE`Dmh1}<^U(~*5@YM_Be$c4@k9q5+w)Wig{qk(045VWHbKGNgl^U5x1ds&%%NsyS0Bnln+$tV#gdf{#zBPDJ0_+|o~QSf zl2>c_TFPdUlA2|#W>+hRl#lBPnNDM+v{=MK<=&&HrTONo|0vo2fSLJ>_#JNDdbtSB z08PP8WnQH`H`nWp%6zEJWSmh7e9E~esxDOLjL`L{uz?Kie(Fc^+6e)kxyAkA%7zV0 z)SVNTzF2Rz{bKsmp=rBTYifIpMX4?gsuTob8uXkM5b3}X@GC5{KU7&_Ws)w>=uBhw z`wFQ+!9b9jxY@!CGcjXk>n9qDRfd`IX*YpXb;(NV2C-TMs=;Z3Gj6%IP92h^7&f6c zSlNc^G~I{*rDhsI@5RV-o4LdWAdEsdy-+A&9K5ia!l@(e8Ua^Y@l zmn}3muMjLqvrT=`*oGA{CoECEGNfoE>d&GZkET-#+RfDxpkCOknvR3<%61LS)E%RJ z2jrRo6l~nIX1H1)y8S_2XvzVM*0)Z+b+7mnmJ>W%buJSN<7S2l0v5iP(VnDXq{&)_ zrs#@dNQkJmSN>iY^KZ^6CiW)Cd&O1VA&3ppg#H5TqS~oE2aRuFAzUt=cmvnjHKP{f zdY2S#k3Be8yIs|A&%BY~C!{*XJcfbs$_Wn5)Dr{&l}yMPm2CXKqoYMQl*97f#1qn4 z_5ri}kz}hYqq@u3fmpT+fqqE;f|uX9w#Mo}TbGStxIXALsvj-4BZlU~g7 zLHXs6yWgc5rQ9C#X3kJ5-!Mg>B_z~vK$n619Pi~-SYLUE`MIFAw+s^E-q0GcJKAayAM)o?v|f*3GsyaF~FSjIr=!U2Hy!v zJ?);2WMUAvjTW6>%`J6Z=!PG#0p>{*bo@pazmrJC>xyyDc8Kk7v>L^gE zLdEBwbn)K)$>X=NS_V40Q_2acEzkyak45J364NfvjhC>1OKaG$(TK!SKQijkEfG;} zTtwPze*O6M3yNlbzWey5P$-c{-}))*DzNv${bfX#kbItZ7MS0liRoX@uM1!`i&{BL zALC3fsn1>6a=4o*h|}D{3Y(DOv}Yd(Lwo@DAro$sC0f;C@^;OERz6`}HNo!5^0^`y z#a(ey(wt{s=!~!_j#O)vs?-F#UBaF*s85ZNxdmn)WRMU67A^afM!0sd%m=VP##gJI z&g)8^pS@V2b)NFjs}ls>W^h4~_40~E+oC7AboXNS`aWQ8DQ^K`F6RqBBrHv~-nqXwLAIBOi0j$2%Wq zF_gdz^SuMh+Pd={>R~sLvb*5LDpdoE*HJ#mFV~n#cAxB%!bD-7COu?j7FJ*lfAUVz3OvluT#^~KBj3Q{af`Ea}o-iv{ zP%U&8Td$=8t&xGT=h+I;yg^x%H6&2(JPQjjDQk)VyeXReRb(|OC$qVKK&jMszkZa$ z8T%ji@816k!^bEsr-3pWs3nVBAQlC}s3vZ969k`54*CL92=GrJr6*N|NeU)S2Jn3&C**hkRq|6X;9KnO4#wO`s0W8}lCr zOB@8`w3+9`8FT*#|65DBFglkcrhh@b0X!uGarFjcD&1}ev3W`sCJ4?u$b(9`0S zF$xy+2a%AL{|!KCD5?vCkK6q>9nAjPG*WzRJ7fi*K?1<^n7t-(|B~1wybo%UiX8~d zI-$;C1ZoBn5C~8kaz^;jhywdr(b}k$SirO;PQGAwX;)J-ERbw%{Kjf(xx)fMS!dE(RRz4^(_7^usrP9sF;+~i zkNC&c#z_nKc(40P!2nsL=_LUJNg+*$F(#;7cH!M$k#>#dbM!C-q1vqovRxD@Qpt}s zx{f=fnlTv$Mr%|$!8%5XMApuFxkDbjG<&*U24V+N@eVCf2QuUNny17&%n5EZjUo}7 zkko_(cOJoTzzf(FAxdf`3YuAeL}{RN4AKeXZm2neb61Fv)R;3COk+i@%}d!qT435} z1u2GeFm*Mu&x%pPML-P!D=mCUW^zQ3$oeG@t1sMj+VmJ_b~D! z)2F8#JUwMHf0Q!Yo1UI?z~`uMCIGf&F@dhBI--YY%7`|26TMswvBRx{b#D)&SqF^U z!fc|~Y`m1Ra4BP3-(E$Fayd|;jlC&K8HGHvyM+C*YmAjm*zp2TO{(LyYl7U-bf7zT zpFe=)xR$tH(q#cEv}`lai~<>ks&Fxrh@=_L?p$00Z>FY?-I zWJUobV-R73WV5&k+z=`e%OFW9+9WrYylInK8!I;h4# z>>)%9+b}etvLS<0b!!r_Nh~}C!wE1FfeA1##`leO{ubOZWye~D0jyPl}9Pi`GlrZ?!lO z1l{_8y@4~_r9T%M8B>6Qw`#LJ6ux}ZA>$7ktey_^JFhx}o}+>?l-(fK6O7!pNC)}q z%Inn*jOyOTeQS?;xgjoUA3go4?GZke2W5fy!SV%uqvvO?7}aWIpa<}|0ySbpxcSaF&n{nj*Jf~~)QncpD%I0>*Nei8I~g4y3aQ83 zg+RcR>M6+m34#bhKUw+=OPq_JjxYc>q=!~IyF)I){21ANny%%i2ep=THE`*ev!yoI z-G^Vkuxe+8yJtzJDR&opfWx4)4v>np3Rco(Ci4zT%($ljL$Fw_Q8Hz{*`asy22xL= z8me-Pe1;c^DX2QGP#Cr>ct?Jp1(IAFtPthuH151wNRqcFjU|m_ov*<+D*4_7mujUDRe<43Fi%{suNYvM zXebh*l#WzRsXs@LNIxWNG~%J>tVqYx6SK=HYz>qGpa^Fzn)C?9lJCgYTS$1EoD<1I zmIeE+f_(glldfJ4Qbc)=>}h%n-sMF%O-5%9qOPEAEW2;{q8TASw-1$I={@fj)p|Ng zO`AlRj^G*%A&iWk_9(MljZ`_yQ<#RVz2fDJ539f1BgdizJ4CnrbpAy6n@mv1jm%`I z;?49)o;ghonwl9R@ahV4h_-|^huEorvl!=9jAG&f#b9cjMFE9Kv;*0$Op>Dyum|ZZ zDF<(YQ#G11(k?u-7qCeUC~rl<1@@*XVu<)4a&l(TbbXEi{!yQsA|QZjsFJn7DeBI)FK!Uuc@wR$&JU8Y3Le*H>4JA(fBE_r;wZcE%@=3rbq`zCdj9+Z&B|^zD5Fym zWk7aVR3#yf!;=)$5X6BG-Q9xaKw*(DZv+wrVGT>9!hE`^ep(n!xnPLxh?bb8$T1cN!!PBBkpa?~7IK%Jg^Gwu~)k}y?tz&EwdFBCmIxcVGZ9r3%BQC5&jf#7) zp;ImqTRODLCLk$jdpTBt_}VOmcsp)IJ$bnVjf8rgqP?YFA&oFpX90Ush!KQKi$@;x z{`t*qCqTqte&!a=D0MWEv8c8A<+0~a1fm}X9Ag0VB0)o(R@aRxlld-U65R+vZn4cL z!dQSue^7QF#|o5LKUk?ctg-bjvOE#X%7 z_rYoMa2MH5<`-w@A>FEVTd#?OHY#`c)&yMO2DncHS|8m#jGh2Tn_r;z-vWO^7mA9k zG*x&3LUz78J-xVK8jI^ey&>P4$^>0LZ89482Kih{YbQsfR;^RDM8#64Dl?>3>gleY ze5}`f5v6Tg^1>tG^>A1kj8DMyigWON>rImnfyEFAcGi6fBG42d%7hYppi*Vjwvwoc z{CIoA@;I|KHO?Ge?F=d|5}__HljIKs3ZlOu@gY@Z8N_nyp_KxEu0uc*a8C4C;gB&z z^tHxxg0YrQkfkQ@=^=rOpAj6QW)=Tf!Bv`~9-r=eNiriOGBv)6z1HJsoe+$*Oecaf z{L@a*h3BQfZ0n__yRebMcsr@LLQ-kQXJ^|QRjDMlI^>{Kxz{%XU~u#07X@y$Wod8<cwqBSP6Q zIrFi}01Uy<){=Wav`q)y5ceb2njzdh_$p*GGNT4C3Safq4F9&lc>xej(9~#)qVCTL1CUaQ zETE7KR6w~mMIkxbf6(JLI*0-xkLDkAN@H7s66&sTCW>yI6j=k2yPmhf>|th;7Lp+n zgxb(4s`XA$%98qpRl)WZv_fx4y2Dg#10hlIEl_DVvIr(^i|!L}-H;8Z`x{f5dn1*t z>d(==3bHUoa1?oS$(4JUF#g0v07ig#i#%LMvelP_Abd_rn(UiwGXYBSMIE^gHv=(l zN&;?f>BD>}QS7$$Ec``UC7}!ID)i*nkag$H=mzHr==Z>cro0J?KI^hr$rrLYkb~8a z_^24O5gcc-?&$>nDHKRDl$8$3?x9JWa@Hp(9pI*lPzv%VfO@k))fqW4`pncgH84rO zMupM#fX2Eo4;NK<*VG*0(V=OWqn`S(iF=9ko6 z9@nT`Tx$v%c2t3A~9ecC9Ae}09lk#P+}3J$y8?Azz}W;SC}ut0e&+1I*38}d4;jnJBVU)dAeG`#89xnl&2jNairo-8Q z5ZuF9?w@pHgEE3<-9_C%+ssH$C%VDr1JYdR6J!Pp+%(^U*tW=ur@+&diXGwthrCts zfa9uzVDAq3pkT9CMsW0}qo@A2IzYjAnDn)+?AElIFw1{&z}4sV#StzKLfG!dm=+;_#FX}}kEjuE6wjd|sI_mzNotzNg6X}8|mJcd8 z1hF$l$KlY?E^?>k@oe2p@Y{5%rvN2$jXB`e(HCkz{dU3SK+Zzd=JTit&E3BHx6^2a_~JEvBqHQMFWk4Rfh! z4*3NAY_$xnmplY!9I(*2L$N!b#J7`A5x_vtI1M>iuQW}MU%;9VaNKeEEb$}ir{{Zy zPl(F$cqYlrLdh3xXrV3I@OU(V1g7x?y>|1Ux{fb&e7V5~DZ;d7`JN=&6ujYnm}WMS zZ-(&3BpsZ~8LYBHBaR-GaoLI#G)#se!O#?NL&ZRzOe+rDxE!c3&fXNhRl{D5!bmT= zOD{>uj4}-hmkkOg%%G#SjDg z28VoS>k}v+as=EQ`ONY&Zd-o6wrj-<-Lwqkiy${`II00*)p)RhaIg>!mH~oq<2J2~ zqBCHWIEHy(rN0g1M7#_VW^JMXTgq28yK@IkY6&5DXv8V4L8|RYbK!7cU>^-p z5rPC&GBaW5|+a|6?v$8Qy23abF3+g?JAhz%1<+z z_9MjHFzP+o{QjVxnwjm#&NvBpjK%Tix!k^9BBHB&UN)%Dvu%n6iM00ZL4x0`AZLtmw*cI>4P}X9@GX;RboT=cXi=&n z2)Z|_%iZlh!c)8ZgVn(vQt}c2jUYjv!I)g(sFsPR2Y|CS{5oKI6 zRpR4J)MVAHISia_27OI&y;xx}tWYl61?e<`09;Cl+GRQ_YfuI&j>O&R&oGqYD%(Gq zX6XWndm3f<2uk8O6hx3<19VArSPTGnI6{sb?B(58<0eyi8 zg^)E>q%u$9!O023&>8KF-Lyt0P<_c)J*OJv7;P=#3Ek1dX-sbp*sV&IXIIZ53oGGm z*+-SEFAYVTNbl2r9}K&iJ@?Nv-+#GBC5Zc^D^kTxp&4V71-7_3qO9h?iZsf8*NFULaY*S6A;YJM3>z>-F97o) zX}?)UGdbc0=n~YEc*TS-4Rkh6pN|PW+-TX-uN1cS_P#>Yz#|`?p|Mr`TL%B~m(dI~ zD4Qe$Sp1Bxv_#pEq!0PwjL{5M)j6_`lzFF`b8_ev6$yd@`A)#6p(%Q*01qU>(`H5w zJh(Q>y`pE;Zuk4=`!}fND(Ag-{|)X$0KnIG?;qZM+~J@1uOIg0^8SbsZ$(r)*0A2* zpyZEkwFx6bv*cs7y3h&A6ocSPklk*ComU};v{vPx5E@c8+Hqk)K3{F#lF=IiEIiq7 zYTHNuh_5Kgeksz>?Zfg3x^>)GReHt4r={jJFQ#`{-U+BE?vXE_YZHT6Y+|tgcK_G? z-=2+pV05=X`elFg8m;&CNB8@qxBH`C_eZ}KGS?CYUOW(XX_l;2)&C53Li*^VE*(e& zt5m63Lx?E2Qa9Fs7uIfSU!49GfRI{Me1}caUQ$I@VqJ<3I6-9<3}7K>dG-x#06{b; zuGa`?ey8lZpm|WH5OSSBItV~IqNhaDM0kH;Kf~&7FovExo2`^`L3}FqF2BS?@@U`a zXA9u@dfaKMfW+Gv$l89*s4-uxp3ir;w`ibe(#@S@} zG5f!ay$N$0N183_pMu*H(Lr^~ekcG0!R|Zr0vknI+)RLrdu9SbOO%_hCCWomwt8;N z`|bCfZ^^B)K=+JEsxqr8EA!jew~k@8y9CWBLEvO#e7`Zs)^&Zm1SUa1K^5p5dJb0m z!5}#UKYe*daeNj7 zdieZ!_x$DYL5cy<)QWIS#qtA*O^*2}HC?-cqptypRHgBv=1^(Rk+ldZ3C*``c!}Y-dyROdr^CAC+V?s}8*Cl{(I!Q*;sJ|qj zshrsLOhF6XQKWXvr&_ z2@2kUCg*at?dc~u0IwptCmj*rs4ErLEzFG zvTY)~&NiNHeEK8gLRAu|hzT7*G>0(Fz|;F)aeCJ5aT#Oj|K&FN2Ca*|#1VV}k(Uu< zVG6(xhb4TVf>UjhfE0~2w!-DXdb&W^SrArRV+yz_Ls4Yh9_i`D?b@@PV0JuF78u7W z5u*?;Y3Q~MO~Q%$`*M8!Y~v8yMA1{%Jbw$Qz#E`81~CSwZ=v+)f^wehM8^!>k1!~7 zfO5a3NY)-u&e2CW*yZP~cFgQr4s_{|!kh?MrhmoC@dge_ihF&Y5*aa3&KU+60dgjp z>cSoohcmSR6;x=1O%}PJ$PN1PV4>t9W*dGsB@qZP3`bK0FUXdBUDKE7p89o%mDZ>% z`bHE?ctGusAuZ(gH=-Iy1;a6^oT{6I(*pgel)D-+%TutEQuX{$iVn{Yp-+mdMg$~O zSVOBJcGMybqpVe4*3)ep9bu`(V@4q;Fownl%QwRWU}ew}(uMGiAvNoPmzzl7DDM(? zYh1QTt2e|_6ImpAe>58RNBf8@M;g5Z`=h~w#I#980#Ass|cRvSB ze>B>sORsdG?Lw+PMZj+Tx}$1)RDjSWED3&rocBia0g)HxBS{5tSG2&#zS-P=lEzlQ zJU=RLCuEt~1g1W}TSzROvA~YWN!_m5nxpXQbi%dYG6D(YLN@8Tv=a825p0o~itS6XxJ9xV)TErjE)@7RDO}ey{XWpA!3M!dnu+SNp)kY> z<_wu7_&+*K2G+=Pd4^LOONS6X&K{_(muh6HSS;$ZXr^87N{ z{p@36j-jil zn?Q^7r{46jSY1q-VtMT3PU+IVi%w|QHcsLjY2Jw%nRNc2*YdKyO=Q30w*rd?E6|PHie4Mm z8;dCb@Ji7!Wu_cG+iXanp_SgM z0)f?fPcx`ZQlRDmw$Qpyp5_EJA-`?G!zV@2<0$0hy6{n*Bd=&l5PNdOd%+TqvX_N& zXx{wtddb}K+oX*L4HChMYX9Jiz&6o{l-@q$yNs-1Jl_-np%il%~xlAp>kGb zNY9&cX*h3c?q1{xJz>o9!Z>Y#J_L9#Q9nN`^4B#-pWZq!%VVybbeiGZdDO%Z$t^*J zVCd(-GK5OP?b!RX#;$TVEPc~gZwKxSxxaVN?9Ex0LBO)r!@hA_YuB)Co9vp(lLrX& zShKJjDhI&ED$P8ORu`a<%M%p%6J#ixeI(-oVB~2+BegAkG)E;OjpSoQX1&){p>uEd zMTW4qYg&S20&37K=vK-WRE7m;gi-x+*ZGhY6JkiPTnG2`VhQWXmu0m$`bcVox*-4wp2D?(;4mmcg+mrAfPCw)GpEi;N=4chxDL%6~Z9+XQ{2(yE-M>CM z7I!DN7c>JE7D*8lKcpuZlrP&;0hJiQ2ps9p8)+qOQI0qgRf*yxI0eTCOR7Ztau+?M zoO+OYTO$=|w>^iurZ$oA)rcB6IddZwkuIUiZeWR$9|Me?rl4;^%0+{Y*t(ummU7r> zTg)m0yZDg_Xd%EKe+ypVcN}w&Q-P(JA>iRmMRdR{cVcWB{YZnF*!ejU@x*G~mli>> z_AOcn7;BgOn31H%43Hipi^z;P;iTF_m7}Um(z7zrmKhaFSGJNlsuWP?pv|Fl`uhIe zKh%-40YNy?)KPRZqYwd^L@T4TWiR=_)ach6A%N1!v1HK+lVK8F;pxl=l|%`#oApDN zJs54+)DVXH(<{h1=%RUraHr3YaGd2A3PTV|W(WDmqv&KE9MD$9MC{DQBHxyjOVRIt9)ft%y=|KuC@mKc1}XnFK6YX$;37Bb%-~> z3#sP@ia40`bY}k+F{8O?O3fkh~O^Sgc?N#lW!1} zJkZK=Pz-l2xy65!nscxIz4^~X+-rtGg#_TZ-!Q$HlkHi0LvUS0Nya6z&2Ya(f|JZ`QhWvMq=T9dP0EuaKL|k zqxEJX5hKnQDZ~;tbzyQ#wlKM6+rs3QY+-WCwuRlkf1m6YAn31%Zb2IG zbUsHinf3$T`L=)4obMgJ_1__5qUi?&A>mfcYml3!IztmO;zb5Vk;0Lb3vxpQOzAy% zKO$50m~S{wyUt%*Oxwv zKqI0ySpLXuQ40!mT1fZ!QdtEPr{Rv*UmPK)&}K(&(9+YJ?ke5k7n?dctbJN zzxrl=ez{qnZDz}6Ofxd6kNlOeS663nn>#Cn{kU9~M43RZ8?osGG_x*OGsh(jy}P|S zrz|y7oiD=stqP@Ej$kq4>D%YP26M5t)NACdX=sNrGvzYy4}np8kSv_fnC-HGqDgC5 zV6x;{D9{I&urYQC`Pcd!`z_&{4m(tobjdq&*zNDS!!Cgm`AB+}u*qjB%kG?`M{nwK zR=d4HnjT81U?)T{IgvOQS|OM|a{A@tM=8z~6kIJvCSD7Wo0Z4Kua3#1pyQ&6Pui+M zp9yFST4n^WFji4AYqPk^>U@P_2hY%+lb^_@1#fa~DA^LFKaN|fCMza5)Sg{+79bM+ z9pk!_iB*nIMbwKmdp}-f4ROjrmsC6N7f@2LL|J*rSgr;N&Wm8=i&aM%LKj4klhBAU z48&t|ndNjrW;Nj$4M~j1^$)ZjA4C&>om50CJ*$`+;urKi9}bh@57KY zG?J;swmp>&=$BXLNCvVMnr3RlvO>Xns!uWLCw1GBT1!|e+D!-34woC~l#VJ?`Q``w zriq_DKa-m~=jbEMpQr@|*K@R`p5K7NBEp(0Eoz9dSdE4&)yDLJEH^60qiKPt3C2dV zNRB#ILE}IZ%Z8}1ivb7_-OxfNaUZ=eLlSzP zWq(;~P+o;yV?GQ`3Ky%*9;@P=1A}9n}8aen}Avs5zF@nL0 zI5X=2jmSQiTsx!0pjT42qLbPpLBmT9W=I+YhAFEbSQ?Yg3Unj-uC0hUMDUQ$Z8pMO z?5j+W{fkCDeFdfH+1n-$itL`G2ozJ)VNkUcbw+vj|M%VJ5BeLti+0apH0({2Lg#6H ztYFNj6vv8V=DzhZV65#c)!Bggf^F>qUvza?mQC1|QEXuXwV8)}r0r-^m_(DXH^Gf>&`pho-)~I6}4_v;#m)rV_7j7=o4SvXu$) zSrj!q9?Ft?(~#@Hm!W>7d^y%$v4Mhdl;iYHuotY@tfD9x)j#a2e&m$JKuafXQW{pr z6>ybwMmIaF!xDogzNac4QzBL+YJ(n0h@MpXuEl78K?y4@M)Wn}3VIX$J8vF8fB6L- zp`N*iD?_0i4sH5obW?M8A)0Fp8hqoDh2|+}=MxhPP@wM|nQ1CU@E?dj2>)1(lE0HX zkieDR`dA;ii{^gBY8m`4`e~oaPt^V8J91 z;&j?`Dy9LK#VeBuxiAsr#7`6QPEgAtInS;sda%i;6m^Q_ojf|zNVmxQ#?hc}^Do8e zk=UFPZ;x6gUm7eYp&fhz`)m`*6QP()$q)&r?=jE3aWDOZtFxa@E?J6j*-{3sjp zkE-4)AJ$R$0^A@F^)6|e%6`a(NE%=Tj2<{gQFE9hdQ$kI8b>LM?ti6wQ)DL)qCfoo z4?^5l3x-a0DNG{{Z&l=F2J(@h!dgTHY?tU~1Vhp3o8<=2u3z_ktd7b)s?G<8ExY|e z$h7pxKBA;iqHu{+G)+=Ege*QkzFfdv)pO5g;?AT~rKFhSNKcg#Km<3%{$##96DR{Q z0M~)ZozhitU{s9KR4~*gc|#_*I9g57GuO78M(0Gve5oJ;&8TsXY=K9ohuCLAkkLCZ z9hW6co-GFD2_o8iD7Q5TfGy0N|7IB>ModP;hzea@fkm(3CQqpMmRXU2OGf7*$bM1+ ztlytX2_Hx40M`hxPNQ@^A`;1r7gmkZods%@Mc@Erkzmm?#gIe*@{o2G$H!Ro#0+JT z0ETn)Y~o*krtJmYa>eYTZ%y4}iz(aP2NIiptLm03ol&8*-E(;s=KBkEdRcAne+Xlm zQhBrh;6NP~EjYjAxRTFJkOcOYAkPc|?-XeF_j>!-)-24aVx*`~&|}Vco8AbmMWg}iW{GrM=6(DqQ66kj5X>Kg*v11q z#7rOA#X@>b$JEB9JFZSh;!X+K5<@GNE*D$$4B4F(d9rzkvY9`o+TRlIZr>w&E`_P+ z<7XP_9CGn;(d&TU%qkthLvy}i9l|nleV{%^UaJC^gtD;%+41sf86|<*U}(-b%Z zbDAS@?jkE$Tn7qH1oPuIn^hPmM<+%<-J;-!5(yx;j+XkC8|N5{;yWVg59vVVNXvWWa6tT!MSKdh>f-De)Usum2%4GKb=8}<@_pQ| zTtA_p#+goj7sv2f3QW-i)qlwZWsNea&BG1@u~GWQ^D*%JILZciG{LaXgY!W>-oSiN z-C_e&?4KWB0x?fkC~)hZHx}ioj==a1Ym3mQOW2A*8|%|nmotb){$fSH#NDn3+uW`P*iTLK>%3pEz!A9B+)?m1c6Q7h^v%feSHzzX=Rgyk>{~Q(hoS}n zy?o=tNCm&zbGX>ld#z?$WJaJXwo!AF$f6x3og*J>gkD{%3do|PQVLXWKKD$!he@1Y z<{Fu(YlNzXKswE+Z5dS*FEC#k*x^1ThIpOeL5#&~;GNXuX`|+f9)7fktF?D0B@SVi z`>8_`UAuRIJ`9g@tYHl;G$8Avj&4@;@e)~vOfpIYx9T_-s+Ca!7K`c3i#(}6x!Dbd zMaUZnp$PfT0kIoqErCubI>DgEKbHN?bcS@NYIiRgacHgFDJ#QuQx;%gSe^xFDy~;x zshq*bMKu(zy|8q6W>muf9MfB|l$!V<3YAA>mvy&DKXVhmU~y&!9mCddwrN3k?4ud? zq+F82E>4;62~?q+CVSvmp^!^CW(6vuAUk3v_Ga_$^QT|#5yZXuboc3}+s7T+P;Q?- z;peZPKi)oLXy*^TKlJ`0|Mv$>`uzCMKfsK&Iz$^|HoanmDKu3HF~z@M{rdnRrnF{Y z+fisie_}NNY6`q#xY_q}69s^tC}_*Vs=`MFtf7Wtbi%RKnh}PxK;dFl#O8-urVCMM zheS6Jo5PE?Y`S^MsT-Znk}1-PtpBuMb{T2 z3KJQ12w#JyhqSxq>BQc3!*0o~3$Z~%(TTuKs3>RyGcw#PWDyH|bz)B&wfZZW9}K~KFWb{bFuJPcCJoIC7~CGJAaP}q;xVY81hQK< zUhlSl>@;inyzn&DeZBADjfFeP_?uES8O<0 zOX7I!G+_P?`z6hnwDX}<^&=w|cksibpo>=LZcRiWWXoR23tBB2n9>@Rk8nSA0CQ^i z>5hZ}U~_P~kZlTU@eu#Yh~iRITBXC_u4MBCoi({8A|WM0b@3145Rv3~DHV=;fB5(j z<~P&LlbY5DHcHLp9W!i6;vOtZK6^6=@@jHdfmYB#W~~3%L#t9*7vk+xdn*EwU~lEe zD}8}*vOHCG3$Kh6C}`069etxgXf$LmY2#~9)^rFx+Ul1qeX}`11S<=SEKlhj!}5X` z8AO08-SW)IH9vslT36(j3nL$-Q|@ioc2t2_OfObwV>ZmMu9_56)ela=BE*eE6zeUi)ewPvtfybU?nlrbmAy|P&EgeeBNrBy zp;%FtdPWNTsiPCS)zLNnj1)7MG#ggjIa#sXP7hJ9;<5+v!-;Tf_+g|3k6F~y+VVA|Tq%_^#u`PJRPvNCOL6vC+~ zumW0Ox|4naf%k{td{jwbu_q^is@cLpL$J2hnWS2sB5Ncx6pmd~5AO=O+*bs$YDL;h zx%qBye{Z~x0(7Iv7zL+x_wN48j^^KNe!l(m>2^b54}T?EJKJ<75pE5=04f85I2}Rw zLqVz;Gm9A@T6u$2phH2jTRHvZ}E z5UoDR6!hr#MxHVWJ}D-ZiS-SPK1g$7AJ(j&X)@rDRJl*KTjO$s$4wZW2t}~}b?*b{ zK4NkZu$bmPrjk#qgqDkRyTbrRl<;d6u$tXrPzvNjpcarr1&U<~LpoML%i1h{lNs$p zY}es6xKu)g#YvTqDijgElXHX;o^<}@k-|2Ydtqp1X(r5rakq~iy(g_RLIU6rnj|pV z=i4k4O1J!5HUbIqN?!yJ=ki3v5;8OF$Iwr9OYEOvbR-HBs9b+0tjRS%D1JO z7+H<5edq1$SP?CLUOQX(bv>-AnMmNSNO_Fs3LG8WYA%mbvoNzK%6+9oJL=D{uXOG| zq2Ttr=br!Jd%eJ60V$^>NDx5~tL~PPW)SQQ)fpNQJluYQpMgJjtjdoP+hQ}4G;l_;B!5-U#! zRn~%s9gcR31NJ6-gkjZ9VFF)XoRYO6k@fEJq4)0d!_#x`YY+~QEpuRjFh-*GLXf8^ zS;-XH1{b)j8%CKoSsPZuE@IUA#S!USpEfxB-S?e06{tQ(-b-p34sCsNd7&VMj>aws zs4@ED`Wny>@TE3bL6qt=z88mpNN#!?fV``>;LAqC=R9Q5BsK2t&^xW*e8wK-5EqQ7 zgOvTLOvw-(tGmu|-KW6BEFbWS~7E4q(nKF!0qkZU{LFtEw=MNw6|8ckT z;nOp!SH1pl|M>Kbqk@{O^Q%UQ1bNT%`WU4T`oBczCp=(ixI3j8~F zjR-_8bSjiuW4j|05nOD6H#)%_bakn4i;df18<+vz!+#&#vP~7hcDtc;CWvkE*qJ^4G3v4KxGo(h`BX97>3;lr&U26LcY~prOf!BS}GoLi1ywn|vJppQ(v) zv_d%R^OSOK5ax&?8SAqXsFSm^Gk9K*NP!5qsLJ@QVeU+{qw<9pjBa-lAGll`(SvlpS_@MI&g*B=e-s3d zrk^EA!P)Iapvr-Qu#`6nr6)R#_e)E=T%FXBe>@3DmbB~nbo&wUz@YF9v|zjT33P@| z$}SV2Rgk9s4$MI!Tm^Ha%Abq;n-?)m>z<5Vn!}!kQ;BwOIes*yHz|pPo(23f zbL;pUxbGhCQH}{j1P;9DzJvGfFMsJp-(6{agM4&$-8R|DWmy-FtbLEb7R40>SJZ@< z&zT&jMR>~V3RlRB?Moq=9{9{`6HzXAK^c_iV@0_EL z=rSpSE_M$2rqm}8=V6xIx@I`bA@k5f?c0t~U9dx@$ASo{4GL}+ z3=*QJLB%EOj|4f{8et#ln7#qD3m3(pMVmmSBC&<2&Njl`FE+ZdG#3 zd~To2*cu#;iV*0HC0~Wad1R?xtyPbMT1aq*c>I46&x9+mh)DTb_wJs1lMMFn_{13M#l_YJ!n-)&>q5mLL?&gkD6Z zJzz%*%T=Tw;jCg5I82c2@kS=?^((_c_h)KNMv*d?6itPsTwbCUvh-nuWkP-y%_KGa zvyzgfoG0?NlK-2{cnCIAdia!FJRmLh{ zR-2_zM)~Z3_&a5RW4yH$?jNLmgGsLmd*7At62YEmd|9jyw|ck=CtE9IDW~H_h1VrOj}-kSl|q7FI=cL3JE44@_l( zU)|j4(h|+1X6+h@fX7lntX$pYh}U!(Gc(rEtJg#?hN}oI#gj zIk3X_D_}(&(H#o|f=6f;R4*ROf%JyJV=q@1;AA-B#JW)q>W!g{fhM$U+#XKBO@x2R z;8%%X)L`Fd!88@c>+@v0Ng#!Jt32Z_MB=AK=v}VSQF;uLRMnR?3TS}D7q&?{pY}ty zEP+*s-cUeDv>0sSSgb}I?DH@1`Dna}S;4APWT6=ni|@eJ+E;gHOZ<@{lwd*1ZCYGD zz_of0Nhl^G*0(@8W-Z62&Zrxh1?7L|-!{8%F^bMTn`f!?-ush&H9!tJSJBIm59I&Y z%TWL7_mR6n1aoSkL1U2t8irwZGis(-idswdU@aLdAEnBD9eTc{CYhy-BG%RNn`raaIeI!K!1Fa5l z05?cjg6#;Q$#%g5xCQ0mt#D*VeVDuj|CbYCK| z15?Gx%B!WEw`YQ$h&CcOyVlMaXx@p425v|Y6exfdyqxi9BdMcJbxY2#^ws493bsm~ z8q!2IbWvGS3`$4yUbp~)gFy6mkvQTjL!KY9N9%GgfD>{{u2cyF5x9ZuYn4adFC^|MHXu zQ$V^dZ$LCzteNr(YGCw`c)^0fV*A)g?O^^{l6!v$4R$t9X5_6#C zOlM6@#)YHo*d+qb5P^ITyJe!_V9SE!FM5MYxXlpVL5E{O8sAlwY8v&%AzO%;;Yp3G znPvHHN(<6mk1zl1x399Ihl1T{N zD0Xq#K*isz4-vA5%Zi2i*(Y%HaNp^kK3>caxr|~)$MDx|dV}uTVyatBAzB?N%Q?8g*>QVWS{T61M9kd(7Npy@1W!ALt#tk$W;TFfc8TK=$^uh#IX8eK`Z zXbppflWb#mU9)hYK~j~_Bng-Rpaa8AQv>|+Ghov};T80OI?BzM$@Pj*RNN`Yk=aJ& zef$w@R>_`)!Hy@J#1N5Y#|^hNEb;_&`C2k>3uDI7X>#f69@DDQpNWW719d-3L`I9+-Jz|^PCg=;aFw{e25fDmYS=Duut&s-FzI<*Vl-y&(3xK|bbQahN z+yo6&nqqcoq;yTcGB=0n@Y|?mz$ZB%yjdUurPueu)B|S96p;88DNoTxEcZiI zC+Ox!KvXZBxf6obpM=YZ17jjgt7_Cb4}rMtg+jd-nghFP#=*6{!x@P@DZ>7q)Pu5D zkxjdl6%10yv61eNDF?PMYt1qKYu43a}DPm>>bm zY$cX_#8`4FN0B4A)@No=J^p-(93F-3teK#N(@wsjLq8VaQmZG3a&Qr#N(-+~X(YBjcdh2*-qMtpOU#--ART0%{P;s@b$FgHjRT$BY@x>z7Q zUCEcYr*cKE1L$N!oJ=Ybh#q}@5m|qIxIzm$CORpI{_(sNzUkfOps*tNT?WfQqPiwj z*3~&xS7uuit#`7089Ks8Uz+&ZlbmGKr^-$f8dXeylyz~I+NjDQMJFKj^7`Ak41-SCP`re9%pt#Skb2p`M5V0x@J#ACG*^-(ZqVtG%(W@9 zV?W(LBeCJvyT_-y@yJu>zaZiiD?;^gtwb(=Co|EuNGg=;9Lcx;w}0!QC?#`OlcBA* zXn9!NBj$c=*C0zP-IK}^JhcU^-HW4`l#^Vm0b{&Fj+ll+H<7bGTLAF`CaSNprvid{g8qp3r1NjbBaB?6 zrZWTLuoynFfNw%fUQnqrDJ}?}UW64$*9QKXJ-HaGd?_DY&eCT)j|`-kQB-h|R#;>? zMNztut}`)jD^zBoM@HyFDlxXk$eJc$vsn!P%Hqa*BwI zi?eG|~(kM_lP6POfT6~&n&cVZB;;tN;CH0zZQT8PyG(?|KS{EF_Ky0nx-UAUg zqH81O49l}{rV79|gAi?of<*XuA}nQQ5^9vL848L}89}kY>A8Ax zAV=d2%~-kT6dYq|k^QC|C`=vYc<_0*suxe-)b&&e6%beul1ROVZ4@2B7|2_co3G4p z<|v!!)#`m7VKp_NNMT$hC$`Vh4I>c~18UiLe<&hSGDF!c>aVkdvIj7QFOmBxcO`{a z?T$Ih=)5_*Krw5}CFxgFT+t%c0s=C5Q;`57K#~nB^5rXgN?t65Y|@{j0;@itJxlf| z>i!0_SzW;3X+b;@eR0GGe5eRk)j)I~Q7AN!+6_+EUD`?=)=*U;3lrVAli5g%BVU5=Tef)t&FGkg+^Vm{faEpJzsj=?aL= zoM|aopf>Nz!2qVzB&TT5l~Oc#IirY1GYK0R?)L`6$v5x*e*4(_vr4wAL@D#uze)5Z z&QMP=O_H5N5RIK%r1Axv9qP)TwFU}MEyuAmnoeLy7xmJ9=N!2h>|)C0G@do|U}G40 zO*>XA?iz9Esf-KwnAVZ8vKV66%!L{V0jFg;i4C>_IwTg^usqdBh|yy88X_x*bXKuB zjdZAyF3_Lc7AJM0j?do6dJH-#_c8#B;a7ZPdRd_|7(pnM1%p5!c!SZH1dnRCXvXM| z7aV3qjfBS3W|dDhg6aq+VyIQNVFsBZDhg|{ zWUQTcpT9gjzfP+kX#&$=$7Z}n#wVu0Mx&E7tv2x;d$?UiFc}G*7g%knY#umIP0)$> zw8`>yJklk3^+BkLGTsP9c8uxVCd_;rtafEKYxfJi!SQww|J^m2Kvc_=BWZsJ^f|FAorbw_r=E<9;)iRL3nd zps&(6x@86n$tl#+8qql3V7HfNUiFOJ26@f$l`bra$lCU#wM`nq?veVO+S3AU>UgG* zk`M?HGGo=rh%hX%8P1wmPAV|j23*32_ngHEafkk57TyM&xNdWyNeABy883WDVdaT4 zif<_9$rqUHDzYPqXe}?KlE+8W?9&I71bq0sBc+j87-_=`#sMK{xB;;hrjrYYozrxO zi(rm%Y2PK>iY6$8eskPF54&Vdly=roK0^^K0edL)f?X7$+sd2@E8b`Q4XVj*25y+5 zNxL{LgfOgNkQUs5v`4(e{}+!H$&Su4I@K?br}zN1?n;Gc))ELXkl?MX?q($qjydR# zvVp+E!>dwMD<*iHRnGE{-YnK{j`OPVm96nvt-aH&jU`C4pucj^!7_P4K1CrDd`mAM zon?NA=)T~9E=9n2v0SNa<62J8VnWP>qnj89r9}pvtuPv1g0kVy7G1Mpv|&WqU?t$P zC>{edE3j0UE@4E1KJQK5E2Zw^kgk_>^YT>95J-vtc>nbL8o!^c*oFL=f>glIm^fjq zc2LcX9&}_z4?<>iWS@i7^v~kNp08OBluWc3k7bihEonh}L=*8?hL6g+qKb*Flwm@S z4$w|>bauWSPEZ^Y(vdu-j6rgSP@e(#~7NPta=pZ>*fSR=>gsI`oEURgr553&Mwn~8y43qYGFBpI|&)VB3YC-(nCr!1hNCr z_r=V8vp%|!qh5Ae?kc;M6PRq+aiHv^FhhNVaK#D6m(}= zrY}a-Qq{Cw${2m~?w2ntU$yyocl+zz=H25xVr6d?V3m{B?NroV5>!Z?Zy5@u0}e@* z`xJ|yU7}OEsC>E&Lz<*RC+zTvNnDSG4dc$eNpEkjWr}J#Oi_B>S5(4wlAswlH%$eM zXe8B=`%p+X-2^G6$PuB ziB8dnIOtEzz?$?1 z1@(juQ0qp`&h>dW83k9?MDS7ArB9a>GXmjG#af zU4k%DjSmpMs1AT21z0G!dg-9YM%_!p&4P$f4pg9{HHDZ$L&9o$eok1&8iLV4Vy=~T z#c~F&^0b*c3&IS*(NlAybqvB~F9?$$K>Wh9ixt#9z+fmg)vln<$0y~}v!YJBA<-)Z zP35<4oPzyuz8C5+S=6f7;3|9&VXHg`iF$x6&nMApzd4}C$EvNjt~+IG-6iBmOaX9S zh02(Fy%FS-HL7cFZa*R{ljr#Q0kZc0TH_L8NS=0jywuylMHDFQc_kcRq?Q8e=%+lG zNIzvQ!E{J3@8WuTae#XcjyBWP2^~;c2VHRA2yp`Xl~INI%%KeIlNh!>>hETi#Gpy! z1z!b2K(DxqI;f8W(Ex*ggCA@F$O2Kw-Notzc~;kSy>4SWr|qq}d1gAwBXI)fLLnL$47s1(MW${<|yL(5|b zU|B(ha-bxZ#kiF{hNLE>Z2lJVuIZ+518@s6EmOwjtJS}P?%xbwln8XN71N@k;e4oq zwOb*L?x;U0gd?g?l{*J}Ih-n~WM5+XU^y6(Unj)Iq=41=l73y)t8MLFv*Zg>g5u9m zlatbNfmMV8=ver;82mC)r+JD4WqD{ZlLk%AoJ}Zd3iCrxk--~bw9@!QQ9k7NBSF9$ zKSuUrD;C*DbBUzvAfTZiA~}FP4AMhVTCx$kqtTeSM!fpgVJCi_lVmryU<$aw7LT4v zWEMZqx-RnzRO?3+kZMPr!@jI2)rDv1@v;&blD2oCCXdjjzHgNzNApSYHy}3-z!=0N z1Yq#_OdB}vNs;g&q8thD0uk(*Nf9dmL^MH6q>nBam{(?(4XZGNeFL|FQ+Z{qvN5$;VP8@}P1S+~P=y62a&?gHN`^~U3ttvK!{c#k1fA}ibl=qv=svW> zzZ3l8ND!(ODr`noxfGXEx%3CvmnfqI4-S%}M5sW)QPdlCQa?X@3-=b|zw9q;6ilbo zXkf8JjU)XTjJF<)Tuu-O=f#A4Ctq8P=t=Wpp;U1IRvw1cfV<5%Fx&EP!oc&dub<)1 zc>lTgf7mD`Hct6h{PQXN^RID`O;mcx=mY=#{~%qx-~Vgxe|o?D9qvV}(Y>c2g3(^R zz+3uX7c+JFFozdXGy>=j4vAg={`Z50lPfECh^4a>f5W~&8l^1=Px6ZWM_ zodPBWh}qkI9z;w2RG*|9?226od=fVm?FK67G`mX7LKH8?84{eFww3ZBl$Wz)=^ViS zQ^kj56a)3RQ~Hu}hTN7(A})#rP(>t;sb&)g^NM#n)j0{JI{8u$jL;-$fKXYb zyJM0p(B(ICHj6($r}DKKa%)wwXnN!Qc&LG*xI#BPU>)vsL8lj2h>D;o110P=DGoU{ ztT}spv|1NgaUUMI8BC@>lt{(_E3X!;9e(H0^C zYcx`gW!YFYJwWhkh8D@Hip?ae4AII~?tMIxj@OJ|ZjHFaQ@JzWYKw>-gPvTn;Iqo= zLzUGbCBpq8|W zfDOD}NO-|^04Rn&&OEjh+fx!CVXOF|o$cYuPyEYd(1bIDFze)LGY(O#%=tH3ftp{b z+|T&Z>Ee5s(BB-cWkXe1fiawbTwoF8q6_UP7_$E6&kI zJLSI1i2mt}#T1pG5c(yk9;XD8W=4zyQ4N$o&J)Npz)0dd$T%);W*3>vr@L93nRas*VN-ZyMEv&;2z=N8Qc zAbFsBY3wr4v#T&SviJkA_~-;_9E+nj(C1-&Zei$x@z^|MHkPpj(0&>zL19!;4H60A zIMC+;|7qnBJ5LZp#P#c}1onMpz9Q|RaSl4Szzzy!j&4ygUO-x5xL580X7;>x*$Kdf z709DmPB7WP>bPzq^?lLbGtVGtY1fF;(#p6%bV_p|v?j|aM*I{X?(W{B96l`Un`htY zW-Lt!2I>_~C|ZTv$+iP))S~^{#of=*{;}JP#cP^SBmLYO73rMhD_v>gmzBjtZNl?! z_YN-{bONY%7n35CsI{OAM8tgSh``JlUVwgHzwT$z8dcg7vzl2Ve0j>Bgy?~gt<;Yw zP}9{^xMQNcLoJj*o+LG7sHT)1C%M)rtwFSoEHt%U^8))~bqr)5nBFHZ7fI$q8{@)H zm}-YEOY*WFAC(ssSS4B@`7=t-iq73L!&^hN%N&VK+)~5=fJB<;U-3u5!gICP$A@+W znQ?S`mk-Vu^l67j#q?(3Aw#pV{ux{5M@yQ2i1SLlSB+ibcpI* z+$5p&W~Z#pknJcL?AaPcrc_;}y>@RFvXOW|vWBGIn;ngX^Se^YJY$CX z9V;7-U}d79oCvEEBX;!7-She5{bz(i{1XhJyo3)&=nJ6t3=LOr$Uga<$?5v4%gegt zl(1?1^aYSoP#|*vQ1)DUO>Y7#*^KnLz+N%Z zx0#@wIt&RsTE*Hd{;^UZY8aG*zH6=)rc-3!Qg($J$7!BXITRO3F+$&TdIoa9pmp17 zN;xW<4YIh&F>5U-0q2dS3|@>F;3TYy*>W5H@ZKO3Lq0PCN0D~O(pU52zx5=Ke1cT- z4QjF6e|$!_=XW3fTf%KTsvf_koQ6xYhJk6|eV8J<9yLBclx-8mVMjWu`pIP);{dn4(X2rWxX zP>Y+C?Hdl?R$>kgTC_G z{c+*v$bzOSyenQ_$H)Qv0M1frFJ^h(fbs(f04ynSw8-01muh7M+?}=5qx`sxEpkR4ceC~U$rR)IKr+(QES5i9PLGcce&iHHRB1ER@CZo~{}W`f zuL!i-Y(9VZ@O1Z#CqBLIeR}okum7XzsRG7?#Aw-%UVX_pF_V#1Th+|e<)_~duIN2{r;=>|b(E3}v@L`*XsFawh{jW2!(yGZUH zB+=Hi3O{<`2HjmJJ4w6~)!tky>z-ujb+IIiZ3cC}cjL~$tgCX<1iyC>1_o(lq6-4q z#X<;3$09A%=nSnWhR>vb*QpwO12lg{2(iv2qDeK7Y=mQ4TzwH~4}BP|HUxm=qGoUFRHqgs0t*Z6ql-0;9Jd?_g$4NwxJ} zrROLF)0r0QL;6^^=RvLSqhtnkL1zRSCcMviz5T@fdA_?UJfFYpiV$GtCOSLJ+{9al zX-fgfNSjbfMTCB@5Y*4=Vur#k2rEnN^LzXn$w=>+Pb42s0!GSqf=GuCw)&9S{ZhLe zv>U<{Vwbt=GCw1fLIiR}rM|Kp{0!=9h(gTh zg}MQgq5$u%;X;?xgL*XLMD|FK^?Z4hfF{Vfg@|xKw?lmytiKH(O~9=ff;oUA1J6t~ z9KL-9<2NKkg{e%(Npo5ZY&*ww2?ha~We%j8QG)Wszu zS{R!(JvkmUH#aw1YWQQqvf14#Ys-}J%TxAVo-%o9%A4lJ7rkj-d=dIwzx1N-Uw+Z| zFTV&;Xn4`9Zw^t)(fkO=&5}rI_|Ss>Fx^-v<>vI@;s?X4XkzPUWetZs=nY!_y@5*4 z@P<`~_ZLxB@I0kjcK|C>$;C-*(-g8OfF#L%a4aR*&>qyA5*96VzKY6_LRoI4Y#xe& zcJ{rjTPa!=6*3sw_1C^(L}kQqA!J96$7Q^lg*%LQgVNj;?=apChrv_;5+ptzRt3p0 zIa?t_7S;8yFES?C8q*~i>N7qf*fZ^E9Wfork=xCIg^Lz|$iB1mAiF2&3O2vNMR1qU zpp*1elax(kdrE>VJqBS|lr|71s)kuziqf#vf%eG&U&N=N_!5jLs9~`fs^bWXy(v}H zw@xl$!Nz~Ok=fG>0MQ*(hmozq-X635C?@ftyLlE!9kamXiAapqTSA1rgw_sv2p%r@ zU9CB#eKOis>XxC_@t(P%p#EIa)bmI2O1=8`{(lB?9`_VcL1swZ0;tjg$iQ9nLK zPRC+1;D2clUKInJVlZlm(DR!0qZp;vh4js65~XeP=cr^2#X(?<_2)ztVt(ch|DtmA zc=wEw7I)9d&>v+ilOm&Vj$S#@dCkI`(4XO@B9e{2xqqT=j!r-i&pUTtQGF(HW7zf0 zFESFIVoPNL6-*jwY=pn3m?3*;R$n3}tADc4QKNDyrE{Yb9$f<4 z2d4U9kkFFuZYq@wsEF)I1KO+9=pF!jeHN+8K zk?3b>3q0DjP3B{wB;UcHV{E8lJ;bv)TKe$%(AAG?H@h zuSi6h2wzBBi0ejxdVc>4lld;k#c06oRFyI=dvSA~m{R*10~qttb9D>;5sAgnUTZz@ z!-2J3vm{$|9>n)$-UBhN(1j!hOM)zpkckX#dfO(qxM&c!yG zAhe7J$$*e;Qa?k3A^wL)u)>pu(z!f@4#qfIsC@lksUP)5h*{-R0=NKES=tESiCpbq zJM2%Q01P9Rr{iZ=v0s@bC>_jPIMV4t+&2!-hPmcCaf>J%I>}VodEJyUCf<7taO)^l z4Mr$FJwo4{4NVq7Hb8bvts2e5{n(Oe z3)&Dosl`CC4dspMX&`k?k_q(9I7D$g)H}Hu38nfbM=t7YEf%4iq3F#ws%sn(yvR@1 z1X&{_#h`38XgTv!rH|n$bg(V#{qgqUJ(>`GMXH6VIIU{npc^s4Ph-fV+}3Ku3l@O# zf^r6VPi~+Nb+`2qN;nt(%4EdRR{-10th`gcE5kmes=)svwAkz#@yAuh<%z`BlwHQ6 zQsG=h^J<-|X7LM<+Q!Wf^_I>2Fw~TQ5gi6UMiiKbGmBt>R7yrTKb{_)kP3udJ(wb; zL1U_$Nx=BX)Ea0R+#vZXSm-WptY&kR&ulGSDb)hN*&M}D4Rh$n5;yRu8z)5+Hw&R1 z%~uyJE=pqx;aH%N#TbD+wQ+f_A%FyLD8-g23xfOVm@-#X23=(KrjXEzPZ!G*+8XgF zTAquFm;JKhrR++pLIJbHxW8%pvH)6#&15s)LnI}tX7EoTf!9IUhM7 z@83VZ#`E#EUq9c!XIGsabSwYz?zy+lX2RSgGx(b~y@Ct>*H`r3^X?e1gw8@~xgBM( z`Nf>QW@AdvpjufGZxeSij}-EJ_oX)ht$ImSyy56L=?1vGRx(-y*)yT8_vao;3%mEv zEqZ`gExAX?#ull0^jfG5VBv}aNTBViXxf;InCI+jHk6}LgQt81EHMgSX)L$bv#yNT zfuWMX;^+WGzZb+`Awgsixq3x&K3$mT9O<>s9r6dR_``9wJQI5)M8e~v^%}KYK)8>l zr@c+~PvIQqMpr~VJ130>(+TQF!YH#Tw(@tMKmX(Y?i=K)JU!B8fCvB@4V06?{LL@0 zU#TxIxbuYL<@1zq84HbVW&@+_tJz4@_VcsQ>6ipCT(i}E`sw-eZ|`hLLDZ2vONML$ z3Q&;}eO4r@!BZ)~Ta_eGG;Q|wkqL#?17wnFm36^A3c&_2@)X?NfF>o*QiZLnMIe6; zga&n2TK*)D0BO(Rwg_wJOOF_kJ%J}w5(#ZFq(zN460?GgVuiz8jeZ&eoUWwPwaSxu z@KYF-BjCv=eB0pU3IU{=B=Q}{?lXwW5~{RWvaN3gPWjX1Naj0+f~ z*DYT*IpNBvZcRcrtjmuoK<%1tA&y5#UYMnifdYxokW*?CLS-2JaSv@pRMVW(8o{d5 zmh}SGrvOV9jwwmd^Yjo_mewWTjeI~h!t4d8-B__A45ObQvmy*bHb1zs>i3qOnHR`b zC?6#|Yac|B%5XqDYGA%5ukWYEFFH8Y_=UQWP8i%k97yMAk(q*)GxfI(MO3qlnv9T7TjV$JZKCMVX7(e5FBEP56RS4IvNJGgSlZ;*JuN#E zejdX&FKu~HaO&gdho4o>(5yZcH*>XOgXmHB7jFrZ3m0$o?2= z4@19B@kP_cp}0NtLYAzj1PxmH2Rh3Utj`9Fgo+ihKaNas1cJ$J%>1wD3GaNRm6XN@ zLEzwqCPdoWq>Pl_*qftH1zatoMU~1?MMED`CCm*eb2hKtQ?E9x-zFogvrBY-6Zv~z zD48dAxH<}}nF0zU>bZg77m76{0GTR-2`eCa2vpczCp-_8X?~ZF^wC>JmFa&YV~ll@7aFgLI6Ms!Z9Y zL&i)iZ8`<@%Z~WvJtSb16( zb!1q!$We&Pgvsj~P8xXjY4`3LakOcV%L7x0aZMtA};3TbOw(^C}J zpz$w?B`_?MV#zm~+2_xxI_7TLtSw~>UWJ>M&m{Gd-QWV%aYoDi8wBux68Xw-*}}$)eP zPEg`?+!mE^+6>*vCr)rwk3lpa1X?z>M7Ci|Qc{kMu){P|F}PS;;xQe%fZRe!L3m(W z{a0|1A>CLf^d@7?hC^0jPuNg>g5I1Qt$)1BII%CT_%XshE@0HwDpN>-qpx)^0@$Lq zBcrx)TKd~5G%md{Qj9-Op-RGT#J=YT)03m)=;6pOSGZjG>6KN!p0XP)noe%m!?SC|F| z?H-MndL7ZEIlwCrh*oI`JB!U6K7R3L(gI%JG&kgsJ#P@gCR`a&II*Q(Xp0C|zdTbZ zXCH#gVPDI_Fdi3KvoRodh=5!d6kt!Fa_h@WY`Z{^(J|yi3OAr|?HuCc`CxmJF(z!y z6TssKNHbRXspA!+mtHY?=@p}wUhzVz^znRqwgbLGlcj@0SiHqoH0Y6x4<-mvGSk)2 zc}A(<3XSm0fr9Sh;DvB!f&Je7GFolW+P=eV(5}^bAuwr<>)FPVw|3} z&|$0q(LEAly^?I~hw6&`eI|d8B>8)EY&QSc*xBNJ!0`F@GEpRNP8+G^{AfO3p3YL~ zQ|YV^2q~4lB@?d13UriOW2}G`beU|BF~6;z%g9i$qbnZ^x{N00N(r{inY{d%$?td! z%EZ0+D$IF#J;TA|oasx{D-Qv~(7fTePo0d#i0(DDELEkJpdqlGTrQ=MBIp2V@@l~n z2%S`!!`n$BXGnF2zy0MuR+6^-AB)fLzI?iScz*hi^T*Hs-`%_T$JuWmSGUjq@$~t_ z^KZA0cmJ^%FcWLO`R)Gs?+nSQT)6w`%g@5cUBG6@LL%r9_|hT$Y6?y$2LMIR%K;2a z;iWdEAU?ap4jQ;Sc#-t%B7Amp|M{mUbYML>KazrCQ?N!M$2+fj@#@9&&B=7N*f-Avqu5_?-o`66w(Ne>_xM2p0-EW+$GC^)M#VHYen>=qyym(^GmYRrx z2P8U4F{Q!~woF#;;Af(|#uC)&kLog0`V9eA%G8@cu9cVs9TNO6@%13jr|#<+z09je z%BrinO+WaSXoOa!RkJqQ(hWLlo}ySRph)6Rpmi}LLBRcFezO^}*@#QqY*F6sy#oXzm@eKqs&jV zv8P&N2@p9*%{qfh9%UI_yF|$d4rfibMiU5&D#&EiLzL-&@==n3io!BVe6tbM%N8w( z1Ns#jDlpg!-JkkwxMHSH^CC+b?c5PsnIPyBpbQ7JUY%V0h%6-hd( zg2%&#I!5(Y>taL$u(tqi`UBY2<6S~T_(gPu#u{viuQd2bVWO$rCm&cCJ0KcD0!=b+ zBK~1S*A{LOs)0K^Cdnx)5|L0YV&(xE7KCJ*abHC+|imc zCo}%>^9Egj?;an&{PMiHd*D6q5xMr^_T$rC-Z4R7(A-u9Hc~tm8xQ1pR`Wp3#*#kh zWp@#0)jVKj^4tOVDj`PPe}16l%0|; z4inW$U+m)GAQyID$qlyO8rs??HT%_ch-TlL)nuQ9%l=7#YZb)HnvG`po0Zpd!(TaE z&o5)=b)G-SS;jL^#y{xjq^PP3Hf9gD0MpN zH08vK3m#$3(M;dhvRZ;gT%9X-N$S%?imk*Cn!lAoV4>`1tZ_Bm%r95)*gNYlqr7ZX z@Y;P3l#L`#h_%Wmedb!x&P!z;t(`P*WwkYVd+`^k-W2@7bC>Y3T8O1h-L zENqUo_D978z1e|XH$dXf&XFc?J$<{p#2(}GPl4sXXO_St_PS%PAOOS?tD*p-M*^7H z^?YF}VP}050XmL!@Ru=h!r$33S>8<|hW8iH=9_D)<{tdhS^ zlD~12vP7-xgD_zl6OAlH6D=27nJ0_Gst{bAl6LtgQaCqk;h8cBqo4UM38F> z%GCzU^RB7e0JQri1yrYR!4iFRioOm0wL_!f9kcWx@{p~}$wF^MaxXRFV%)*3bC$eA z5XODyi)!Hs!b8flV|tZ=h*m-^n-Wa-2qWr%lbj)=5Rp+#&DJ-V1ZFQnyGlAlwCEv3 z*IbM6M&N^*E;0*JAaiN3aG=Pu{AxkyvO(BNG=vR8+xqP7<`{Crmef0>TReaM$L8ZL z`yBaJ8%t9!xw^Ib%9%VSo$=9hwcy`aq&uj!+1}C$}$MypYR{!tl#6mJN)+n|NSgGW|F6`pBX-DGX;O>6j*L62EC+za*LN0cSeViZ2))VDqXlu`CRBPo)z*djC@{DxJw#&m1Vov0-rXfi<+ zGh*?@#pOBVO}9AK$9k|8>p=-s5Fs!C`HDk6J;GJJ4g7B|VC%u1 z;wLOC%7*-jQEY-p6mlw~7aU?E) zqlpi)(0$Xo1aO-rYXk*?s!aW6;N7X=Cp40C5&ohUq-1E(_$aDh`n9S5H4jgjhf&6C z*}eUJfAY|ucNp$Hocgtk;V8`84Vg8;w}4=LD59ewEdtc@*YDmXzO)eR$MoAQF&8b8 zkc!cT707l|E6NmSQ8K$GiMExztYC5&TXfMPO5#m&EvKThWGG`ZmT#sWFfbdzm63!4 z1j%FRxxSQs`Q>}jKGh*>iU-Q2EN__@GCq#akmz%H+BoY9GW!(&hh_Xl?)-r7;uX#E229j)${MBa608YSU>F*%XlOf%6J8-S;D8fu31-nbZ zR?{v> zoF24pH0u#4S1fG=GlPBdW3`w?3 z1kfbYnIPDot|9TonkD6!!dZm$VN3ro2pUEegfdL6IWLkqJfln0TZtC%s0}0y4>jL| ze^~`LYR_vpdv&=Y@zZY`eH4Rv{{SMt6Uh!jO1d`CVy7PC!)K-CcA$cfM*RlQO64AQ z9D|6%wqw=HE=05jl_H|H-sZ!Xhj)SEX=0&jR}L0PpN(dj)E(>2%j-w2)A8}wTd&^n z`low#b>G?1Yf>m9{Qbw?{}0z8ig^HtFojclub9xS7sW0LZjE|IU9n6YMS|2;-9+Z> z{*F0g&QXN4ualbOIlU&jrlYcdI4iqW&Sn!GPissWRFo;;P1`A#GfI<&mfr&PPMjYVFIA#hy)4d_7;B zhXNe&@3!|(HX`g*5W`4Eu~o{aXM;R=mdm2-J7-kvAKi2LGN8NJt3yRg*_MQ`X@#T( zjsS#LdVB1)qJ{LbM;_;{^W8l+`?#o8Hlp?;1o3fBnRXquPBgM-;Hy+`Od+={k`_XO z?WWVfMufzdSq3U_mgV_iL+KdsQ>zTi!KDTv%Samu^qFZ{7@V5^iY4QlEE6>3KI6{m zV#qq&W@}CRA2;LUun9>aN;esq#V9jdoYNdA%amh4F_+RWz+F=jO-h2d(y*}KUqyS zl)s=fIsm2+4h`Sb_S>gVmrW_b2Z($p#aZatA7f zHLFl^ePNaJG?J+jDuqtdZKBa*~LQROvfTFsM>=w zpp~4czAB=ZV_iDxW|Q6CWE4V!#cGYXd$%^Y6dkVX_FXThZ($R^eSUtt|LM!~9l{xQ zc7D6Ng_@yqW#-TWh0P#E9C{1-v3y$vG}YEIm3o%kc37m;HU#sdizp1Li%4XRAOY9l zgXE~Zje%(FPm#4ck2%^=dxhDijH(QFnwRz0W&j1&3;W4JlfGV-DinkXNi-8-f?7io zjVJ6C{{{i?8gbfD8OP`5O7!~X^1_u3vOOmka$S$k>Y%gu&+09L1!EwK#W(c(OmG5y zxkmZ15ev24K0Vz%K0m>G|L)_Lr~6;;>J9dETAU@FC5i@ne&AWL<{(#BM=LUQeI9os z_=uswb(u`QGLxwpFSfR?mPy0G@0c_m{ff4Im3ie<+Mg-at%Oq(6%#SK! zLkKdwq;*btVA(jmKEc3}GH=#d6`xiCc|i0P;ybJ8Vq-5Mj0kJC{~^nslml)y4dX#bLlIxrXcti z1&UzV^qM%FfWGZj5Nth zY8Y&>oUxoiGv1myEmQVhp2A#6fXVda3Po(Tbh_hkv%l3=$0>upnBg3hXZ@@I5@@Fd z+?LmKKrU|sKa9O{*@XA?hx@ya?_c#o16{e;)2kAq-b~=$o~SMnB|WhgoUG0?Q4hV6 zL?Lbl>YqDUA$A&>w`L7#d2YlQ61_HA3`{e0V~B&Cvq;z47^XuY2zDDa03jX+LYav% zX*|xTBFB(2nzM{J0gGfV$;$WP^Ab_DK0#?C0X?7-B!9CemX~#ML``N&R=9j=^CFu7 zJ*{4LQd$lQnn_61aM&9RW9NfenjRgXC`p+vAt(+JdR7Zi=0vVSB5lh zA!KGv4M#^dqz1gg-XmrflP>!Jce7ze&l^ftgIlQ(k2Zermtb{oa1K*+=b;nd*r%w{ z2MEE$gj6mKd_{|y3lu~je;#q^AqzNS)?|!nApBPh6}IIf9>kZ+n*4ed2!b$_VJmHi z1||NFX*>E?zmIUMutDccXgNpV=<+l$f!bh&1yrXgm(exNVp6<_zdSlMj15`W-#MOV z$b#LX`?zeBl!uXOT{~Tt8ICsl+v2G>W1w!a4(pE0Xh>K2ErkW0oC`~OsqJ$ztz(b6 zpDXy9`XB(LWK9zsrEw$@Bf7j?nMCd~T9pWWVhsWz3LrKmVFHgDYEga16YmocwC4uZ z4x}<;(usW+ff$hYC4vN;85%Mu2og7-qxU*^Cvd0ERF$U!+bhFDJpkIY$1d57T?;R- ze>{iD0S#C9X%G%j<_6yVLL_!F4Z|A$hy7t&_)ovwK5jldBzm=5ZPSV|>_tS<9^wej zFQzMeL0dVUlBi=Jh8*sKK@4tX+!)`=h%qh&01~m-nbB&MRuZjGFmliD5$lr_w(U%W z5bKOIZzS7rLneE)^3jDymQ1GP<%!gP?j_9P;RZ=+yEmv&%Qi~UOQz?Qnot=fw9`ryslZS1W7T(q0 zq;68}s()pBOumvIPi`W95!f;VwJZlJRG>A5Pc+I6qXmTp`=y>Yc&fIB`W5lc<= z(t3N1mPS>btJOS%>^&V)upx@!*@&V6;}Y>|nkbfICL;@`$dL}r>Sq~f0b!!wt^vKF zgKGI^itZ1nQ8`EP0i=%ZSd0TMNe@a-H-EO6{ko&TT?y;og3CJ?CDpVRP z{AA6DsXpzE@+ft902E65y|KE9?Vm~hW1XI&T#XcVFjNzP2v5_%KFM2y4j4HeUdU>6 zLr@&VND1Wd%xDGI$YcUY>!6xD#I7k%hP@Tn6GUU0i9d`?wjw2$)C@(%rXx zvkNl(rCeL3U!YYQWjx)*tkx%7Mbaf`3XT z64j(@ic%7%|G_GS90@=@$qsL%1diVt8N4_F)^?3J^jF8*hED8XVdxUAN(wg$x-0Ea z@k1gjianq_JQ@huN<#NMqrM#n_;S^CmY|#JP`$E8Otac?&75!$WZ3v_1nK}u ziXP?u*SqofJ(i^_Sml4$e?d{CHu$C!Do&9p}qo;dt`h z$hu`M2K%J~5(O$R0b@0(S({oOCgt}9Z<0btQo!3pPTrgYwBi)JiJ69fY_%0oddl>B zI!7gtT7GaTgG5LgZD{zWdp^*aL_X4Fq^ONit^-}vswlQ_wtwL2g6*KRpSK5<1j9q~ z`16LgwaxSG&pZ6bKkgsizvdtJ@4vqG5&q*9)vKbwyjt)rCNjE{E8l^bf&m09g-8(_ z@ngA}C0h@5735@{gz?Wc2)A{{EPr0PxD_T6?_Fn*^~=%A5R;O4?MbVpijnEbh=VtxvVeRRujB9xy1{ zp-df6EKF{ymVj5hs<0&j2Fqq^o)d&-NT(#>t?rVrq^D`#_3`psTeIYgO(7Ykk=T1I z%5S1b5;r4%9-Ir}1;J_iw2Bz@!VO%MvO)AI z4O4|`dc*LoA@%9mHrXW;O>k>1m+OX3`Gd9p)Zh$v;?WHvwwz9Z&Vw z463S)$Lzt}93H^U-JHD}!feS_7Y68F zXQDny9;<>Qgq2_|V7)k9NCQ(!PAH{*23`Q7)iK~iu`(?0D^GP7mhVeu|EPq~4*^?`-o3HQA@!nF`B3uo8sQ=Z9i|qL7Y^BcR5M7OwkB+$^-Ji zAfOkIPU+GOZa&m(O)3h)p-d+mK$Bhl^atZ??L+qbLqNi&NP@^Pk*_7wT$h%XEMt1KP692FAfPvV1AJ`DW; zQl7lLB2R_~9DqqunRlIrvsRpj9iw_eG;q)biG1ZMK>N+ncn%3XD9Ih8`smA3h?wvV zV#~F|`L8PK2reHY;0H1~?2@qnRY3G)utJKe)07^W6d(eUz=G(H8rnNy_<%TJ4Mqz5 zHQ^vcC~{g0m_0EAmO2bnpdlKjezzci2CihR#yzG z8QyFQY`EFptpztrB_cm+BatjHsW2eaeFQ`u?sEeWX!Ct{7zIaP4CVR$#ZaE_;V*#& z`cRvcpls{`>MpiI+)cq9v@suBxetU00+CWxN<>ceYeS0n#jBKV&S_)+o7!453n#J_N;%ZuoJAD6=Ocx)l~=yjKk}-s=c5-U~s-iJ&H-0sIkms5V&Hr|LA_Ef+@2 zCP&s!4D`@~PzXWg&%h62r%aSV5PyzE0@BJ8m@;%Z} z#OXBFFeN`xvZ)8=fd4TtX9GDDfX48ei_7`Chf1ek-aSLMO>)#w(+$mH?%u!d-G03P z8Hu<%4eQ=JU5Q!~pgq!J=WZE=TK#tW3(`=(pbieaU0#M5nuJ-j^yIwurclx!}-7~Y-n4)*5XLoXEd)6~OyR(U%$RQ{~ zB#IzHAwmd2git^v0R$*K6e0uxA%q}8fI>tFLIgzwqJS)fkoo=okMsJ@*L~N%)AfDl ze6Mr*{mik^cpmY-;CV6RC(EYlMSMQ4)|! z0c@3j!yZw2NX}Qbrkr8u7a}2s<3WeEH5~2S>K4PC!n$FrxPPiK{cu&O`O3Ff=#%kl zkz3oGY2*SK9i`TJVsA@ zm40H87x@x_3ybpW=88;&#VdNIX)fCi2z+VaNK=f1SVzqsYVt7(o5-7WmE(ZKnJqHH z=TxK$vBKprfzkn`WhB!RG!#(PP@05dy3^o5LhbpgpL2 z6%3ai<_cX`Qoa)7rCDWIA;HcL=^cguZ#xZD$i?@VK{B3H zYMfE9A2T_eanqe`iSSUd`H+FnH$J@6XZh6rL9AFIUA_@kbhbikDONHZYqNOhd5VT* ziiw}qwW!F!E>URbAU8=+c(&z>HA_QDS%{_{t~5zb7Em`x#sCX3M;=`Tf!@u10lCaM z!IIQgvm`4nk(_t&H`#8Ertn6~yhJJ+Q4;WJ#1&S8sUqql1T-B#Y{Pgu>d!6jAP#0j1tkiB z4lTwWgc*k25Cu+9H3jqA=Y5x2qbYnS`H^xQ!P+{Qhak3T6MPF|7G_Y4hDx>u3WzVq zBh)*7O2wH4mL2aS#R*7ak}of*3}XKgxI-z4>Mz>+4XWzz0>se~EGD8#++pmw*)^fE zJDpQ?tD^9lMmBi=ppH=mA|Fi4&T0c)WdjrtY_SJIS{ThY#8QO_5)nJRqlyC|sJ3ti zLIg4t0Mwwd%mPD~=q=+g3{-T{#9%mVKXPhF?S&8Qllj9|6XPsU^=rm3n1ngJhL zD2_||LdIL0BG89z&aBC1X!0Pz;W#0sfej(tNnQ&6I%2E+F~fr7(MT!{A6%Iy&n2p5 zfh>#D+3^{Y;VJoKelprnY53~dk&Py3d8vq^fyVb6f8;aHt3s1~WX}QVF zWm&>v*Lx^aCg2-g#jRm^K+p+n~Tu>LIT@XD$t^2#l*Yv4maJQ73*(=xAD9~ak%15AW$ccC|D8q zf;S4H5_TM}AdQ_=^3YN(xC`k}PO4Dom=bwLR9w3t-4TfZYKP3CEW#WC>73j(;`q=S zmt{3WC*pG;;2zD=xDO#Pt?_VG2w(}&!nxsW1xoU9-pCC(!^Y)h+X1*~xlUdL%%XRZ zMN45-iMQ2cmXgn?@=OCV3QNP()yFUSoHghrk_I6JFKAikC<;{Gl;t5)ZVo7uDK`g{ z*@JEjhPEf&KF2Cyl4u1>SRhw1#9$M0C+OAb7cbAAe)vrVBFiZjG;7WeVD;u5f|rKH zUKB-!>SslccEcQPbxKRru^Pq)EE``i(+omk>`cQ~+aS!07;YOlN9TP6vZyQWvM$&3 z{Op*@qP(FLot76TUz*={?0UW=3d$;EvA+|ZH?5>2w&Kmu!3hVw?Efr64` zJ-f#TRFDvbh26)-#7PM#fi)_xI~d#|Ba|-y%C1?+O!nP?1v-qmw&52HyDq^IEX2fw zW<-|F06vA~C$o(aWy@8=&4%&`N1L9a_H0PX9chr9^X$Li0OwJVSUc6jA z2+<{m{G@=sKBlWGg5(KpP9|Q9>J0nz>3YrDHcS$gs_s%&;i1-3PzD?Bc!mg&98uCC zeNM1O$SScMdTERZ19|~h9{;b+lJEoI0!wOqUA{9SQIOa!c{gG;(^C#_<$wTODweYA zkNEEP9wIUX3h) zta*B^e3~rnR0DFP-Wa-o9YlCz#IVAYdjJC{CPTWZWPpMd%<$EIpLX|q8z0s(vaZih8XZ15x zjkRQ;PJ1z|0|~PbK|k5&fzXUVcTp8na3z-fnGQ0?Ky)aS=W_v~ybLmK3}`MfshKJ! zVQH*K%ltlw1p*Y4i%5Y?Wa#n@_yTWbY6)K1S9uFIGDvuOtKdFC$Q>yd?H{Nzi(tIa zThE9>IcgLkRsofeR;{GU+ru)+M__w1`veVjT3d`aG$m_ zTUfnEG#zdQ!`RwoII5E%hm4lZ4$G_1&b*Cs2~fmze{{M41j+CmoK9Jf_Lbo*k_!AK ztOkV7ELxTj-nQu0W+|excATX`Tk*JwdwtmD2k#@f`QAbs2eEXL8|-3~3%b;gYoReb z@9WvVN*2^lD{}3jr!k&w$rVJNcw6t%(|@Z^3!JE5WwmpFK*xZ;MrByuBf(iim)ZUG z9I3;triK>aSI$z-Sy;`cry!mgP>RuPM)FjOoyrO|iFfdNj$IJX<1zfPEJ_2N4f#)GwKGb9%JC%Z;kMO}V8A1wQGvViz*y_SS49@WR`85`>Qro_$lKN75gZVblJikWKM3V1H$-!s=_Y6#EJ6 z8Z!oD6M_D0B|i749&}AnFqcZx2TPQ^f#L?zK(VMiw_YdVx+M!jJuP7ZByQ|pQMynl z7^DPJS;+njVi-OF`C36yQI{Ds6?i~35G%S(MaRO3zI01Wfq(;pO!ag^E^@&~h=n~| z`>qj7Rj^(oqC|DkK+kjX!5vA5jAjHuL`Z#RG73=|wBwPu1dUm82qMdiQAkjjryws? z9Y{1N`8G{tT%%+ZGEzRhe)0I}lPBw}MtIVB4ZC+h_A2X}P|DU6{h%n}wk$gMiVU)J z_Yh%sc9Q6(T~qS)s$?=o_;u;7m{ihw*$n{`1pLGM66{FHSLSo{9TKqvRVv>cDmg zp(XfwNxl&IB}Hi0O>+p90!F^UYZ@Pv?*Z2Lkxhu?y%{`Yqk!nu(F&^9=P9x$5gZ8b zY3&FFal8j@Y^M(;HGLPV&mKP$f|_onSvb;D-@>S^F^j|-TH040>) zbi6EBI$H7@jg~HjsI&PNNF*#A9Rm)d<*+IuPFcgUUk?65ykXZL5-8XzK z?7+*XR>%W5CrT>1>9Nbx{+#*VX!67-?;pYG&3zf3_o{gtsLpr|52>|30q12v5>N6k zxGn{aIEY)66C|+1wI-4;Ykvz0U(hul@MWtF!*IYr5rs-qizcZnq~@$swP5g?u?4s| zkk};3#Et3GLDoYMIH$8cK{5L@=#XN!&g-rwl9J~WP@oc- zarK^%GIR`s-D5b0y%@cs6yHVdXtRyvZn={joFk8|sm_$IN=8gVu3MAF?bJ@0GZx=LP>rgi0W{cX!%<*iFj zO7TntG{Y{n7ul-^LorKlWLPQ$m3kF4JvYPF9vPBt8E-^$4_$29dobAq#Ayqi6y ztE?Jd;5LSdIa&2fu_g|JhEw9opd#C}=WspM!Xr@8&JH0Yr$Y)~rR1%)6c}$h27FJ; zVcAYLKvk)Ip>-~{LJY>V8A|C%?Y!hNV|KC-7}GIbE@Ojg-C3f6lUbN(@ln5V7}Af6 z7=y;jED|OK-~z};BTX}oMjFsVBO_84K~Y&_@I`u18Nx}eGC|d$h;Zo?SrfiPN<@wp zQbb`LjWrOVP56n46PwL624!pofxMgvISK9NqIXVAfU3PB~p!-0n4KeiC~;Z8>-9W zwiyn*o%7@^C>(8V^|z!vfY3n7D@=XHFxs7@^q%Ul1Vhl{(lOvqtq%KYVYK>;4GV}ys~)KF+# zvxD~vJ1s~FioT%F6ro*7e0n+L$k%bLz`1Df+lV9G9?GJp+tMTfV>HZ?@yd4)%#_^_ z0MeZEEQ!*b9gwD!si0~Mn9$OBSL?jObktEY_XASuQ|+c4^KY$Ptq6^m_qbF1)ylzI z&w<}n9rBx2C&*pH(w^ui3bj06&F&{cZs#2R-cobVgY3&ud1`Dq%H-t4s=8i(_Waq! zHR=QP{YOf*BHx7yN-vvGF4_1-3P@SuW4h#hqf3y4d`v{f;t{*m>b%$ z>gx`NX;uMX%9$*8pEh*}B#@unwO0i>bDun75V7=%`a$s_qH9jg$g+AO|s zMt?Q=kqwg)07~d{99Q6RHVFbxxj=Fr%+6zLh|lYt&qwU6$U++M;xTa`jkK51EvpQ3 z!xV&sqpb}LbT`ZamfkuC#Y?0;4&j504Uk4vI{;b~O*hdBnEYuETeoD_ph6AStbZZz z55VTA*dvrXHU4o`rg#F_F_NRgj&)C|Y}^f#b^qcfIMS@8*{w<|8e(L06|tFG{v9Tq z0#Loj_0cg}QJey5;TSnU^1Gq}>94~j=r5}cI4 zQD*p2ZnJu1Mr62~1EB+N5abUrN3;ofQHao_DQT-4SJ2i;)-S3#o#XoAzA#X-6+tAw zCR&5b{MfsKZR%}-YrwV0p_no#Yv^koV6YmHQH z*`A|!JiIgl{B+} zTaq)Sew(poam9ex%tbUZ!r%%Fk{fsAO#uwN6BZS&ZI)N8Fg+yhlj$Y5pty}&P*xFl zb&M+V5#Bt}5oTPW6^lZ{ClG$SU}@=_fm+vR%N-GL1Y_D_e~JRGNCQQ*n-sf!t-_ry zi2JheoQ#b}2RT}7QME!PpR?6sA2}$t!cw6$6sBo5yX>IN=IxeH%uz#GQMFmueJ8VD zc7_28iuuELJSDW8|UTf)(OMQtO$M?_!qh0nvpkP5BOt zl>q@L?GZXjie({Ec)<-af3Zx|iF-arVJBnls>?v6RM}Y#fO3@rT-x831R@>M+bKrT zyivm@UK8T*^MApta4{DF; zrrn{Am>*0j<>KQt*g=XAsJlkaP6`2eKArC^Sy6$Pup)zDRKm+aw1R-Ci*h35cv14J!y|)#=a%ypOmE)0;NEmQV3C=Y8_uP?N+^@bF(?yphd`WmgV zF0U)2L3yT9jAm|~!3`vKNh+qk6k-~A-b_vGNd^puQnlKD0BdIFkW=c)1i@>b7y3fB zN+*kFUdn}o*U~&s%vK=?C`j6+VUW^YUPP&!!ABZblG-hmqK=+&>?!67D4rq_X^J96u-uzF?G)9Pkiv+nwj2D5vx#LjR=tv> zRxM)_`}cShN{El=EPYO*dlL+kE|>5%v&-^E%37;a{5v`(%5%^d;UROD`4z{M9E5}k zPN}#!T_YSnWwb=MbV%QqJ1(XUiCAm9!=p^(yF!kXmw4-(qmOVq9+4Q5Ki=VJzQmqq zg+M|dp+*oYA23>roDOG4Rn5^3FYFU^$p^B62YTBL0?%)et?#N zUL&FzxDAn5v+v-VfYn^>lu|TBSQC=%xRXq}3t9cM_s?LHzj4?%x^t3lb?l0P21JOo zMnX3PXJ1w|!XBQW(m22vp|~dICZ&Kg53NMxnNBm(qGZqi;ApcFu;F5cpk7PUEIr#)Wi3EMhBvqn zL&nzXlY4e~@$!0-`Q#Eqj&P3$8cQ+hJ%0Mx`oqho&o(aZe!ybGY|i^ue*?eY?qk8e z!k>49QtB#94<1h6KSkP^B%`{@K-04_JELNuzLZ%c%$-8>nO0P$)RDS*HO4BYL$t>Q zZXJgytF*SujB|CtF32qP;fluDF_G_)N4&KJ&=Bz!g;2s>t)M2FILXZ@v$i7Vcrpgf zWpeDci?NaQ({faAVKW?U-pc$jC+Fb%M-P@qE_-l0oVDwK5;ai@VR>qrtRtDA6!%Ch z{G1Bog0>3U1;?7WL59XRs(GL<77!~j9S@{b4vCR9rt#9EQ~^PX!tD0=_**Afh~q>{xr7~Zw! zhn4mS(CB9QY_tN5^?3@5ou3I*m)N$4g_74yH}R!lKBosT2S89hKwv(stkKH{(-};P zSO&^4$fy}SVpF=w`QA!`z72D+nDB}2Co9D5%LjFdOjnzF@_SpNTbD|zX=f|_4TYCp)i*#oBEX2FtN&|m2H?xMf^5P?0CnFxA5e%b$GHY zwloIn%}&dcKv-{fyw6xx`WUC3pbMCK2{tC60t*AVs0~)AMRl4I3nNy^_R3Fda_mc^ z-$F;vE%RlqK&Y471C*!f6yiAC?A%!FfK!Hp+ov>`5{*kzt$2Mx2^#tmedCRCo=IaMFb zVe>d9Mk;(RveSh&7)DH+iDRkwAW*CrWlU3ZYwFX<<7m?n*DWen3LH>Muz=zvWq-m? zc20>gU)ybvr4+|)gFbDwSR_Dhw5FF?x0PxC7?axar?TsU%SOiX5qYI2FP?vTd4;6V z51&8(=F`i&>-CeXJN+lGuJY5c+da9u+uwjd0>XJ`*gCX@|U zk0i{E5z0=7VG>Ov%3uKYh}hzMPKC?M%H#{mKlc9L6WeICT3fL})Wq~d=QB%VXU3*Fj2U)>WwYusTh|EK!4c>Qyul4%n z7oPy4Kl$|HdILZ2KKwEr`~(3nZ@z;Ooa?{Y|7ni*-@MD8IN5*m zm+(WUM^9u5Kbcz+qP2;13>?#VGT5SR_n?(p;juM<5nM5m2D_%{YWe5Kyhfsk)mZSp z(_Cy6I88t$Oea(XqS`f~LR34a5+ovL5w}GlYfMxDiMr6yY6)9XAat%G@w9#s z7X->^v_|R+%?<{qTK>gE4+N~TplxCwES3`QLe@aNQVjh37lM|Oo2&_`XVD@H@7@6b z%#gt4tVt`9LI_e&HFAP9*>X86VV?YUup|p-&!xl8X+l8wfeePg{{ko`=PJ;bjw-;( zGVl$^N76zdUDM84w)RE+J z?o_ikCmq?FlaRd`DFBpBN&Z6P-Pr+Z?#eF0;s{&$bal9Kb@9pN-A`XUzkUuY)X(*K zc&9JNN}-s=vQKlsAuN*11+=fh>%~mL`YwLM05;+gXoXtAz-`i?bT-c7DF=Enrlt02 z8hMvujdyr)Pw@!WV@6OIdiH_ z5cr}#jhrws1h)|=wf%Gz5Ey<71q3iBwP>{v%IsOU2PPpbDZv*Pfy_ZKE0qN3f)r_N zhGe1#^x+N4VqEaLR)D{2rs6>IB2GcLGn$!{FsU2o@trA?9Zcu*bF@Zc$kUpX&CLz8 z)>4)guPO41?IYvPbmqf;3+b@ThIRdSpuzQ7fTq_+*V0Lf^djc~y=jw6{Eucn7OQO@ zCWb(h!F;FvQstRQh8R|81`aeTS4*Exj(9kt5IpvAFs|Gl(EIbXMO7)VN{s%}zx*wz za(Ea54q>r`n3!bDrRSJ7Cp?d`GK59_1BW%eU0vTz?Y?IxbP5hP;-tHMnFY$K^OPk5tV6^p#o)4cdlq{tYKuD0 zDpCc>6dO6xUxmNa#`*mN*BXZPAdMlSG}1Rx2lWO{JClyz(6p@MMwOaqA@4G>v*Wp1 z*R;Zol>keCu`(LrB==K*jiK|Cs-vu5><9{h4^CwKFHo}9NQH*A=Wv6QPQxw;d&UA7 z92SK7a zD5NoH*<$h)G6HPV)V=dv3$W9)6^nSOma0W&SEyj?Z%N$Fu8}tsv*$Nt3pP@-=Qn(# zUCTK`>2d+zO`)H-8*0Z0F$yAZaJ&sw0_GDh3uaX zk%kSoJ?#33gB-j@-RG(#O+J_l)99Vfj`gr9Be86avL6;jDU6ukjv7CpY{%LVkDs+Z zKYMSzul#TjVhkk*7m-E{n8lD-G{_x^^ryPL)||31 z*t(O4;3q&rb(of1k!nGISxpC7phK`c%GV3>BqWCGW|xI>vKcw>Iyq7|gyC5;aXc%4 zH>BVkJpf}my}Uko@$~tNr`KQHd-43$r%$gw>aW*bLjv%cbI5-ojH6ck$-|`K)oh&& zq`+NVmwm&wV}Je)+m4s{H*7bKfGKL9qWd>UIQ~#wR8q$jKH4|9dKD=G?hFVLlp>TD zoB|6{MuDr71QFs&G>Dc16*AeH!f&Qvc3yg^=%;G7&R1TlF%T8R$+-Q*L=RPby?|GU zP#gieUtGR?_3V26P10KDGZ5HPHk*#zE*Uor*{A$cqy_{zr7{!t;Pc73xM^!>PBL&xWUBJQxiJ;h^) z3=bE}EXZMfe6&~|PGJQAP(l{XpbOw;BRa>|{r#a58p@J)gH!6=|6owS6i8)D%vVWzQHR@BN>BEj?1K^)3FA+(N^ zbT1%s>Un9TmYy*H=J=2Sh&*IqUEE1>7KT#13QN{5mWo;(aPD#o>SO7Gw`XwsDilPRq$fcT zjEArg+rF|`WO9lf&Hr*TTwtz{t3(ftT)}k^sAmj^rRIp3ZTwMh4QLHu4VLpUvXCd# zlDlF9Wx{+tphwvG!?rV$uq8@qoNeUTwH*Sfj3#HTBF`SFJIV_;%mxxjuAv3)wL|Cz z<$sqWb{C78vH}#RlvOB_ZV@A)@!Zux1u^v!3U9|h%Qd1tTc;db$^oHHL=@Ls#RIuK zR+n(A%bal+VeRT8z{-z~AeeNmItFj-hlueT-S`-n+6w?bMi(8jjnLbEfa3X#Jmhv7 zSO?A?Hq-|;Z$+`q%{#qUSI?e)^YYmj8<(#?h3>_FWy7eh)9V0<3BSzh4-0TD0YT>& z-B07bAs?@$D@b}W_6BjFMDl1MI|@*4)}`{zAeB@8K0#l-OuA#)&@{JT#L&=Kj9G|C zEOP>)jOuD6%8X%G{VVxkIECn@&&T9Ll`^5rOlS3gk&#l6FvT9N@>Wp~DUiZQV=sH*gl<-25@ay>b(7~(>h27oYN4?(FcrDN=hB&6-B^?1h0h~8FJ$t|do zL9A*}q_VboTTWsEL(HT##Vep0EU>#hxFShPay3RDkzGpuPR#VmS+wC(1uYAsB@aGvMQ} zth@)%Q-mk5dH{WmxtyM&OmV3aWb6ks4A?aTgoDmzQgxLeWd<+Fc{ufO8Om&g5m{^+ zO)MJ4ajQC}k0kcH45Yq?Ae>|og)DU1wtqX1tBmOFbEbuC6GK}ml#WMJNQ8`g0NFeb zA*YpLAml7VBr%C3%h?{(=ZcvFLU0Hwky61%rV)%?!*sgPYG&$DVgNcMQ8UWsl?ttl zFt~l&y-zM)YaYO%{OErGRS(HP%n8~<7KqR@6o(A{A%w(?#s?9?Xje1{ z<14}(z%HeikNY2feDOl2p~9Jb9sbG;TOzQyO%Jq`2sOfw#YBOFlQ}IP$_g(ZD&VhS z;FQGl_IW3cwW|b4qYfBFTv?J-4=jfKrnPJ3rUW>bDB%4`+yJeS3gK$c;Z0L5MT!x@ zG0N`CuoC*-4z1;Zxim3Wy3A9zPUwa1xBz-9G?-0Z$7Awmqot z5(Uj2R}o4<6ESbMyymMiU!?I{}&ybM`VNoT@Vj8;GfYy~H0$9sjJ2 zj&g!DW~Za~Mr(?Gu5qFyY)k63;TT>6?w^`_nf2>$qV7j)`w4>845MRjShed6hfYW{ z*$AiICmbc`J5VT{p$J5J&rc?G25Cy;blO9eyH+OYjZMMz zI2yEbkt%(gJK~MlZ2FsVks9Y80kA0j!(&lpD@`!?1Vi8n4Dw8GZQ}}@LDq2^yOp}v z^8wv^#~2Mb>@w}9MWj(l41rvepj-!qCHs_y&g0rO z>6#=CWyo>l(>& ztUgDbijb^}x<+1L-{Q?5c;5u!q^N4OKDqZmqC*561mA|Puw}qI96H%AXjQNbd4&La zND~aij%M$AvYMSXkgPMh4!_v;U_tF0FE)@M3y4Kx+hP|K`vDu5_M92dQTt1+A4(!x zo2;ju>gKQ9glKE1UW@lUTxo0ElQ!tS)G$yN)C+G$@-^&_v}&NL$E$sU26$-sMPtq3 zgl2vUb`0YQ#M<-RpO>7kP}Sl}L2$qhPAf1TEO*bQi?8!MB2ndSAQziEM5@B)(b%m) zB^g98i^bOamLN%|X29f-crUWUYQ4AMj~Jp84VKvi$B54R2wsRB6xylnb+uF5JFTo% zd95v%%Xpz)S6A(!e1a|w?`i8#U6EjT5O%yD*3n(>8kyqH+T4t zqN2wt+0x1p3|dGOwTF1p>0&-43+PXV?+sA{_t) zQfrdsgZcf`TH>U*HAlC^?6THD-~rT-6N+?3J(}^J6_=F;CrUgTWa;xm$VR5QCB?qJ zHj*wuIW7xf=KWEcd$ZjiqH>TLXBWrktimnvsE?n&`rz56yhVhveFs`IO7b1kQF)3X zO9%r9@FHX{3X6JPNaF_bLmXEuHj)^EqPa6GO9LR$1#>6W@p@Ojckr4fCBBFT&8_b< z$D9B^5_oqdq~axD85XteMa0;=srr&tI<<&6dqXel3j+>Bv4 zrIC>ic9qZJM!EMK?g{EJbR)Q|8tLW;UyV;zW76I+8s9A$zbk%h#4RCAvF{)$BL~O# zO1XZ@npC9_yp6C%+}9-ONGUZ}WRwxIlld+x!kjR~I<}cgDP20D^7)-pbrpg%FWv|N zBS066+=$N}^-m!NqtxXJi8F~85cc&2GHVq$8Pa*Whw3|4@Zt6LlP6Db4tX={Nnyf_f6tHS&Nc#Adfto4vO)L{GZ@PRW~r@eAa9RlHK&T^UUV6M{ax1**oFU4MTB` zpuZU+)PR;(h6q4=!<@?g-85Ub&{>u%8HIQ)iHA%+n!;!beG3(vAUDzL37(5P^qX@5 zI8B@}B4UhlL3*4(kl@N1c@=vL#1vK#Mj{q3 zS4%fvzQj8CNz9dPJc*M^J%r8_shAN#X0~{EQiUZB=qm(@SC#FXkj0b9_Bibn&-X8{ zM}VrF#uMq+qFKniwfOpV>M9Kw%&a^v1t-AJBz)9U>Qg~8oYU9m)}(t+q55POq37R# zF(;V7Zl#bIXTy%;cTozdup?k=5T>6d^))2QQ*h9q0$KiwrYw0ZNQ?M;3v%5#s8D;KnnC2WOP)q zFc9cBEOZ?fzZ@tRzZg%WGzr72^R&huVN_qu#v;7V7>wZb#ky~6lN!-J z)Zsx_Inw5E_x@xc%kJC(iZ&%n{P8kWG#f>2p!B~KBl>z+JJCkygg3f8n&CDomMGQm zCMMEL!LvSXveX4kWvH`9=PT36RIYXkM17`^R!9;jVln07#Z>|aw~ppcrkKzaFx{2t zOkWrd+?kP)LY#I??_?8bDx+)7{Sci7!i6lPCN!w$a0b8$3HAAaE~S5HL28qGFP5|O zdoZ(d&#m992zmyw4hj+gsR2jAa{^*@O{id3=Tt>8&BYthtA6*xJN*j;0)K%2eb~~S zS^<8?Yjwo|S4urg*lor!&gLU2YqhBkG*i4J7G%$3K?t-Y!gTM5!VgQc{0+bodmf=v zQ|gL@1MvLdgvE7W)noeh=L4Vq)i|* z)wOGue6151G`34h>>7c|Pv*oW8_Tn*K)CrKY0n_{vxP4L2@eH=Y5r$`khlS~daF9D z84W}>;Di2G-aJu+B~y)xhktmYwA+@2DRS)^*%Ny(jlk?G!uG7$rWcBhIVCyYfzN1K z7P5d69ybmPy5Pk-C&?So;zw;$Y8y3R4YUAWUBD2rzj_bsFh3E9tVbmrqErv+r(l&) zrHllSolO#5#Pm=t*rjnL_kVY_-?gP?Q(lT8owUuf7i=l{{(VkpTGg>avnb;Uma0PPB5T zz!_m~Ms5$x2s2K15@vW*0Pzt2GYK*CnAjA4PYg`}F#wl=%#OH0&Gto|es|PlMRSI_ z`KkJ0R=otpqI-E*6)q8PP!le3+P_RT$pg?0PKcZQ5BszJ1j$?p#}-79tbO?v3pAK% zFAh13M4p~p%Oy#rD@1s@ajZe-@ffowoS!0j0YH2;lr))vBuJKMe~lg%A6z_Jqh8Oe z7nf^fiK>i=oI6ljnBifBh>^Xp=Ztm{C7t(erHqIU6|nvU&x=bkKBT)T-^5-=5(Pbu z_$A9mxGvad;E%=m3R^5^5PYEEBTus#j`|I#0iP~POtM{gWFimIm~pUt0MUyCWP&TU zz3W$hxDyR8A;W;q*Cet&tUAj9h^UlRr*K+7Jx2kkZycD35*chDacN9#BT>a|kCdKw z0BK*P7^6TS3J*<9kyp|n}dNe5oe3q*`z(g^;vP zL<(`$JcP^S-_tnF$iJrvG4u&Yt@jWlQ-qHZ%(R4as-mWGxZ%~JQL%xa9(d>U-7^8T zGDbpA>l1YMj6eu%s6um!;x_5U3Gl=TdU0!^Dag})S=l5X>f9tz0=P-LwB<=4cOO9m ziRjV}E0$`$v=z4MMo_31g3Jo$d-oAg!q95{6-Y?egbFR`oT@|xf>%HqhFph7lmnGp zx2EVV`Qc{7I-8^1anQ5yAg%oYImYUN6sK4Y1ec@dA_|wG43LQBDEkK>Ht*ba%fjRX zlUu`fw$UXj#>Pmv-rnIHY#0wjpH7rJtqa@caBPXGSC%>}b1K@n+9l^|(H4w9Cc z^+AZyj-2U%{1V#WVuBFf6Uz(6MpU#G45B|l(ypC#Y|F>euWcIlqvW64xZ<5Ja}&JR z@pH8xJlmb18DoI_j`0ctbj?&eaOxHCi_vcMwF*bxl11{8cR`*57$x|F;$k$O%phkh zrYmnGOMia)Xfs$_-m2YQ{R_kG%%r#3AD}4N`6I{1uVWTrCXhPrvoxUr+~ zDp}5xfO(8kYL7V}`fg@31RiZG()5x7c|;h@3Oxm2<7PV$9IdpjblwA~oT0$AK3X5_ zpCauX0h5%T%EAa^7v^eXwq>mQG2VfrD|TYb?0b}Mv3Pj}3%m~Gr{${NagE}%b#{7j zNypb^M`x#OR6yR_(;;QfiW$zbRjQ0vP?D=vo2jej6gmVO6{Mr?nr?z?T4WsW8p(*o z=p~NPm4h8dniNx~rwFmksHMa<&b(`iG0cEEu$&;eaXuV;y*rbXqs``x$)qyn=K7d2 z<>vaBGDYiSQgU+RD*lQg82Xa7JHKJj(Wo{>u!tMy)tc}d$|?SZ6pDX`$nD{Ez$#_| z*&kd5`#CR*AsgtsZKfp+JSj)zNvy%5By)-k{rxnJtQ=BAQFod2nL!B06k2Kk%=S

    xEV08e`=7b3X(-AxP!$5g9M>PU3AddfCLqe4tSN;q@~ z&g|k0t;^>aS;hn4J9tlgToStKi%!2Gt$8#Y6*IyX8?QoYI`TMZ*~&WqE)!3F6K<<_ zO$_7tG`V*|gjK@WJzgF99qhg8bL4Wjbqz(2hZuiAT{GIi^79VNm88=g-`>&KNxZTu$XZYwGT46bDLr(X|d?xw8FFnr1kpo#pA~> zlCraA&4TsUd?!LMwiI?nx!=SVu;rE9wt!y%smHfz&G=T0cS9lo9tyXitJpUvGXC`I zpaW`C8H;XR?Vn2HvBf?3n%vpaHN!EvI!|yJ`hWkk=g%&#*}mk%=T|S;$)_dmBJN48 zmQ3GBCEYFhmlWdx0Q<0jqI&|m%b_3~Zl6Y=26unO97dZe%JZ5zOmDY`5HIX(^FU&} z^k#)9Gdq>GI7Lp$U`p99c$%8Y9s!1Q-aXmqqY%#(ex_uI0wmM5kZ1o;{Wm}>n)~hn zfXq9FH$;dhVqfXRhN}_=^sU9lD|Vel6RvYE)tBY)&M!B=5@U6(T1{4gRX#3*rtXRj z&3wd#2j(B7HBi~luv9!BUgpK)guTE*uvvxyr8&eQJlai`i5^vq zIEnIwGOCNh4X5)Jmg~JD^d!jeTe~8dtL=;{RYoZk zBYJ1TyI40`hRk9lzDO`fQJFyBi8c#HWG$D+g1%4tqlaSG9ymPoN~wm~&d{?tfBWpBwWPai);--@r`$D1`fQNeq~su$#_x^r|;ca9E%b2LiA8hW3|1l0f_a1DdIxl5&A8aex#Cqz_l2+ zfy~k=mM~B*eVR8mKvi9puOyx3#l_WQ*iDGoPEB`_xrrVBI(DaeS}q4Pwgv_IS~M|G z+}22i+P3E?rB&&zu_8LB2rJuy^k${AGVulBMau_pkDZ^LoTJjl#WMyA0AMMXU0=}a zwAOzvKHB)^)2qjKd32|rQXMy12YUeD$|zDX=4(-zA*)e31V?KKV3fRok*Rx8&->ll zqhV3QSWVrm z&#^ax#Li?@HoZcMCOx~8O{6x59_>Y8QK=Ln;pD?X|E-|~v<$XmsvfRB5SFM=3nZr! z+YDG^Sa(x+J1L1aku(oXC}KXLPlgT{HCJebWK%B^RIH3D9y$q->Vc{mAf-~)YrCRv zRxM{slCS_1R-HOS1OX>6TTKGfHkM{n6b+^)rwIF2bAVN^wo|el6baa1Se6b_ai9%} zs-)fj_-@U%ZI*tO60VT_ zHibZTavbhn9j)BIK2M*ZM8l#$>0?SvED;AsQKrZu!#sfmeCYrdX#Ehx2ckp|c6SyM zOk~{oTj#6*s6n4VXgWgpTIvLPo&e#@k~kQ6@)3}Jx}b$X?TW~eCQI5L^|!5lfY+)3 zr*p)C7$l*a(4ybDx#?fGIg?k6Vr>V_JasZcC2W>Jfcx(qSTCrR=d)VmDr9Um-W44br(vL-_u@kfya%Zt!xV&n3(T$qBcViB9PSXlW! zTZ0kUwk2M-laIw*iPYm-X5b_Q;{>~aS>DptR8Eeua$+B#R&;!79-7ESoSBU9eIJ(+wi$>gvDd4)zO zXZt7!DY|)c^7d#bl^RV}q96vA;F+~h<5eJIx&Yv65aaStN|+t{HZ~T3gTP^s2P2vc zadJ4^L^QBQ7nw{8Xyn&{K@dpEgXACPLghUXveWVo&_-I<2voaLMUbDyusa0WCAvMz zEhxB_^nVC*{A~;Xb29fqNQ@|N2G_`~H^ZDr)pne!Ng_@3mY6xg6_Pz}i>s&#JY0)x zSk^)bJ)}eW!_fsT5Bt|$g0*=xmUue@v8{e1Szm7M*lal{!pQA`OU))Cml(M|pHEC> z0BBj|DwyAM@jRY4loHwW`IAI8m4hI_B_ZlA#Ji4;DnqD*9{6T`xWYI)CUQLyZ=2Rc zjRzo@_m0lz2mAMz=mUk~F+kSC<>CC~eSo%<`Z+uufgXjQN!=fgu<{Sa7EQA_?RJ`T zxEW7RS@gQaPhHn1It@dfcPOq1OSv@ZHa6L8n(T^iVCV~sN2eMHixw}l_hHdFUM&zF zxsJc3!V`j#$Lp`di3t@_to8KxrNC9XN9b%5GYtV$W>zdqGKS%K*sXA;vO#f7_as@0 z#L$F(Zv$6)x1@bv%%Hu$RAm^UoPf=3i`oz;2_O=UNHy$wQ2Uq#Eem|4+L^I_r-uk{ zlDdDkCM0&FNq@Ah`G3=96q@&LqZ9B!?g=BYV-ue=$1GCxk@<<3S`vh!D5EuFk%}k= zOGsvtVqv~mkqWZd3^6E?Q6M~1Zu(9L6G^&dr;&Y}iRAP? zFWv25R`$bka5+)H8zOT`&wpe@#wK@U0%g0JX;v1qQI7fE{qQl)2Y1+$*G@g-cwm9^TC zf|$y(Fh1LR1#TG8U^thGFLn=A&*bi*XkcwfZ;zDA-Te(_`cF>}V}QfPo1Z^<`X)u# zDCZQCN(D%ZO<6DJ9{ipe)f)IKS&2X`pM-BM7V5RfA;C!SNPv&pP?ke z?v!uv)?2M-yd7qLwg2n*zt2Br+{y;X;aa^3(l$|pHcs~#Z?mRb0}>#`;vhKT ziD;LQx>kr99u}XF$j&(tiZhl?C@n!5A7{;q{BC@Zhh*_aRMC{IOd_SZ`{eFNcRx;& z&5>~a82`J(|DND~AK`x=hmVMHVOG`Bq0g)l9t>7i#}xR(+98}(Z5>;#ZH$6Z4sNQ{ zD938L4x>chsn8Ud6^x{eS#+Ar+DjWoE8C*k5mCk1ZdroOZa|~2r4%>A7^xGOA5`p& zEV3$7y0x`9vay?c;Akj9)FrDXrscMc2^zuYdUFxM7m`3 z)6||z)yU6R=?y7VxYEc?y1s5kV_s<9{52hs#Ra#kT`5oidJ{V$OEVuy4vXhvKb%z5 z#AP&KoE_i0hi=l*UmNW+O=qrDgDy|E*=H~(6`V!dY58U%5+>t{qhu1rj%N&{HD;G- zNR)dW=5VT_v@UA-Xq>R2UYeubj`NhLt$KKqAG*2Z`BG^;{Zr_gy9fYT(5k`F=H_61nR0WmzD&_zeZzN#Nj+E|CBQHI-%eLy zKbt@>b@LkZ@ZctB;KKzaHMhyUFR`_NWH%XfxL9Q6P68{5HUdaRLwHUc;0LbJBEWGOvJT8H z)GVz|uyQpNmg~BIK7oJ{ zw>#8;mhE;2uHU*C)k`B{G$GLrZgnG53|3WqF=_c;4js)gUwc4aR6EPy#g z2is5B1EvrzQJxpkh^hY#B3Cw~?;Vg5E3fimcW$+?NgdXUX;fL(jVhNgswl)wn?dl8 z&9Jf%KKb}`$Oih(amE=o>>fx0(rl>49H(oq4)zmnAn*GJ2TEcoWVpWYn4 zv-!^8&9)Z5R0n>vjVP~1b;+Z9D#swpJCol?&yOg}%;7Uaz(6oA-!My8EqcY^?4bKf z5iwv=6nO`835Vtd$xg?ZkXXD_B>;HFbt;GXeR|^gzPiVJwR0VlnQ*^Fj=8(O}8_|?HEeb zEZ^Q*?9%o;U92Cjkfx2FXXmRUZG^;1K@k)hiFM38+(A|w%#*M`q~W=SEa6WHw}8bb zl^AC0)k7}*QEp*cYgzNp*_IONnjeRLB5ivUO?Zi|Dbo$MLlUlWuu_(ACI()!USB)| zhOA#c{ezbl#&UiA;^_ykt}oZ?4UmgCjN{jLfJsIOX$^ApfH7L@6qMAmGD+bgHQJpb z-wjqH`~efs?S0vh3lNoSh3$|sdI)(Sjl-!p>xPs55CIRGusWQivK3RIm8A`_P4;!- zHdm1lF9mAH*QQz91^lE)Yk1Z`!|_vqEOU%thJdbGX`?n}Gl06=45`5g?&}`&b*ayw zwoUSaf{TdmXf%^S%B3E_JO<1=dtaJY^82DSj~0E=V035o>n&F31ST z3>4zmYw(E+qUWpG{e+R$Cn#75l?Km}pr2jTj!Ujz$VAlzx-8OomsD6tHRB|zY(H~Pj;Hu_GPkb)G⋙)-dtnoG18eVX=;tD=-RAaf7PJ$oC<&CqUU6 z)3;T=Hc2WFL{)}m!Pm2Ups-o;tAlqstT#*az|<@4?a#|$+43ish|APE`Sz0~1@Q$N zS9e9iq_zprxPwO8?7W>;K`6=77S^1_t^+)3+hA!er6H zE*_p9rvXr1Q~YDhaWBdi!n@P7)+OyS=4r4%GhXG{?w=@1tD zlMeX(itChM*|(7y9G;`%KjNQaIZ6NWY_uXK*XQv8kPq;gD(rZvq-5FKg9=GN_URt- z(Accyf%KVAE@}_zMRZ;k4h`tKp%hIyWKY8DK>GOAAekURHNcil4$7V9R6&^9?PVdu?zj%4^_(k9TuCF01pB~P3MYKRK2FXqT;_<~7 zF%OV5QT;Tjd)}$959vp>?Fn>It!g|#b1njf!5qCspba!yGfzP9DkUV!Bb3(*h*QSGo8Hy)PsK`hbbPX5rKL4Y;yzlL%R^DY6B~PUxGy&k z=riUmkyAt<{Bztk0OORSMmaabjo6hOJkCKqIq5fP56Nw!R4?!> z>Ig#JtEc@|l|i`|mf#dY9#+yRNTGFZfowJHbQGvuvKwK?ZIK5*FcJYpYt(B7 z$g zo@hupXG^QpvMnWm&crF?pl0o6urwesoh!%C59r!A?f@oyYdZiYVktLb@XpywI-=i7 z`ImyR_W2H?Zpik6)sA7vZirF7j-KAheOqJk&dDdkh91=@y*;)V7D3(~)>O54nH}|! zCu5$xjf9T-;qi%so{BpmjB7i|7M)fn#wD+ro|B?*GT>`j9TrGlfBqpOCN*F(Ws=)n zo{DCB^L8e=z3HX0SlcSp#-p*pg7r+TjsiN^FXXw4rhXpPg}PMdZf0zen*K2`4;F`VyHgLyMBHl;+mk z9nG!vysv2cDp^mpUko?Zm$5jJIw)-^k7T(^%xlEKl`%*|PSc0xbI@56nAEl6X%k(B z$J#90N=QvCmJ?!2;DJ~ktBY+I2pg=$S`|V@13Vi*J1rSmihHH~V=yQM0i1ee-}r$q4oGX6D2AwmMazQIUs=Cga0QUWE8q43RE>b>y-560x&$az(5BmM$Y+h$T#D z#Vqqqd(=;MvJiaIF(t1G5wOA2&uVa91G9m!WXHaCpq57VM4QN{g%{?m8%5OM#?)N* z3?nBGCWOLV1FFK-BtrAb)4Iddkx})SfKwALrCDeaE6Z#k21Z~t5&nl72**ckLeAQ# z1L=y(x^)P6BqKSYCW;=S#)l;mCRn+%8A`K~vT|MS%HiO4cO~YyPB$G)qAQvzz8Ywu z^A$4I$?BMuKLZP{4eNTPWI)i4A6ZaBQiA=nGX!oUKVpA+#2_~-4KZ$61SAfA?1kBK zv-UXj4b*L#QzuMn4R}5*X-{CcZel9(V@!h7$nE%XO|B$7SwdjbppO1W?TlSYP; zBqb&69h%D10h*lP&uNwwI2j;`5<(cp;gihA3j_z0W%u$-U!Q0 zBQ;2Bn3ZvWzC?sUG^i6Z^gimGI`I=tN0=5#7OH@2s1piwu>T&?7-z8auJ;d5&KW;` zwgT+-4o+u-ha0a(!#jOc8y)G-z=)HgSM9BK{=v4ItePE2K*~ZyCZnl@jvd4_Bj7;( zhfhemgocF+wjiXYD zqE>E3oW(F~#PrWq(_HsMX;(JjbdDbkUn0jKEG&IvO%rTQ(KjY!F2H*OzlMIM4M2(j zPp=wFw#{INtPdc$oBA>Xy-BxG+1a2;y~&MNWcK2k%UP+Ot1d3)w`z+tuOXHybk-tG z6nInqGdLzqFo{)K1BFnEa-hP)TT_f*3wu%^>)kO|HwSI2%QB2;$9OC^n%?|h#;-uG}!ewL~bfiyB5+Kd)lfFqM6880wGR&5r2ECc{3WlFW=_mQ3_mI$j$qR z_e#K?F(-oM4|Gu{$dd_Nx!G>Roq@no9mK_8!yU}UMC`~IlaRaa=%PPs zxTuEHz%Skst`Ucfsy>yZ0v&MbP`geL+jN+=QePBfFjz!Z0v!jeg3iUm{&<33v3N8&#Hy%;A6GC8M3|;BY)*r8WY8ZCq4Ltj|Bwj-yC`oLM84rfaUfI^Hc*}H>i-d7-L)Rp6#IKGkCDQH+`>+{oZti&K24L9?obx^WHl$<08(R}dQMD+2 zJ*_vkRfo!(1(HH+PjTtC5iwH3pGMxiY&#M+^Cs?#NN(#e4m!vQp+%73#jzvSw z26^fiGD#t20T9okf{eWj4r}G*u#9~=2~@l`SfS(9X_1l})4@0EGprVlkQVL!R=}vH z#KItla3>*B%9!)uD2KJy9No`?b%rl3&R0INoT1;R@DYn1h+2y( z<-ivjmGJ4(UL#=3?tCsN9ylD+{sP>XLd{^ofmGuoHQ;^b`zU?RsInLxk4am*yUbxb z)l^c^?Kd`FLB>T;-1Qwq^oQS^(rhIs)DrOSy9la4TanNp4{DQ$3WFIho--GEu;KU;zQr3e7=$MGq_j8>?%Y zCxq?MNi*0pKC)@FiYMm-Z=-U+zpTG8K-oU@U6lyD`jCHo?OJ(xE%HsV7r`Tl$=GLX z#!{26UCARhLD%CSTtog0P7MVa^}2TO>Yi!E0#k_=nGYxg3cZ~UbHQcQAA+@+Se!cW z#DoU#1;uArL|~Li%~@OsYvmi~`1f|rly8o@g*vLSm=Zc12zm6up^Z6vh2vYC$vr?# zXYPyCnJZ8Fz_w1NSyz0_!pkVDMhEbCY=hGmrs60|DUN z!af4q8f8W85_@ZyfnIe=n%V7}5Qe`e#|Kd(6ihA#D{QtpjbD$v4Nd;Cgnq0!%t-*a z{>IyqZ^xrpYbFX*&9--c32xY6dT9dH>^AGbVpY=^jNZ7YU7I4CmLk5?F%cB73@uUf zV*bBN@YXLo6B5=^At0f{PERG(obhA=J4hV`13g0V!Sx)udM{2cuO2_W`e=XkUVn`U z()MYHglBu`z9yRd>f!O~z9^vtaY5h3{;Dfgc|yvQ;sVeJQFEQye82@>QO%$UCU@0b zs&iyLO-of#Qo}%9UJP_pIvXk^?X8C><>k9`e0Cyk00`!2u#@{}Ojp2E%~_QWwu@$P zb2Z+GLZD(L9*q9`{h;5!g$iLMd*oLT56fAg+5E zOc>g$9v(lik5KFdBtZ0lGn6&axGwlQKnWMa=Iafz&rbG_t)k@SxW73H`a?80C?{U; z&G-ZC!S%VIsAdy&;wdcV0yyLzZ*9w|6o_!E6hq9YccU zf3KpTpE;z2^QbIO>sB36nM`Nos3AQv1I}Qa>d}neR%}s^8rOn2xu zb$Ri4*xs0{=Pxd=U%j{zRLDEEE#5~U4Zvf%T7jQKfI4IFt(bvE>P`h`OJ%?4b{%Sp zyT6U&L*YMOv)R}B>hiVb+nQ_$7foBATySNKaQfW@yArXiHmo>Xu!2L+6Rm8*V4|T( zCegULiw!rUNyg2u#i%~aNqQ3D8R8!C>Or#)B}O_XR%DP{ZY|FTMU&0ldr zK`&eLuXT(%ewTM}`r)*l-a1PSRg41&=5nrJGwo$JyKR+QS~)qBq|8vscyHC*QCA00 zr(Y3+e&o{14mJqI1+ZWP&E*f46s19wT?u|QMxp}P1Nyo?BmXVJ+ zn(1%MKFTV=cSSF2F{%LP97)>Fow6#?DOi!|2`c$WXFe#D>Utdsn-(`NBw?5B%rr}G z9foAzBeXl_h2?~7&8^|9*;u(DAlNhrVMEM_J#1x)(Mm9kSz?))U>MR%_uvm)?LUJ0 zh>o6R+>bB@dOe)tC{Agi6t=2C3z49Q)8KN$Jo)C(>xQYwrw<6oI1eAiIY{Y@<+ve^ zAdULo(cu#22A1<7aYr_zh%4GuC!l(JsDj*;Nqm(MC+t>ASVE;Re4kz#^Ep`@*dveh zLZ_7GV3nDqp!)BpWxj=4ntw>f6qq7*G+oHi+>RR!Pym)&@L^Jr0KCQVIVxAk{;MbfSpk_?2yr@C5;B$xQ~@5mUd(8T+TFd2-}AwI z#NVi}fh}iv*5f2T3y{qCtnwW-0a)IHVKoHdo2KYq>Kmc5q_coZU>ecgbbZ*(V0j5Z z+Zw6BYM0-5j{r^)!wye~^RT&Z>9EGwT1y=c?W6}m6#N$`vJbp@}cyI)@d>bOz5g2&QHk3kC0|%q9Z9yw){$$ z#hSFci$B0VHUgg7v7#q$q4 z;`#Nwv-nbkAL??O5G7yE{+s;Y&^|jWgXg%B1Wnv~tA$pyb!k@}TU zeFMIj6|wDdyTUS%#6w5^WPmI|`yMXYF{;msOfnYx(ViY0hdoe5|4NM4!4if~ghI|w zq!u?~O<6w${}bM7FLF3v?pvPa4y{*O2yK6n)Vj&eRxm6dSM0L4R)gYfdcIi8Kl;XI zYj96g-EF2`DvnhiS-FF(R%GEw5HGU5g@+?VSWjoMw1^6JTYr#6NBymx5bsmw@dXML zw@#RTOLb$#6YS`RX3mE4a&TG70uh?B%);%;5TKVXG#+mM{o-z`cU9wK-FZ1 zz9t7NrTR=rV(_{1n93}90blY4ayF=QSWsEglDZ6J&kzG(7R2_r+|xf90qpk??po-J zN=^qmsjj}$-?0dQlNqD?Oc#bjPz18+1Ia;=UUdl8K_)3Skdjtqz$s&WSl&Xo@4c8S5ovGnP<-6fNaZ*xTUghq$|@fvwj@ zh?-4jCBn+VN|JJ|vdt2rz#zmGYI!J%VViKF3<_S+Zt0CDa`@Ofam~(0oDuv6Uamo z!Kwjq!yWAk8fXus%LF7TU8O_%oXC!0tyi5DPQWvG=#opjC#5$D%^$&Z;YWn*X-aA# zKS4-~g)Cribc+Llo=*~;Qv{eQkQ6x=wIWmY$OO* zw;B{M2iCy0SFt6BbgcHa~B5{EyQ6Wm!2BhN0-;Eg^1!K{I!AR-A}LD z)=j23Bj37h*t7v>>m?BrTs4*)BOI6;gub|@x*=^DQXq{M$kT!4pNVzF>zY>ruN&ko z+6|Ohovn7K`)WBDrW&IWx7qz@nH&tJ@}T4{ND&CPlCpuT&sUcprM0`JG>h}~nD7EM zdG$Vav$UQgLzV{72>O#QG@9IwMH3*A@2`9&m0oVN$CSeI=n}97A41GT_%R_PFQ8~h zFUp$d$VAA*$Ayokdus$7S*IhN>yPAc%B;_L&7t~ z)bN$+)Ad)a4e5K9vh5Jk#zSM143qYcOmf>yc%IXYu8Oe*D+JUTSi^94BeGl} zK{dRMm}=Aosiz!civdB{E@ufLL1Q+(3=#e&QUf<78PRW*Rcn<>6H5qu^TrurL9aCt zL7+rV{}Ig}D*(rIH#G&j`U8eWS*tZH-$un_|HxY)cCiGayL4D%LSv@~4qcO{KziAg zX%k$A5O3INw}A!9??kl@;t22keW>TP2|J6-b)u9;%_bgEVfR_X~1?S6U zw_;G<4NGN8NE0f)Bd*^Zy~Fe*b`T0CqEp)!m?aWR%;0(y8ecH@$4C^SEz-F55$e6$ zK4>rtJv4}E37UXxQ8rXz@s&yZp6GFglyX8jHZZOj1O}Hgi;Az;()ML*?E2EHr_ZjR zUZrQF`H}jgl0wf^45Tm_QUps;h_7H#ZBiHb09DIE;F};>ZH7Zs$DL&7?D-<(=$mCq z4Zt89(pPgToK*5nsc?>q*k|i;35e(%@^`IIpwWi3n9el@PLuH+rAfH$!Te2OXrVTe zo57e?p)|!ms5MR2C=n~bK|)h#-@>k8eFF(_Gm%Ezvc`2<=XJW%MQB!>4ZArE`28+> zjFp2D(-0u>Y;Og9O;#$4)t()nrGaE7U^m+-uDbCDRtCrBS+u?vncb~{9IIOwn!pZd zlJdk%WKH;sGvF|$OHt=APK`tX5`I8ad5aP0EeIAdo0#Xo=(t}N79NWBfm%Zhd(Y+h zo2KZUGvODHL0~(2!ZrO(b-1TD$co7ln}7%AxJ%{H!c76GW$*<(~%ZCEsLAqnrtNMAzwA!eEyYjtZ7DTg!T(ZoZMA4NExMfY7B)J)0 z@l;B3ceuGo3Ns!IQa-sdqAP7IYU0|UID!!WcVN=q9zT4xW}|1W5z_}O1l>D_r9=Mp z0$k;U0^3bkWI;y*9ojoiW}4U?B(tU-gdNMEwt}!_$lnCe+nOLRLdK0xBQHj$JbMGD zRHfmc5${;a8;AXgos;CTl#vvCPv?jq3$Ushrlhe*T?pgf2*g&P6)hdn-`E$aoUyn_ zT(f8mP1mf8D(boGlG0R8#a;Iibq>4p40>e@y%*bu`=jeq-YaUIDK!`-VIP|1vG&s9YS_b zrsyGq_*PUmiQ7cmQI}QecB36^3HsVX4k%3>oS!TNYZ|2#&V6X}PCh2%J{;3eX$wYD zLBfl~qC#B4Jt2y6O@!F716q(mv$GWeG#@A2QlhjTJ$o=aquh6ZK1+9APb(JHu33r- zTIy~ylodjRu#wNq0pOvy+(v;@+hpl$3Z1msqD5S^3b6>WaIU8D5lz>qK?5gzd=u~E z!?KcIx+10>OLUdeZWPrb>E@l`n1KJ`;w8K{A3l5a_)7Dz`#j=dIpUgHS*N;z z5hJr)K`Amqin3y$yOgl_2FznYcW}hG3yBms?;T#eW(Q{JqH}Sl|89Td17y|xVt)e% zKQ9MjJ;DwuEoLx8AeK>009>*^1&vHd-WhjVD@h-e2Qtt-+$hF{qE(hytiTqy{~>-- zj6e`6#7!1Sdujv{E{$bMba5$klwd(?OtHXiK&|vuu>p+(`OGNLaO@Pwcy}2@1JB$3 z34D~5vOJynz!2PsEC!LM)PM{WxpGt+=4{PTSvW@9V+SC4p|~)DERfi^WWa&;#C;u@ z5=*GCbj0!q|0YZ0Oe-7LIww~q}6`MOZ{fK zVw+S05~ISbxJ>N|6VwOYF%R>6PXa#I)-qP~Bm7=^<~n^R>GqvHf+4`+El@|v@wuVJ zr{(~);w{?O%U5U7FH5>}8W0Q0uJ=H7W2kTl=7dskBQ*(zt_i}(BCC(233e_bMkLrV z&nFAy;pST5eS;KKq}WBgBpb@9GAW3Te~#A+dsQx>V~%VxS+WErfb=9-(m6*#p;K(a z?O;x>v;*3bx|e9O1EURgZG3QQc|7BTc@`X~yoUu<1X?Y^&YVj6M+!K-3Hh$LC%Ug_JBO9bOO$g;7*%I(t?iWiT)W2DH`6Jz`E&1w32@4v&NR z{j80P*)@w9`jruAp^_oXr4th*s%~cjFE(O+H6C)lx18TcRHTMO8U#;=L3|Kn2lY}l z4h>DP1Qd%cOnrfL$WTVKaJxVsAzAQ|=*xjbSSiaQgmKCjJU%!V6E4FcW4)u+kYEr< zniJJ*f(Ud7;e7}i2IH@3VQG^b)X5Q~6b?WGCiWjrxYG6!kWy0O6O2p%D4(M%Zz2Io zrxe0EMS@9;Z_Y%5$pe!o@L2tg2}$9G1(6{5NRcQ=!WY*!>>f(f`kS;AH8tB1F;YcsNkt7D(M&XPdL#9R+$5fz%(+)~0dB1@dv<8SyI+>S=;?TM`T0BO*?4(%{SI^XE?{;3_ge$g^B#3sLN`F09DlMP4-@tq1J>F<*Y)KP;~cQ4i)G^EtwNQ zrVHC!LMLTG1y|)C1;|W3r#`_Gh()WPcg$#w3RWcOs93o?+7h_m5@sHNS$Z(%P7N~q zd|dA6meP?MIbg<`CVeX)$>p>D2kIR4Yc@jqQ0|C!?W&lbo3Y;pY0703U4 zar`e7$A7Lk{+Ej5f4MmR^TqMMS{(mt#qnP(j{o)I_}?s!|E=Qq-zkp&a&i3c703TU zar{?`sObjyH?r?c#W+ zIR0vJ{I%lv7mMR*aXc@Mm&NhD;&{I}zF!<47RSfM@q5MbX>t7i8{bF)6dd- z{TtcwmwJCYJO1`w4iVqcJIbE$oxMMv9e-EvFK5TUt@n4cbuhW|Nh?n?D%JT zzm^?;x%U^cPC9pCN!huQJly}y?oztj7v z?@sUkmEQgAc+mSIJ8pV^K06-v{=4jW)cc;_p5AZV+sTeMdmm-TTfIM<9dGyk)9iTC z`_1flr}yRWN$>yjz0>UYtGz#(9sfe_FJ;GH>;3KQ_}$)*rb0-=yzKpf?0C2L&t%8@y}y_pANKxcc6`+PksnC!cih{{j!$}jI6Ho?_ZPC`Rqti{;=2ok@S9#d&k-FW$%w<$4`2H zDLekC_qVd+k9$A#qv`#g_7>UkALzZ#j=z~s{{Pv#2dFvH^x?xD+qRv&X(P66C(T%I zY}-yIwr$(CZB1-_cXj1qeW zKZNr7A1Vile<<7~`k`=^_=mz(;vWh_iGL`}B>tiBk@$zgL*gGUc8PzuSS9}9Vw3oX zi$mfcGPlG(WKM~H$XpWtkQpTY;bcnu!^x2Nhs2gRK|p%{I9d=+mnh)xi38#!0ddlR zI9WifjSi@r!|754{5@qroGKtr9T2Aph|>nd=>p>P0dawv%435e?k#PtH=`T=o+fY=!j zy8>c&Kn#SW z)oE}T9UhPWWpZ1b$LkAe6k>9OI2+K}%Zt|J*UWeUn_Bwt3*DJF$%^JJvxA%rBL+r^zWh=vJm6tX8ATB~R=(IURbN*KRiWzvKHGjHVEe#iTdeoL0Nf?6g}9 zHk;3`cbSbwkHu)STfEw-kpyTXXWZjI7K=GVUf{7h-8Q|$VX}M89=+YJciO!ck331! z;d1Kz-_y30Q=TxOo*|#Z?R5H_KDWu__IR8=d8f@Mr^_m-?KRoV>{zHgO0~244u{3- zG+PaJIbMg`V)NSUE|0@$ce#9Cli6Z+`QOnz)Z0qVj=aNS2yt4SPP@rs_4vG2ughW5 zyM6Mof3MXkzjouYo77w*?{K%%GJukG+%}t2FV7V8I6WSR)h9`8u*o49-4>70XVntG z<7(NmnS71W5u&$w++M3g^0Uoumozn-T{fT1 z-ei=_VstxfW{b__F*#fgi`!|o+4VlBdi?I@Z5p@vr~GL$hL}ATyPR^poPUGUZge;$ zmm95muU%5W;O+VC6ijMAui>34Gx#vWsuY9w)hN2w^=#47N61S zw5a>2eqBSiTRARkh}Uaz`6Rb_d>onTEHmgm3?ZIZXyXqlP?561?J|4ieEFOnxgeyVa~Uiar(_k$Y)-SR=~v33hVEuf)sL4K zd-YzkL1qm-W~5zPGGrJ8=kHhYi z(o!x9DeGJ=o80WEd_!X+`{Qy-{x-RdHjg|TS1vxI*(pbEbr?JrvpgtQP59<*`D}+( zKEUCSBd{ASUZZ49lUx4Tolb+nW9GtZ(Z0F6ZK!e$Nj7l1omP+8V|D9IKC2|GJZ0VE zFj!?roU%#QhADf~>ie0px#e0hdR#__+vhe&=_wh(Yt`G7JZ`g69W+-z+Ul`bJZ_)K zCq&{^)|*`h$vtXa_I-b> zk_twr(JJMF)9i5?EH<~@XK+Xr51k zO+U}~Qot&Oxzu!asVk(_;gqt{rI&ZRWzW2l*VHued@lv;POm&8+URguC97DxUbD+C z`9VHJ+5&EQ1hR7ezn215X^Xgh^5t%;!)lh|)M;}ltss-hWzsvXYJv1mrGU?7GD~S| zFdNNgDZ6ARY+h+<7-V+eYWDclH2g;?;P9H|nbjVzzm*^#?{Y{cmki+0J1lyuK|T3x zTxv0(=5~+4?6pY|X>?hQ4ujcgF-iJ3tqzmb;gp?JtCR1gfKjdpn`AwsS8uXQVQG;T zmQyMelgT3`A+`MXQb6yL%gZCpGlN?WTuMbrBD`MmAv3je0jM+ zeYwpf)w0R#l>Bb>S4TFR(&m(1v>Oc`t6HYY%Z=*GCGT0}Al)9P-RG93jlp0r`Fu*n zB!z+GO7+vZtbJaK&+PJArR!_y&6Gq1@Z`P?Bzg4yJKB(= z5>Ad&*(GK9iB}rWQq}8~T1UM?)MAOmk;bIQVU~xU8>Fl;OL5@QTfI&xXr!rWvl`Tl zpuU6j@wgpQMz~x?o5AETn|)FX%Ar`KU1_$ujat*vzgH$TMJ;j?El#UVYI(E#V6Rl& z4wGaN$<$H_T4h+`@|8xH-Ylh-jpo}wm#-Gd%WjWJsV{xfY_%G#4v)p>@hR1nWMMU} z+PXOgIkEEDQZsoRZuzANo53Te%`An9lB_19_G)z{k@}=Gkq?qTSdCJ% z`CMj~)oJp&+*YH_?NiTKTenSpwbY76m%-~YDQ#>?Qj^CbrK?o+b}7@$WPYg_$UxG8 z@#>8hY0^rQ(e9FK-Y0q9A`MNa^a;qI@^W&I$>)+|H%R+jTBRnFSC%eG5o(sybV(L< zsnxf2Ua@Z)dVepH6xxE|Wp8o}};fj?=DGe0I5xJx;yeVU}I- zxTG0jwzwtt8Po#&d%Yvomo$W>AhAn+vAMj`j#Q4sC#8v5+ObryKeWaT_7I=dC>6a_ zYd*8dBZYx4(YEteNx1zIY{lA%Bi!5NV`(nb#A## zq;=}GN+aLoau_62Nr9`hk^Q?S_e=O1H=Cw=9Te6UJN!+zoWjhMVrRT8%!Y%pOkPuIU5FtN%D#Ox5+BkvlPO5Y0J>FQaXt(mAqsKvAOk9 zn7E~!Hk&QdL6Yv6%V3adRjM{=kgEl|yk70T%XtlvHkY(SOeT{wax9XEJW|;>q+WJO z%_K#)x<`^|KBc*7wuQJQ9VP2od`_i*>-5c)^l)qb0;5$L2IUq~GMTc_n#l(n*xc)$TNSP4XZ}we9o6AzMsRAV^zZIc%?8 zUN0q?T%;Ch343M0K<(iDV>rNW3UM2aQkYxKZW#_RNC!*WxK6Ls#CpjmPHC<9k3n5j zDx(25Idd+(MOtK5xf0|ITAb2bkY=;Ax}*&%mze+c+CiB0AztNDm%`R9-7LuMf}o{onBY4UQ1W z*d{3hqy=nnNu6PLDvNnNUO8m>9O)&22V>NF`&Dj)m8ymtMF0a;@ZaY33ND!jp!z)vHy9&176k z{SKE)Y7Luos+G|Rr}VEK(g1Z^lp!ajSD@xsEupLq>6SsU2+*^kc(P|nB<%}tW~oCCTM8iQJAcot{>#U12(cTaT$F}|(P)#N ztlQ=^8ci}7V$mxdDl>(pt!fLl3h93l1S1Ula82@ybiCNJpb#ze}Tms;*oMlhG9(70r$#b zO8uv_sinr09*lH{)M{3`Fs&Ll^M=Y-*z_Sv8&uj;4oM!D45-Qb22Y3HItz& z|C-dWGF_(3Focz9FlDA7tW0kyGd9Y0W#&cMuFRk)+m)HRurj@+%&3KxX(`#{SDDdL zVr6D3tOUvoPgt48Qf6wx%Jh~pqoMp=nQ2f|W&jkGk$FXB#9UDs$yQWGpcR{88|;id za0E`rxwsft;(FYL`|%9k#mD#-m6c}7ekd!t6jNgntb|T%h8?gs4#$PK9{1uq3>Q}Y zo;a8ab6{>PiPh1IZLl|vz^S+dH{)eg*7qpK_ZGv;6s{7-#R6CcYhg>Ag)8s~Ucv|X z7Q=_<^RX1xz_!>MN8&VGg33A|Wj|kGmr%9=^fwk=5^whiTD( z1+gMJu{HL>Q8*J<;wOw6Mg5+_SRR|<2Hb~d@HRfeXZRH(%Mt)3-Qp`sxs`-CgQApQ zM#TnUbauAqSCoA!j8(7(x=~qoq`a<`qI`Y_MLEY^a43$%$v7RC<7(W7yYP^reC`>% zhp+H6O7_r&m1PFXN(ANmPB~GK$^;S%) z(+yIT_xa1|bUNK6;+cx+b-G20vJ-3AzEv@!PPb1nlTLS%?N=2u>vZ>tpDAY1={^wq z%jv8-owCw5Y&JyT)1#MWF_Rq?8v!WbyKE<3mT?s{*9Q2px2A!^s63eu{ zPthdjU(u}7byl?KbbS@AI-S2F zl*;g$Vt$?Ofnouj?xkWuo$j+@A*pYb^0=@ZkD{EEq>4qQepW0d=S{J=oHxZ1I$a^f zl2WfKmXhvRJZWtw}mVnv;9ilR(w&r_7jd4*yX zoo|I$c!7x{?nSB{QW| ztS{{h#RhVnDmtYdqUh4;iYdBvx(bSt>HPJMSMr|{`{Z~O8%q9DY^2k5Qf#c#^;495 zHyp<+N@krwybzZwHr46YDoSHxvtn~OKK|~n$6Dxgrm~mQEqQydlPJ< z*h#1BM%*8jb+Tclku+Mdi{wj1xhQAx_vN?_w<&g$<5lb~=acQ{6?^D(*A?aXAF}v$KH_3+C6zd)_M{e@U-cSljmEqPrmOn}KS4Q4{E zU2YgeT8}`9L zI0DDvWSoifaVf6Gjkq26;$b|A=TWOqZWBMmXQm%8(PQ*R19}dA$I02{PY+Q(1J++p2Gw#Iwcoa|L zMfBHOvOjl;AL9#rhhH$9QeVmIqhKsdfXOfoX2Kk3LI>tY<-QZ;b4z1Itd4cjjg7G- zw!<#i3kTpZ9D|c^I?lz#xDwanR@{vT@i?Bv%Xky-<5PTvAMhJ0_suBB9}VMRB20nl zFbn2H3+BdxSRBh@Wz_o9^@+XM6kB5l?1p`C5RSlcI2mW+d|ZmFaU*WWy?7W;;(5G^ zxA7r9!#DT|!$jixM{S%S9&r*(g&9yAKQIv6FfSIyl2{(AVr_I{Lu`(1uoL#cemDe2 z;RKwDvvDCV$F;Z_cjA6Lil^}+UdOxm7+>H!{DR@6gY3WlF%~AkWS9msVGcB*1M_21 zEREXuNp<47=*Gs_65C-H)W%r`5D&vKI0>iYTwILWc+7g@ttgkPdYz)yPM1s9zdaRZ z#2hGHV*lT@_IV-Vk|lbouQT6^0+-XO0l%Jwo?8Ec`| z&Td5fOXuhRw;vTePwM&lqt8=I&R2WvhW_y)IqzCOXf)d=;S8LQ%TVk8Y$4u_{&6Jv zypzNiQ0w>HBYujn@e_uneXjL){NqdVd5PGb5;I_S)cQHOhznu~ERX(iC;2?Bf8$|$ zQw+sU*b}vW&2Zvz=pT=g&znuW2(|vq2IB3w50By*)cP_0aVq(|hire2@9-;X{g>#p zzvE$2OoRUMEBQRF-(qEZUMzy8u@Y+il?KEOu?4onuBi1>1{06Mi8vkSq1HcHL+l^l zlKtF8d=O8d)-Sn6d>6HGu2;k#QR|OHrX8(~dnF`Jf$34}hZu<+SOAM-In??eb%@=l zjf1r&?uc5yLmLkp#`du|8MW~+t-rB??d#D$E+*-;m-q;3{ftY*H&MC&Tq*yb;ak-D z7vX6SM??QOnY=y;acb2172152h3$E;FqT5CKT(6YKKigZwneQU(VKV>jzn#~YZ|fE ze^^4i8aLriJb+ri;T-W*yn~PNC2IWz9qrpl7!wmS&0p3$NX3fwf;dB;@arK z#@GtAenEHQemE4B`}&ph{1a;Zfd$0NaUE{OJ*f2qP7zn`>&0w2EHyX?@NNIF%yQMHts4@7XI%kj54L*k1Jvg)W%zV#4?2Lf1M0*`|X0g zF>t@M_U5m(XJm@T|9Li)DHMNP6lIFTAOGIZ|GSJg|IzK++oXrquKm5~@@I|5|Ev39 z<+$=<5iE@|b>+_o+I&C*;)W3sJ9p|Ao{=bHJGw#BJcmmJk zHN1;Y@D+YUZM;7+?Y3B$5K~}!)W-RZ#11Tg#Zh^lj8eX61w08^^yy9GFi6pnnq|wfU{I#92^}Hq3|Gyq15RfqY(Nw%0-@HbQMat37cy?2ALtzy3fz zPn*Y@!S?yM4A%{j^o40yR{0YO-uZe=OQJb&wuUC-I%fR;R zXu@2m%~O>iE|1l)F8bFo$mePEQ=x44uVawyJ&6aPww^(omzu)%*|-Q-;s(^_qxKOW z#WQ#rZ=p61^_=(}e#Hp%Z=$0%|CE$C4Q9riXvMr(1WRKjtceX!n{R4C+zz{99~_L@ zJd=O@gQVkhw$H<*xCXWPrCr1a@dTd7YpBgDJt2ODA2Ey+{7U_*t&7m+lM=E$1*XSr zXha7Vz~WdAt70A0=8v>>jIG(;5qn^N)aH%G5>LiixDZ#MHea-jcrPBo(|8HBd7=lz z&+sjN!SM8JwE3a9#7QtUX2KA(U>+=trLZE_Ky5zAN8B9SVi)X<+C0!m;t4nn=i(C7 z=6^O3@5BRm9M7Q~zCVBbTK_E_uluvw;Z6Dc));ITsOKdw_2nPcF6hMPY3=8~YF*3? z_TxVOjBn7t?oi6DaMXuUF%BliRG1NSpc!*xAuNd%usYU5FE+zA*cr8TFaC9kvVSAk zJ|3sy9MsmktRmisJ8(bx*DuQFY3p3Bu>CeZ!WZ}+we>9#sSjhIe_f+|UNYjem<9D{ z!+cm2%V1^nuXmKsa}qbgme?M(bu4{}hu~s7*1A4b90m&*sTPqa>B`EfU5=ZJkGQ;&hl54QNMg zeMd3kvRDOcqYJfl9j%BvV0Y|?Ls47L@e{FjKg|N-<+u*DbsT$$592Akh&S*)D$n&* z(&G(&#&A*8?b`i1aflORD$IyE(2TjU5SGLWSRL!37q$C<+7NffUN{g(;CP&hb8s=P z!i~5C_v0}#Az`L>d}Vzuqc+n%2*4X*a%x< zd+dgNaR`n^<$1}0A-LLeN_%(h)+=oZ;3|_`t_z<7tJN$|f zqO0i?9phnAOoN#*Ct5Kt7Qxb332R~lY=|we9d^Y&I2cFaM4XQEa4D|A&A1B>;t4#D z*YGYr!B_YZ!^BY2Co;yugqQ-;V>UFR0}EhrEQeLG4!Ti!?zWPDTVqG;f&Fn9j>XA1 z3m4)FT#wsuFCM|uD9s=L_e(bM`%Cj3zw|oUb^rT*>-xQ4`W$V(;@AG3g75pSuS>`4 zv*4dS@6nO(>4E)m7>>osI13lz3e=8IvZp_N<6%-vgPBn~uHd|-nqL3y^OiRmPx0T^ zDHq{0;}@e#>51e9MfS|G@u>xV=*j?Rj@X?urap6 z4%i+0;ZPidKcRM?&;nwuy!f?pJRRl5zpFg_{~hn0#eN2si|g6G4fo;^JdKy|CO*Jt z_!hrlc&?Xd7#EXZYRrToXu&+Fjpvpku81|TKKigZw#6=}J=bFp@krF}Yn(qI^2qT@Gzdji+BU?zI#0pp) z>!BB$VH@m>y>K9oK>z*fl3%70&%wpG3bp$UcM$K#V|W&?;B9<_FYrBn!-$Mi#=!WP z4AWv3)T0gaVNooDm9Z8&u@Sb!_Sg;k;t(8-lW+#k$7QJ9Ke&Z>Hy*;1cmcKh2JaC+ z#n<==m1l7H`?aV&Zzd6OO3Z-S(S*6MAeO-LSPko<2b*FjcEX-G0Ego^oPx7)5o-4X zZXn){`|v29LG3=kTf`6XIljZM7=dxg=ok-^Vj9ehInj!Fu?UvNN>~#cU_)$y?XWA# zZu!sKe@i_)ljE6>OK~-7_d#tZ-iwFvB%a5scpD$0Rxkh3^9cS|*Cz(s`Rer)Z0D=# zu$=mH9d5-vcoYo@GACqBP%z}EfVLmL1Ww0{V zLMJxDme?M!1=fg*Wwo3jfe0gUcl>k51-;|{Dfht52IjgOoS;h17=4P z=E8zl0?T7HtcxCOilNvEd*T2bj^l6&&c;Q!5;vfB-~B$~qj&}{<1KuM&+#38#R$wB zMn~;F`=rEaFf-;vD{A-G7a=ZjKKIrbc}~dF%4$MoM=UD z9bOUQ(pU*=Vgqc5EwCMS#XdL~N8v=Aj`MISuEEW?3lHK6Jdgh8`bj$8C4Pdh@FRv{ zTp}{Y!i1Ot(_=Oa=2P`L{k{40*ZMJ$I4?0UJ|@FI>-i2X`TX|S4g2B{9F3E32F}N2 zxE8nIZajo1@d94Q;By1ie07xT>N#U;2JH{nh^fXDG1Ud223 z7+>NC)X`3lgfTGzCdc4&1JrUYD(&_-m>5%GM$Ca`%#DRmdrnvd;_6rrz1R%fU}x-w z191e7NA0;_bBGt?D%^-WPTcue8KjP>(ju zhuU+$$`Dt^TIj?^s6FqiJ#jbei$icUYR~zaK|CLq;ac2+yYUd7#0z*G@8MH?jh`@V z6g3}3!PuAxQ(^|pjwZ~71+fHb&w;N-To*mq6hpBS_QU}=9LM1loQ;ccC2G%`-%hMO zcm61`_Wb$F#JBJvKF4>cJ&!&D>mQ?IJWPsdFf-;vE9S)_SQ;x~O>BVv=hRDoqXlt0 z?23JGFpk2B=zm_lyni0?Qe1)>7QFvbO^1{8n=jyX4BmgKrqBOcx%^w#bzGp_ zy^i3hf$gv>_QAn8 z3Mb-poQF$s4Q|F=co0wEdAx>q@d>`dj~IsXDKf^wgqQ-;V>UFR0}EhrEQeLG4!W@k zw#JUw1N-AJ9E+227B0jUxE{CRUOa-Q@e+=t zrLZE_!20OJ=GYdyU~e3RBXI&w!@0NwSK}t!i3jjFp2Mqn2Or~0{D3;f`66LVOn}KT z9cD!X+A%*C!?IWfYoiMrV=L@{-LW4I#WDC3&cp?{9M|Di+=GYl6kfy|cprbpH~1OD zG0qtk<6vS;g&8pinlU#P!jf14t7AR%Vl!-mov{}V#1S|ir{Wx3jH_@X?!f(c4A0^f zyp50W1-{2`7?E+l7#JUuVOq?BdbD9aEQ)2YGS)&THo}(J9=l;*9D<{963)Q+xD2)5 zMc6{T8xP@0ynxs79zMm__z9KYI`pq=#@Lt$Q(^|pj@s`Z z@fe=PD|j0p;R}3^-!LNW&KMXUlVMuSf_k)JJ}io5urk&{CpN;C*dDuKUmSv?aT3nJ z`M3<%;uhSEhwvm`!0UJqpW}b zu>m&37T6BEVjmogqi`Zl$9cFE*WhN{g$MBjp2ur=7oXrO{D@&_Z$`#gm=IH7dd!AK zbYKB2j^(f_)1x>G+x4+_yC{bTl|9IX>Ufu zxR?Y}Vkn#Ry3d;^J6hA zi&d~Ty09^}!VcIS`{7U=gFoR+T!71Q9d5-vcoEK1@0U?{8D{!wT9->v0?I#UpqcFX2smfY0zPe!=jxGooQ!OoFK~6NaD# z^I%~tg~8`osOQg4`y@XW!?IWfYoiMrV=L@{-LW4I#WDC3&cp?{9M|Di{7<>R?XM~y z|Elk;PdVttrubJs@A(w_aS?Ceef$}<`;CMBVYM6x_J`GU2=<57bO`o`)pQ84Y(cmVeo!sHQj^vE34@cykA*Ohv5CnYC1G#-mMjO!0y-& zhvFFg31{L0T#oB-EAGL=cnUA#4ZM#(;~V^p;h6sl-mk2lzu^7KYC8N~?^ph-+PQz# z_x@ey6@G2LH`w1(ucMQ+*Dv68yoXQm_xATv(jLu#+0lf#uppMe@>mV)q6dTRY4yAX zpZ}w#L-6@OYB~g;|D&eEzx(+=|E=}z0>+<~<2u}mdr%u+4bJ1M`7gMxT}_AJx^^`k ze($>W|JL$kKIO$y{CEE@f%cpcZT{x>j+5JI2j|CPSQe{b@OdL@zAr?3y(Ct^>R1oG z*bLiXXY7RoaRiRXsW=B0<0{;UJ8(Z9!?Sn=Z{s6;f$#AfMr6HX42+M-FfC?5J=!oI z7R54H8Ec^v8(~XqkKM2@4#Ckl31{GZT!w3L3+~247<}G{nx8jt-^+H~hez=YUdCJa z5TD~a{E88zz*p|GjgIj!DW<{9m=mp-7mHwNtb{eO0XD=I*bcj59~_LMa3W5}dAJnU z;AY%~2k``+$7^^OpWrL}h+!B9j*PJ|A*R6em<^5Szyeqt%VAZlgKlhst+6Ba!2THg zy>~VLwq=~Y3--oAI1(q|G@Oe|a5Zkiop=C`<2k&FcknU3#1E)rT}&j5i3u<{ro*gg zKs)BgVptZdU~P0^V{C;Tusa5S?_JG5!QXpV(;@hK?`k>(fA3vQhkw`ay-Rf)ykGE7 zxL@#>`khJXFQ&oFm=mp-7mHwNtb{eO0XD=I*bcj59~_LMa3W5}dAJnU;AY%~2k``+ z$7^^OpWrL}h+*hYMaEc|5K~}!%!WpEU;!+S<*+K&K{qzR*4PnyV1FEjV{tOh!iBg3 z*W)(ai%0M@Uc#IB0H5Jo{DR@>k43|{m;_T}CJaFf=E1^P3M*m_tdBlyj%~3E_QpXt z5+~p^oQq3vHEzP4cmR*%IlPK@@G-u`52&L*6$xWv0!)tSFe@6+j`^_|mc=Sq8(r8K zTVV(6j{R^bj=`UBCN99`xDL1C9z2Yv@FL#8`}i}y!Os|uepOVAgNZQ}X2cw5#@tv4 zOJW7Aj`h%s&9Du2#$GrON8oszigR!=uELGD1NY-GJd0QGHa@}^_#VGuMEZ9zFg_;3 zw3r3;Xv2J16w6>`tc6Z&ge|c>cEi3n1V`f}oPqOk8Lq`GxEl}QNxXp9@g6?K*Z2v; z(yxkwu`v;*#0;1nO_&P{VhJpd)vzvluqlROC+vv>a5#>`DL5M!;Y!?q+i@Qr#WQ#r zZ{b6Hj_>d*MxdV;9phnAOoN#*Ct5Kt7Qxb332R~lY=|we9d^Y&I2cFaM4XQEa4D|A z&A1B>;t4#D*YGYr!B_YZ!|=SY$QTO~VhT);+0cj%EP%za99G3T=*A}48arYS?2p56 zEKbH*xDZ$1dfbM4@d%#AOL!9>;4^%SUobqsmkR#KcFs}+JA|JF);xq$8?w#4QR*w zSPaWz6|9XeY>ch219r!LI26a=PdF17;Bs7tTX7E_##49^Z{U6W8Q105553q7+hAwxg#&Q}j>oAu2N&Zi+=x4HKOV!gcm;3cBYc7H z@f$|ucOGJ3d`yOEF$?O^hWW54mchzc3!T^qTVi|chJA4ej>bti1Lxy1T#H+9Hy*;1 zcmc2DJ$#C<@e_uPsa|hUFg7N_l$ZgtqX~0iK`epgu^QGz4>rY6?1VjW01n4-I0a|p zB3y|Za69h9qj&}{<1KuM&+#38#R##~bc&AgFe#?N%$O6cm=}v+X{>}bu>m&37T6BE zVjmogqi`Zl$9cFE*WhN{g$MBjp2ur=7oXrO{D@&vn>VN6Vb$uS*fMFR$(qotm|RE!H{#2jeG+*k-pVg;;@_0WsWunl&`UN{g( z;CP&hb8s=P!i~5C_v0}bti1Lxy1T#H+9Hy*;1cmc2DJ$#C<@e_t++$Rdg#zdGBGhlW!VJ<9) zC9phJ!@B6frWlHyuqO_{;W!Sb;A~ukD{%vE$9;Gd&){Xeg%9yLzQeB=fpMSc7!Q+T z8qAD2(TaJo2$sf5SQ8syLu`TVuq*b#!8i&h;&hycOK}Zu#$9+2PvCjHhIjD^zQT_f zhH;eB##wOSrJ7N#)kHc^*PR3cd5Le)O+=hGc2%g4E zcoQGsGkl9*Fg)Wv(J(G1!PJ-uL(qbGurQXwidX~dqYs;7TkL|paS)Eg2{;Ys;u2hq zn{X!{z~guhui_nij4$y6>KONlgfTGzCdYJ`6%A;|{8$XjVil~7E^Lggumg6-emE4z z;7>Rc7vOSShg)$E9>!C65pUpq{2AZiXAH-MeTP&Z<9_B@fm8*`_z7qPU|=R(t3*D`@9=%T}5H~bK37=lqc5uk=lBG z?YTF>^%ZKq4z90I(;>LNLQRL@`U*82g6k{PbogIeUlFYD)$@Ls`uo?Ozwvje?}NX8 zrJgq%SPPxl2wP%%?1p`D2#&@{7`#tdP5<9}pK$Pb-)g!ApZBe%Ll4Gj z`{OVii<5B{27mubO}CMZ+fKk~I2V`TYTSf7@c_XKYFHON*c3yt6ZXUbI2^~} z6r7EVa3yZQ?YIw*;u*Y*x9}l8$9MP@BQP!+9phnAOoN#*Ct5Kt7Qxb332R~lY=|we z9d^Y&I2cFaM4XQEa4D|A&A1B>;t4#D*YGYr!B_YZ!!XVp8Dn8WOo8b!8yeAp1+X}l z!>U*Z-PiLRi32)*9e1>oF3x;RCU^I-2Nia2L z!Vt7z9xRNdup-vL`sl;v*cQ8BZybapaRN@mxwr&Z<0jmR2kf1)ALC2>fI8L* zM#7kw0Fz@n%!&rIV}2}#Ww8p@Mi(~5R@ec%V?P{RnsXt#>1qT1~X$$v|?T? zf~Bz%*2D(b5L;k7?23JGFpk2BI34HVQe1Ig zF$Jc_Y-mIW7Qo_I4y$4vbYl~2jUBNE_Qzp37ANB@T!<@hJ#NFjcmz-5CA^6b@EN|v zFBm?)noiL$E+)a$mHK#4d>z#T#cJ>Cmz7# zcn+`P9ej*0@dN4-sOb|4V`2hKj_EKf8qkjUu^5)cDp(s`*ce-32kegha43$!pKvBF zz~#6Ox8fc=jHmD--oX3#Grqyk7%rijPEj!qCdO2l5p$p!b7LVai50Lq)gibEMCFe_y}L%d;EqG6RGJG1LI>dOp94ik2cJQMX?N4 z##-paM%WVDV>j%JLvS=s!WlRpm*HC6g1hk$p2Q1y9q-{&e2t$_dDfcpFAB!SM3@pY zV0JWNE-Z*8usl}7y6C~C7>b>+Cl0{jI1Z=aY+QsZaRYA0eRvel;AOmp5Aiv^!><@2 ziJDH)F&-wxG?*E4q80OE5iE_BuqHOZhS&nzVOQ*fgK-p2#OXK>m*N`SjJxn4p1|{X z4e#O;e1#t|Oj0#{B4aE}h$%2VWv0?I#UpqcFX2smfY0zPe!=j`)O3o5aWM&|#!MK37R-Z%u@qLs8dx8F*c{tp7wnCL za3oH^X*d^`;A-52GK~^k-zR0o;Xi1;KP>C+qF`)Hgeg(`9lPwrCd`Eeu>@-C`Kl4u zMGrQ`Q0#;~aR3g-aX1BM<04#%8*n@B!=rcxFXJtIh|lpIe#HpPn@7iZm=x1sX3U9J z%!@^^G*-fz*Z>=13v7p7u@4T$Q8*E&<2+o7Yj88}!h?7M&*L?`i%;+se#9``-xV2S zVM0uS=`kA`(SZf9IF`ezSO?wM1Y2WA?1BAp7>>osI13lz3S5uda4#Og(|8GQ;sbn! zZ}AI;=f0ht07qcER2_2uI=soQ89839iOXxDyZH zaXg1t@eV%5m-qp7%#%mLn3w>QV>--=2DD>-EQV#V3f4v!HpW)i0lQ;A9ExM`C!C23 za5=8St+)pd<0-s|H}F3GjBoHWhGQN(D#pRYm@fe=PD|j0p;R}3^-!LNgKgGcKm<-cm7Sy8+^I=gegO#xs zIF}T74u>dERB`0CN{u^*aF*OSL}m>aTHF(={OIU;u_qHyYL{M!1H(w@8T1D zgt^Vg9v7AC|Lm>#pC5gk|ni(@&gignP9O|Ug~#2(lmhv8V9jI(eduE6!U4fo;^ zJdKy|CO*Jt_!hrlc;>OAVO>sWB6Vpat__VJw9eu?E&hA2!Ff*adszARLJka2n3V zCAb;@Ds2B$mV=ByuIna!`u@IKT3RoTM zp%Ywm<-cm7Sy8+ z^I=gegO#xsIF}T74u>dERB`0CN{u^*aF*OSL}m>aTHF(={OIU;u_qHyYL{M z!1H(w@8T1Dgt^Vg9v7AC|Lm>#pC5gk|ni(@&gignP9O|Ug~#2(lmhv8V9jI(ed zuE6!U4fo;^JdKy|CO*Jt_!hrlc;>OAVO>sWB6Vpat__VJw9eu?E&hA2!Ff*adsz zARLJka2n3VCAb;@Ds2B$mV=ByuIna!` zQHB$Ok8wcS?{BL>g+TZ=U|GV#B zH_FXEI0#4JIGl`s_VN7LpI?^asEjqSK6e`CmKV|82+n-*!ARf8~1pwd4J>|DJ;VONUu7Ct5H!7R2KCNA(we z?KuA~`}ub-r$2FC{;Kh*;CWQrfxlGW2Gi&NPM?3Z9~P5#MFLEY=`fi7!Sq+|Px`CJ zuYRd~2JygY6&ny#HGM z52jNvos@RsZ=H_}<|j43{HyhT@VX0LcYow{cboCBhxiQN;2$+!7Rx!adVcrPA2@=i@e7bQQ(YSu&pgdN}Uq1cb`9HZW-2c89D9hmeaWYJc+I**;*oOJA zD3-y>SPPxl2wP%%?1p`D2#&@{I0LnH9m|N<;uhSEhfrI`c7gah-ovN(8nyOL@Odz5 zeh5AfMoowRmgm9z(d9@%uA4u4y@S^N8N}z0z;QSkXQEavXzieXwV(Za+u?tg--Z0U ztaH`cPZwzyUB|ol7+;|FoGGmx6^?dL6pV!lFgd2ftY|qI^2qT@Gzdji+BU?f3@a6b zGOiQ_V`Cyri5W0EnlKj@#1dE@t6^RAU{egmPS_I%;BXv=Q*bse!j-rIx8puMif8aL z-ol6Y9N*zrjKK4hqGLQvifJ%2=0q#z#UfZ5D`8D+fDN$)w!^O22M6OQoQTtL9xlZ- zxEXihK|F!y@fzO6C-@3KVwgy3K8lR7Fd?SE^q38e=)eM49Lr% zht07qcER2_2uI=soQ89839iOXxDyZHaXg1t@eV%5m-qp7QPg}C31eacOpfU=D;m&_ z`LP(5#VS}EUDy~~VF&Dv{ctFb!Jlv@F2Lou4!7bSJdCICBHqCJ_%pu2&lry9DMiIN zm>5%GM$Ca`%#DSxBv!!cSP#9}4BKF5?1cky1dhk4I0qNwD%^-Wa6cZyvv>t><0E{5 z@9`T(lz|haev5(eF&U=CET~5t=EI^`1}kGNbYdfHiS4l)_QfGM8YkfloR7;@F~8=PZ*ZxDMi89m`vT+zhPOqV5ZwqDjtSM;?Y7oQ2Qei?|4v;tE`apW>Ie1$W?2xE~WM#G&~M7uqigjv#}j^#LF=YufqNq!QnUx$KoWs4DN$JRVQP)37DB#SYjRufU$z4+r6Ocq875G%{rhcDq`d=uZn4{h}#HMkBp;db1Gd$EkX;T?VbkCm`G z*1}`3A)bt;tNBk?x81Mk5?d<>t&XK_Bhf^XnTT#cXMSNIL? z#69>MmgRm?sdyM3iAQ5SY=oy^3v7cIU?=Q~J+KcBz#*8Ax8Ut~C*F^b;^R0Q=ix$p z4d24|@MHV}H{v$@5r4&msQJ2 zAX!Gy<3Co$!?89Vizi?cJOj_d^YLQ547+0v=Hg(y0dK}JI1%r~hjBVSh0ozjxESBW zckn}8i(lhb{2qVC-?7{gvE_6yR>2xr2kT>FJQdHxbFe*Lg55A1`{Fd>3-C@Xy)ID z$4&7JY>nq)2Q=;YtNr{>x3eql{&(-AIEkG5(AAIsw&gOB<#7)_gj4YeoP#gm%eVxW z;|KT&uE)*z9qz_``2YR>SQGhuq~DSOsff9juRy@l-q$&%yS133kJ5?27|&D2~7a9EW$|12_d|;?wv%7US#q zHolK*a2;;K?YIl~Vi|s~CkZQIb*zQQU_(3^Psi4H9>(u4;vfHC@2}1LCR zMU~UfsDE|86@T3NvmDcoKRZsP)2;@15;ntDXpT=8^0*6TVlTWJuf<__6OP6SI2q0U zY#NVe;WPLmF2bd_0$1Uu_$6+^9rzRO$3&j5DR?NF&y}a~_&ChKrq~?M#&*~dFUKsr z3eE3%MtD3NM`8T>i+>!y9sk+%zw5l@@19S5Ui{GoydVGh99`_V zGTO1AT{-#?PwQ;g)r>}$p%Im04BM(^xM zc979?@ZcaLFDExcznRf%(2%TtdDmv;42b09W%bJI7wOk4JNEzuxjETGbF2c1DfwaZ zV_Ac9dPVvT9FWy(;1JD=&a9+rP+rc^tbY3aydi@kS%V|lk(^kgGWuBG&l!;2GdHJq ztO>nydJfTvR}GZP=y-O|o|0ov&Cbgn&?kpZ#ftv;o&MRq@+3q19V^N0H$dke&6LFy zWb_)?zrQR6yXLCA?EX^Jx>JXY>_{Z9U(X>Coll>hu`qs_Sjl>A9$@ zF4wBl*Kn@7h*fuor!L>B(`#I=yaKCklBZ7FZu+a|imtrLR-GQxU3G<4ot~S!>ZV(D zn(eA9vg-6&o~v%2Rd=tauGp%(&r`R=s?&34*L+u4b$X8Ds#|T<>1!2N-CCivDq zd>`}FrCW8=J#`JOx*482{d)#xzK?tA^!kUX({o@~KiXJzPk8FuTXlL3$d%XGs(aE? zr~7ZyzNb8Oy{$TZj=JWXYt_y6)ai8{Ghcm8;L6Ll>h#*ZtFFMRd&W~Y-l}`nQ#aYF zd(Kl=Xw}X2)J?bQbl>IbN0C*huaR7J^Q=03FT+(=Y}LK!sas;z&G*!;u%SQmwjIJ$3qi zh{=1+Q>UL3H+A|N+SQMAt8R&>uAx=8)Kk~Qs(Zsz*W9Xm(^J>Rs$1r%)AxByf8X-d zb++pCnu2S&WLkC0J$1dUIz0z)<>gv+D?N1)tL`07UA|TKuBWcRs(a5sQ zOt$Ji@YEGrb*nse)2%u^H*(Fl$g2C$Q#a45`^ZyQY}I}2sas;z=`|-;`&L+WpLpt4 zTXmm$>egCypLyywSao{N=xX0KtL}48-7c%{3s2oXt4^=Mx#pXAQ0en>y{9hKs{7JY zSH-IP%2QX3-+Aitt-2kax&o_ir&U)&#^n$ml#?$V z#!H<&$mSg#j+Xzm&POp&4)P5Av6o{(h+|o1zu5!A#R*nB9-g(vM+fW7nI8$~4oD^)haL zMn;1&6@%amvr?^TKUm{h*P?Rlnxl^EU@~-DwCB5GW$F63UZ$D(mX#kcKchddpBvL_ znr1N5^zSg)c^f1zO^S5=4i`;cb$KlRN`?`VS6ljP1~X0X>u%>IzLOM;ln~9+_hkN% z$FeA_76h;93TJTT703tmpOE#Tc{fR|E3bR)Akg>d&0t33+t=`mq+p6n)V!NLdCSve zdn|9#N|!}^-imceK_%&j=H24STP07_I;C+X%Qil5t=xd1p44gHt)9Hyl6PB(yu>X@ zfnGn-Jgs*vzkHc##}IjilGlU0v7Wq@lBfGeGsx_tLwtYtNuK_#Ms43Xsc^NgxPA~^ zO@`vitFkpI7$eg)Z-VH`JNEb>(0gQ=!HmYYuTb*p$u_Hb6FqrlWye{`go&=aCEH|s zk?ERurzfwfZZF-xnCqPf%9|>A zpP7T0q`LA7G*1Gwzvg=9f%5WC4uUlYmo(3rS132+s3!|h^UU?m1LbvY9q#$Iq-=7@ z^X(y-y=!|JDtWC+o9ArbHpx3r>a>04dgp=K_k!fr43XEQTyl^`-lL+ceIH0(nEgv< z$*V`+R8QV6$+P6h_p%$M#_A(eRDl|$@xLhB}9LB z$qjkZ=&x@7uKs3?41(@5-3+efSLGsEA5x_4GuJy0v_E)xWDx8R(ckfs*O%?@CCPQQ zZ^2mEzl7NSc6Ch-Cb9i3^yGac2WP!^lNnt7Eyzp`w$MIvz4Ji*Jy8xWVU}NRpVH;` zil==OB~S0&W(HULHfSFEgI7IyKgq#hPMH1Gz~ta!SqZv+U-#tAke&Apsit-A=SPv; z;7RYLqIpX_d9{mz;4bTg!`0vPQL;TB7R!6nllQD##BLX&eQoZM{WI-*%agZvSr9A; zu|6V?B?miMAIm*?&%YZ4uhftN23LQ3%MGq}%4-2#ek-Nc_56r@E64LHCe6J*Ixm;? zTRoO1O>pMT`#lKsnu!@)?VJ8pa-jFh)b_pa$@^Juh%LLUlEIZ%xG6c9B2}8VN_6&D zJ_w?9W^m;--<%x0Cq%uR?8*C8^1`&Q{g0*X`^J;^ z+`$Q<&hMM=lKr#fXn$pwg_38szwbSH zV$oe2}w-md!zeSZ2f_f%I z>da_-`x?rP5l51@$CJ0Ia=5&rUrO_S@#GyM4GGo0y`_13J$a{8371#2uQYGJC$GEw zLF`cN`>ixj4zbSlF}hl~ydt?lrCA?(E!4GspOy1L(Me9h<|)^9>eNuGYz(v|mt zT;%#na?Ie$D=L!`T`syk>or|h-aPrhQ<%Kf2bJdOJ@Z|8_Z}H8Z(m|*o*Z(VdBbXi z%S)FVh3a;o{ngKOx!TvQX1Kie__ZX>z5QjTqy*=Yr}J_xzudHhprTG> zkkaT7-@fUoDZvz})As4#tas%NmnTOnG89){o60G{#WG#<^qQnAZ=vLcIUkxVdFK3C zKlA6xJ54sKPPIxK=xX2iswqK*y0P}jChN?*y=_9!zckL--^r(?l)T=TP0X3MNAkj) zPo_3YDLJ2%O~RRX(YXmh(-7^;m%P(hA4hxg3M8*EMBY5flb3qY^;_4ImnIu&nCs8^ zr>B&>-*}8C?-a=k)8EOGXZow333Y8R*GOK65a;77ByYXc>GoXTlQ;i@gi!AX6v>T_ zE3y0UYPBrSn|y4j}tt3Y3&mNd6`@?NNIG4-ybw=nG&SQ6zy*#PhLyOJ3AH; z{oj?>Uh>W(??g}DD9K9;kvG|D-^rf5X_9xFX_Vxe(fIz(lf1<;QTwZ(8Fp0f_RWzGz=zpC7s(CT50{y0-ua%q zr6Kc{Sb4IGbGGj@$*XTVD@ti}i0|)O$!jcAw0#{sd5N78LcQOe+BPNVL7r?9&h~YY zymcXtAGwmJf2&5@CtY&pHMuk)I5XPA*nd(Q9pd}Dx?So1^b#viPJc?4k^W$w%lfVKn=;hAp!E6H#mZ|!o-SJ*F3Xbh-4>;Rnx|j-992!-m4!(`H+gIZ zQzyIQk~ZkH`BGtlD)tr#F+p&(!*DrI7!VxicIi5=L4 z_5Rk)_MG!x*Z2ML{quR9XRlekX70Ub)~p%MijF-x5s~uuA1T$8|6+=AX@H4YS|hVS zHRWHLW|sB@&T`&5^wr@?9s28VjSko8Fi?jZgbq*Y@U#xk>hPQnFX-^14lnEQst&K|FinRy zb$DBccXfDQhv_ zbjUw8{-;PCYC1IP&{Bsc9opzHzYYuPu&@q`>ads&OX#qa4$J7UoDM7K&`yVyby!u0 z)pb}?hqZNBSBLd=*ieU!b=Xvg&2`vPhplzkR)_6$*j|Snb=X;lU3J)9hdp)JTZjMX zu&)mL>u{hB2kUUC4u|V-qz*>|&Wu&cTXYZZtSJ^faw~W%TRR)O7awKpS`94&T3SUz z_mZP#@~iuwfA$Xf&Fun@mB;mBExMPUWYJBj>{Owvpk~H2rPhzsexm|M6`o{r_?i0c zWj(X*|5sW2a*ARBFWx3a5oT{H9m*!fy2%EEvSx}wsrR37{@W0$HhKD7MXE-tzWiDl zjt(^fSX3*gDpF|M*BAhdi{1mM+O0)KMZI!@6xn++Oh9SnMxjUxtzu0J0Ap#BP}IR~ zAXIMz_}X$j4Bq_Umhsvyp^yVwHm&%3wXp4|;n`;+DFrNs`zcC2iV^ACw zOBME;?9~*j0@@w2#lV{ez7UFbkJdq1*eRyN_?5N$I;vU^XxBs09_XlrslgDbXz8@d zENrdV{CK7++CxeOo=@(Vid7vBibzd)XjBXpTfjkn)gI3R(d|@))Dx~kG1eLg8Ff)N zL_eFGHq{bU#bP}1{98~pMtVZNkb42C7nIaRT2kY`r49c82Z2eYuXd};U(W^LOSv(I120>wuzR4f}h zm~9ln2&BVb;p=$x0m&RXoId-gDwQmorn6Hq8%~@54S(86saUr7>ostrQL${9hgsPA zRMQm8R(Y7UZO#4YL+d=OfbE_~u+kOQlJk(7nh4~e1 z0$Fn(%PA#3XDiBTvK+pQ4Vy=H1UK36cD&`5BlAK~ZTl33q0z2po`o&PV>yOLM%sB1 z29RwQUWW(&ryI|&h5#u^#h!cO^|73H2AFMC1MJM_Ci{qc4Lb{TA25TSDa9PeN@bE| zY$bdr#YfImEaPr&mK20R^B$DDR}1)Ah1fd$*&N1 zN)G4d zVPUqs(bkN$FFtY_tlZAC(!uuA7kIyyr`N-_{#tmy-wD5}uyeHSc?RAew#WDgyMDHT zRq^6HJ!j?(vK?F)9y0io6L!OF8+U+}=XqAfDW!;8tXJCnI0vls9(1rY(=q(Lz|xpi zrothkQcbmNE|_f^eI74WOOab)}~N?jU05{ z0;Ze+5N7;Y(nJ%ryh;$a0&D=(&frRk8>fC0gdkgwlb~x z7ice$Hxt;KJiEbfZ(X>9sRxF@(oyGjF;zPW^dIrutlPsh1{1zzKV8_-6r7AO`kOn8 zAvN_FiXaz?K(p{56MrO@O9g)z((b%4SxtrXGQsb>w7Vos3s)gbXORVQY4<=gC9j9P zhky&CKPxG5+{#G$D@R!&7FODhS$q$2Dj#ALa zvSZf^#oE%2)`M>{PZE{QZG6F|<_|GcRm?9IrgGEvsThi?l=o^_z!?uhew8hk_1tV! z@>#Z}bT;EwgZE7El5Ju|nKo?Vvg@s}{ zN-Qv&ax~ps0L!CA7`zI*L8dDoU}}uW`>-aNru2fTu{t`_6!IA1kJHhGCc6{hju*Af zFN71B9C@0XAX=MoFETZ}kHMX2_AKqTl4%z*O3O)Rb!oSqOhJC|Jz2;1kg3%Td~j2A z{1BPW96{t$b^IuqGI<)CrsHSGL~X(USGRwOl*0UuaWv-fE0KqDG-lkGhxh!87gMZ0 zTFw^pfSca$hUIzYHjnS3bObVAxPv9^7Al2#mSea`}?B* zGSlDUS(x9l!uZI{-i1=J!dMK$Z^7RZQN_I{5W*kP)r{pER*)3aSf1U8@*UbB6>E1# zs1ivMhlU#zLswvSHS>ev!>N~so`NuODn12YmdqnaRUH(H)X+jzKdXvea4k)t8k}P3 z@-=6IQ1icpN)$W}6wb^Qr4~Q%k6yuE<>?AJvS4}qS7jp7S;VFk$A8qX>Rh1R(NvU5 zG=4V>@RlIYz!*TP4n7-jU;{4r?>`36R;ZKNz+P^{z{aB(V&01=iaq{2ga3&ARpUH* zIU2m(u1=0rPpMG|Br#X1Q92JQHFr!QMYJHvaaqF5CslL<_XnI@Y&Lt59u%`l?YKrNV;huY|FfMVus^dFyX zty@{+)na?hDNq<3rW7oeXWHzykZ#&6QkbY~VRl%g0-~&oFpBD7m}PDME6ZUND{St= z-yRg#qk<*omN0X*zub~Vm8$Svswh>p&ht@PGmk`>|HzrUTJ}Fi&1gA2Rx?sw_iyfK z1-8VoR@8e8YF>}+{yPK$fmEtPZLRZuhpN^EsSw#4f*j16m9@NaM8pC=VwEyfzi=Pw zZy016jILMJl=^0RHE$Qf4`1IrGSz)t@Sa$$ae(C-U?>G?9k*-N!C-J_t6G;g4;DTc z^N(a6*xGdzj?L3PpIHcBs`($jdF<_$nfG`6&7h4j`tLuyL#PipGT`@BDl=Dva)V_- z$J6|eN&G#*V;UBDBgQZ%aj1EudAIUl+UH#ujENRW>Q8 zH=5dL)%`KG$eM+uWnsrDk}w6WeEtBARWta@bs#E}AF*zfWmns~gFu{x4m# zsk{w)YMs}tm{z4s66V-y#dS*c5_+RXNv+C0Z@8&f?5}jqQh7X8HZQGJTV4UZs9~YX zT4i*VMrE}sUFspkE{KZz`;s)R{OFlzwJo0~lSiLZK`U9e8{F2bm`DEW;Wb&o>v~O5 zJSNpF3p%6cn{s_3n3LXM7qs?4EkdMlSWF=N^fs-d@jQcb<}i)!hu-&9*~ z{h>N~%SrGSf_0rmJ-y{3>gz36(LisxiH3U1T{O~L9-^_{@)S+} z*IVmE3%wN}TI#Jp(MoTv7p?VHkU$Z{996L3)RN;15%zj(gJ`F>HVOy5wMn$sTbo4( zy|qPj)LWsVliu1YI_s@%qKn=N6J7OIxIpG__O@Mg*IN;yhu(@5J@r9^ zzMaBRZ|xHQ=&jwNkKWoN`s%H{qMzQ{C;IEHXfZ%}R*V>=w+@KGdh4JVqPGs2 z_XO~3#$}K?ERT`*R8`q}xK`?@J6`BABQ%?JPI!6qkJNPwjMBuLmRykg@XlucOPlGYWPaYt|+=` z?bU|pK<^p#71Z*SgXpNHtQ*ggrN^*L zx{2FXg_xT@pSj)fI%>+m1b*f}&M`cUV42nVE%59b!jg`t{%T6J4O5<+V(;F)ShBJw z+bp(${o35+NJchh`S+P@tzAie_Dp1MN-3tVcV|k-9@e^9hbb@L@v}A71Wl>YmMx#` z&Fw$C*<-Cd^!GnQX*mL*p@a(mxL=Gx}6cefz+ z`wWw#ru^8=7QXjnx<87Mn&KP6TKBPHXv!Qn=KhPRMpIG;u;tpNxm^sa4?NcA5hzxY z>9?A*{YiK&G-b$pjKJyvCC8SJ~qTE2i&I`BxZ;sYp{?dNH@-c=i(Tm36i5 z>}$g*ZablXs3}Kwa61u21WhSm9>aiUEWhCpa|27TWpxVsdQ_if#*X6WI5Ri4EVmoy zXJ35{{LC@`vLh!jz2_{}jm~2F?N)4IVkK@rH-8spP&3e!;ZK-8=Qg*!Em+>x{ACTj zVfNdUxr@s%H$$@S78FqMEwtwd6E|`1f-iIYUClE?rWH$8&Eco(S$-ZtYN#nS4{^J+ zU~5?@nrO<6{!G8VpS}20=XSf+JZ@7Pv!rJ3m$4wzpPRqj0yv>S@314&lq;oJYf>7I z&w*Afw9>I}2nBSQJ2e^H>Bh$0`ur;d|+^&n| z7j4uW@E(5Q_K*I|4Q|PHTI6G215rN3Mxi~^Z!cxK&jgOD=LV)PPi8x>?sL2K9rkh) zXHKweo-u-#a(nhz)-7ZHE;8L&rbs5+iGI$0XN_TsOC)=*zKkhJNi2WRgKd^gX8Q57 z+)k>@^b>1X*SP~fy#_L+a2i|K+L>kYUt|kA7n!}7f2pmFxfj<@Gu?nZDO0kJkP9gXZhYA`B?&cFioi#!1in7WEb(bV826WF{SHJZo8qB zfNu(UJicOo)+%IvD-zA~c}N7?pSY8Kt$W2@a%c0i=Q*~JFO79alw_@9UszHZWR`Je zYvs)Ed6B~0iy7uI52(WQjMr>!$5xi~f5(*Uc}!`91zl4ryx^yn!_S>a!89ctXH}Z= z`zN<;kRHIJ`CD@^e-V!6@6@9z)7Sa%bMhCCs!K(-U*3(|+u!l?#v8V<9$PZJU6JPT z*~;{8B{@Q$bOQezfBJC5aej7LyXQe0Ya`z=b~STD@8 zN3Zf6+gbA}A6t|?zAw%36spAAyxy2I4az`_oV)R-S}pAbBx?A@!j?DHM#)k0q3fT)_oWHgglT?cL_Vjjq+9JFxQcRu zA?Z&Z%>Y(Y2Y#d0kjFZ7ZySyL4uvDsZUDehs#61_aE!cm!q{=ze+iN&=+hzalBjYq zjMhoA9S7_by+A!yJ53QaKs-ZR$|KsdlzI_*$<(?Ec;{%o3Et0BT2FurbOmKOErn*h zhRAhlpkOFJ(AN*Zd_~|WSn(6}7D8mDm^cx{RbmyNnEH!~Ez#xGB6lfAEiz*vxmJwz zfX#KH%UwtYh!e8`0!5om=-+zL?g}J>#8;m7gGGJhuv&Dq-Yt6U;n(2j;*RTYMnFM)3X|aJMZ%nMBz+o7r6JLBL%_tr`!OrHj=3cEN&(5hcLE_c72A( zep)dN3Wunu4{RjR__dHcPCNNSIzwNu@6|3*$u=NfrMsR`xK8m=z;4obUW4wC$55z0 zpeCHJy{0#FAo78tO9J~wAw|KS;>D~j5IHHvUcm^Yh!R@>Zi!@E2GO30wvmYJg~(|M@LGhAhU7cZY9x$h zilZwa`C0gm053~)DT7XXOCLWF{bkrYNN$iP(;>N8{^<{YyJcrr*o>2}IZwMFKTm?> z4f!h{^d8G+oIJdgqq%?YWFSwaS@MH5c)#QWM_BPx?d+hiMzu@<*rc``zyYd9_X2yZ zHop!mOZ{B};FlVWU5n!dq?EWPnfF zGoGHZw5f|B@>6^M0{)y0o%#TH7~11%gyw6=3WTvh!?4F7ZZkylM|QwqKMxAW41J@4 zr5Nn%z}S65zBV8}H?+bRq4Fi^8vF|*1nHELj0J1G2cma2| z+`$u*zvT}juwcuMPazp)S->A)uVoL;BNHumZUjiOG@!PwowGc=5;oH;)hqCR&vG7$ zZQ2vdnmO?P-g1x&M7~%y9f&x8TMn)U%+0D7e=@7A%5H|jTC0329IdzV!!-jf*s5|_ zNN%#Ki0e_>R;yn)RM*0+n&Fa>7HJj7tIjT~v~lpa$Li(^=*3tyY!8KatG&Dmov<>Y znxUPxsyz%I&RIQ81$M!z*>hl*tvm)p@|xAx(mC9R$PgeDCuA_aks>?G}j@9xZ;Qg>lc@N?r ztNI2IT}^TP8~K{nu!6s7=PqFDOyhZHxxv(uXYnnjbX@1r!cE0-Y^CiqO-_WBI8zEI zxJOOrXF=qY=^Cf~=S?dYL*$An2X!>`-k3~f5of098~?Un zO*2M;mu;H$79qJ};?g1FUsb_v9?+uAQ43NhA+ zVLYtXMQ=monDw!SaC_SN<`p=)VErx)A>Fj@^&3|1Shwd@>b~{WTd?xb+Wr&NpI9Hk zsj-$}-M$%YzO*jZ8pJo&M@PWsd+RQ|5P!7JUIg_o*2h+Z_}%*GFCIwi%z^+vt(Vk; zu|L+5KYJIOp3{N3+l=AF(932qZtQ8kHkI;(j{w%#jNxfJz{X=V6xQ3+O@m~J zO=Vn{(l*)5!b!RoYLmiQXP8ZSYk+W@n)TplyUm;l08utktgzE2!5gvcwkf#=#-eTB zJ%;*zn+(o7V{E>C2X@Hj_Xcz<-X@Kgm%}zqc)xPQ=IJ}wJZ{sl9YjvpT=@t7PT4%T z3X#(`-Mc{~*(QU%pR>8{2V#oNJr7{lY+6~#yme3=*&77IlnV&MxO5VtuxQ8|G0QJc zF^6zkQeo6iP^;CzbZT&-1DvHgQ))agcgma(%!4N3MKgF(26xwsnzlrGw2^iUhuS9E zhg)9SXDZwirm|^pVTd@1;yfFBi9Z(+;c79RGoAp^EfoqIL=#-Q&~}Lr_85x8!ttcO zVCN5Yf=AB;MYVsk1JU-Qj;QZyk@Vmx3?8MI$uM|@ zBC(T3HESTYZ{~hkweEn(3Y4sd*lYBRK$cLm1l|ZkExs;bs9hUhx2VJS*9>(#mqprI zZ&Y7IRR03!0S&x(>28?*9+XC&mEflF?NIn^vSJD-O+8R~)$AYqg8j~i>qEYG(7%uw z@|Kr@@pdQB-RTwIz{0#qRGx$Fsz>02nP*swbWm&M!j< zl}GTtzUmCFfzf#7kcF)(c>_@tiQowT;1zL9MIdU)bvFn;()+^zUMjoas&= zXfD*w1Y$5P_y}SMwWtU)8z_qFSz(l_!B9B;MtZAlr>3vafqit9@U2JF{jG4dpGxr> zoj@z+LOqduJz(rGdGl9#hEjP)dzKopqh#9s1H2oQ*&1Qpq(+4xa*Kk#Lhl7V;vLUR z8sQ7xD_YCT)puHb1PWO+i}$D5w2FUa53!chDNnH^8<>|UxfNi&cx?w_kT8Y9QLrdf z2Uw)YnGWw!!Y2{I?+`7v0mO;K7#NEe0V&W+5dU({lPvDo!}~e$o->lGqKgmIZ;Oij z(x;2n7oqo19O5+msffq@31n)iC^&0xh5Zg--^Iu(08X<16A<0yQ(hkZq-8&tUMYP! znG2Su!{H%Be)NIJcA3KyM}!>YfN*1^3ojoBkqWy=1I55mHLkGLNQj4F|7)p2Oac(C9FHE}k8K<7`UnbZ{f2JAY~gN|zWO$FB4HfZpvCZ?U`Y zT7r0c{Hld^&#Ny$@8wz!l-@1YLCf*^4A}f<{9{o1oy7W ze>WTlefqa8@HitB7e%z0ueoSEYv5+IXLr~K`8if0urs&mDag-z#@nR%iFY8gz`_#G zg_d;@$)Y;EAzWMZU<**)Cprkcq_?; zOH-@pR4UZ{>EvP9Tuq&J!{!=#e;9ge>9;G0>!>ep3*Nj0wn+eM#BLu5A%+yv8m zDEKCbd+AgK5ciRN14u^G`(zOJ)9FGW#!&uskUT*ChXD?fC=TKwQrv*W(uQM@jH6IX z5aa2(7l;Y8>lBEI6v-LvVY1=m_y{Fk2RKUa>mc}J^lSyN1wG^n{!2>72_|O!G}NQ8>wZxU z;0+DJEjR5go%V&~J1ULKzS?_QGyswxD4!F8&!iftLuenVMOP3%k-aMoErMh=x#89mHuQ-dLCmEPu6F*QrJN4^r1@Qd{h}FM z#{5km<3RjFt)3%zC()uYfU^ki4d5b@cP8|So zt$4p0lIw(D5qx6-!YKm8K(Qth#@34mqY+DxXvB4$VBy3wLx?y$3hEogtc{S|C=zis zRof)K^41FGLqXgkp1uY#RCx1@wp9#W2M^msy}KZWiM_KCe7I=49+KNdPuwZjB1GUw zNJffsXAxkO*!~Q}9ikoE+$rX7fh5kIdCJ-?8uA3OM_gG0;$Cs=5x_n%b_gV+#a0(! z`^9hE^3`HQ6aK{xh<`jlJSgs51o4n?GA`@7(MUI==F#{)5x4f7X=Xd}}t1kpH(m$oMN`0TIgk|4C3y*I*h^OAlr(4snL zZY?kTfqW|u&Qw}Yb%9o!=Qvo^+ScO}3j6a4B-<_GrNm+3Y)H1h#))l*y=4$r$9X*8 zbsE|LTAiQ1g@rEpaJNqD>K_5i-Hvi~ru!{UfqRrphfL2Nyc+bHSp(1BC-}p4tQ!rj ze{S!Dmp+SmpVT*jle2#Ra5cMs&v0lBSi$9_ftfeZ9<+>iI)m@^g4U3K`2!nz!Upog zn(_oZ{NicIjA+8&!$_BWkR0Xr2kp^m_GphuYJnb&-M}Xc=Y0<TtU`m<@|=s>=s86 z)tpBM!JX?r5t8$Ya`k@x2;5ZF78Du-j|+o1WnHvC6!gV05ztx^J^@-wFN^_YS-p~w zTt1Z-g%vwl%ZZ2&8lCC+CHQfn?3L)CEA8wBO*gvJ7OL)a%Lck0RDx?Np5(++ix*vN z1qE+}L773uAzkmhO*~4(Oo?ab*+aQ|G?@ur-uMPDO+WZcZ8>rSkINC@pd3D@Gr+Le} zne4X%+d{X;0Sl!o>jAdX)8g>HjlSH5l`y&z1od#j-C|xq%Wxekg7y_coRO5x`|c<@ zfD2?;K*P$x`%YSV3vPFj9~a_x)1iJ)-$RReIo(Sx&!Di6HgLTqn*MMZaz8nAfIbPQh&)E%tz;cuH}GdII^hfC3g!oK;`}&4_{I5h{zj zb67z4y#aQNn(c+#ERt_}WlENqe3_@)V5-hx%z6G!_bH$iWT@SU`DF zNTxo6fSscs#ekisss+*M3)C$QZd2&>DyUziYCWNTi577k`ZAs7l)*bbX%;Q>A4wSts)g&;Dh z$sh2Zla~n!FK7gp8eh`g!?5{^>Wl#Pn%YOg(HmOKo0GRx7?)PCfTm``(R&Kv7wiMM z#)6kgUrvJek)B(E_=zf;K>SSai-P!t1fPw6rOc6V^o{OMgZJ-rayy7w^yCaAvngdd zcsVqBDR{Z`j6eS$w2?#lN!k1%{Gu#t5PwrOei{DIeqM^4gu@_U&cd@KcrIc?Yglm= z{k8(Qi54@#a~C$w2*N`g$CYy|p#4vS=Osd}!I8IcC;+052r3MsuW;wuou7!>2h%IX zRxXXL5>={!=r3wjhr()cgO9A%i2chD*;)~O5|ZnLZ897M2&+nP6ezCxg124-Uj;8n z^yO?ZSoonC%mbKs?j{ZD{h6pk8rm&BdtFnw7#{RZ!fNau~nRk5Tkc&TD%QFP^+I9(C`u8W?h z0Mf(-&J%8kE&So#6f5~C{Fd0<1H9X!T>=#Dh!vaz-WBtg0NfJ=IzZvRDEl2E4@ATU zVCmvwEvP>f;y#Fv#8A!_9}7#a7(5Z4ydXXmA4^01nV1|1$qezv0^qqwtPO=1;=Vs5 zUkay}(0e7?@PzwXIIIMCBf6e|-dkZ=30B^Tj`INCi;T+EjQ5l;v|kTrdB%g+h=#%LSZZ`IT#n zsLAtL%LbWmDu^59rbrMs$v0eB-7K?O!TT2Zir<1zxwbqUZIv(iE!ZY~`7H>ONoAoB zE_*Zpal7ob6bcb?C8v;)vM84cqvZTL2x5oq!EeD%IdTLX?UH@@E!ZvndC#y%R(}g) zdu5-6aI{ZmGKiLQ#sTb?6}eatBS-ZGc0fMnh4!Fqc^Je)vMJx&ij~29%o`^=p<bu$P?WGj>=j+0FKF7%>jEWqv~0c!;EXi+0GySB7$nQ+YY5_;>^}k6d6~}Og3K(9Zl=ioztCwEV-tW~ zlF#@|=(6mDKQh#=$jh7$U6s3>fThY&GXSp1HQg{S*X8};z|v$~SAZMxUM+x|vRP^V z{$%A)M0Q(l4d|Z(st;d4+TrP?N_Ch+Y19&N??gsIdJUJHFYuVx%I{ij= z;=aF?xAQ7I zpdMuqsBY#;=6dyHFAPYK>ih~=uo`g-AVmGlV1qhr7r;h!W-dfFse=k2h|OvQgDvWc zpNKP5y~JRvI{PiaHr4YkK$x1zAY2XNt2EnH5eyKap5Ow0q}r}LK$Lo$lan24<9q-+ z)khQIVV9cp62b3Q8@~eBqZY*4qwQ6@CIReILpbA%RvU0~yGT&S!(_o-gIb(qU@ zS^MXl@^qk3nCV=qF(i82u42g3F8PjkDwSIBqEp?z6>QYHz?oRx-`^qEU=!cUY}}uB zrOif`fR&cnagb~?o9lP&re25j4xaI7_n7VnZofIVp*1Xv*ZXlxyMsHe_ax9~TrU91 zY?+N`ykSr>NC}3foUSGsu5+p7jG<62K#E~l9D07;5W}nAUBdz%luO3nJE8E!xVEAm z^oreF!P!ISxIDa%Qu@MaG~H4le1K{@Liiy0@N#j8T5}%rn37(=^AkF|7sO|Dk4G|t z?y$%Un)@2qOS0$gy`~I4uzf=Xx54xeT8~*1*<}V-b=QfJyoLk_M+x3~QG$=WgT&BI z03qTy-z(T4Iz=ItO~Qhwjm=_eeSlC=o^!vgB7#@_FmbRTM8ZYIcfexBPu`KoiJDD- zC5YRc+$0LacMSM9(eDO$--TN)ux#-n5qdeoaU**4Lp0&S!%tDa42Zvlk&~N0Vg_$* zoaG} zHF7JTxviDqyxk3u*F4}TP+IXK9wfbaR}n00M}W9NKILmR8)Z38#W%~}ydT&iyKspq zOt$8qFkCh|3%w}Wg45<5vR->&yW}uFJlrjPHbZ2u+}sv6_sO9@fbEwh{(-R=*=#ZY zn$mI(^bX0oTy}_;w_+ffAWQnd%3*nTE*u?^d*{K}F*%q|B9BXFu1TGg5n)g`CFk{o z%`;Lg0yry=@ge?s*_wAT7v#z(AU=^}%K>{TAMjnO3_1E2^qxz@co=&r{dja=$$<-C z^Nn=n_vNkZ##_@5@`@Kgru=jX3ZG?hu7H1$OL%?xF2mn|mnDtk;UPyRaRn|{`t5w zfWLN?GxAt1j>{!+THIk${|aM?+E#l=9@gewhWZgLayE!Zk)`5yKdzl! z2uCNh73JU|Nee9o;swolHmsy*edmLCS!>T#nQK~)%dm1?JI0l}G;RA!=-tuE^0C`p zZQVie?rFuB!ovg9(txFFWgq>W-<)^CLe1Vh!_>0o-=o#l@(^jgozu{^zxb@!{zgq$ zYIkT0o(`Kr5Po}iYe;q&z!lq$m)LnHOWy2u4ib>>67>@{hm7W3>CkF?31_%BABKz= znuX`cRQ^&%-A}-C^p)E1J4W*YeeBR*XpeiV!p@2jjX`mu1ipXbLdiC;=zybwT*xb_!F;YNVn6vk=c9`fb`%Y78+2EAyy zjK3>I>Eq915M!t}Z{rWp?0WzQ=`g?RhbS}?lCkuJx5sg`HV2O4Y1bqW6DWB*Kq9UD z3CY8h{tZ|vr5poxjehXnA`O402@f}@H{S!gNqZ|o{T99KkKk`p1`qcg>e>)`cc~ob z?DwclZ-D#s#TDT`ASDf0I>lK4Jfy39EBX-?X#n0g`ohQN-{}UAL^gFA1!Fn1X*L|? zQrQ%U{Gi7!F#VI>^1ZlUWO9S|-;|#R`43&@b1^4TD-1kmq4MJGBGw2Pa}`$n0l0}_ z8Q=vA`T$2E;>1gUjbhV1fK8%#K1gmB4)r0qMI^hzSg1I``|z!ze+7ta6A?p!g^7in zV1$3a zbs=&>v}7wMMYq*ZKP7Iw0Crk9@do^iShpJrXGL@afMikVD@4wTE-k@3FMR$1@q$>{ z62ufy?jx{=qG?GC)+6zu41#zf3LQlso(hl6;5`#Fhk}?P-d2T&=VCrDaWBLhK9hVY z9`ISkE8#j5>aRtER>0nffDb_D%$FrR}|VHwWN@co2plGR4j&03Su~4zT%2 zRPlkMKVs}h5S`?2{>EJ7ZQkO$%GCET?It@vhfQ}G#`_Krxe$MEi_K*9J;1zVU0&k6 zrPD9~ADO%mJYRXB7KYAGR^pLgDa9YevPypDGOoY;vJlvANmn4bM<&@rZ=X!TpYLNM zcIN=Vewn-qycpT~7@|HPzw_qcpsfB1yhAePEI_PuXaQcFv_+zYHRD=0#F8N0`+%4z ztxiDXu>9E;-jB$7ZU9H+5zgn2$;69LI4!_oXW~!f z>M{^{DplTvJd=;=f|nsnwFmFHwB^m@3mF*--b?9}1n^2uXn>#bwX9kjyf?BDXZLUA z)s6t~qH4vmf2QV=s`Mc(&+l;Oi*`jhnM((z~M7=vzpkta(-;j8T43*ml~ zc8vkP%jq0^mh|5Yk!)#I4bhP;*S zFsTA4eQu6~;l4W~(e5A5b*=%om%--1bDTsC3Vi~}!P-P{hXk!gKto+8!NRcO>*0NP zSaaAP;W-xVkcIP=^5Z~XJfSP(mpJe_!qPA<>n~d}72cO`=6cQ*18%@0mo;&rL0^mXS!XV;F>*oS^QOp>)@Fukgcs`WN6)IoKS_a@p zQC%RilIE-cwu%ZJgNQ#(bce!fvf!=%8hWt-#4Y4n1(KnZ!zs@;S{(>p7_H*Nop2h) zS19oR){zKeJZ)MDkU%BwLGLiRa6);6=JI58luBm-J4W+{0Xt6X`R6%7Ulw2_lISd7 z#yUxlu0s73&EqSdr^&>H%`>F(mw%Sd^YoKUa~DG79OZ;U?>ybw0p0}~It9cOT16mU zq>m%u;S!DJZ|^d>@Lux@)!`iJDlMu2$yBn`;Ncn_{tE0mb+`eLMt2=K~eseeXwFhfN&n=s8@5|4ga-A^C-DBB1`2($)g|MsxjueW$Oy zpUk4S$AD#1?^VEZXxvXkmP@Y`5PwiYA7DRe<|ughMI#2mw6AF19hjfk@DyN`n4Arw zzX**&@T*076S!R?EVlw%E7JMviV)@a7E+{W#OvY?u_PA?JB2s@xy3F)xUz;-vLCMo zd&DMB=6_T6GDm+J@_E{fQaMW+CfohFVqhS<8_F{ic6j# z#)(#iAQ>;5_&Z4utzW}tqS(fj`om&rE0{ha7F7Z7sL0$4?3h^J8IF$QZ;lbt3E{#O zha?fu5+WzXOdg3-V%l$Dr$uKwSUDrE@;TyJG2js-lSN!R@Xm?kqws!SOu*P-sSfG_ z$rRyx5&>QmNmXI`lK94((#ygo77ABHu~AUJD)#f=0;Gyw!(sE9aI%M$>!LoN!la4w zoKD>k|Gt6VO%Z$FBA^?rJQi*Fc(|?2oZy}uIGfsq@<=aS@c9Bgu*LRh-D?`LhJ}Cm5?(zT^ zl{{qDU0|Ma&vb}*$(pC&!CMY?0dIpm_yg)2r4yI^H_Nu1ns1T2>cU^B{E`5Lt+Hxc z5Vy%xUM#}o5(yA4$MWy6U3$*|79l@QhC-~I$@{uEIdeKpC&&@J1|-UvYvAFqO#c^F zj>u2#5zA5enX|uR(!{%-)w*vyFui(6f?oQBOks4xGS$}Al{QUe4*;T^gj!mZ{?~^P`AazYxSR^U};gL;~?)JU}#=LAt| z2~O~Ls_EB2+@((CvEHqY<^tXxb#yxP64XvtAd;wtjfICJ>TW(IJgTNuhKFOS6Tf`N z)g?|4xv18g2<(zdW8vtE+W#%URdvxV@KV(!k6`nfdYf~|hw4^s>~lTr1C3S8^7fqYn86 zkgIOy^z?_?(*wr5G$%gv^VV)$fV!{d>IC4YeQFHeO07=Azq@ATHw@Jr%_~{0SzBPH zrQ0Y-wkpMcxzOh12Z*drY&rppP{zhWxlc zc9`78r>Wphn6?;EP4p;__M~85XC@!u%Fh)0hp;)-oA;*Eu5h%A0|P->axEB?WgZt{ zXZeRBpsaWj04*n~$ER=3w5kTcYKq}&BWtMc8(3dQKe_T2K-;olIgo5;fViGwxmprL zMQj0r=@U=>A+(q;G3=nOi(r2z_2S{!O%J%>yN3qzu4XT--wc2Is2rDbqG|MZ*xXN< z3BY1#78e7PXd2gCPSThUuyPt#{J=Xy<;OwqEM@nGNHXPY19pxa^MQAsuJiYIfx2dc zcZ-H_@#8j4T8ggTr7>|Zc8_MYliYG#i>=v+Q|?-)jWE+O zjcdQ1V!z|r#gns;uK)5J)9rHv+T9QHjf@`4c&Y3e9R_-@a#i83_oo|>cRYRt^nZ$R z*3rj@H{*Q+xv0@EWIibUXE{PL0{s-Gu_hOtMOcx-W<>6ZAw9A#eA-HJJDKR zsUeaM@nRfBwRq1GOU*b5i=*efdMA)Q-UF0dRwP5@Ff}v*J3_@h0gh5({xB|3g#xgW zLTAb%h)a~eG~8aMQeU8Og?u&GOs9{0f8-$rF97zKdfEa!q0XnF{*+pCHR>6q`hb{0 z&OCEIr);hNxx!U=Ta^8UWp7Otk!UqaD z1MiuX%`fOj>ccyhPjrzhg`a5xZ}7g*B>u&|(ps)ce52fRAbzLeCt)RvM)EE!n{J20 zN)9ER0LZ25TqyoQ$Ckj@Pb!ul-hWZ6{;=|!0{K|s4=woxNhh(F_a@GwACKT>u|EqP z+am1Q-&RrN89ZzgJ-H|qCc3SF&2Z5^8N}@(f~TYi(Rc@}M2bT`2qH?%>;iu~#O#V7 z?iAhML1CAOl;G_abpinPh@=bfuvbiK1DpHAuQkAsfIf%K{bIpJ=*5UBd>nQ_bQ%m} z2gUS-0Ea}Z5g=B?aZL*e=m=o(Vrn>SCWvZ>VI@%*Yapbsz93JczeNW!@Lw5v9E0{jM0o|91rUgu`{%yf4P^PUV5PG6sD}7n68D z`cO=m3*IAvq>b~tZ9KC)5mnv+JQa<3(t0NPO@l~=Q24m$xrpQm=7o4z8*X2UQ3D|P zN{r+3?`tuHC)Z!XzC4Wm7Bw0IILUv1pkvN5ek(*=WOIIPT;<~rkaUwbcvI;v|L{#~ z4|%a9fT!%W7d$UH^%Nw%<>R*iKC(S8;=XbrFBpEZPd(_Zl#UJvX_d_78*u(|1&_;a znU6R9d*s6mNbZvz_<%iH#&PL&zkJ65#>l?>-5!u>oT?s_Cj;U3kbGnWFBbpzPmqk0 zt0sdOFHL+eBSB_a0VK+piRjT``CtP49g*EeLFA|$`V1b9Ny%mD<8lddf23WpzW|ct zb>4=Yl+$=ZJS8V!O-8YYCcx1d8N_qhSvj!^f=HHUc(pkvXHN$4y!1W@;srUbFC?GK zoqUPxgCtm_J!Z)LH=Pc!7$(QTC$TkOI?5m99iugAd%#MztR4h~9C^6_ z)N|zu&LDosOMKGuQ`YD2=$Blv4dAydvk3}+WU&-OFm$`)wR4k*rQ(KtHgWN76YKLPi@Kd!)SHKeVE>_)(Zj_qZUht!Q-NXcy)C%SV>TC+=Pcj^&QuJ4y)U~0~}F(#{nEw zdvX!tm|Ec|tlU%!bVf+GRPWhPzoX`OLFBI5b|A2O>e&jAysyU8Lo5$emr)?5s{!L+ z`k{KUCe$CPZewj{sMZ>)OemoGt@=lPQV&~Eb$xO6Rwk8d7ogU4bZA8C>NIX%|yH-?ob zTE*=EPqkqcA@WS?d>W22v=Waofz5ZCyCc}n<2FtFn7bhQ{m6Ukdy<-K!a-r z^wt}`uLCdG(7hY_8845?fO*lQTWyMTR$ zM!Zt)H*|OdUX0<<0q_nON?ivyXz0nsu0w{Rqv0>sFy#*<;|v?P-f-4%bp-m5Y{=!! z_Ibnl07zai#7zZAF~0GBj9uXefIVK|D6h zD*)mXLs}bncxv!!3f?orwstUI&F6;kd^_%iA%I`~Ttmzj5Pukoa>srdy7KP) zw_ymMum3R|<6}rC<3N62oQ*A1h`1OxUxZCpqh(KEZpNWbP;fWWe%SOdu4xUTr?HzG zfR}MkP3U5QwXc7rE->Z>&%Oyw%2I0kFBo zIF4(zYmHevAnT0k6G#RaTi!)Tfkr>x(ycdk;f@6vTX6CoY#e$Byb$BLAK+~;X1@Y) zqtPcCrZ*V_w?cih@e?a-F^1QLWT^4gJYZXm&AD*8&G>EuB*Tm@U4exgOSXZ?cH_Ei z5F?Cb9>Q3pv2qeZiZWL32$3DeG4)|{r|}@qFT0G@_CR5`allu2-(xKP2_k!q4SPd< zpE2KBh(sI5KLc^U@zM-nF-8|FfCI*^d_;557%~c`4;eQugQHlZlv6#l2a4r8q?BWB{RNBd$T$`dSRn)(<(~Z8MTDxZ5AP z4ViZLuS3Scg5Qqz<645=p%lO79SicIQ>WPnKJn#+eF9WptqR{K8BSo*unz~rI(!C z?xFd`VP!Av<*7QFx`hDSPj*$ni=j|1b{`-sPKu9H!T}IZP{Rmx>LlIW4J)VUVi9;a zP2E0$c!p;1WvNs;wF8pZDAyYxjSk&~%^T#<1s-lvfvS+aMV9-Z_lo+y2k{L(eF*F= zMUIE$JNltQ4&*r=SgBJ3r zJJ^}WyCDXC0GXbD3uHW!@+;bpdzce>Cu{dRmi3X!w%d+i|>fG|%CdujVdc zMHr{CE3Y&Ma#j9p#9G~KCZeo)zI-n0M0*^sA7Brk8!#fD$Y5y8P5@;Hy zn2F?Ng61aC52HbnDKZbb6uQ8h3{xqNQ}#6KYmeyZR8S3r40?JN!c1DkdkwQFX)T1= z)T#mo=jq}poKX(N6@gr!uit^>Qa;x>FVZFMrSqto)A`5rIvMev(3E1d>?uuq5A$ba z^bzDadGV!tK^9kmd`Ukg0rHB@M?v_S#x6ix-q0MLW51;(*)VuV@Az!r)9qDgd>s}1 zgqGD)E-#3Fpr3Mq{7BIY;H-g$K7hHY$mZ2RGx3mX_vYePUUl9l9{&zvA#!*X*itOx z9T8UI1E(+hMTrrJwOGxQvjal#4PqnCaYeya?5>2tL9w6D=#com2=NY!JT74#5g+&* zj*7_MFgPYUab|Q}4A=vRov?2MpOM0tGyb!}g1h{4!g2__M2o$3fW(M3H86-3@4taA zPFS6Qmw54TFzgb9(Mv!QMOHhQCy6pnuaoiWDUd0mUP6~DzTlc_nt0k5T)ODN`2VI=UeGxj>gI3TmLcDCTpWE>8^Rl0d#_ z;A?$JWHg1d%i?t;NP!r|mE0@hW*-<_6>a(au89d7;H6L)+QaU;DCc3aNO)8NSu9Lv z0$C#Bd5P(r=rI5s*4$Qdu&N3k%tD?K5~eFB`ZNog=pt0eL}A3CL^Ghxf7< z%6q4Qye@ZdM!X`qDgnY`+4CucC2|NSb*1uOt_+mPJzW00Avhi5Q%RnK^XfScNA4xPIi(g1T0rFch;7q|^dG1B41Jt}@FbGsRTL1}CBUu=%KI6nKL^bCgGgM8R z2=0`s<1XQ}GO2<=m~!K_mb?6sWlAn)j72h~8F9qX4<1f;a`bt7>NAq-)e?TmxSX5GDfPTku4K+%J_ncu zFStKkXmJ#nMSHUlW$_g|v~tP%0AQ9T^YFhcy$2-AXT68F740}*TIuPI<5gw%fnVKv z543CY=HYm4AI_21P3IhEeKSt5HjHq`@t009exwUiCXbW*pFldd>o8OBt*?NDrAiL^DER zaF`1FfE=M#-Ux_IXf5G0k;XNHFqt;SfTYlh90*fs&uox18qIlnI@x8ySqANYhv=EK zqXPnE(WO7&I-5>&Spd7weuQ}rrLKqW0{QS-X)g6|2FOKHe8KbR*mj&rKE32>=_N|! z+Wuv#Y74G_>@UOh6}r#0jjJ?{@3L#u|6f4x1Ea2hT&JsN!4*-{_RtkmT^&dX1@(pN zQtGxJfy&6ZGos%h556DEshDSu6?A};kxKfC_fA#O@N$rwl*YGLHQnSw>@8|;1ZTJD zEH66Up`LePaF?zf2UkOZ%W);{QAG{q5dI;_rE#M^PIi2TH=nG2y1<_y9l)u2eBI0GH*Yxlu4Bk*19zfpGMgsSa zQg?&kpFy6%OC5a`jIezz;WJeb-%HppUIJ(7qJur0Wr%Ni zw@s!v&v#vx*x>;#Tcq>$&GVukFPP?tY_2I^5Qc3q$Q2Xn!Ce$HqTxDE{K@l-e6cbS z+$HgVd)CXM(ge;5#E;YA?1~u0ztMG7JaYrNChm=dd7)^`YeCmVBu~1FMDS-YC>G6l zL@g2NtKh6u40;4oCYti}=7#X(Rk3oB%{8`^gf4P0m&aXY4L8tD=B&y=7n+gg&zQCvfH~ zCvHK@{G^K)h`;=lU*ips-}D1AP#O(`U6AZQ0S3WR=bIr!HcbKvl_$6|bxLk%hDMy0 zuKXB=$ri8RC0tq#f_a2Iz87sdBVY25qD9I#LqN{TGk?G?O2+gB@|CI;*#wJ+2jJ6n=929@N!X> zaQB@j*K$2AU#8pxxg`B;V18LP(ZLnSH5XuaMTTsL-Bmf3X9%XsCkw<(?HdpCeJX{w zBU`98pF(J?rZTu*ma9j3v~MfKowcUA6OP;gVDUf{a8E7=Z64>h(moOvqmjevNmN15Qf zRq{jVeAMo)i07-m>kHzi?1#dczuKM$5}-188(5&KnS?;t*v9*cKzAbXIvpz)O_!+6;0| z%o0;|L_0=|J`~rJ_mN<($1iTo^U&UU>AH&DChFaqye6g zPp;;#Z%W1#v};K@Z+2K(cL~SKy*9vhMdoQBS1u_$`pf?S|HCVfAM|mmoJbyAI5zqZ&T{`kK3u&0})V!YyUbV6}CUz~|pz{tVk~ zS(D&@`}-&y?|5Ym+nrTSV72S>d+@fqWV4(*})jK z^Y*Yar{z2m-$zrpBx*rr&!NN5-fe@>ie63!x1V}+1k#%7`T06PmYw0!_M5uelIw8TI+*&9#m8eh$l@o z!D)KYLmu0`=?oWAe5is`CSMxO35FjX;7zRlWXD5p02z3VBar5P2fH9@%lkuu>0wXk zLMV_E!%#ZLbHr10vlEb~sXiKzFe+LBE}TaBfs3HCsUT;_k*9T$G?Mq+o~0qf!9~$| zE+e0#P_Eygj&=vmV#t+yj95zRfe3Nbauf{WY4M-9o(c3(p`D3Td;!8F`n478lBshU zkSWxWm)BBh8&6`>=n?O!NvEE^&}GmBE_Y|r1Aefw$Y(3ev#IfHaOcUHOZFAiu^3IN zq-ItHUr(riSCCq2CPALk+b6gL&!|yr#CuL1_JF(~*$1tDNn^Q# zdqthOypGj}C`5Qe->!x5Ee+Bkd`F|tL-?MqanZ4kwsUt^Pev{<|3I4)f&574I2UZ7 zg`D=8ios6+F%!3ELTD~xdH%6aJm6=`LOkPwkENKwCCC$E>UZEyio~-Z4x-2l&KyN! zu1q+Is`W5%7Hhr((nZW)2;wTvEQ8QZ+{dH!zfR?!ZD>blbKd^ZTz7F}6o}B4VZPN#mX~%+~o!+YrtY(~VfriZ7g52bP z-o1OnZ|6%~4(ZY<47w57T<;obVGH}w+|O!b{^h)TtTS(X8220JRO4&7pP#Uezxs)@ z2E%HSEAMWZynF#pY>FLs+*9vxaqAB~7?NoV!np;58*vLR)WCM;CtM+#^*kGx*;l!` zF=ygj$p3a~j^k|?dqTToTsvrY+NZ#3S1wNvc02MM*2K@!$^A<|^op&}(xbkJ7b2;pG^8&fWWQ>Nx~DJKFFygeNGK z3z{dXGrvt~Pb)^k+=05~1L8;%XQJs&G$R3a&h!oU>Mrz~sdEb; zJ!lyZKc4g{m-fAA0oRSZY1dfz^r3ZJ+3=+$)A@714!_ST_6=Lg)oTh z{>#JY=pnccp$BQug;LiIK%OEuQ<$Ho<(#czxp5RA;dGEQ=LpLEU+0tB9LPxe?Ni#V})O>1re@`iro3ff!h%9ZGM)O0w6&f+I7ez=G+Jgm8iJ=q}cVk}oL zJjB-xFz^)plK}A&--m+p7LyM{=Og+*0r3^XK8MavXk%~={=$m4Ckp8-qUIPNHKNHikb44u zjfHPa%012NYakcVPXI?Nx5JkA{+i!*;h_e9L#pY5p?Md=9iR1D);(KGRBbLgIn zz>^TZ5GHPbyc8xoA$%nqdqMbG#BfseMtnLK+*>gc5mBiBJP(|`^cn}9gPc@{wm8Wz z{)En1Ha-J$7de^V+i;bi@y-c1*^H|=?(!=xs(Z-VPB8bB&3}c^OD6scJ8wD07&;$0 zAQnD-NqZ)e=cCz9Si14?Fxd7+>8xau8S7?#iBA7$+O&N z-Iwm;(1-^zo`=|nvK=pZK9ZAv!P!2RSzd7VL|Snrsa8(ruKua4>jd&l9xwrUE}vHc z`9d1PVg6EHso?KOt~NmTT848m@QvKM1H!lRasZI;WXg1q_i|$dgmtog1me}p4V)!@ zkZmM%ALX-2uxpSPXMu0A$_5S1WMs?-Bz*dc}LfC^!GXXiIhVbIzVKuECbVt<0AZlI(jRs~s`CN(3|1pHB6^4#``@%%?cmbSDP_ys%}*;2UY!n8KbV1p zt0oF0LLL1LUe2g6o=run&$*X6tFq%jqEtQa3puAcT>uxY{Q2pKQQde9h*b_7AdFL! zMu5a)`zdq@>dW?MM55Z$9F0g)Nj#z_t6C;0Dv0~tROQ3tZJO$K7{YYbn>W~Hs8^U& zq2PY_GF)e=Rrx?>D^q?f&a1kS(B-JTuHY`HMW3Qcx#|VCx=4-W_dSZ$=bR9fDm(7^ z%2cN_XwnUJZWg$5H4G2)|B|2gOCaw&fhYQ1oOm4U|H2f_{ryG=k0TA+Kell)BonV-V86^ATz6RA@H+X^K^I4-kp%l?U)Fk^NNQ6Gry8&91H4( z0kiOhBWxEfrCNoN#HA3Ep2%Zl4W5$rY>*b$96@~V<1;H4uFTh12ciyHtI*< zcYMm*1a=+?#PP1t9bvV5Det}bC!9y9Jx_YV!(RVfNdBGhBaqfK@hdnwK&v?sJx<}H z;L46Z=ij(;rA@qF*^QR-Kg{`4tI;qBpiLYtoI3Mx7(rRweaBI{EgZ+wBd*hAP|`;@ z%cQ2s;PPpPD-15txB+NQFzx|rBd41sx zmA{8GQ{l+A2%Pl=82<#lpfag;l>6C#|;SSLmBX|%;%%)1FM9-{FO=mN!CzU_iU zORjgG5syB?OQfiM2V{cCqm$?0?Y~sLo}4hj&@Z4_p6X!Eim`3kOIB`f##3XnGeI4ZgsM_>jDja6YuQ7*@k- zu0uZjWIG&>Sk9&Uk!1(akWn|rKt6iw1K5sPnFsAy)0Xf&&Myt04n|w(2PLGDSe-jTtVoJsQR*e~T;K9|Le&Wlr zk2Y-w&Vo8|(cO~LdE$eY=Kav1{WSX~kk(Y#4#)%e5qCtgAs@axwseB?l7n=22YenP zbr^Ps>Bs&cM<}8($Wi((1YVBOR9@9QPTtNiu%io((4C<4pW*W)5=Uh>#q3wKi?@q@-R9NM zN7QTwgpa9sF}Npmf$xHP8vGjM15M`|Yy;h>05KJIoX(nwuKZGmxv>8o(f5goz99CZ z=mv;`$a@PrCsCOT&RLA{1ky!FUgL2UEqK^>6IM1jF?aEVJ3n(55rU7<7_`z2YUlC9Vq@UQy@y>{Me}hB{8-6~b#EX7>DfT%`!u3GSw7{t_*_CC0Ua@Q%3C1`%q+XS`$l zzF5gq|A)e&8Mw!y8&3q^iZ8Fg=R48g0p{<;3El@@C(Nh7{DUaxs^CXa91C58*gznr z(wuWZbGc>%Ap7L186Xz2yB%CxN~0EVwqKTh523Yu%tQ16xi<+uZKR(I><-GgU7B`h({qq$dGIkHG4c_Y8)IcxE^WujWsx8WvL$~RlGZo1{TUqVX(U{Q$K~UNPfbw%j`gkUO%Li=}sE zk7|$_>G%b_+?R_z;N^kL;CaDAIqy3(>50}Mvds%%5q)zrT0;!kTJpK77k9-QQL3(g{X{!F+ z3C>J?!!?(Es*wvg3)Qwa!dj|nyl2Wv-QJAGA5gJJ&@vnK6Mx~hYTPiGA5>*LLLXK> z1u!_GHu2>-s{Trbmt$%Zr(1SvIhPhssC4cePpYl=& z&P(Gi>Jq;m;ieuHfOA*%{E&F4emsx#R9|0&&|7t~gwRLr;Z-tUHGvCfeyV#GxBzu> zD@dT~{t-y5JD4MEh+4~&j8N6KEn0R;?N|dyn0mGV(ZiKP4UiE^cL9f=*W@SstZMxy zkWs4WN1WI>rJh3&1w2C?Sq3gs4dpaA3sW34{=9PU44*k_J=Y>HC`ZnXFRClfkU4FRSIe9A1FA4eYL{FHB%?RRvi9S*ZTt-sZY`!h?5_8ao3%i&fMr7?i3VXW*qw zS#u6|L;W!UkP6k}21upqdI#oJ%IXfxt5uX23~s5(f5H5=T5}G%J8B4a%lt3xo;DnU z&T~qj?UE+&*>7c6NcsnJ5%~9i7sCI*i98Mr(mc`P!OQuyCtRsQq>1JHbWE<{3fPqH z9%#^1n`?;q$9`0m|L47)fAg(#1;0+vWoQaMe_PGvsNPSTz)N5C6gDG=@x*#mBkn@R zbesXq*p^ptJT8a-qb%I1CF;$<;lTT{CFVJ`H@_r-!_>m^xI3U;eW?J z=Wx8Ul55kuYIrqj_he3r|9LY8@;zfTNcIkY1<(J+w#Bh2rE-^HMiX8kvN>&h1hS7# zbO73dOr4>#q-I|5Y(;y9g0rK|e8wl};1O{46zU431G#R3og)qB2h)kB_5tTifAO@~ zg-37tEIP6p>ezwxdPPb%io(u?kM!@Oy-4Rk)_7zCX!)$$GKN3-~% z`_q6hZ~-)f$JId6I7bhn*F1YUN0T_8il(S=AY;jN1B7wZf>X74+PfUO1nOCXRwq*6 z1wfL>Faji*)(k`R6l$~wK2vGPX^=Fks0T8gR(=b+3~Iq^TbcBZAEGQu;hhHAWXtc~#*y&E&fS{{;{P$X)8lV<$4N(}-}7vc3oMK7G#> zuLpE^C4>(tlb5|8(eIqDKc-Ff&^@7!C*h@*4s$uuTwH$#-9B+93&c_cbMePY*nST4 z{bIi}Al9O_9k>Hx5%-KX;@uhuZG~?IxPxL7*9i^@LvutwENY7p;fR>cn>>#SyURcx z6K|?veq4M=1;kG5;%>oTnE8SW5O27GA0)2xw;3$_9C4LG#J8M%gbM3*BgS$GH&X2W8ez|hy>r1uiEX9eu&4MfAkjkpfBn=hAv+`dkF55c+Gw9WpQRA8dxA~_!W#R;?NJ^u8QH@XI~Sy#{yC) zW`970>!N}y3Pr;H3CxSd{-e;92*Fz+O2ym}5TfphDiSiblSg6jQ79h#Ol2z`G|l8E zeCf=k2j2zz7HbLbqUJ@1Hhl8rf4bC$^wI29Lpf@@r^GO-ZEP1txvI7V*J+62K$@((rg5@s- z5QfOAnTQ@L)4B8%D?b?n5+^NkfJ~5+*TGq$yn781l4Mt3kX(5(8RVig=E7IL{NXl` zm*i~z6_d;I?sb@#%RQzLR>FMyeHmGg=D#*cBlU>WzgixT?*zqsoz954Cp zFuW~w8V<~#DR&^>XvEu{{_1)T+RY1%A=%Q2-z3<&VL6bd)b|sFG$Zp9(C?$J%fMNX z`$DwUl5Smq8!MXk7r5iJ{00o{XeB>BC+R-VM(xR!FRB9#iiggTGWhI#X`wrWexyA^ zv;f-D055@LTMmOD`jzX{!4$=3bdJt*{~S%*3IK_vVRkTxqs^BQA)b=HKwA*v^QnDDaHV8y4^l?=2zKQ(jBnWr>cp9HCH?aZ zm$Ql<9RT-+hP(mfEj8kw-G5Ja{h`CAwr+@CPge%O%Lj_)#qE!@swspG9)2R?&tzwqQqx3y@?^|1ru=g|<_2>%eA zgRL;)#fO991EJz4a#+;yUL_atbO?m5BB2G$-NlYj*m(#$+*_Kb_=$dw_7k)-Ryh4PW(#-T-x4nh=fEyO3|j&&QEYC2&m>Vf7x9wCmxU0f zh{9cfq>4tIKctBc|LwODzm`FmA&j`yg<@4E2>yDVw*nQ5llC}=67hwAd8x4DfxJvK zYXsd5(WVJVxo~R@U4^LM23@6yO9818w@_aB-{TtH6oSq(rUTUFeOE*pH9p6B@y|nz>&ZWdH{NtL zd`@`wGcXf>SPI)ol9x&*uS|ht$_L)|IkoI1+W$u>Z$6rKl^18G`=5c;jLy7DICJE5 zSj`&1McCQ>=E3tE2Yv?THX4fKd24KdpFe&g@C$nG!|}plzrfp~R$YN#+=M4NOP=v$ zYU#IofnSy$i-^lla0a^KVFe^B?G%nzttrRx>OIEzT+=B75qG`q0&lx(6L8jhR0iaG zUvnP%uQkuiO{q%)L}rwK6>Tx6rrh7{qgOw|y9Eu*1!qY=9R$RRMsbm0KZT8joi(lC z>iz*bI}N^U$nXn-*wT2;$`8_@v2b>XTHXLTO!6j>M<}5ln4ph$D%pB?O&Ja4$gCAhvOn>x%(1pI;gC@DstUmDR zM*IB%ai;`cPW7O_M}zaEz5Hs87uo*`;!V^E&Gn&a6*z};)TasTqUmSuH)3fiuU^Cv zeE=6vzwu+4K!rR}OQeaG2%ChO9qf`R%nV*qXqGd$R66-JkZClVi(u*GKNP|Y8e0fw zndCnM!YmrbjnAgFQ-C~A9}57QRRfaKEs`4C>D%bXhJQA0n3&8LpbV0VdX z+=0AI>AYZDKn=$Lxk4LwmT{GyC!)F6=vOXn71H~G5MHNN+`|;nroUlcOyB(kT?uVy z17Rs;7J)0HW$S^wLAXu1lby30ji{h69s*KHew=?+(e>9bze&^915!;}2Z6gq|K0`U zIaLP1%M0qpHIY};pC9$t)Mh;(Z^+aQuHTX`cY*Kd!9NhbryKV`>S%T(NIgaK^wL4> zS28_qG0_`6nO&;`3=R4-^9z!XQYr=9D8?6!rlZBKk~%U8v~I z)7ewl4-D6*#R;x1go)=|eGV4`IdO>)#FZ-is}s*fBSmx@2+xY+r*N8=MbjA&7KruR zVQ^La9f`(Y6OaAivrw%09lGmc>V4>n#D-h2D;C|K!C8sO7zt7;^0=a1CgySV>xLM^ z%`F#2(_l~`;(7jADVp*2-YU_W@3WiYNA7v6#f+~Zyd{d}!Th%9-4d?v2oDDs;BT2S zU|u68&H&_|n6eyp_eGafKpx;dIdBifH`PEs65BlC?6K(S0`7@uz6y|9QO%_a8~Lg! zgtoHrDL6YMp9VsBSO#nX$rIBC@1g|yOZ?h(t)%5k{>k}sb)dwDjWR;h@0FL4WI7PmamzI+{`z- zryN@c&P&e!2E<$T?tn)4NQW^n_m!U?f`Om(I10{RE}RN302w@d#z~z!+;|ze3%W#^ z>W>IXvVeEiCQB18O{U0ixDuBtTW|$7O?Kk`I9*y^gO?1M$0=i`Trmw^vSe>wiYk>u ztsyLv*59LL4)pC7wzq-fIpR-E10ilcfhNo9pm*%BpclG6I*mT`uC#@@w^q~=#7EmZ6GC6DBX2eG(?Yv~^VbgYh!CKeRDuL*u0@CtuZfOm zXM)y(Q|lz{IIs64YrVJ*n4;athO<=7?*a_cv~lGyNZ0O6he3wcl}Ch3t#%!nl%>t& z`Fpl@nCm^)v~DdBwoohk7rG*C(^8lhYrkxQ&l1gQEbQ)TBYApLqkUxza$oDd1>}L2 z&6@%rYP~-}gtwY47a!hft$Ep}PTRZ$T)j5+DBAf!%jDbiqc-pZ>>9LrT*sW9F1^O+PHRA7bw?{Wi_<4@hZL`exxpYoZ^q+aqTVSG z!X!QQznfP7IT+?C`s;YOPSvZzpi9$#eTkN(>jSxS%+SB!mH13O?Gs#rEPW$qEZO?9 zA7OA_|Mn{4<>Mu_MQl@{&!&$k$ zkt;kEdbSmWm3kx>EvocAhoO6<-{ZRHWBurlXhf~Pm?siX^#%Mo%`=^{5%0O)o`;@~ zy3WP&27R#?kY}G`?s!ADl^_X*_Afw^3{BPmnQZv%Z!{vsP{*^URD&hY&(aJ_Cm~+Cp@ApR z8HOobf5|j>9tR}LPn8&&C%pLsX&D!1;er6Bh3fr7O z{u1Zv+>6coidQP;Z_dZ(f>zuyEga9!`=SFa;cf8@p4=@dUk>fk{``C`>!Lxj{2kZy zR*a4Ue&uQ&hgJ>v6;`Vs<^Z{-DenMX`?eU!bqR}cygu+0TC$-8C3x-6I__>ao*{g0 z3Tgxoe|`83^3D7D0l$UzM%P>e{z?1oQ!)zXh*5sqnw~u|AIS7+c~eZrvpEu zDGpS}WkM|4$AdUg(*+PZ({8@BE+qLzam5-nbZ#_h5n{U25gtrED7`6&Cz2cmx&@>U{ptuiU-Gz!lky`Eu3!68raMkFfHHYN38XW;kQ_u)n?e{&69>XPgjO7f zK`7;2gYXo}9T1+TnIl2M=-fw;a5}~1%m_N(43IOlHwnT>>NOe8&eDMtXIh?AHr*7=K=FVI>XbQ>$I>F%!|m1pPXW9#$9s>t^E|P@hiDcfGi{b zzaYFp7tRA&PJ+|I3jFRpbd|J#JGm;_=m&C>W>o`OO(u&0xkW8`VtSiyXMwvzpYgfh zrEYC;el@gd7j*aN%>?M~Q(_EUKcE!};2zS<*>LuV7Vv!ZF;Nq6Pbjw%9DWhV52yK* zn%{$$XH>%-{BxT79Ck0r$^b7fsWUI1zM@@jFnCQlyz2LcLR+A@Z)x6T*u5h^Ua&YR zO!`4+FTT4CFOI^K7ww(I=lm5ri%Xmn;lEzF#^Wls4uH^2l<-8wT^M*t#6yg{4&o^? zc}n9Yws42*Eq>t2l#ehz3!Sg{HXX!I{N5T(@)r|1l@1Uw@qh%11;^2{An|br0tJgI zu6BipdI4mpDChC^l&E_N^V4GS3YoE3#d zKt_o-f5P=Sky!&ST7>w+E=DA*hqG95Wjl0n;@xZ*#EZ8)#YhlWxbH|5^J8F;Bx=5d z0sbt#637%GdDSmf94iHvCRX!vlrA>)gh7S~;Vo3x#pVMbMWTkE`x4Qo6iq4>Bg5dj zOpM@pBmVN07i6&Cm-E&N(K;Q`D}@*UXI0`Nf15Xj?M9Gl(e@6IuSG&PkT+rj576&~ z8SfW;FN!T7tP=x%MWA}&yaK`x;^Z^9m$ThJFcWL9${mxM2B__ZWo80o?hA%2wmy^OS7; zIfSQW87J#mvb;Nl+0uM4AUU#vceP)T?FT}bD+i4LxhO+>f#gZwSs?k+^BX`e$p*fV zm*q!ZUMrA4Y=!QMEO3SHs%*vM-!<8SbMHdAt}m{{bvd96x*}<32Vt?4JeMhv>)JzC zDtGg?j{7pBCCCGr#MSyoGN27~k7du92=qh_afYr|&iNkkp2`5OzdVzLK7c%zBVxh5 zkU#P@dnp?ofa_OsXETu3@(XhqyphxSj(jWoa^H%AH+ROCYAM$>_p9D~4%TX}0|FgT zZ@I!{qpW!r>7@!w!Fj7ve#OyOHRf8EpR%+E=dZB#g)6T{{R(8T+S~*2Le$wl5QeJ1 zHiJVsk~6^5YHe2t!<0Xd;o-`L3$Kx?=Mo6ds;q+$Mk((?H0hlBO@lN1cqtD|F)E20 z5v$(r14&S|zu;^W)tX;no}`Avp%KZdny+rIO6GC*qOyMvlCKW`3fGs^+}H4OSs8ea zUZ6%cLF21b7*`!`st)(z<(7JS8OYlzXC)%sQC)c5`mQQ?2QM|s`)BCxDfvCfeKl_t z?B1!oWRUl&Z99amS4;cD^#}E9H<*7^Pv3$xsAJRdJ(y|^T!}W*p7CR0u6goTyiZ$q z6FLj+SFRqM)NZeWxxE%`im;AaD>ir1BHse(taYdZ=c0WXfk3WW(@bz~8uf(EUAxLY zJ+u_Q6+N|du6%lF$_zqp?FX)0Mrkg6K+b7_d~3vL{s}mvSnWK&=N6}3YXxLH{x=W8 z1np~{$|h=|b0AF81{?#ItX1*GpA@Z>yO~riCI=DHFjaxibnOSuSu(U$&tZ_MJ>)Sb zOMB`KNTHU_`)aOh&OE^>*1UN^qeR=vIasMy|0{%L+IUW^Z)lDDa0$w_@wp%s+Oiz@ ze4^n+aopuvEzj7WX{lVTc&@n_gL|Qsj)0e!TH!$mUulC1Vend;^Z-8JX!ChB=B@Sx zL*8kA_JG*vKUM)^t0(Z>{*c~}ZWar^)Rj>+3O#;VC$goeGV_ddJaz&LiF*Rx1Q2(akJyVwgz4Q!z&uCXsW^7X+*5MI)UC4yYmGkNoGfxf^A23PcqB@kZK zTV2D6-O_(v0CHQOAwlly)twNpMi29ZmwUP;KV$dx^}HVbK<~u!{)hVbbMW#=-^5eI z$9k{c5I)gI)PQTy*I9rwHKgnZ#N1HAW#xT_c07Pt80s3(2unlTA@FHsc-aTK{f2U5 zAgv9*^1yJw@H`k^Yz$4J5#83%oHuYBG(6(*$ivWra~n@X0KekkZRo(uhdzczkKx7F zu$+@sKSL)zcYnjMY&Z)r{KG3Dgc$a4yikK>IlP=Qm@NW1 zZ5YRyN0?y?_k7`oX+MF>GB|#O&ul{vo_^#QB6!W=f?*9OO1Xy110lR<7|9z$^9<>0 z5h&mAf;X^VGDIAQ@UkJ=0R{zzsb|4mF%)qxeAV!P@1ARhjA%5X&~TKuja@ga4+W&i zFu)z8*f1^vUP=tcoSl~%l6Y(^Gn|?RXEzK7p26;gq18WV=SxE~PB~s1?7Bes#&DX` zt+$4}i8!NohHm-b-WzO?k>CeB*K#IMZBpF`J zjDF)aXmg`KIw9UZBl#^FVPQ0g3lo+`;X~le%BU~b9QPX~F9ESOx-}N&_D20VMRhRR z+zSvVqqjGpb2f72#Xc7!%ejbt%4jk7M5m3koq&WJ>D$0X7!AD$?u?NiFE>XTJu3n^ zYcz@XRzw*!yAAVmMipGuj5g}F7RVT*k5>^W)@bTG=;Dkf^aU4hG>6B(1S1pfuXBxB z*CP5wqh`GSF5hSYSCB6mRSyK@vXKW@{R)hJ;)3E8qgZozxoY&TFUU2c+@}azZIs&x zkXuGSSOap$Xd|zJ-8I@*2VsrTq)hm{XViKdBHTBM=lt`n(cC}?-x&?$4b^o{u zH(Hej;RmCA-XI^1YVx6LF!JXd%+z?f4FZ`N=ca%=Y8=3ena7M>7Q@cYcprc7CydQz z!tSK;a88u$jcu0$;$S?kFE~fzB|J-ZGPdA6B*ggE2k1hLf8^ihI&J*^7YM_QtGSa4 zH~#A;kP*f%Jc~PH9JB+%NaOp~AZLy5@OK+!Je(8ObH-nA1|Mx)$FqqTAl7)# z5|B9KrGucmXq;dUl4tyww=`Wce#v9_W#cQ`Knjc-@t1SO_;w>iziNEm0_2+UXltBi zq4Bm-=&l=o&fQFr@wIL+C^lZriwh;jMIAuy87J66ci(tcD#%0Q*83oQWSq;J&mJ2; z84YJojK8x7sWoo40g$K0-}OgZo*CzGHRglyAyXK9G}gExXll}k?_D#KS(b=yZc=B9 zc>7ES@6Fw$?;vUxg zO!9Za+}|YPAnXE6LMq@h&?KrDZHY3e9{_UB;u$q~m%-h&S=S z0K4-h7enAB$K*K|r*ln`xwpA!V)YRu&%}=F`lTk47gozmmfC`po0M~@tit3%14yOG z%ndMqWU_J`bdOCsM1iX{IhKk*PfbF%!Tgzt5emZpt4QqS@w;<`3qp0tU#XlHM(h37eITp*cSV*$*(QIR;FpC1eDf?*!8UD&4wju&}z+PZjX z4@6lqxI2!Q#+u=C*_qeSF3;g-ZN+`w4zco!^{`sC$OiJ&>xZEIYuXQk+>#o{BbXKK z=em zb)0_VCP z4|~A5(XYD^!JYo$kq8B6E)Y6XF@lli;xvC_`^1`lAQr-T7KE0<&7 zhCm0zDNYn@#G{e$Vk_27LOTx%>-IRYL!w!KAP^)YdWf5PUt zNVpH5cA`%;geL^n%<#R7W(1_Y2%AF}N)t7sb7$FwYZd3xLcQHveTZ z;uNpwT^1jw08${F`U7%LbeaOlePMYTZFwl1+Jih2E?f_IEZSdz!4omv1jt%3)DhfM zv5{Y2d?qfh1NU6?{sPWkh*>S+<)yIUO6M!lCk5oSxX=dVjqu}y?JfTG56E|7v;y+I zh@1#romg@f=TI*ayTjQB;d~FmkHUfmUDS|-bMb~3PM-u z!t-o5IhA{Ece#2Bym-h#RX}>mR$sx3myB2n&Rgysiaq{NxHQ(fiBp zyqh9G(i{i_Nw5LXvDU z8<1pq@eQ~Xxugz+2arl>;0i#M9J>->Z^{KuKvv5N&WdlzVRu1p%f&VDc}K?Y1-UCrhk?|{v5R4T zPnPiN@O@d&MaTzo4ByarDfnytishh0ID0Hzc~rnl!QUZltqdmUo=USvAkUiHK771JC$)6$P;S( z6_At4t_nWwRmW4%IjD;P;2hN%9>$&2)YS;x0m0>oE&HiOPjt^BWyq>l7LgaFlK1B8KU1()oC zR0c1%Myoa4N5-g2`kQYJ{L>zw`kt7uo$-R~j1rh4{8ghCa^o2{-Zs}^WPk*eb%t5`ke8>~b% zxq-Hnsxul$nYzwDj&MWGE{1uz^5Xf(19fsKxQD6-515Zt&=7D>)GrF8R_z}L;ePEO zo-A5xrM%6^MjOs!v8`rv804UKA_B{PDbS^9Gxmd|Yooft z;HtK2C!Af=PF)4LuC?c-!y?W17nm1o&7)vfqMfjXL8-RB1dV^F^)dzbNVAUw_e4wN z8?09Q{W644wXojco@reRakkI3Z5oOpiCcf3*?4Adkl2t`mQ(dS)s?h z0i;sj`Wwuv^n^xe(oMah7f7`p&I9c&eGX@NxAirB(4;$hpDz&hu1*c`Qlpn~rQ)7m z@gsEi^=h8fzQY1J%-`z{JRa5SPq{ezLBHStgOB=H1B4B_F>ehrHS~N0&dg9Z4S~!J z=Dg~&&)_@?oP|Lk@BiOSB82M%op*5N)5WzBLJa*73gj?LYkZEFaUGJ8ao5qvQCE0R z)93(Ra~`vC1>B5X5RA`p2^)bNUncN5A?GGA6FW77d{Sfsd`>>Z6YVMg+<|=RUtAaa z<8TI!r)6-VXZqsbfSJ+wEbud@9D{t;m;vxJ`<(@j=X}xy$8*zt@i}h^PwM99&wz&o zTX?(D!e?DzyXgHAd@iozduPd$hd?f!)eOhW!fSE7JnzCS_g5v)gEwFN0Qr_$ zt}}1_dOSY=o@oi~wz+jU-u_1%j(6tzf&WVJ74UDB}P`Hgqon+(D|b0Xak~ z`AI!YyUak2kjZgGKT5;1!5t%e9`TOTT7G2g=*L7D_)u3~?(wDm!+`Xs$Gy?$06N9% zyMfetBuEhLO@S_$(s&RKp$+EXLMbF1=BG%FM(a+~EWW&9)QN|Qa7vg4E`r9Kf$KB$ zSr~jqQhS~_q|=sL&}Gn_mf*6;dOS!rwdBg=dHRPZVmY*i3)2^<))>NE8m%C_Nb#FM z@~G|4aF$Pdond#0=J3F9neJVLuz>DZL3oASc;WIYRs8^i2PAn9_d^Ox#Kpqje%pdP zq1!y(*3#!E(A=jKlmUZhWZ+TlIh7om=KS-ZShN)6kSP2Ox&V>NRc`!N z0oRLzMgQ$UhKP|Z;4D<+@FeY&aN?riX<^1Q`7q(%1rfqUC;pv=2vOYwc4tJ75zs}7 zJ-nyntO(`;UX&PT2H`oO4~2QODBFX0F{0I{5XRya9SGyZcT?ajUhEo$(@YRG{ANL- z_&=JiI-siLZ98+$JvUk1)m`0HS6A0wyBkGBumb^60UNtJC_zC*5wQaUQNREc1Stgr z0}BINP%KPD#rmG-x8MFV@0mAe&OK-5jk))Jo&@n!>CIe0n%vwLv~;PbUyvc2{SMj* zd4s{$Nhw(F$&_(5p*l<6C_wL&JjL|IUD=^E#P3P>mEe3JGnt!zD91+w`AE9n$Efq= zg6-%P$TG|dJeG}@gXM{Id<0sdtj`MKQ#qQ&m}j!}5g?z-fJ#8Vkp5gvU&=ls!={-Nqo^DS{8!du445 zw0uzdaPB2a=u^mjRDN#{WuFk|fW=R3-(zbqLSC1gcHG zLLf}7+yLV1)v)iduu&cP0;)HuBS&CHo7IsAfZU>*s{=%;ZF)iVKJ|DOXi;i^`dQKH zrm|2Lqb|w<%K7AjW!S)4c|4}YOqUX2{5bt%K_1r#L zEn&287$1RtTRlMSe}%EefKEQR9_ZcxJcHyY@HlqldNMeWD~{9ZUqN*~_zAOcInSKy z5C`sVu6-kcAG(W`j$wmbAUnMKpWty@!gtlZ9ZMJ<_uqkYL{qx+Bgd-HJSvV^ztMl! z0CmhT#u{UDnSmRZ%P;+TE@KXC{I-v{p73-us1qkxL-V8+tiw#6IT@HK!L!g#b=(8Y zwEGXCe|kB-XEQ$7gLCF2J~6Y3nO>dk9t+MnUqUgSxuui9Ij;u`jq{hHE~{JM%!4iq z|DdzKXelfIi%+tWzN8&pHZNh|t@IY}=L7hNn|$JZ#Z`KPe&RC|UQ0zUezN`|EE$Rd zMEVCHmx(4p02{?#JIZXZ5QLoxkGgS04?F7 zR#WtLiYy5&yM)s_jBB^>QebG0sLyphLWDho++Gpy438h!gW`f+b#bw!qL4F|R2s z92Ik1&`S^l)}WUttZPBpG0~5yzq4W*SL3!G7b zSos43d@SsFi1i8nCr;jAV=j0`kD!HZw-J%S)b1NF&SPDW|L$fPeLcl(2me~Tvq0~kRp%p zZ)Q_vuN3ssWDfHt>C$C1UD%lR)syCZk(g!o;VN+%V+$j3q4m$pej zK9COFbRSA1GjfmQ1g5d_rQ~a0AQOMW;2%rNO~^fwM?fU?7fynEkJuC0}p`qR*vLH^-gww4e|GK%SiN! zWur>~ALL;^JtcD8bagdnn5sd}N4dqyk+1S~KLq@gIK}`=757u<`75LOaReywy)lSo z%H9W%TdvHdvlXZ;T!%pfDNh+{tWXy326Cm+*cHfC$`KR9gO%XhK(1Cgcz|V%(waHq z5am1z@M{%^6)+U4)T{`Bb;_@g0K$+&0}Vf?!i3%iWz9Bd*{Jy2Kzx%jky+Et%G?pq zxkahp1--3G+Awf#Q-19Sx$Vl^FF@{4=1m1MTuEIB@tw*O=0bNV$xcA-R-X2O_#S0H zJ*fy~HESWMO3?4w6t{)=b;X*0czr{$-+__cRDP!Sc}wYN4_cnGkh{fg zMaPQa9i_`j^zJIzZDHu1a&I|$_m$pEr9M!K>@bLj%9abz@<^%S0xkK9-$oz{6x%Nl zf2^G6?*lziUcP}qp)z3!Xit?&tD)tY(l8O=x$-w%#23n5x{oiF(J2^2kf^PmjBV%QpH-zzt!0~9M!0bu!{TzU+%B}(~3DEp{%KML_r zN<=!8eOAo*pp`23KSSV)vV`~St8#7)IKL^0&7kwU@|5L+A4>FhaC)gexv=N0{Dh-3`YIb+T3N9s#Sjii@&;>cPT)95Dt6G)aT9s%hh#PAP}f_r&kxGHfJoq zLaoDt7kkvb42mPv9V|3Ns&5$+>{ECD4BCG6G&~`=UYnR{j#eA+)s9i`TZ8j}I(;yZ z2i2*6LEw( zL&ww?nNXdieo6!~Sw1Nl}RQWeN|>X{rM->cb8&?{Escv$6w`tlaWQlf_OnfRzy z8iYZ7Qokm_!e`ZfELcj_%v#X$MSVz@>8m=BnUZhnLI&L5RfR>QAL>n3q`Y*Mo`cg{ z_pm&Mc>C^==``2F4Gl#23W2e$}KWbH-!&- zkgi%I=v<*Y$*jgoT`aR_t8@)`KZA7v%#5zae=37>jV_!Yaft3PpPsckr$aClsI<07zA7d-{YUAj3;Htg0l;H3BH_PvBagl;*bfW11G@6Z{k zi#mbcK3!)fEE9Eo-vJ!cIc@?nSr^7S=yBazJ})V{gaHsp)%EA2k)|8M&+n=3SqSdL zGhJ{ISYGJ%{EFU7-J1rW73p5l*LbCie}ZYg)*WaA+8bS!SpaW!JuP5)r_;xQ_Fnhn zCxBwznr=XT&~4lX3njWrGl2Z4o5ZBcCtdw60H1YvVL+DZEIcOrMVI*%y{|ey`dQy} z9#I(Xcin`J06%o~c<#+hYr${PdTWoYpxQ_K#9ur0)&4T0=chUDfR?3NGe%tg+A;1& z0b0m#h%eJxGMl_y`_&D-K<(L3j5RwSP#}bszG42mg5iP z8ZG}CkRjSKX42PcZuAmDwfkJ9)@gt30%w?Z)c|GdwOGDD8?8CxxyXVpPQgFTzhmFT6SvHzkp?zrl)(iTbu3= z&50c~k8 zEF9Dd7$Y3gw(&(ctUdZ0%3?L83P7ATvo~n*+Pz?iAJJxU?>MR*=Fyx4&1x-FCu;5o zzRyP{GWbOMF2pre8(cwwaj?uwN)z*v$OPZEk7S_|Xi}dF+v>7^Zp3pwf z`8uh!V_`p2yT1w`OUvbUc1oMZqa9DQD^37~+S_ih@JxHh{o%RxwK9+|v<+PKUut&I zm|v0Bpf7r_v>C?%UTeQ|MR}veeZW}WYM*T(@J{QuqE1l zo~Zn&Wyb-0(z^2K!6_SzVLkF1Q~Qj>Rq@v?$f{c z9cH8SFBvLF>t6^Uar~`3v>ecPehS(_{gonsL;6jf01oTdl?8~^mwgK@ae6oIK=JxY z-ywHI|1=-Sqx!!l0wn0KaAi-_AMyh@rhmW;e3Cw!!B(<<<3J#f>-F@@Q}prsU_DiT z-5qjidUw8m>H5|^0W$ROw}It^zARs^llnP6V9C^f+Kpb8z8&AqQ~GbsFx%7m{-eQp zMn7f`SkCGvah=H4F9}2MxxQ9;Sa_kYCoqU2eGU_dukyqMH+cctd;K52&{C}bz8>I%zEUj2OY}1qfb*mNJsprw`h9;x{IhZSpNrx>uq?H6C83GL>`$Ig)(3f?>6^4j@(2{8IVCv|Y zVJQz4_cN%wuALkhO*r4Pa9s(M(>QlekM528n!6VnQhSM+MYA`G1fkBaBc-~!O)eF z#zjNL=ji1a66gqCGWa%z>dOXq23uDQr(*!F8h$T?_%(z66gYDYmzfT~ZYaYf!VN=g z1t4!4y1N0~GL+@fp*%y4EtuGCg9~5ZJBF@&Fz*`5(*3z-c)-m1eZv**q7MukEdUP< z0f!*|$grQ8ynKVRfLwuLW=n8BHu$}R^(Tg))j$>+0**o8si7ec<~=hcGBNSo;8+K} z7ls8K^-IGaEQk~te$Ix@SB6?%V0mrWw;rnB7{a-%y)~q|gSOI`k`C3Yj60dfUt`?; zCwd{qq_gO)H6H1JUZ~O88)nxV9~S|+!8n5<=|CAO}^1-3kFeOY|5zTu`z>{ z`6tG4s~}!zEM(yR)Y#w|z%%0?qagR(Xy^^v3u99wdM}Na=>8NLuk)6#GgYqy&M?#1 zbr{(OQxLw#rrT&L832Jzri;^HVY8_gkKk-EIdQgIO(R&H-exMO39#MtI}Z@Hjrjt78+-FLmyS(3| zr#BO2I>O9Xv`PE|mKc*~5yTIeGEM>vS%3u7gQ}nXz8Z=cQC&U)AV4-oiI%&?WE~dHb$Lk`kPBvmT3t6)>Ec<{twq_(|8_q zIb-T|17^>fBDw!%n`ZE!@i|kx7j&LC?Y4!z3#O`Zn9)Vk(2L;AF%_^>bIFvp1_GB& z&*nn)71Mh@gI7(-6CiNS6io*t*EBi@a@S2w?}PJ(soM(3y*J%rexlfPg2w|snojWN zC_b4E%t7z7>EknqmztWfsQSfJmz9vOrh+iAd^3gf2RpsYu{=rTZMJ0&$;a&Z4xGN` zSu8~QnFkF5SZaRs4>pH(D9<7vGY9sBK$3aoVIWh?O}QYYnm7FK*GSA!5-jQFaPHw5=JfaAJYoKW z@9jx*HEzkL%*E|7mec05JUwy7{DLpZS+k_em~B224Q1!dMM=Gwm!P{daTYZ$SPq``JLi%W^0SQ}MP8 z;~L^)S-Kd8d@T<3@nrc~`mKhArIr@-U;HiOc#bl_(w-*)mRW|K0a$LC(+bEyOA#}k zL6$x|fwaPMt~-z`EpjiwD$8xIiouq}nGjfQ2`UGcHI}ygh(j!|nK4^ydCHGJ)KYgZ zkn1dMEocl64V$V*D~ZbIIml7yaLM&OS~PF-L&Mgu5rsU zxB)<(<;g?XyKNcFRMH(wx6SC?wfOVs&OOV8i% zSBa(n|K5H}EuO#pWNF5F-)GC_p0H4AIm~f>vG}W8UTMADC{IJOB zkn^&dxeH_QwtCwGEIwA1dO+OQsu|y^?N+U-L41c*4f=|^toHHL!fvaix&V8u3^TwP zVRd6G%v%Mq&~k1>{`R>l7SnP4^g95@rL{w#o@V^-Q?fF!HZ_fVE>wS6-+To z08*`-te`B->Yrw?kZ!etmFqiJetaA6S~c7S)eo$G;>Z8cYFQ4DkF08ODap6e7#kK? z9bh)?vDI;I$xo~f90To{RSuVg=T@gg*8 ze6?zn2Z3)^yB&39{w?1{JA~L!R84tJ%>Jl1{YHb+95CL z5e+Sv25rOkMuBIzM>b^LwlQjQU-JmsCf6$msVV6Rz)V~G7ckSaYCv|zO;$r@R$^Q> z%a)13*_D=nb57rdxSqS0<-vIqco=T}pWlI5aD=(Qg?@bP7cI#Ee(`{vu)E}SJy5+w z3x5cBi=Q|HA5lzmz9KdoJwH*M>7AwG;v^vb#c~Gr0V0nTiLIjiH-K%T9aC^S#E?2r z87`*Z0dl7>bD!HKI&(4GEzDfj_lOA{03w9-84P5vcy}1INO9^iCcjU#dkNZpq3lF2 zO4MaB2mfT}0}vyQmjE0P4Y>>*6qQ3DcSvmK;f2GZc55h$73=w)#fhdIQoOib9=#*t zwKoKg3i~Bcogh3}t4|aWy@5O?hVo~Qlf(nYxXJh*HqefX$<-j2B6?hg>Qr%v3s0J` zWpJA=&bxw^A&~OIqAMm20`jE55kIWG!gdBYvqZ=qfK%dKPtfj)DJ-wv6K&q1_dwj| zG24gYX%GhgNG#e63;7~fL9alxV&VU>_^d+N6ETttR-vfe8F%ohSZsyfGvPP`TAqtF zzX7}u9$X7wih0S9D-uCmuwIF6?*Qj?*wpetb zH}FAxiN}z9OBl?k#$2Lw^gcV!MROFv!=RTTJdD;4*8AY$w9d-9b-8p%jW>ZN?%7z zGfsXtf-_z|oPq%!kuIa4<*2;=8;}VyV>aw1%Ae-|c}#Au3@u4AVIh#oGM#JFak*qN z9P|K;AhAz-j@^d(^;53DSMYe55EqW5Amxqjm6??a_a@q zuFJ`PqIW}1v;(*)bM67$l10pg8qjlMQ$$-@vrg=4_|(hMNKiJ70Q+)04tU9>ChRhtgjcfWG>1ZYvpR#sJ_m0!5C#VFgE(mbHl;e(l^tmH16tSn;*BSmS< z`fjT7hUJ?yrCthjrYoLI@n2V>H)3Qrl&wtf+)`$JhO#^*oT;DN%4Z#rca%OM0C$yo ze}i^US;=b5ePsaMst1bN3E-hJtpapDQv6RsE?-%r1F}GI<*#}^R<<92p(jda6yyq( zU4KK_Q{@4l!DotzRfp$FyIP>VP&zSlgqlt*0A!M%gVRU7>J%mfma5No1L?13 zm#M=$KzzB{jE7GG)hdV33sR>s7rjD#SPgP3)!TPKTctMV-vkD$H^#y2 zYSoUF^)>2H53q!&<o}$*Nj$W#o!gwuBt+_X)q&}_> zxqS8HG=Ks%o`s3WYT_{5@+YceUw}e2yA-siYUmf-&u3~E1o*9?LoTKR{$$? z)9E*?)YX{-uu9jGfqk$}GGo75cZvt9*XT}kMlVFybS3Pq)h%byB2;&VKO(YDxBVb` zVY;7!!MR@7o*!|vE}tGv42mY0*g@Smx=x35d;`{SFYPtK9%B@wx*H zERN_7Gpap`3n3hrRw&M zh1oRSmZ?DA&|N79)i-s^2Z5HS8&L{yTQ`6yl{>n_o)EvQ8+;I)_jL31uyUY04|SK%!}=rLs&b&^>o)a(c!91K&w4!8wP0lTL|3IU1PXPHTR`BcE|{BG*#njry6$B$>X%rVpruGBneSSvEo=;$zqX5+v1Qs}#w^RV7k20cYI9~nS&+7N zFu)2et`c;v)b2b*ZX!o@S z%<4YSb~54kQ0qDrERVDct1y;)ty~ju7HCaog5|xomEM1`=1PCRMBDHM;G_1G2kAd) z_IttdSu5NKL#5g?=DxpZ+nN9Us$CflV z^gDkC2-aIOYqnaywl`QJ^<|yV+ow;t23nMUAf1zF{j3a(EJpu#dssN2kD3O12lefl zLOrB!G7@r!p$w{H^`T!N9;bhwf&s?sXVFJFqF?6<+EM-Bt5BApZ_o&|ME!647?0`8 z%?C@8-tH2R$@-qwKpxk>Qvh=GkYDugcue!F{*O_hebX0=hxPCJDdCvW4}E7kW?qKc+||4d zyUIYdkD(i#3}1swB!Hixu?A&J4GR@$@i#1^lM!HuyaCnA48?RjHydsRKzxfKnVF7l zhM)QIZ#R@>^=^mZh8<|(hF{A<^-jZYOgZc_ly!#n-G;U-FYYl|WdRvsD98urUPBto znURK99ic4U(CG#^GYmeAjZYfN(Ywzy%%ZcNWk}3J@07urF4bwng@N&rlkw+PP`%mM>;jNmjDKB& z+*ac=2F2Tq-595DH)=JYWruNrCoF^;tr_v|G}g<7pNaG zz|dafYt};|jmPdmAk{dtHjrt?$E*lu7@xF(+zF!tSH+XYyDC&?8fTt{c$P7@1cNwb z^bH5?v@xIC*%@PnMi4k_98AwR+qh#1dgqMiRaiK0bmLom!8ngw@C+O}i!{B9LvNp{qKe*r)2=2Eh%)`t8^~zWvtF=p%5*Rr;I!!tQ|D(*Em=y+ zHVr5PfpexBBY`|`>ZW027fem){a!R}WW^=N)Vm4>amn;c43L*io9m!=#iTHfyJ~Va zK<=8U!9ajq(}*_!*G(;%#=l|m8-w0W)06(NaLeR70=+y_3Ag0iCa=br!yS{E@ycD3 zQ!)hZncUjK-hI=uByc`34WTDjVw%Ji<)djyL(o2(PA^5T)a2Y8;EQShCm_F?>IPye z-%KSQ7}{lQd1flb95@0xQ_Y6X;7l_gL`Dkr$elYJz24{)+BhN5>G}pNR&QIo7tm*h$Vs~KF%Pe6R zV0O9XG?Sl!ma)w+zaUFQcgU@}gD=pbAAh60(qXNJdOL=}Pbep9HBckmV&$k%h z4oeN@al_S~Z9PWi%8yDGp- z%Q7a2iY(quG0j(&$d(XzZK;ccZO9bIWrO9d<=Y$}-&v3c!Tq$lez{DUGF(b)&L~9N zz?n|*KP`TMr^ByRG0u)BnCb1DydR3K7bv5km74Q#V!i0fa z+I4<`sTF>J=-7>!E z0`N=-yN&CK^NV49(#+b>FuAZ6c&3E&$(?$5KeSDA<$2oa-VA$Z)Tj>5nfLgmy;&b# zL3Z{kE~0am`+;Zf&r{LP3${l)|7A_+S+HR*I2UHHfVIf^4d$}gkG1b5$9bO5OGxIg zy+w8)bo&VT2Lye^&Qs|5iRXI&mWs)Z!0#{Im`@H6M_xngGI5Gq%W`pZ0(yaBR2TGu zM1yY7xj{@~)V)!3TL{&gMelV$ZV|&7c5M}pc<^PLc*uO!c5!PJf2+=ABI`;~DMoN+5Yc~k&6ZNmb-hN?E@hDOK8Car4 z6IL{1gdB=d9}u4cAaGEGb_L5JQ7I4theaV*(pYh>F;vHi5_-k)!Y}~6BceTT@KF&v z0^%pd^*umlio|e$Q(`W6!qZ|LiwkFjE0f{5B80!SbY1*25OOy~t4~1Q65)a9<%vXw z0)@gzm-nd{#|-Lo5!nk`UI>lh(@XK5F7IdYojXjanEMOFzlyw`=zSAL7GS@N);+-) zD2Hc4OOPDFXKtk|TN!t0m2^6XUa;(u1-aESJ{-L@awMyIA@YQEng4%>(s{VC)%K6j z+S;%R=G%B*M%#8Pm&bMm!MJYUgs)+T4Xnj=Ot=XJoqDkh)wziSTI;4Iz;vnl8k}8o zc%yBao=0mp;2ZEg7OzBW@4pDz9QITKzGqgT_&WL)>y+6?2f&*af6hqo?} z?O7O(wpUxaI=w4!7wyxSCt>=g^W5=3XJ)ttRcwa&JD%e*H~4ESXmFan@4tIf%(u7c z*)Ytk*-1CZH{YIs>lR~gqis2LG%U4hvm9;fO|!w-=5r>rv`u1U)bYkXXzx_>clrNw z{f$9e=L;rqSYOx#nJ$O#pzT`K2N;`SCTQ%YQ~<_y<3)_3`*GGK?WT-|-X71HjIrOm z3K|^*!{?qG8C~`oO~0%66dsA`bDwv+uX+R5{i^Xb>HkLySRAm1d7y!V%7AlFy&Y&B zkDmk8<+l*<54p+^+qHNRIEU`^17_HvTDTq_-vrlgiU%yZ$MOV?M-uM+zpL)r8PL+H z8P~DSes92GZ6kr{vdtd4x_aG5Yhz&~-mOAS&c}ytVfV6KaBVk@S>YZNxTV=Uu$<@6 zk&$@MZ)Q+?wLOIE-U*NRN$offYTxf((ALkhKCJXlV9t7g6{QB+T*bAM9kP=Djw$~x zIGT@o4=pX;3r8mwx;8D`Aldd5s}t=mGO5#kHlLUdHs^rp7|V5| z%bRP^)77EJe{&7y3)wi2j%JgVE1|3Dhh`Xivm(yB`TK9cw{YGGo|cEN0Mk}sS*4vd zU(WW|im3hTAK>Y@o9k<*q(vA(=k3g`Sj$P^?9!nN@7YIYX>5|7fwS9Xt_!x$!ok@+ z`YK*;`hcBn zY9s_!h%k46m11K)XsbjMX5E8@_a3mU7Pd@Jtr4Nzbwk9A*%;PZvE*;`Ld5|(1?xl~ zJ&<8yFLTZ7#ixf5*dU&;Hn>rwv9j=%cY42j#hpS3M2a7LRQ8GTbRhSO#7Gxi?wN<&%9}bl@qJ6tTw$PW)juLbiWj>cQ2Z zw&9XApc~a_1D?jUnKf!MsWUK5JwHHW%Vzn&wA#Z=Z)=bLz2>{=NVc6)7P9SR81U^s z^26`o6ba6b8|iX%>czu^ozI^KkM*}mXztRr656hlM57(ndnNG0i)%x>TOi9^?r!^`-J|7Lv?Iz^0A}ROFz}2zMBjb% zY1S#n%%#-WTeG2UT*y#hJP+`Cygyxy3FkI~I&srx@K2h|O4#JKTo9&g9tHf=gWP7O zh3vrf^p(qSJ)<1Y0?u5`n0J<42=KF?a$A`b#Laqc`v=fG?HiD#wk`C;b-T%5!QcML9Po5_&=%JnTl4krQk!df*G)`k+1M}>)a~6mU~D^akL*6! z4%c?w`$9vHfJL~r?>!cHhd@>)dhXy`(Ceiw+TI$=bba>c0^c{w9aj3yeh&Wr^<6+6 z@T}f{kFgu0!7h~&(ROXZz{}=QImmQt%9Y8sbsDa_U#tsxyXe*!UymYxVC+vYUU%rq z2chT7Z_wN8>IU%iUYG-XA2+THef=V7Rpnn9^|#|gJ^+2Vd`UW zd@1xe?dlG^^EQ51E?vj|cVFvOguSMXvE}KSm8I|Ad^XqW7SrjPww&e#Osl^1s#;sP zWVJar3A);*GZ5(5Tfl0kQLK%0uE*HRy4^c)b}44Mr|ahzz}PtPvFz5Exk%eQhWy>f zaaFfFwh>Z2Zt>Hwx8^S6;4&GQo{xxBxWn*5JQ6HLeTk`t$2SqCxLnxNdxM%71(MWEbeKf1Z0^1Gh!c-1ykU z|K#ozLr?2x^B~t|S}exY*5xJIb}u}@*M16Zbr?c_rejVlM%JnR31B+E>WVp7mwW)e zi+?PxyIu_C%o8R-s@tV`z}Q~ncP{L+mV@8nBkMjrcX7$+HG(fo?+$o@|DBcp7RnFf zeX?1>J-?gH1aR2yTn6s$cTKQiH=KS_k9uvvX&?9&JPz;f;JT+%UtITk9D(`uUbGBS zeFm(Dy}rY@qwV)Q>r4IJcxMJQ;zlvBaRT%Vnmz)Yj!V-ZHTXD7-A*UCBsvfJ2RdD* zEWq`URBk1%?rXr~HuDJh-IIra)1yKsv?E^lfjY7V(~YD4m;tHLYj$I_V>&SE9=oO< zFyqD*LyzYa6UH#!jTlO`vG+!%#ZBf0jM22TXea&VeTrK^-)StDfU^Sk(>QwjX+&Ch;yf|ELRomyS)rGo&7Uc-MtI z(=_xIzZo#B5}(H5G5es$?Gf|eBh}wP9rYLe%+a+^05c{y9OE1t*%3H`) z|F?j@Ffw3Qb;t}fu7SoulJA~l!~t9nHqS!q^rH(#;N0gq$I+Ya&5#Pz;<|7#s6$6^ z6&co=N3e%K;p*pR2uAB3lLk(YDtxv_3~GSukxqPeMztsh%;*99a_<;<5<16jD&olE zS^?u}eg^9Jp8ScE38kxmnYfTE$fV*ZXqdc{Ue1&ZJK(442LV5=K|hRPdROLfW~7+G zGt>H4;AedBRrvr;|)|3^>6zci^zPkQ;QhI$Fn?{B@4OTdITV z)Tj{Sa<-$_=2Diqh9UklfOl=9f^+DKS-=dlVT?QcI`af>x5tCueTyxq9(U;tj4(IG z{6@Y%1nQ_9=FUcsnGXIjcbR@2d$t#@$5sE1F?foj;2H0kj&{Oz-oJ^}-hqG8j^DsD z*&Cm)ZpvvdTu(Lj0?)KXDtM-wr(+B=)^pXKxwaVNob_94@XT(>6>`o9Iy-ak2SLxg zhNE#k|4DmL7c7r~#U;bCf$w{=pVbSC$7hRn1R-F*eqbiub7K5 zOgLH=*AoxwAvGzYEijYAsbNYd#`#mv6ySQ=Z<8^G>7J};%vi%CP%~pmomJ8mva{cQ z1ZIwm19k2w=B?+Q!V{vK|BPkc1r7Itx+Llj@Lr-j9eZzK^(T0J#JWl#`HCgTtN#1m z$tjQ+P;U;H23EcVo5I`_Hb~zjN-FBB)KL=;kuo>&d?uK zaXrk6g@@sbe4*YgnOP=xzv-ZQIIyHTqS;o+j=agZWmL&PaE^Xkigrx@C%}yT*$Dn| zmyZJDnLiwu@z-ZT&xAESAUiRj8Ss;?j|OJ)-#pR&MrRXbNfy~JFhhZf%z%*z`3A?6R1nRFv|229~ks{i(6cm zeMAj94!)v;>A&~x?pN>*`0^X%27cp7u|XR`q0e!PKk$S9VjaWjDnDK4uB|W|m%KkBal*c$DW z1N@lw<8eKmq9K1le8>MUXUij(*M^Qo;S0@1;rVNAy^T%$X6;1BO>|JYy!kUdcgRyR}mgCxX^e434Cot<{*Y^{6dRTM6x8KcLnnQ&fxb9hV9`L>L z_#Lp`ecOY-&%RAx1Jf;tn*A zX-8jl?9Oi(!?<~Fkn;5Ao-w{Ry@UzleSx32jYXD8?N0sYA5ZlJwxjoLSn5I;oA1>HfZeLj!(2*(n?@@lr#Xw{_9NeJH&8q zJ^S*R>D7R7e(yKypubNhBdETeYXILbzZgTuGOqSGGE-#L>JhD#q- zMu${qJmUKFD)2*Ncm{RYfYrDjUJ?myZn4bixf>jy(<9{++7Vfdl}5Iz_n)_3?*OnJ zf2XI=sX8wg{l-IK(qKd@mikB5 zLZ#r}b9vL4Oifw3Pj5mF9A{^n=cGzwC^D*X+6y-Q{R-^Ws!GYodbbw3;aI7$C56CmYi zN&x5Jp}jE@r_p?yoF6fUaM`*Em?0sYiR+mHTn{bBczl=`49sv7>j7>Dd5prnCBHZB zk?;cTh`PZT)yU0$+W*fmk+wVLui z2X_4h_(2t#1Mhh10WgEJhlAfK>KMlDJd-sUmjkakhgUyC%C!|M7DI~}1Psff4>-Id z(uq2^aPHRbmEzEPWYNza?NkRmW4>&L?AUSq`Pgv{_$3I>f0EITKhHYlgxrtdnb?Z4 z#H47(8j}zEL+6wyeL$UBjxo`+DDJM)54{BEjQT%;bLMB(U1l9S4W8K}$6{1-I`##A z?&(qb|9>L#bD+z58guzwl=2u;*B7sGZPRHsWV%T!U~Eh7fv5Yhwa{y4pAU^anzPzq zzaSet4rdC0>3N9Rq+Y%Uz}egI4cC2Iv8vFwMie;v-Q^R}A3wK(yE%ge!hv?bW2}QB zm{4{6@*0@I<(Wxvsyqptu2YS$J2W{6*TV{OAT_+`cU-%*Nd(@#n6Z(^BSw-V+$At0 zFVGVhWiABg=rtZ_$4q7=du)5I1>=slfGtli`V8aeAUUU-kkK306K~@j(ZBCSc|OCP zI-Uis^Cj**);X)7u}jrzXuAe?hrEq>0q@8a9xt=KRT=W#FNLACtH|A}$F;_2?YA@Q z;Sd)Ee9srjXnXbHlhS+j-)Q?BF@xH--!ioQivL90zt;-116nhx8MuS>8mHD=O`T(Y z!nMoOx3E5>9#fyLrVrp8I;l7C!_pYh5ATx>PPgfNvfS&_r|?+Y1(*?5%$|(=&hd;I z$<=yvbyr}Gq4Hb#CWhIicx=odQ7xr;E*I%hd^txSj?X` zJub$xsGTBC2SahHsKR5>X(Fo)1kyzt?)Mqu4nwRH;yZnVlVVIZkeOnS1;{K>;Rggx zi7nls^R(#1$L@>>XU^)Z=)w;@TRa^HmUH4R6I18KH4}z(L8M#<=SAT=5+FzP?T3+F z63Q#+ye!__MemBxF-Le+6lxH^CSLLUV6K?{1hng-+hp`^h+Md9sC#=|!*FkjSeDQ7 zgoz&RZ856?z#Y+V4D8(%eab-Yo+##*2JVY5Wigfq!oDH|9*X-+B|H)*J3$~{%ymMq zKz#54%VRO}Fpy6~j{y)b6rGtrekyk7fc8v0+6=SLg?b6#g?L;Wx8S9CumGS)H0Sp5 zO6>4~z-uv&F5er`@;H>e6-NzVc_${Xh0gb)4MUA$F`d!i2hp8H>JqW@5s)9n6sAT# ziJSBxK8u#_pp}X=`uShP`aVE@6@C2yzKQinOrlzU=P$_p5CQhE;3bzcC+jWm^R@Gl z9Zo=*uRM4OJwI8x9lfQp;-3)km%A7t2gn61S}v2n@V+dU-MMrHO2%~=i#l?SRpV4aNW0hTc7 zxf@`;OrTr7LFV$j!$#@q2F^{=fsxB*X=XUKMcO0-Y?W0R-ffe4>j1XPmF-|*hqSP+ z7%tzYgJq}8T?b{mWXoOX?Un;8L(3j{d>lZ84D|xoD?NIEB~mtHM7&Ra%)zMl%h3a2 zHcB36nkZV<+z-_;GMfSM0Xg{skOw7lr6^6wTim%0%MOh(h*+7U+~HjpW@9v8w?nUV^S zCZF$s^>k_X7kU|T{|tZ=axvo%{7Z*5XqocQk+7a6GiyT2SvjEt=9ev<8IGNk*MreJ zFArmZ_;(>}%Y~{*bDmyqI`vOzYgUzM@8*SADs?Tc-2}B|Doe_(ivNK2){mz`Py3Qp z|DV)Kl|Bc-m?lg4VyDa002s}XFG0t+j&(-wq^!v@bf)as44kLsl)nMa$YBj(=B!+| z7@XPi)^A`rCo2wwz4J1LFU$qGxH*s)<-*@#__D0bV(k@KngN!paswZQYqI?)u;j|O zbONr+^A#}A8!}J8!cEzX9`r4_Y8g25q(39=+cKjg1n$V}NU+?Mhq$@llhx?U-J%w)O`wNyA=GmdKrAXDxE89gwlz>%d=PM)d66ilFVIvzY<6XDM~T7LoY^I z#%Jz;vWf5aLFGdxkcX8sU!WycS-1$jI7Ki^eMD)@Fzl$Zf$?I3;=`2wF(rE~#FLZ- zI0AyNV}*ABNKtYWaHcA$55SV97;T{?LuvmPdMA|5`yg;qsU8o`ETy$S=66aN%=q%O z;>%+TXO;7;%w;RhZbHjBWfgbh^U7ByWG^T;2Z8gVVm%BXNBM=hkW0$VAh29kipxRu z6(x;D<7-MKF7LU@Lq56Jl_8zcyP@pnhImt1NY!~t?p|oQt(;>{=8m$9DersAjW6K5 zuhd}(`#=fhI{Q!=yAjBIWtc7G3KU->kdKv#U4Sf9@>mjjs#IaT^i0`#3$zzXJ$D#- zsWki*phy{97bAP6EaocsS}}4|r>iQz;0U1>1^*1gpA0TA$3|KKO>qfVlq z!#OMPG9yRPJlX457WbL(cf3Le`#j=*<6#8uEP!4+)}H%37A;oYFq;S}ou zJzE|@+p7!fti8W;oPDbD++p9;M&Rl93oB&(16u<#pyL!!2R`16c97Z#{EoL6a}FNG z{Dafvuh8#YmruXT;x~{TQj`a(tHPCN=(7@Fh8@nwc!q!T1CQIQ>(JxAzb!BxNqn?M z+zx@Qks(he7}R$jjhg@R1qEg@caadeMM%P#6Dk2>eUFzr)DA#9Mx)4<}8yxA=&x_E3&* zcTdBZ{DgrAbe4)%6~W>!LVf}*KqS-GTqb%kX|Y@^WHb{fT6}=AAQ8iFF0K$~LV#Q; z9x)fbN(9$IFIaq81GzO~&>kQ|L{rx9)`}B6gcB;pO+;^Ap@v`K{XiP$WPCV*v&$Xk#3Y!&a`z`{1+&uZ0n(IFei9m2#@DB;4k09tm6 z_6tGVB~l)vw_7CA!XD9@Az*~~g}%sM5w;9+k>X(hSoVnn46^o%D$_xW!e0^qM2nrw zNW_Sjd~FX1+dm(UYt1CDC&cCVg4tRe|^wk=q`hSgwh(2O*a$ zS{wxJx>%M6duFjmm-`F`z!G%0rp;tpY~yZZ$vtmskb8XAN1ad+8?3wy{N(-ZV#aIqxifVoS($mD;UyeQKl^Hm5N(dVEG~jGnV}-o)v-fn>hPBz;`iT z2Z0~rFoc`q5jTjug(^pW@Z%KOU1BAE4)ts+2MD$fjtfWK_f3*rINliBWNvc5CG za%npq0)g@zH-sR$m_J;&LdG#wxKcjk`npQ~9FN%s%Ql%1UoFdXd0QhN4FL#|WtkXV zE7#MR36&KUC|f6oTcZ~yTQbSKUZ&+i%LbXf0b|)HJ8ppLO>#9C%+1oPB{;XpuXoVf zDi<*r+a~Y!fa>jXMIw4TWGNk;aJls?z)ty`OU^F&gEiXSvh7rG?vWh>VIe}U=h45t zvP@IZBIOuc(Dupd5diz;!cc%HnaSFCw5%Khff$*072tq8z`*^W{HqG&4oTZx=pB{| zdHyz5{(1)jakA+qOeJ1^`3l+*8FCT5qjJ>_&=Ta|=KvDrqKyE@WS!a=M3UUYy);?c zaa}qt1;41CB3CiaPnB-mnA2p9aM(+i9$XwVWYbd2?}S{!quM9s&oy8uQ||8yEm<N>7s$6Vo-WZlS!Owg^j`kX98$5&T?@_+a&u#V5_y7$mp{rFdSahs z4fa0Es41Y8N_e|?|K!{tfUoi#4>Wv}r{<&gU0$CG@Iwx5j5&BIr+GZfTWLQAv-MHh zy#Ufz`D6_(eo8+LV5u^iJFveJRR^>HYTlJ(Igs4Ql57iGl2LIdM(~A4xU8u^OE3#4m>Umes%!zE(>mbGCsdNxEbw$R|K2(#N*0f z2jz*Yf*XB|GOrFEoyXfX!7u12xi+{FNB8f+#c||a7o1NY=Jml{Zo}h-pjCk~Zw&tU z8ob>UJp2H(^XB05Z1pX{2dHn{8a$BEkpB!Wx&?2y1%FPR^!DIQFXOvAf`>eew>yK& z+VR0%!SN12t_u$R0+9a-M*oGpyMs4yG2a`!fo$Tw;8`yM^8Vmo?*ill!Jl(F9t;*Z zjSmGkqAd7u@YcQ1h)06=Fn09O-~?&NW5K^}i?_#vvmZfMo(QhJ5d-pM@W+qg1DsE> zIX-wg*nR*&o(X`} zpZqc2-VOfmeR#YV+>QMG{ovO$7Jd*seKj5*20taa_$YW_4g>O^;K#HheH`4c6K|gc zgCv0e4PL!3I`(PsR<4fEf@=;y(Vqu@w*gxAMet~H-!Fs5>;}lMg3S!z{5tp{b?k3~ z9W<1D8+?C1K<*Jb{yKo{8EU!9a=^6&OV`&wnroO4c$mQ`zX#ddO8{+c=Lgqzi(t)8TDFPf6dM1fd4h}s`8``0v?^Pl1u+XlwULGF$<7p`K zh|qNuhK>wXjpA`sXd|+aqeFcZjE@OD`WX_B4R!txK0hwBA+5Q`hhEQKt9%qMk`4JM&3Ee~&>A9iV9D?&g|9Kjp<52Wnka$68xBms?g`rC*pIsFC z$s&|_acG;1QSGIniz(V)7FtKHeR=5dbJ5!?Lihe2Z&!wvZH2B}6*`9of~!MwC|O+- zTKFS8t_^KL1Hs=zXHXlvE;REkBwinSf+XRF(1tW?+!)$;79KZ+o_q|Ce}rBQ0OaOS zZ#BNVCG<-gM{W&8e~Hik8T#TrB;FQ!WJ`eD9@>*Lc1P%m5V~?_Xs566c2{WjYJ9LR zwBIX8{8wo2-=fUBL*FvW>z>dn6btVSefxbp?hDlgQOfved0E3{+`-@P5$jv~N2p{Fju=l>3U!1exa zXoz;e_d*-2MUUPO-SQUlJ_s$Nxcp&gs1YC^g~n*#{!eI2GX0N3cYlJ%C!rtHaPr^K zoSgvqX=v@Sc>650ik<#EWNreGFG70;@%CltnLAMJSD|@7#M{@QEvRLE6Z&Ts-+dc; z=|{-h!`SH+yzOahel&Wtm+?vq68ARxKEnt57y~3$`x;9-QN!PiCmNBspAr8aAP+Fk z{skTf8i7lZc#vUUg?1inoctaV4>7`A{f8Q#v%bTOBRJ%T8$0fWqK`0cJQdwM(#W>p zyQ7S`^YC`G@kj2FImYOw!T4C?{oC;QamMEF;k)CF^Ug(?Cm2Uiojws8tpItF@uzq2 zw$}LcKL9z|cxN0%pJJSMIKDg8*m4JeoMxO?iO1>2+mubuFrMO~JkxlNtK%%=%R!WR zwsA3oLe4SHWZ%y<_TW7b=NUg*fVcCFuetzvfwAePsNq86+{aP$MaCUx<8iTZ;LnhF ziE#>#ue#J&8ADetGot6U;! zufGhCzZ;`G9r-$Aqj!*Zy|IR>!VSg`4@To}H2(TE9yb{SbZGswO7IKU6 z7?tc>jemZP$3KmCXuG)0_{D8##O+4i5rDkI`2H{^?oQ*&m+*F%k=hWCbw(ux`MZrx z|A5->F}5TByVrP`eun#u^dhf-cM9=r>s zyl%7~1CTe2W9smD)7XYKfwzpBCVcR=5vAn#j&bO(@b+)x%pO$qu2IE!+V_lYdXV_O zu`PwR4~$8=qdzn@q3r#U@%DXy{EzV|`QOLJ;Tg2$6Jvuay#3c``VKz-)HwerJU%mq zPXy%W#t*haDPI`549fh{c%0h&SH?>j^yq6N!-%c9PGm5_;ACaDEfr(>c;?bVtAZP|DxJ1 zCx^Rg@ODc0v)z$*YIr`adZ&e7Zb4zEhx>kj2A&bVoNo9t!>L9Sg}7le&JA@RcSsp5d)@Fa)l;_wG#pO=JJy@DoP z8eaS)KrRb^K#A<~@E^E*uMA&!8)~>J{8##Pt`6_BGd{Q`yp-naYr`8f;Jd$v&)*h} zzb%woIkGy|{hc?CA-Qlkp z{c%rtyFJjBd&8G+j>moBnNMP{?hjvfDDoZ%|8iR-J{aETD}3-!_^Y?@_HcOFa{zfH zJp2dL@M!pe_fhR*;b-QdosWlatHRq8;l(tnJsJMp@hIh~aA7s5AlO}`j^wiXq=6khm0DCOnw#>Dbh!>{ZBkk`V?I61F} z6AYMmBU~88twvW7k+dCAn%7C zr?vHi@LUUdABKNLx%H#)e$X{>bkMHdJO8s5AD&HXHV z!ln4`^YE{^@V*E?L|^Qe;e(oy_jUOF%kjZC;hKlhvTwt8`~#4Cn45Dp_cY(8F1nYw z!)k!+Z7#1v;y&iiG$rk8zD>K^-^|2=Xv==)IL)d1n;jWE4loaU1djvFUp|P64l-9) zq45Wsk(Ee1)U4eNr5t7&OHj(;=A*acafJB{ohC<`SGp7v;cC-? z=HLE?imoyrJQW{YZ9a4dKEKA?X&byb zJRUNSc@AxP*nIc|Kt5u2b7?DWnOiJI-W%qQhvS1c&B!-+d)wUa$H;rfypg+({%z*I!sA`@ zI6AN1GjB8S_P)6@_ZEC$?oJc_hvr!?p!Sci7P0`ii`x$6LVY2;N3@|Q(^{v;lkM>ZbE7a;G-$YE5+uZkR3f$y%4?79U8 zSQq&LBli9k zIj;?myCXwXBkqapvIwQz8~M>Tc-$Acc^w}2NB)mg>Ve3HFQD-cMjpHaA3PMX}G8W#MNd%g)BzbCK~0fIJ^L^df+~7`cV^x|bpc{sgtZ9C;v!$19OLsOG*JNwCwe zMINN5;`KzfAT)?&RMdWRYDqluUS%uHPiu~X%Jid0`NUuGIBw=4p8)dsnVUTW$P;Eha|l3AoY}w` zJ89-7`=g?@Gsk|Q!Z}U}eSI!EaB8TFKDpCE-y>F@9(s({;4?zkko}w$GM~lc?9kqA zc$^a&KN62~Ly4c`gY!bo^d+1h`XOb53qlXG>Wf0-+aT}a(3@lv$L zkel5;f#l79&5eC!1L@V((w>eR#`KA9u zZ|1FT0_1{|O_+;OG+a^dg6W1|3WR81eJ!wpBldCNfghlT&jR}_0r0nh%V`GLcSdx+ zYRvzB{YMly>u#zov%kY;%sGWxYT~FLqq<}bDQW7H<$$SvmlVF{6)Ix2w^jnOE>Ev@ z{p~@(|7PhMfJqNLh2k4Nq(+swlk6q;DXkQZFI3H zX?)*1@E$|-_6dCd5%3Up=%@cj_VKg3&Owb^HXyu&so~QD)_>8>_W~ckhqq^D{2anv z_=w<1Bu>W$*FmTcuMM7aF-ku@xW!v)g6G~#1{hn(xr^6QEKlA289Orj9;DX|kyUS7 zbu)f%cP!JlzxVI>yTk1apZ?8xAOlIi+ zXhYrgQYy?No8E-qqgQ+hm=&Xxu*T;SO;_g0Wd8g^dg}i21YIV7omGX>_73dy5|R!K z6wX5ap@Ap`sKWxg{|4V2894DG6mU#nCN;=o13!2KkK+PsTk!P>ftP6LI5Dtz4IXO) zJD-HSQvwH4rM@)KN@{Xh;I4KgULH8+`vAEjaP`lTcxB+~PO=!ds!E-o;#|MujKRqG%lfUBg(}J!1?wnxz z`2e{-_$fCCJrmrg4UcDo?^E!4CHTx=@ZGDyBOk}x8^OC7ef(kYSdz()g4geaK718i zN5%Ze(CL52cSnbg;_5v%bO2o}$Ay+rpFAPddOPYnGj#nE068zTi~`NYq25RExGZ!b zHP)*_v*}Q}Ce+oB51tCGVvz20p~)PoeJQj*3HWQFmR;ZKf{oT;*6!1R`!Bqt! z9s13aczhK)=_o*c9a=>f#5bYmvjF)v^yU_L+XLkw?--+pVK~PcyO9*0XS}@&KrS-Q zrmTCZu~7%!E;oMKgNm*;-n<)SUTfS(qr?qH1EXjDVeCpZ=oVwEOOSZGvBhkF+-bZx z8;R?T?;M3v?lsokjEWvGM$bg!A2hDMO%j^$mPen3Vt={Cj%snuSj3aRw>p@6U4PN} zItu#b)8C-fZDwqT(q@gkhu?Fq-W9pAt?Th+;>s=2|mtt zaPvk%xzhijS^+MoVuB%wq7`ErF|Gn-&ykld&yP=Vmw4THL%hZQ=v#-bMd*T}IvPioj_?+?nyi z-y;9I86#BDuAedTOSJli8NV39+l@1>W{~JjGrmjG_m3H?NG9%{(M5Ifo*9Gha+qg) zLo)Yq=!t{Slmm@FbOG{uW2-+QZ=JCxy_^3s7SbYjw{g$yXwE&xuc#s1YivlH(S1hG zBPjEJW2uS62aSgtQOZNcSPpp)8wb;B^N8^me(3_>h7X=K9;CSXobg9$W6vA+rqGBNjJYMt#ptH;_^MHTE!y&$u{*i+>&7k5qDOBS*X@hX-!V@76CnR%ym|-{ zzcgNb8;}Que@f&zH2mBicsn}0`(1LTjmkyzy={LvocWS5Y((R8^r08=_h+r^khgWu zNh)t|1Wv_ zb|>r$nC&lq47odeP15}9Cd|EPPwoLS*~SZK*4t^-v-qatZ};Mx-ru!gWOw;1-5!6K zI2OGf7^e4c@l%`N_t-%s#;cy=NbbHY1NcAR{&W1^KkyXg>w^P3!(k0hbKaRK_PD^q zqj3Dt}fd?qw-5=O$ zV-)sCU^W8=pA1|^3h-3mx|t~R`M|T^L3dsVY)_xttAT&R<{`Q@W1n9lVZ$-XoSQY? zqhkH$zqdKw^IyD!Vhd?@tt)aMO6z%%=7t4-AKO5-_U*fR*c54IaJFk5V_3!e* zT}b)eaST%VeKd+@S}h+Tr9Vf(Y4JY)3z#KO?SE zSInM)TZZu1FR+gLKMx6HU&ALy1fpy4I3}HlNr78$L_wznu3-%GX@PHO zr8_-v$IsE@GXgL6;&E1Bm-FyAJ8&=O|D3=#^gvz`*pY9qhk9vgd^5CcOMHG1q72Z6 z^NeFCu-|L!eiv%E&)9Syd~m;U+K=$|fN|Z&DC}Y5L?X%~a6SO?QR81@sP+ltGa5>s zH10hSkWU#aR|4b}W3xpl`c>n-H}QDeSoIb_J~Ezp4{x6uSw=8^X1v^p556>Ry$E?< z8R_q+3EEHyVjKN;7vyfN#W5o?Yp*~>c*Fc6)coC@A%uy^tcz1G*#0LQqTC(6L*BCE z1XZU@>re6f?7%fpxCLP22kQXX)^iIod+(*>`TI|oqJn`7mLYxFtp{^P4&tEh6A<#i z(ScnV_;yKPhc!sNHt?5|0C!7Z^-Re$jJ7Gy} z-^0tffz61L=LJ4}0fn3&2w{t%XwGXhntqCm*JtcN4*$iBAN?K0>=nGhMB<^re)6+Z zgV_i0c7E_?S~0H-{vUOh8-soHUEL8}emNfZ2iH=%eIi(KJKkOj?ns~dm%%TuWYwYj zm*efp&<1-V@5NC2R!Dp^^w}$T`yli&U0-{^RE;tZFkmf(mSY_CEk0Old~X2No^6~= zJL1j8`7~$TWAu*${x3Mb?5nme{=TP)M;Vmf8?i=2aitK*jUk~E3 zfA|Ut$OnXHKM#-t!;id#$HC!u$g&Oz@BSCO9U6XMFFbI{{9nxI#M_bK-*3z& zg<4&_6`zH2!Bk^#O2{VTk-jg;iu?FyDR+k!)W!x z;ggO+DbIyZUyKjl2@f-R`m^wNsIPw>zU*owei2^PfybBO?WqKQ75?#y_~4uHEn5Kc z+wj*EA@?v(0b;_Gy4fXoJJekLE7WkBc_rf>&Nlmghs2A`HQd*AjX4@b-rvpD#G~uX zw;x3#t~dJ_BXom#D#NnYnH_&b-oMOSfJd;_z4jp9?lms}Ucg#+#$r6~H~0Jn>U+SP zNsZ}2^BSr{51D%$fW(K*ZBE4F5%cREQS_tcH)Lmzn|m=DfX7Sb>;xcRHaC7Akgu4h zL-~QV?$OHt`I>p@Zg{+I{@^%N`-b@r4M}gBBP8f=nR`%we%rhwfkwPze!Uw?`M3G} zwfNv&v!NdKy=V5)I`O`Fp#_i+&Cj?NKQeFr6&|qG-3rK$&9e^y$S3A+=mPn#dG*eC z`_w#zF?yewJ3S1@ugyPx54G51z6+3ZBFDf!CzkgwHr^0W2hT{t zQza5QCig-@&-^s1`Q5}3is@tyBkJ$;0fnde{~`T*4y4zT9ZTiU9s08UF)w z=FA-*>z|u0G|ufG9UdMXNz5G|99ucmKR7;jU~qZgnz`eB!?B9~ZFgBQHo9c2Z#cSm zX!+oHv~qP_P1P=a6T^eccNw3U92gqirFKqimx2vZTLXjDpkWnmNfiaouxwdpOQ>CntuMkI(HN9v>R#zz-zibH_&~ z$NK+&9vgQ%BpRre42?_-j*U&Om~cZlEiFkM7#mtSIEJ>b8J`#&p4-2Cw11f!$REc_ zA!POLbf?m}E5-(ajKI+G(XlchO9%S~2FEOct7SkugRC&|{{<+Q%L-ufNSUGWPNFJ* z?$F5iMBfOe(}jqxp82(D?uwz&5^%q9mZNP+w6Vq#aciK@TH1%;KF-Ea|1zt8bdvKq zr=Lr|IB_Wxt%1SCeUr;4tcf)%2FK?t1=*;u#wP}V2Y7*)(LXviX!Q@rRxF=nHUuV4 zvCOkS0vx_UQAmfRG&YD)k{}W4q}Y8b{{Cb_z7r-A6;E4=pci2#AV0rg`* zrwoAP%DjQ`N%>m=L|dCzIoN@HNHr83=P4X>=%B>e&L7HFT9{%F$suYar;+7{bFwjB|D2>va|Rh zJFC8xFY0soqDqi2Nm-11Nx5ID)r&n`D0-q_!B6xn*ol4xH_=}sKbYvR)jxCxFuJM* z_@%0s1C27}K(pNfB^4UNSIM*M~!NI}BTsa^j z{h$WK8A%%Y#s~tOw@C&-G|2(T;K;yG9~U6WkNahOaQR?Au>e5U_|TH^LH#l@G(5Pn zj|hk?zKpLJB&rdx=yk=!(lPJ{SAF^?s?%M>claqO6O#LugU)d=x~UXiL0klr!%xs6 z@zYXN1xcGi%BnE%c|}Dq8Ti?}CBNNa2E{L(_RosIR-qZe)pLHfWhfBGuQhY#^5^^+ zh70OJ3AL+$3T`q7aLXiIaI>8R4k-kcB^xAJX%}P*^%$EI@9sm zoYj@dB&-D;@n~gya(Q(7?W1rcRCG4AS@~Q#Yc;fXWad>4j8DvhG&pPd;7C<;cyPFX z#hOYcR?Ui5BApLrRaK<38LOqev%5=F+Sc9M*-@|xnRII|o9W2sdNLWSr*C;QwmP1k zTwWndvLHMrC_u_r)i!)dHll~bRj@I|htu&`jYWUZ!LJ6c|Cb@Vhwt7}EQ3tHMm zt$4O|WOEk(B%+mUl5dtM7X9@Dz$jH!SXN6%TXf~<@;(S(gB8|_>T&-LX44s2Pf6F2 z%E5jLx#$)1NMK+hQYNFK@gPUf3v-gG$mg1@_MSFTy(l$W zxlLt$Reo?uyk?uK*y4?I1sG^$ zFG?bC6@FE8WmLz-WM?|M+q>d53RPl2l{^3h3djY}na>G4%v;%(o_I~Pa_W3NYh|J2 zFt%YFJM%3ZtC-c=(~92-vBcsv72Smfjz&u+XXQHOP+^>{hIz4kcA;ycri7Ezg*9p| z$n}bO>F8?f#ZWDu9K>i8XIO3H%c5IjycGb@y99(Sud2vlj2EQm<+?l70}Bc{(U?Gj zmIPK=dsnWVm{EiSiCC;U7AFn}D$>#pN&&E*bZd7GSR@fO#wpO4+}lyeSWRg_Ce#Ea zm@84Z(Ai=sL;^N)J(u8c35XmO4qS6b0fY=qZEQ_9SzY-|XIETK01yN?p6?NZ)}ALR zh?Be(C(E)5>9%|_wNr0zI$9aU3y32qWJ>`v*x1sZ%T_9YcwM?Gy8G@^g49kAQUj1G zfgz#;y8R^siD5$L(3n`V!6Ko;AjYG~RxwG$&1^#xJ7~{Q9TpPSDJCJFiZx)4>_Jjv z+}Y9z(wHvH6I0dLh#IoQ;!HjxMhee{T)L~!^4pxk2M>N~q)A4I8B6EvWW2R?o*K7! zJZ%xxbG=?X%j; z0LnG5GQl*Q)$LGkkSx-F8({>dG;qT6SlgHp#R?RPffZE8(@CIMTRxZR&KFub+H2}7 zA!m<`&Kl^O=&OplGU_U$GABw7Rw?o!N)TAQ%!=03DS`sAB$2gbTT?*&Y|If&5~TZ> zO}VNw?OmL?hFsTz9LQIXkSs`AvW0F%Q$T_nnn?R4x``g@qDfYW^N2xFU6YFk1TJ0| zOQC0Kz-&OPv$Z3gRg|(u(!Xp=zN@JfTtz%ce?h+*)j!FK1)cQ52@D}(ibYqn?$HCu z_95tWfdXY()A?LBYSYtfV{5DUuA@DhYfHChMdxar{7#wQV6}GU+THY2i56K8fLu

    1i8zL9CoW0tbD zo^>pUht83;inS?cQ!de5N4@@r%eE*>HC1&3Y^AQvDjEc#_FI$VmEs3w5A|cmX#a{y z*fC(cbY*YrO^ufmVnK$h6^2z~epFYpST4CdB+(?A+8vG83BH=?Xm4z30t$nDR@-Y> z%(gqnXZe=G$dyZ^7pO`JBGZ-?p90TnC4!Y?3-sb@=1S;n$)*H~k3x`>D2~OOY|N5} zYaZRukxeG8POc{kNb{nV<#IIT^T`+0D%n9WpVqH@&#Qo?Lq&3gqPQN<5VOsoo_)5?!@sH4P}Dxs)2~gknK# zC^WRCyYel)R-rMUEP@2;*2d!*pl&C`mIc7ruCfBCi&x}&(wVN9CG-T>I(AWbv`pY^ zHV^(^tVWRqxBw*LlAcVATFVEM3DQOU7u?AiO%8Vg5~X+iWva$XZ=M)`F$6+7PFk*t z?SW7nn0zV~uXFg6lpaVY(jXGlfjMW1I<(4`=B>iQ_6!!K$Vy7tPYIC@#>TQlFoAgK zc(Rc;f*3Fl)VxxPqn<=8?RX8O;%MmyRTTnBP$lu5C=Xz$3k0j*`Ru{l~@ zDfdLhHStt3tCw6N&`YS-Pw?)sBKYF=TuaSr6A?)W_%;~ zWbw38$F55e!EI4YfD+N03mtC#$R9u=r^0L@>`9am7@-DVF^)1oVgh_^Ihg)Q>5wjR@UT8iZY}A)b)bt+C)Ti$V7E;A*Zt-OwtRSX|kKBmofy zD5*`unj^Jbxio2V5q1}W4KXpnjfFPF6x6Sr)lGr5yA5QB6Fdcg?rIq@(NiG>P{S*u zDhhOqWr1!@nbvubJLd_}5)vS%Ps-Gkc!&-#?ttCEMg*T+ z50W~Bs{s_cRZG})dh`?#lwxI}0Cm?cT8(cpge+bWqgZUXn0I1{f^NF(JSn18iMBz~d@Wowc% zm=K(f%!!;r%!nhdlGDWm&)4?2n9Yqn0+TR1X_$moA8bhsf*5DiCYwUVbwoBuklR!) zV6|=?S7?IzGcBXGXFEGUm0^0&wAqnU+#lD}11qTVCQ_JzRCNVEYD^cp>d1kjm9t@F zc2d&4Ac!ytR8{o0<(k06QD`*Xldmf=N2R+~4-YQsOM+1(g+Xd6s6=(mdO*eN6YB$& zs9O)Hn)PW`Qq%{hL56G^5=$qn-yExWvOXG5LYMODllC;mV-=FSW(0SI_T^ebMLBZa zka$U{7B-$-I^WW@(2CodnQyL-cIFSq#o(AOVm1?t*Ex0ztVkFTdurqJVQeU8JY;sf zzF{i+B0&0`owxbVF3|}`VExL3=G3kc8OJFmXAr3-tv_+ z$ZI*4VIz>X9zlP1rGNtak8q7)EKc za#=BCx)wxAa|Nr=qFA}9m%=v?KnPfnn8-lEKZ#Lz#1tOUr{K_TDK$~kmM#_1r5d42 zqaLBkX*)Lt!;kPQFo>)^rp;6>C^r>zNjD(WWjhwQh(>9a55@2SlH{UzpfGJz*Y!ZI=-`D=L4hmMt;t9OL?UU+67gtltI%{C zh0F&hak_n;=s>j=6I&b7nRx>1qKo^Mj}KO~bwRXi$;KUBt+6px0UD44`zt^r!%R2l z@J&m5OIIaagjG>x%ZSGvk_x^cYgWdXwoIoS~_c}D%uy_YO6)~-;e(T_&U-rSCMc*Jsr zf{S3f*@qZmVSvx)JMu2(Qyz%R8f2lF)zIm#T0|&~UpV zKLfB-<7AF3p7`O$O}TJ@W;9=eYQ#8-={D5cfS*EhARm~I1w~Gw$vmBzQt^q^MeFp( zNe6M`jg2zMf#qgl)UqkRZ5B^R*S3wZKI$&H6&Z>TTeaudFVkm|eZk}`JIh1S?sPVb zDJ%6vv<8Sg?IDdZmY%j!bx2gWq2-y70}9ONf<3PXz+ ziJVVg5_KuTgW$W%!xc-L>Q<|jE6l@@G_{nh8CZ}^z;Xer9&w{a>Jag2_^)7ihrH6> zCL%QW6taxf+|tynmI$MY7!4!QxTjP4(vogFqm9h1oo($484zJxABcrYB`k(wTfj65 z4et=UBu4MA*( zp&f0Va-_O@X=nFu;m3(4wizXACARhO@v+D)<2K2657AV(s6O6sk0bPCQ8sOa2ZQE%8$Fdu2E<2vA$^ou6w_^` zx2-iZ&+Vlw2O^tGlaGU0GB7cTf0X4_lsdVndEg_VLR46T@ zA{zASXb{UotOFt8xjQEbb3-y&(9Uy2M-}(1gmaTXP{5RGOuUq})3E^njx}xI9gT?8 zhk#yy2&4-yip)vCtXwuruVEj6QuN9+BHA1BEC{iSoOtld1tMol8EkTGxjfNop|sRg zipT6iF48-#&VLYj#1usS;zs0pOgQpo_*n>XV^Cnz;<%5*)f#a26^rwV7Aw2>vf(WZ!* zl<^V`B^lnBiIRMXP#7QB;ov}|)*UUe$JT0jSkaZ@rqz0gfyX_X@5OcnTZK`Jq!>be z4ynHrs$x7=Q!Mk#whJo--b+o+T4XTAi{QI=Zy##U*aLcmx?k#NdiLTio!; z^N~3Gpor@>mI<2@covP~Isn`uI1u&3%Fq(JuymEIW3<#m;O+0b{KirecQ=e@G8{*; z@Fp`)$(TAATsAq|o-ZH}T}TYr(ljzS5dBrmCJWG%x?4M`_J&@QX|bQXPltYg_IbD52yLhb8;0&?}Yn!>&}qRwv!q6-^~H zc4;bC9N|$vRfGsK^>Qxvy+8;L9aNeXd<`%GH%K)+L6u9<9$Pz#B|Pe3rlCi#Q>C@K zl}F}^x&-oNY*QL-kcI97QBg_9zInPEK3|Jc9rma92-z_QV*Ha%td!EFb4>{17KR2W z7Qj0d5-tm>mR4Fh6t+O%giORU@iYVi>BDOP-Ja5OU6X2vYuqX8-UbR1s;UC9MbL8) z{6p(|JeJTps1A>l3kH!PvSXM7Ju{xgq1drwT1%*?4zX5Y7`40QF+J13CiTX6jqLvRB?QsL@!TDyYom)ZI0b0gW2G{q2vhjqT5-dBB){> zEuONg6yV?phb9cep`!+i>6BDFqj!PG_*hM`{WIm#5$j3E7iKcja$K*eHH6?c$Fhv| z-qBgg`h0+7*|ZC~q6ihWO8QbOgn-KJ42n3(mr8`UX=)`!gm~Nzrxa0cN)(dfrI3YW z7veCJQ2d1|N0m!GxG1T-6f(_e;fjJ8fq}L*SI~%TX*pc4V~F|Eb?wBvaOu&V3)+;NNioL4K39e- z!?T{DZ^RQQ$f!RXFWH1ntQoRrw5NnQi#7tF!HNmK$*4Qj?D8XdV=E}-meMm;Y11+n ziH;>`QqW$9m?n~*n07(w8e$1r!r(2yrc%r58y^-{a;}B~BF$)K`Lly3HrBy(?OI>< z{JZn@vX!COAf4Q~ss1YRrimLzow;5hLDqB^;$n0hCz}`?y$zJMeNB(*Z8IRLiX=u* zCVkxldAJFrDp2A%V3y{)zTb-0`N^cZZ?bAXh@y_!gN8kkVtHVLPpP}W6NQRTtkxXd zp^)j^ZXi3&@dH5!1cvahSPc9T=c?e3r2dhD;06SAwk?ZaQrNM{L0JeNiclVwoiDBp zq=5U!fsoj^CpL0BEpg}R3GtS$AyBZ8$>ajY2GJb0P&%rBJ6YpqHP{n;%6ZUQmYMDm zn=I)Q>x3KvJze|Ax%P$lRqQ7Aif?6db-R5_5ZIvIaO4uS|04tkQw%>GqvUE+*sGru zeN$p+S0>kD&kMhCrTffAF@&50y|fb26k#nyyqv&4_&DSUORE$lE0{uD%e^#HjwjcN zKxQ&Bxw}Ry0Aj0mc4)kB(el9-SSHoxZzn}=jRF3W+lfu9Bn~2)L{5=Ke@osQM$1@} zqFmV$5=z=)vMms-)#f6%=U3QU8K(#8jLF7RM}y#JyJ8ynIp6sSC!FLZgmC?y-vG|z z>{FwjrZ=j&hO7*P8aEOC2u|@N{U#LEj}WOI-9tAAP3kwygOMA zn6-EW2Z)Tj_+fHA(U}J zg7ez)O+00bfhk+I@gx?>HSRn~&%`z(ZNSeKx;i?=REtf4w%15(Ph8NE&sr^{U2PyA zIquIAODPkhBH{e=@)vu_!p6bzg;?YAB`%;I2+gJ;2c;6k+3`Mlay0h&hkt;~%>AD087D0&0LAITIuVjxHd5;|=_WagFQz^YKFBa2&M+k)UJ3`tfii^1{EJ$}s!U+A=me(6)s3}x& zcZJ;Gg&i7s(U{n3ah`&rHk}Nd#4#7xB&YSx`B9ZiH#;pmU#p)J__7koh)$?&|H%D-;!* z=Y-1K5RYrxqGQ07^PwceyJAW{)W)u4%r~!&p(Q#`+ za}?o>6kj3MSNS6AJgcj#S3B38(36&-3N1u zAtb_3T#}|($G(Leob9DCEg{d4kn+2dwUWwg=nEs-3Gx8WTqp6nYiG4_pdyMLWCr>pt16M{SZ;9wz zU@W5xIQ((iifo30@bnh9uBrHpNS5UgSd9f@$vjx$B$q)77l6bG-)Vx~%RgNstZ%Ns z1!3H_!$;+YeysvZgQeCKsFM{kh)1l%Unlqzzg6I;WkCjoxYB@gG2qr{Npn+oDw&9j z;X)|8SQ#}~8L)I=9Baf9*V2Nf^|aqpTt#ivfD`fBXaa%}1~F!3yIQp24R}T&uh81j zmCo)2($LflRmt5?PnO?6XaF$|{8Gq{JddRprn^*91t?12JO~;UBYWu+@ih)gGrlB! zdbFTdC2CWYJQbn}@@SKHtQtG|OHYBoO)EOSbaZSYiZj$?|Esb6ygKe$9M~4g!+pxE z4s258>LAXkIDMO0;;e@raP_iTdW?Jk#1J_h(Y_|fC5GL4GLWN0`qeUq@CwkGK(7G* z)2gklulm{D+G>ljB8&^OL0XZjgPBHbm+b8YvX}EZal2&7_GtRKoFs&dIV!WAQi61@ z4~*P7H$^#h(>A6~9X2*)A=5XeuC8<1#>8>_5)BzsR0WV}`wf`s+e4sf8-YKC&&Z1D0oA5e9~oYlcB;cnM@uap~8W2@(x(OOKSmdrs_iN6&4ep z4SRLmu&312dL5V|)lr?A^7qlzr?i^N*4Ru(nks3Tpj_vdC8rQ3bC?tPR~yc=Fq~^S z5YZQK^We?l?xk5i6Y2vbC({*43|nv(3>AlHq-dK_!y_!kf{SvWmUE&PG%@P0N_;Nw z+K{ntf}(b}XJF-N>d50e^syXysE=jR?XGwczZENBxDTQ{l@TCLV2Xb!aJ)?!I8Yx0 z1f+tgDC>BqUI zd)jeEv{t?`J#A6R#PnmGOjJ+Tdw{@$U4F5%XM~w{hzK<8qzRz)DQbOS)~B}VQ6!nD zpT7U~>oG?4>oZ26SL;*TdNq4J#%Ox1O(v$tTEI+;wW;ZayqeVX7+aH?7GnW2?Q9Tc z+TkJ4w6g)A^(kmQ%9$3;YEsjq8DZ9=J?nvHC~18PT91xRk7PBe^`U6fsy21i@oBN6 zt~xnA%=EZAy$)5!byIp8S0f|3;6{#C7VlxwIa&xW&UY*5j!8`HBI9d;hE@2xrFE_x zfG*r0OjIFEhYiV?9cP$`*6_?JNT$*X=a>XMK@*6yzyZ!UZ@L$Py|A;WcnMdAuHHA> z-9cCE^%NW3{h*{UuliRMeTi*6I;0ZD25qS<@>RI3hGifel}|YQ_=isAEG&sFT`kza z>?l}PX{H_nt(@5)qW@HvxRH*7qS*;`gkf;T6kg;c_6{ zOn@2?D`#1iTh2ia(xO{Nw_<*D%US%1#ORj4`3-(acwtV(!5iH^hnT|Xz^T?+UC9mx z;mAd*#41}q6=*L!nXra=RaaM*{76Q)%6Oh`944KI`@@CCe%bOv*TC!f?#v;wpwWHw zMCrLu_C%Lv`OK~lkepHx{sg;UJcaY)9am71(S}GbwqNN(2!vIb-f$~|IjLAYQD2v;fekxV7q5*E&Q4V~3XD>NChSPXSVZqw zU98%1Q8?yWgqE~H#V+2LQv@JKznH?)Kn?4yZ?dKu#hIdO!X~bxr$PF1jyJkA%V&ms zfaDBmlb3INrsrTVF_(2ooSn_B6E1AmPG)bS900Bh$x}o;pF1qboh*TcZ z?SwxgMwW;3^4JM<27Fy&n(}P9U9{3BNa_h28eOTySFCsd6&J^R zihESlE-pqIVPM25zGC6(EnH59uDT>!)ND(63=7jcVZ4cQ6cDJ2b6V!ZI*VU^`w5tf zjngVlwH!o3>b_Hykq}$4G-XG40iCRNPz)^5J=p9w^~Fj6(7i5Oj_5rOUBInmzK1U0 zn0WjX4$zu-v>?TIKxIrcx5q!gi8TMSaSP~WN=rR5A!8W+K7*yblqB?uS8?jqSADuNHkQUwXLHd?7)o#o^%IeU=3Dp_=ZIz6Vx6tH3Jw8dxpu@7WUxiS=Qt#I0MIw*fF&78=A|Jx z@?VC%)nJlTG*SY(BdiELhOlVXBxCMg<#I2^8=2pr}Qua&3@FO8O&CazwC{<*tQUwJVIY*TU}mn6H_us2hzfVQC#9z7|} zck8Q)ZXc~&R8JHr92gx)+f1pBhxN(z$QW}j z_s)ePpsI4bDvFDZC;KO0^H|!4>%7GokvzT?bJc>Aaq{Vfh{$S|SGfR<@(r%LB4c&( zEOw<^L2mHTt%xm(pn))P=avk6MLau3!H&Pn;si{w+!HeT&YpI?a}Lr1sZohpk@rBB z%hA*Bn=eM(L3{g}79kwigX_Y4xyeLnhj3^WD@BZ=PvBTzALAR6weii7nVc@V2)Rak z?};%O+Aw=jt zc3s#OkfI#9a^*UbVQykK5|5!Ndx?SzLVoU&LW=BG^0Eze_EJ%v9;k9(i_v1H_c}_H z(h5_o-JvoNvSqd)Aho+PN1?bfeVD&r8KQJh6-9KtxRIKtW`cLQC<>C&!X&gyAxx(1 z-7EF-T9mfTyjT>6AIR#&79;To!=QFd5);J9sX{TV;T9G-dTcSD^i0iBFJqoM>69!PKuL9rFxq3s^N42s2-^fd9-w9+XyB_Q1+q-ubd1CEH#68 zwH?AQ4()a!u)dR)Omuzjq;=qA1(wy++iNi{wWYlgn-aNrd96ZAJFi#}))bqS$OFQ8 z^pE7Rio-a`dX!yD-ab@Z%S7ptyF6_Pi97h0FIqf~J5dBZk%J*kgKTXSTUVtf4{jza zw~4f4@T(J-+G-0$+TIG_8tKwZIT(UT*cSok^Wr#BIoUYKfupkH<=;!41C7^q{I+u=TxtU{SC4wcN*jta7eu;4aG<^ z*o^AhXmt%l14sjlhet=24=o$4Ts%C1t(dbG4~>ma;9h6^7Os(riLs$YlM{n*kYMpI z9vvI*n}9hTztzWcZiQ=UIf&j5P z#+c&2OCPn<$}~`YA%;^^b9*&_&1uEElzA!7mUIJ&qXF+ayhy$KKbsB z$V~?bIL+m~N9i*`D)%Cp}W%FX({IqCj0y;e0uH%Dsm6M1G;d$Iu zm9Au*;UkieSrz^DuW?@!){<9n@*KBeW30B_Nb*xB**gVO63rG20DBB-CHkR{riLOW zwVqY(Yguu(rCUd~<$QJWq-(%+!-_%VkReLgb;!0n-v*`?c~BLOd5&^B1?No6Bgcw{ zY1b}ts(WhRM#8?2StymX1xTAv0(au+X4p1$n>3W<_^hK+7`ckv$ZlKX0E|uU65(Bv zOe0U^XcK=WW|7~*%_~N~v9O>eiz5exZ=y_wUVMJpY>wVtlCpR^{FLFPscA!>;x(!D z3BsGcAjWA> zz=&a1*FS+!mK$Vo=~=ucJ!RCOycsP)ASu159ijRPA21HeG{vbJ3rh1u<1A}p*cuui z7@xqhQI=Vkft*xVlpqMA&GJd9V2e~czZ{FISb8>_!LlO9A@<6{p?L!NlrE=4M!1{= z(N#y$<1|6{UPC44z_Q&V1W~z-o9!tQP*QZ-OH2v^F+*;+TN&_yOXY`)AuVeqmsG-NkJPRS-Q^l{*&u;?^&+jIP(f3 z1$<0`v_RzZ9-Ui~CrPms|CC=4ngI{C#Z37o>sFU!iWd7h=T`KMO@NmT3@#qR389dr zN!*#pr#PBg#owv3H}!JFws{7=6lIEPyem|ATp)C5J0N#oQm|?UBZK=~v{YiQb6Qa? zumlJwFAcGm3)V=k3umWyLp|iB0OI6hiqPtyyRN2I@RnlBWJ(@1UFzi%Hgeb29G9Cf zF1#qhJP!dq={bxLR|;@5yKFaH72we^OQ~#7^a> zt%Zi9y8Z()MGj3Gb%691fDP zi-K?$nQKlZTr z=K73`Kb#yKRkhk7w$MuBCW?+Gp^AXj3KEH@P*V6u(+>)kTw4mQbB(kS!g7WfTgTDh zv)U5^WpHPq(PrAhFPe3f21Siy7Y)G1 zHp&k*s2@y1A8q1F^H%Q$mjM+LA=D0eL0Lfk?5TAqd#p~zH))zuaswFgS03n(V@HZv zS}fK;8@Jm6S8`;MZGm%+O)78BOTwI^n_`oXp7 z9Oo)~sgq58MX-xleTBY{*5_f=$rGW(<#cMT|KvMuHQbw|}X-{YsSy%D5O( z$);TPWyfDAsIkX1gmXP4x#cOTcAJ|qArLAM2;qc*NJj1pBCrfQpIc$Xqt51gmV8aB zHQB861ilbTDUPhOP#@{c6L*XA$-VQd00jND4Qbg1hT1qaIN6P z2^r~|NcbAl5^;ZDZrnDeseK5O++tjidlL9tEKM4~aPJ|MLh1X#{t03`$X-+b@@0|> zQGU+IJ@ksz*_&Df9%P^&Nu=`}mM$?Eiyn*B9xzpa-uokn0ypGPH1vkLmV=aor3F2? z=RLn*f$f;b30@HNNxp?zD?*KmWqn3c)(PvEgvE)9>Ow3ZDxH*C0R;&il+lL6*3~6# zabAIt0jDgBl*q*;X;g@GLwFrehHeb68;5K~#^6=BtuFq`U58`wf` zk_{wjq#QV9I}n5H#H-rE3v34rT2~@Ysb7a`nY}DJB}HUio@;gU{W#cy=fIY>KxLF`gV6Yt ziw37rEyNXG@?poS3B0X!@Rlc1+X!h7YBD3dhwP$I$&>^pCKrd_F&-@)ueH6gbY5W- z0#sv)#&O*hu6XA{WB+WQRapj5E=n!RD%RFr$Rk(^BAkqnRp-ZgGbJ)f=@ut}yTu2r zDXrN#ik%3v@Q`3l3G5u7R+qxa23r=QE(w-vb_dIe*erxdz^0ikccnF?e^48pM>k@#VK?^3qAfTO4sclH$54=*40=M+Q-0T|l2^TsQ$0 zs5y`0PZ3YKRmhzi_#CSm1iuu^gq0uq!m$0!6^Zc7*{IMf?0qqjfX zGivMV@%4z7K^&4^pHeWRKchuDcAyw5HBCmVFb+tXo5`s>=jDPHM{%N)%|ep$%v_pY zAkHZk;z=xGA?`e|BFM-Kuva~gzxL$16MZJulPxFJvN|hQjEzoUhNJQ+{n=vUNlYEI zKJCe3%4Fs>;cAfrwhmkHgqMXV>@f6LUD3tC+>2!!kmM3ZY$;q4z)F|POH&})KZVN( zanqW_l zmW^C_KC|QpB`3?}ys+Sx;|0O?P?h9KY7Hz=>(yc;l_Kj!sS=bTk?+MU(2Fe#C+t~^ z`^G2gtO;(Dr&|hf;3O4Fuf-*-?b1WyxX4RyS;iz6+y_R2!Q~B-C)Jy zuRVgr89u{T0^(3F8x1>!eL)QjtQ^Way%8>^te`uY4+E*#-g?doFz@u@G!6(H(JlBp`Lee2fqehX#)fT^rJdA~H$JuRE^OO(XoveqnBvXtj zmG5H5ggPbMO%Rk_CmHvR(NAiT$!kSSqS=CH0RKU7aB~bCoGE+HZkGF^g!!W_(~I-a zYvd8uMR%IpxJ$lWl;?xKeozvFm3&gC+iG(&$|tq;HYfAQC$+YCN4hKdZM8Tql1iM7 z`L@Oz&xq|Rnoc4-mzoeLuZv506TS4&lLw5K=g&Bj9pV&l{f|8+W%7N-r5vOh8|QKu z!7MOSVn=CG94oA8jb`6=R06m-Jh%|UG=x^jeB*@614*h?8e@X{VI)(kqxA*GD{-P^ zMqkbZUWcR9gi*`UEz|KD&6-54zU>z&%_tuFq7QkhNmF|_1nE315c3h9JKQ&Gc!)8( zu4E=gkt&IuIz@xz3&_n=*+D^)yl1T(%|fO;EU^r!LB6n(>Csc?>o_7n#xwf)G&W&c zt=T*;wsY{rwY$s%;fE<@VI{6efvwObjx~s5j3!6M2K)M#^17l*4$h*Lb=L}o&=e4fCb3Z7q3f@ z=}VcK^@vhxPzsP$ZfJ~w6KeBF>2_f$5_jP+uNH>J2!)a?SbIT1WU%>*BCLXu%Sfm_ z(Drh;Fts_V-LbJcL5L_WQ;L!9i#ln1wWWXZChw*}8TEo{s5YoG|J(w(1g&@td=R8vYCnKGL-Yq^#xI+zslq;D zN2o-FdAS8*McVrs*Nk@!^)FKzlgJjIX*-QOmk~@R%~mP%uiU-q{v^$w5rRW;ZmgRtiF|3gtoX(Y4XXH)6Vfx zpV>oDE3{A@F4rhd?r4M--LCMF1BW;1IW7wPh3!fypCuVy{?bsKUmBWFd=a=ZkKw9Z zc{i0SRI*q=F0zOfXA7*+7%w-h6>!rMkzLK4*CeZ{5|nq^8WV|{Xd(fVy{|1Pi7_ab zqgQ}WzG#!JQ_#KIj7tez$}PfLw1Nb40pj7M`x!Cgihd?zK13~v;40lyk0c=1wq2oe z;yCr;LU%{gwyBB~Ig7}O*OjfLQqdoBM}@3(RBDZ}I9cMNCW7h$AChwSg%|$WJ}kQo zARFWk^FTGUO6#uK(yJ@XBO}5*ghfDwaOvNS;NqUm7+Hcjk8AN%l&aQL0Vawr zxP}Fx#+Ac^6BafTj8`sS*#~dctl_@#Wi-^uS14*bL?J*S4Wv-X9U^Al33fp>+Op`U zdnElCjeW+2{Xl1^uTe?YLIuUF*op4-G4sT44Q6@ChN)ZPAN`t zKoo}jqt>RgdTAQgbLIvV7^I4p+EE&+gD5B#txXB`qZlHbVzzYWBKb)6!H*&Ui5Fa2 zZf7MA!qCy$41drK6v!#P=WU%DpZzl(3d<#+sa72it#Ce2bqcSZWG=9e6q-A+C#1I$ z_g#(vKY$_lHwnf9yVOKgrTs(XSHb@dRTA!ns@Zk;BetdRsl^$6UJ3{{W9>l=z>K6Q zDD> zf&p=(EMft9rIdZqlr2TY?2FmN_9++;`FW!CNqxqd z)Pdz8XU;8^Adb0Q&cgQlF5GJ-uh)u}o;_eQ(PHWo_aVonFzhwucqQ{Wd!HzPy9~14 z3{CNTz7xa9X(`=qZ0m94&LRQ;o6O_bWlkhi$SgD=a3Eq@d9?Ai6t_L<@`fO4M2px1-7)I%sOG$$%2c`*wl zm2MoGvrX03;=O9;`0pSCNaa;W52mebM`wpzbzXC+nmD@UQ3=kiWEeGcp(eO}<-_ho zNsdqZOJQWwiBfXdy#cQYm?q2TX+-sd5J)0W&*kaR*rf}xwSmDnk$JG zjo;=v8cAc)UDzr{*Rw~~(L!%=vP4g=1SPy$QTke5!$*|*^xQ4#_7 zX)GB}m027lO&o!Pkmay*(xNK9AH|Mo=X=yAzxQ~j=tPHqssuU9Q)z6HZbgVSHWTwa z`c^PUK{C|6FCr#h$1I>7^FM1q})A#xKP`^bIKIGijCy3G+wSdvrA5How3 z`e*yB)iQt_9hYqg5h&`IC_Z`AwxoItZ*xtg?N?N6oL)oxW2mSPwU&4XIa+vc7Z7(m z-dmodX)VU-ej>C-V6AJ5K6VRgA}>8(5TtA4Nz!;RZu*|_EPjX?_3U%dTfAuQ$RdQC z2N-(UfNkNH&2$0Exm4z#M8b|Dbm{HbUZkWG75eX(Z?y|LT2n0;Xl;G8Rw96B?{z0z z-O?Ucq|ramO&Uufs5NR?liRqY1=mKA(kvhJ@Bxyj!ReqnDpn8X(4Gv(kb_8Y-W#sz zlBE3DEorx)B*&)#r7$I^3Hl==jBPefilroCl_}@UX742@6S%$*=NmE3Ppq^WWygz& z4Nby{DvsD<|GAtI&FDvMf%S;u!tM&C6~=45Cv@cpXp&kyG`M^~4u`f%$&B&JsI*nV zgF#~z&b;WK_@lN`v9TOYv0+>vd0KRPdb+EV(N_t11fqV4!cVwJu4{45jyOWHI8YFx z8xEEfWY88XNhYD6YYjpPjXG7W2zy7G>qK{@Qh82!_i9t_EZf{AEl(U^NtG>ZSchda z15$xMY2|Zm(7spo%&KQAhCSDW;b}~x#pTW{5Lb95>Ig&~Rcb|0u;jLV8ICRYHcA_? z99#R9T%PP=E$dB0A09?!MMs1Rlq8%;{a<*!b0qvm~Ee>@a%h@ zeJu?3Hgy2qRZdM%5BJ7+@G(p|42Dgx2oqc}2`8AIG=qEb?9B$6Z{i9MT;!|RrZ_=Y z*nMF$!XXC<+k61JBVuk+f^n9_+$a;1SYL9(WvaCTyR163atZ677g>9h!vfcKVv;z( z%Fxegw1tfrcE_R9&ZcSSjW&1a@vVu(6qYIGP80MbK&B3Q5+DqEaz#=kl2XgV_BSg& zulcvyMw2edD5fe!AEHd*c92%&qH}4Bv*a?tfMHO?2ih(nj#h(m#DHILbZD)11IOg$W zNX`vTrm@^+-F5^~A|)b-G{(@kD~CbKn#%m7=vg@^u|RP+%h;Obqy5Xqt-;m*4`uJd z+{TfmiRz~yyb&E#kK~Ig03;Y2JrQ_Oq(u@mKuU5?%tg==?N&4`c}dEax3~AV-|st* zd{q|d>zNkG%0!_m^W=Gc=bZPqU+zEtcC+{Gx8KsT;^p1-r%#_*u^FB&8}?7^e){t1 z{lmx4p8%47Geg98g&D=<;keP* zIMU>q`FSZxs=K4j3TePWCA>Plkg}LZ>&*hf5<0zLVxV$=yuFD8r=Bf@ouqPymBCS# z3>-OK9UVG~Dqy22+m@9L#<^L|&gQ2ppbkSoN~L0LicpYip#CjMVQD!W&9l`z+Mt(L zoAVv;>PxH-Re6hap?-!gUc%j(miZ5ol$(}YoaT;=$>|wsdrVWuVO~=~2JD)95|C@>W?i^)mj3Jf?mkggLMxuDVV409Mi7hX;8Ses!q?WK& z{S5+rRcgU$Rv4X@xDfO})StG~R`@pHNxP=7ATN z>rKVu57+UzA3`QOkSO$f<|i}qc&kM3-wRD$$n<xa~sQMac4YV;L@iQ~+@cx^wsx zfkleAEHpcM}kj(+1>x+^Ign? z$yNk!fneS4@2kz)Bqg`U0gs?);#9DKUPi5B?RCARaQmrnL+{n8YgbDIumB&B8zOI` zO**g;a)W$!{WlyY*R`|J%6Y2K<97AHQ(h66K!VELhy<=+#J9gXE;ImDd;}pUKZXL0 z*I&tR?6O!4D$3u45jtL|6%^tMu~pjrg;athh` zQVY8u{jm4&$FDapP|KT9K>q$51`}aRDB(}s()-V!?jHufeZIYZfMSndK7N4o21(t4 z7^rrGWo{7WPvD(M1I2eo&9vhM@r9;5RrU!5kJ(1pXwhz8D9< z15*5vXvA#>p}##waFC?aI`VILkd|>ExKCFU1j`jo2|cW+#n|X1T1aAjd{8A^^5Q~L zVMi4w1JDw3G0C?194Npaq?Z%1w`a+^1KWvU69N9Z+BL=-@x0+4NRZ|U2?8lBx_?PI zp|a?`Q+3hJMu_=Hh~mGqay;-6bHP=W06ObJHB3$9-5$@DKyZM$C#=rm3x=hvVN!g_DUL)r z7hA`gJLRtuoH;mid=pZLxhsVp@yF$Ckrw9bnW1mRZxqRvov_wl=07V{He2-I; zd&waKJ%;(V$m9juvC_PnhXFVTlr&I-s-p|fbj=U1Lc1Psug`MqH{Su3BWAxHp4zZ& z4-z;>Fk~Q%@bf!;T+t(w#M$ty(UoC!WO9ES+#-R(J0lg+?#@w~hUcYSMkEKn)qxxn z069znw3G-Sp%k+U3qACtdIQq~4*e6m|y?K0~b) zeYK(C$x~S!(=SW;FhVk}%|SuiKyQ9*^ih@NbbCC~jR%-#D8D`1ovqF^Ds+SZ5k#7a z+h#w+nrXJHm|$r#WoA&F$oK;71`g0pN31I)XCf=5` zuKM>5<$H0`#)}K~!Peh^Aw#rs7r=w&JabqWQIBQNV8hErl zGbPD>TOrcPN_$E*Odv+k-+Nu4sl}I3%RtBmx0K)gg;ck(d(E$oa*k+ETA8GD1ijrA zIg^_W4{KBreH34S%1d}dc=av6C1lYDeOzQmbn#}H>1_}aRYIXZP678F`U|c)W4fIQ zM$pD1=2|v)w>d#6dRQ(GHP?cO}!kse~d-`!c}u|#1#sXiGx z{Vfv9rmwi{=t;YVzE5#v4R#-Y`Sr`)4V$qUzggt)1g(XKld#he51Tyhy}!M_zkk8# z+VjE9*N2VgTd5L^?LF60at20DZ6Zjp0PSn;e@`)FnLJk z5}gIz=W#`i&U##t$xqUsI`n7>+B7wT?r`M{^i1TtYLPv$izt%SA=_BiDm|a%C@pwE zQizVgW^ofqfE}(?*!R#HBr;qpT(`G(ef#_MANQyz#L{(W%EYeAAKwr3Z+rE}}oU)Tlyi8%ws^$IDJGn5AW3>KN4IW~!UH>Tqv0AbtjcSlrhb}W3Y zjv_7Er7OaD3i+n3O#Y5?B!SSQ-(;lzD7yi!>Up64*n(G2%*)!uwVa;U-Tk>lTrLaz4zb$F5M*XFanmUD36lw^{Fp==IOR5}HQ_@do@aK24j~9Tu~zcO&s( zthQT;gHDGtteV>`5mXB)eioL?jzd0LS*-dzT`G}Mvtb+DwQzYLAPe)~g#G}FR+V^O z-;uVPPnk1RVGw5!f{Jcoy7@p{R|}{l!?Liu-#^?xgRu-ZAUbA1Sd&N5F6GSv4JNTD zR2L&xQp~^x$T8S|IB$v>*pnZq)3(~-k670QdD-&FrnP~YdR^*r0N=m{1pt3sz1v;P z%MX&Y!iH4=K~fd=${B~CzkE))aRhIT8;TQX5J=*qhJhdS3gLecYt_q6Je@&# zC7gYu>8Y2c!Hsm~XT#)LzUb)?9tW`|btw+Nu9STZzsOToDj(}})&`O%Er;bE$|60s5x6BvTuMJDmxXNVXi3|Iykri)nID;{RwdP4?>+(`4VH2g+C512$k$ z_b+^M;t;0eI;=d(8l;2|!DP$d87TIk90$I^=@Wu%bD20Hlxp>=u0_y`$V;MOu@G{! z`^4a2j0K_d9a)DSy^@ooM6J*W8)6&A=GW$+A~56xrfse^#~NK*3e9F#+e#1 ze%gsNh8KzTWc8)H-x3d;Atgaj)WvG&ZK0iS)ssrmA;iZugAtGtUVVnHHSEosuOAUtua5!P!<}X3eECt&G@g%n2`GN4}+cL^27JEN@JASAmlresl-7eE|rp;oIh z&Q_WjI0cajBKpj-Wb(y<1%?1BKsE$62o>ROM%4?V55Ts>$z2`Ob#h;fr)nRsQOX3G zh{Z8@9L@STL`@`(XP%zCUZLO33E=w3wVrL5{bErj197mk76S74#~mwns*;t8bIKL= z6eKcnybilu;-tx&B%iO%(szo2yC`tu)Q4=aM4%6;5hwa8>fmO>1;jzhU7E`>_~$`h z^#Ap`&`eQ*lcbcI)Krozh0M1baSpPBS$N!ahsubqg7(E;K~3+=F_o6r)78ZLS;3Q7 z_^@V|);s%8Od7SEq>H5lhvG)>ByVS|I<#CB!W5nyNZE83EY5g3W>z+L8MQ_UH8)HD z;9v;~3V=q3FIl$}9w#(?aavB22oa_B7%kFT*8yqhmNTP~NFDaYG`rZZ>O#8f#vbM9 zXf93;JPvtD&jAiT0_RUKiHC(r%sfg_IW+!H@EZEVpsz`cPH6tlKclNE(@(POBhjyK{}`(BJ<+9DK}CVW$t%_ zt;D7~b}**X+PRn>1kEBl7t;e5VlV=sjlccypzII}3Mv{ht%`di95XS+jTsqQp*BO7 zv<$zhDgb8MGvKnL9G06E%FNZqSjR}7(9?5-3Kf~&!o%&QRGTs4HljR2X3UsZ=_YqM zkh8FP`N0HVtnzDnv1Fk+=g+nzJb2XN3TB4S(R&mj^NgJt`Q1j$aHS~25rGE5^~QZ zNIpwRH`_%xs|Dl=22sCW-FtpY*31}$qFyA|X(q1^6wVIibWm#wA*j{63pzhS*%@J9 zRyST&$n$pH>q$38W-uhL0oSp7gEqd>StA5c$k<&AE!JAS76f6D{ZUIo&I(UY2*8;C zi3xhh0|4v58Job1vmgTO%^H14_K4gzqZ1{gL!#AaFhNK;kaOAEI*@ZYP?B>op5|Vx|JfxmNESO_p!=A99tvb-~DcMd57z9&2~vv?$$i!y{fnAQLkeAv(q1oP2{?5xq^ z=?8o;l>DUpl~Mq}u$%xR9v-Y5s61C^ianN;w_c=?)5N_>AeT!kJd?4oj8mC)qOdV} zPDnc{80+PRS>AsVjYn#Ji_~iB+{??8yf$k-pu4ow3}lo~N5W&QWWYT`y@IeZ-J#0b zR3_=_@TtHj0A+{gee9~MqQV6i9vI=M5zfS}CqVa_OwTSB3@{y2-G)BUzVA_05et6; zjsiTu9CKCeU^E>?08Wr0aYhHs`uy|N&KO+xByIdKL5qN5JRDmbU^ zW$0jRM%pkl30lC(%8ZX(@^r`LNw~0vM?RiG2p>b}WuREOhp7F}D@0-c5$ud|3Be6Y0+M4|4#~}H9IYW&tG4p{Ofd zEI7KyOa5YFk4)CWw9P2&WQ@@^@U4>o@c(2F`xQ3C;UF{ef~XXi>4Rpt4^d;muX5ss zsy=Dm^|!T40X%WSS084J?FGUrwRHuSjohw9?zqoqrfNc61V@^W#v)yZerW5TbIcyx!t>i@$b-KO~ZJ-l)(C)wd`1#j| z>${(WTP=|Uq1gmlVFXpEMZG@vY!t#E*jzSl=OR4&9!<&L|MTNOoo zOd_HH0ohir0Op^Q9Z_lv2NX_qp&hGf>V&1Czl8E(#^K?44m-Ooi08-1K_;Z+Aoh08 zd4##mF03h=Ncz2l?4NMhGOFXV^gEMV1rm-uL*Oar1VeLq^)pGEP2IxPalOB@jd1fm zYoVe|`&ZP4^zOdgth`KE0|V_5_kwL1*5f!X`AvqY($NMhj|$Hp671(oYhBme z8iiA4s^?9tIJcGo79*;btkAM>LIbM{@Q1Ezu^83`=b3RO|NqZUlBZH3t!<^C&y>&U z!I#Lh23=y5J+Q?_GjQoJk&Thm9`~KZO;P@3C^}S`|vQ*X$#tB zp#=kwMpc;$D2U(8;2=rh^FMeVNTD6W&wk9izYw^0A%7 z`Mp~GnMrj~q>mOUJ20x*t=5nA8zW)q6x~&toL1I5*dP?fJ!Nh8?xcJ9 z;_cg5BLau1u^u3Z*5Ta-t+sKqJ|t$QFn6MBoWzWY?wG8hc!xmQo{}nV>=BCc!;W$q z6hgorhU3{8&@BufPgusj3Tr(LIrXt&MH` zoP@85lpMQIx<7mdqsB@DOb9<(qV;pnHrpuNWnqsq&KS%Yu?(`Iiq27)#APBZ56vZ6 zd6h-9cZ0o`!*3_Y8~ykBv!4KuzIhnzSjOXir+=A~E`S$||4V>O`F|qY#q3cyMz`oi z?ztD_2Mc3V9{-U$%AP68%H3sbn+6r|J5LQ34B*?7CAb$5+~=1t;UmhWn|c!rg+E~@ zKpHM!)m~fyA0FDexRjT4cS zSk4zc$-cS$#!p@>@Pk$!Y|IRIBI@|!Oe1*|Z((Iyz4ZW>J#_=`v_K8N0ChS#ElT~p zjT98D(V3;k6N*RVA0^`i^8*8v@{v$Bb_=)&*#>doArQev%x722o&3YcVfKv)<}4x) zL$D7TjiKnF=zLZlGcJHR5@uIesK1|z%_oJMws23Mzxum89i*q`*Pfv0BlAqmey7`- z`<;SJV2r$lOofhCs{b%cn2l5 z`7pyuu>OedH1LyIz$_Fcpr@?AD1napJC}EmZ78alGD(u20a2VL7U@C{=nkryVJ@{? zR7?b|OaWkZSyE1tC(!T8>x)*zZAG6}p8&khFmC_4*Xb&-B9Mn<=KAs?YRfKKRNo}# z{_V_@w^?m2VPbG<)S;Q}~D60;R?6cIdlU8?$tN(@mi2jMP8lnfH!Y_R^ z>4VWb(3$8W*9u?is=eyy+>rB-QC>Z zqvqpZVR{B}$N1#ZbJF?*xeD@33q z@X$oLy-!dQPl=c_;S^n6z+J^JfjL7TP1>C^Fp+Yg!aO=tlxeuc1;dcqfBd(d*)gG2 zT57vm^`!uwL61(V!GI9TPbtd~NCmk4$r%c&pTW#U*8ji2o|cMTJz{mE#c;uHg-7r* zIR^?8-N0Ugrrrp zu?C5q%1C8{yK{7hC3TDi#QMEB<3+O%Q(L?^0lO5PL~fef!Gp(^7M1v{Gp85_^f)-9 z5=C52D^Y$pu8G9#oujwl>)4tiK~)x1AgI`8gdqt>B{fB-61Wt7!p>5~&BI5mPmA=3 z;2*)GU@SoTWR_yq7Xn2{@pWR%T2lyPQCW0w#5&99wsZ>BfcSPC{Sv%>wb&n@LK$UE z-@X|@T|PAho&lMX2|86v46u9PvD^^^4|bLHz%TfGfzeZ$m%M?F2#6!P8G$WPx(kRP zQU@HfW`vBgC@Q>fVve!M}oFtnq*|NZ(``R&>1_HC>e38zym7&%0F2CsEQ z*_l+TcIh`C4&7Uf1DJxII|I2K?0iroXNkYfwS7{2dO0q=dm&YUL~hFoEB`sIBZFEM zh*ZTYrV71d-SkA638FFZCYVLiuT6U-`OY!pl+Q&oL(7nnU6%Y;+k*wYguKCc62whk zb}*moDLo%^G!og4D1JP|Oua{p@cG5*;`JgCa6L0@-?R=-zf4Aboj*~fXZ1wqdbtZ5 z%4=2mjx>UDAX5&Wo-&oT^j6^xEvk0c@Bi1Ak0`Zwck}+sophsB}_Ug^`RMM25im9EGG+pTcy;w4+*qfSE%f{Fvl}VYRmh!*e*U`idfP zxH)`u6*#3GK0T$8h4S5(HXQR;IC>UUGtGs_0;DtoUa<3ufD^pAXcdqdM5`UZ)Ec6; zl-fP8cbMq_ECWCi3iT&@KXvpjW}CFZVYe2Azs@dfiIWy*j^INK)(q z9d+O<)J8kf?+fuDxXes#YYx4HN7UGu86O#EC7V0AV`4dres@f&L~!-3b`4yXv4XK^ z7~MhNcCKhSMfTm#nL&NXj@RqVLv8IQ@(H?6uJm2Z^8O?O51L;pUsq2G3zj+Bl`Klt z|8|;!1E&Kh?rz!35w`BPV_2mGTh74L?O+o|%u-3NGjLH7;gJvM)0VPciX4T5UmdL+ z|N1;-0_3~$(kNM96mSY#SSM3=qd7eaxQfNYYS*a`W!EdPsqIQTT&{+Y+?zp^!6F{zC3-k^pE4wSu z=NU{EGiIE64i`LRBG2%W>~l|C1JYZVX&S;i?2M5dqVAx+Wn$%d?tt_t1b11y26GKX zb0Bs}!sbLV5h7i)Zw8z$U7_qV(-_{|fM7NjH@UzLr*&o-eQO4d8>6J5PGOVTLji zkQ{$m4Fk{U4po>&WfC93u)R2-dIF58UoKE6+I-BU$n>(F$doMyAzdbz^iQ&zbdD{) zw0l;y2RX>0JEWTit;w~ajx-DjJ2jJm>O5ji{2%CI)51{4lAJ^~yDS+d{;b3}brkx14PRv=JER>a=pU%#Mhd!V{ieXt%styuD zg4l!wJgLORj@jOv>#VIqOv=F@U^>Wwut&Ufp_uU_!^F0MQ>oV_)%*#kP=hrzGGdCH zJqO_Mi72m6P#T0*CY}Ifv*L(}scLX!&%o^rfD)6!K%Eb&$5yOPM)M@F*MhxC9~Qb+ zBP$(~l}gyRhn2#;$;ec2y3wxJkb$hd=0Ro+5UpN*6RKNEMm&ulMC4LqsX@zF*hMk4 zpi3tX;Up78O$Nxn5KU;X(4;tHB{l+}kB|P{bWnXGh2_4X{l9y35jDhhdjTfsW@-J>f6y%nQ5@nZl zGI&f8NTEvspm+yG6lcyq^qM_g&z1?tZJItLXwy861jU@L)Iv~^#*e16!mx@)bF4Vn#r8~| zWbb&?dam01b>rZZ1L2Nx@~|hUEe7ffzBMFI7*l5?82AJatkJ)wn2|JH8pX58oT2ha zQb@*5Vnh@$Rq$ljP2nO(o&Vr$g(=}jFG(!1@Vju9*uJu+S;kX*h*K=70}B?sdp$cv zEf{4&=BDTj%J~eGC2@5t%H%|qUMuJ+8Nvp$)!^j2ckdo?*>gg}s6>BkL#8|)+mI=Y zkfgpMo)qjGkd<4Lo8+Ea90?WvPEOa%L}|Wl4q?8v)5FPRcrb0+$<51yBhAG+n4lj+ z@FXX$fh`#Pm^9X^dt7DV$!TGkJn2)t|FrJ%Z7%+ za@9HLrkNEbEeJwqJ)lhA0@tq49*VIvVJjDw>z&ubp(t?PMBgl26+8K#xdj4Fqc%zC z$=q-}3%k|X1q#vOGQ8Izq#&v%?TaFs$vd}E@ z)b2N$!;R=f8i-9s`EC-+n;rzyWx7t-t`U}cVPPP9pgE9(iOQ?C9kv690!lwPX$=l!;)}sw zpw8Vr|8}>#{|PON^1qwn?-qaKBj0=@KVoMa`|arq{~{U^i6rL$v+8~Rg+J^6uU&B( zG|54m9Luub68XU!q<>>d_IHa@GjEFPC6mgBAx+@m13jX4638Db z93-O#OVJ{!LXif3G<@U^P)Ypt<>T$c$4}|iU`@afXSTWh>$*YE-y&(J(_|HLOXXtw z_gyqHBuS8^*-n5RHUpKb%Kva|mGn`lNt&X~SY-nLOWVMiy<`y5RB4L_)3jx%FkTS>e<#$91Y^Akk^`|splI{cMqL=K?WKQ8@E?rbS0~a6F(fYvR1V~%HEWCU6lKv) znqE)j@JUm(oj~n@7M!RWjFZs@gaa2nC;G>Nwo&=CY@jaBzLGK=bd?nvO@|Y#ad7h+ z#57QIIZ&bI&J?9)NN#61XJm__;p7*Uy~trhsyiLNv9w-LjnmqC2vv8c$(u%~=S~ry zh{JiQwCb3Cbs1US-^E70X%doN_x0|6N>>{%N4{u~g_z0aXHNBTKX9FC`XY+VSHKlk z1|<~HBYq6qpH3(}aFXuZ!Fg%P-A)uxD(3Zs5N*ugiyKjkA-WnTQBruOUuD80G1?#( zFsMr_<|dGP>BaJ_CSw|> zf}#l2&Y>YvaHhPPy{WMP`R({W-za5chRzlX*=NWeMUlHWLNzVv5oaGY#6oRG_$d5I z%!>sU`^>*F`B*wCAK|V*)mOrw2>C-M{7`{M=Mj_M`e2oN$(9Mqc^Fa72l}fjSO%(s z`xb5#boY5DZEQr4)rOp;suSe3Xd+bu5D4YO zzyJU;evgcM>Mr;EeRsE~w!@17u4aDVKJ0hXJdORv99Pg0mxXVT(GNa>Mrm9=5+aL3a)}$ow7!1}8@9h7lu9^YbQ7n;Wt$Yx?=zFv-?i}7)w zAnuP*_O*A&AEq)v9;TGbB$@qhSgN=?@sy3c=^o%H>Sx`CZ?!wBZ2{c>tM>Dx~O|IQAK1o z&>g^9_mXq7=P})P>oeBxTA!my6bld24q}QbQkJ+EVOeZd@=her!Px=9!RgG@8b@pYc#PTabQr3@tomui21O zcx{3#ItPSA8Ag1!Lt4@Rh$E;7=-|xFMe2}SZ3#*WPuRQ>oD^=tIcW9y^}*^$LNXcvX@>#7x%0jet$O7y!h;eeMb^Xhej9uF$J;zMA zm)=u>_1A|h?7wFsNjnDLjZZK|ByH>ITrV9~4u&1Eu!}J!bVy;pa1yNOxku=XP*Rn6 zuwlp|;MbHn)(r?#{WAcT!>>^ue^#{`A4n<$PCfL5R@F1JT(oWb5e>FVFe5)saz)!6Q=^@;ORv)ZXT z!3`RRg^OlnDDMW0$;DbVGNcf|YZhc(8Xm{hb%qe)$u3$x3aF0W>*|;jEEF?O@d)w| zp))Ihi{d00zJy4*IzKr&K>%tO63R=;{^e4fUQ}mVPbc^Gv zV-V=UpAVZ*BjYd}dYpMGdC>kZen6!*$SJf`+8^(IxPN$Ye+NZkkH0=2{B5wuKNDkK z9)pstX8ZKizoGu}@C%B)z88L{YtnvfnzAO|@yBR#h=a3$|dl70@IG2n4T|(*YqCBl`U+;H`Ki#Ep1cjM%OE7v;RRB;h+` zP+pfaDL5#fAd-fkQ$#WBvAI7}aWy`VA3#xu4Os!Ww7%UjdrpUd?&^^n{;JRMuRclf6y0g+7Mjl!;&BF5cYodheO9FVUp zaM{IYh4Phq_&s47!^bs8SbRC#ptcnWwm#-$(w(7H5{_O_81|uIMYf}*rCG-tVB9OT zWF!{%a-;B!(N#$0m-KQ;ItME?-JJOnv-|s-yNA7-ufHPsXHWZL4xokA6L?7oYR#YyQBSb+vdpm2KFc zuy>L?C(!YGUzkR-x3_micJ&we^Z9=@C% z2U5c%;}T>C;1iW1^+F0)BCqw>qw+o=Stcy`&go@+-rWoZ`aZtjy9^0y{~BC|Wc|Tz z_uKXD|Af;czSm)&LUH)CK5>Yn6+>7N8IIMxc)3491S@sv6kK1Mvs!JhV$5kcvDdR1 zLc)3k=cG@h|IQ5@1NA`Zl%ALPn)`j*2fqJQ>7)ImfCrkrV*4l+V@3D46=AHxP;0aJ z9%9-U+th}t7Z-2IOr4j8i@qwIAqGZNM;e1e%uwCs`yec%el*hN-n1J#$&~e!T}@(x zDpLE5>_O@DP-hxz-}R07OO)esyW02cJKTx6d*+A%VAa_>G)k^))Z7%a2#+?{#d5x0 zqpr8NB|zApVMlTLv#~-&+fXutrY`D6rx^T#ntD*~l}92IQw!Wo)d!Sz*hTR3>hyvr zd?iZ01Bg;oi%!*Dxf(0(W)@R3b%ld(YdYiNn8c5g3YjJuuKH4UKHyriY`(sGL4Ks^Up=N?pi)eRM&GyXven=KTbf`ZdoZ&3gp`6fX# z0KXJ}!+3%O%4F|J0a$wYP`2|ma!k&2QIs$`gX!87Q)G35H_Cg41l_4KQaS70IlQSC z!vOG9NN$L@D3Czw#f_RTVy>jy4#BqGSKml>mf?TANE&Fd zC#Fy>aQjd`VsbK4ccr{B+Jk!tIQ4+C%(3xf=%QxP7Ed64X-Q-g+Ml%{{aGNDsIiB# zXXf&vrwF)4H%ZIOCi&?K+8wdi9a6KTKw2=_@CaZOVb`eLxuK^+l})Qm(mm_?9f4u8 zQ%jl4aSfWT-s+(ZU)&w4d~s!xelfioSQlFs@gHDS!p(JA{Bq^S#RR>n8DVP0d$dG8 zoTL-gC-DZ=AfdNAMubnRTpu{~u-1fDX+D2L>^S1X@OfOKcN6FmpK+p+>ORhsE@ z#6krzmK%q?)8yeu+-{mK*|mfzY$}N8*JFvj#bSy?f-_e7sX|HmktAc4Y0wTTpOmlX z5!9u#_7tLs!;}waA@P%pC9y0E#?cHBpZ?%Lkrh%Cv7e_=8t;9$3o#Tpl8on{^c-6IOS zW{4iGp@L`Abg&@7`xo&b{oX%Vypfc3fp#z{z%x8|SiQl%PX-V`VmtPe3KRLYDdLrF z7>ctvtBH+%aGsTATWg*_4k+V-wt>D@qR3oanG)~OQ{GTW9R1Hukl@awly~ZSHfNV$ z#~kHABo983!aneBkl;HYJWgQt^^LeTP#G6WcD1vpcI`UoC`LqMUkMc7K`S7H{IHt7 zetcKD=FX(i4dWE!=@|+<^~*Wg3J3r&|BCl($fCNDK6-0;09yZ)ku!lUXT(8hiieEU z50EH_y>oOov#Q}xUN%|La2)81*~w;0q!cxAXHM3F)G8txiwaOP+kD&VGIKVi51k@g z7BwD=G!N0(^WbQo8aP!NC1XfTH0hA-8FhHBcu(Ofg~GL0GTJ!iv{RkokAiNF(g##4 zPkP-&cM?$=d-0)6A(1{NM3zP6ygIIHPbv~8(pzZYk;$scLq7xl1HEu%#DIuJumr)5 z7-lq5@yXnjI6BedSEfFYe+voq9`Mc7Jz`EG95 z2Q5{r*a!Un{Tzf@uX6zf_Y$2ZB-Dwr^xvnQrIY-bw5wE57u0)@4pmf!$|UY69!TKP z-#rSC{_aV5^mhu6HXU={>yVz7g39WAs97GDMRXy3TxAi;)fO6t7183nkzD1Da8T^yDAB)v&RZ-M;bY402H zvtAjO#gX?Xx{fLpJP=ld1;L&zZCDs$1*aDeLU~$f#GuD6PD^s4Ap^p^^h8S)$s~6Y zd!phR0y1H9OG0?@j5v4TyUP5?U1rN4d`Gyt~1x}Tt0xf-OS5P zgi9>KuWkd`n0&xDpX-zj%M1A-W~m%)BEUmz0JuL}E4*i(N~Y~w_8k!YL4WnchU75F zbM4T@^a6oDZui*HC~aQ#2>u?h5Q1OWCeMU=8e@{Jq9))5^wV>Ef%;}9>xBMw`(FMU z{wir300VXdhZ1W0CCydJK|Q(L0@J0>P%AI>iKmx!visXQy0iG(qTZbQ+aiuy{U10b z?*B+K3c6zzN;D5jdIx#3Xjja-y+aJ!&>6@rjLrw?kZ0vZhMxy~weK7io zb)n!GFe|ci)wi)6)9u66x5zU?#=#vRim>?^P zDRH-XPxHO=$-%kghbk--XgQxSW^Q+&{rK_We((0T>)S6kFBFr$XMa8) z{J(%e$u&@?)N9)Aq2S5&FSj3wj|#tvX3EGYb>%T*q=EDEz-J?sW7eI+HP^_S3W52_ zjG-H$OEtRGutRy0qXhmLe1zlim1teOHbD%)TxzZysiR8E^ zEjYo59mW*dG@ym~&ZwDRVwG8gCUR@re zfRX&*$Fx)&)&(YOLFasNy`>cvVOfwz+N9l$r{C^=`EvX4T%4hn0nNoUpV{y$i;J1# zH!0yWPU}H3DR%x^XtmANb#^E8#jI)TGA=8a$VrJJHZXVDMMK#pV=M$CP}Ex*wMc#U ziRmmc!+8QwI=yWU(ugi<2%uuR%K_dE)?ji3;7sQ^ki0b{9akI}GdeITEEsxtAUqa$ zg}sGR$NU%PP));ElTrB1vGlJdldNE!DHf*yUGvw~PHWi?zw)JQaDnTu)+lZPL3p-4 z4^JZxP7RQ}mc4CcE6`R1e-n@U4=eWAo(mNWQy>*_&enteZVD^Q^lUa|p*ghB@8bCBb;BHn%}sTT{xa5Nj) z9Nn&K7y-kjF`B9aF&+xjCUJIGYYi*|Z;ZGboLE32y+Kov*DKW7o@Ghz+QpzgO^#(( zJs_%>Yg`%87fe99S{febDX34~LH$sC4}aI$>1=c6fuz1+`!L+5Oorqx)|8$@PJ@wK z@|aE3OBxKYB^1oV#x2O+S-l1orz5$36$_QbisE8N-Kz3CsYedZw zM~@`HyVHUm^)h-OH5GaWTwUM!oc zkm35ukcDuK{dKPkilGmRq-0)YBAoLuWicpg?IHskZi(&N#q`V#kCDsS1}2<&Dx~zS zF>l~crr`6?)ZENWXc^@MXa}*KT*IV@LV#e;n{b!VS|McPZ2B+%h zFV}Y;kmUE{9~7wW$ocf?GuyiFWuyA`5E5`oW_@s^jbei5lx}EvHmlU@eiyL^rQ;R< zL69~aFx^z`_>fMh9I@W1ddNsV%@~~q##6WUJXQ{^Cn0k)1(QJ|bBzX2T1I;qB?uxF zx1(Xnr;Uu;_Aux>eRPaDu#iN#nl+PSD}bM9SF9#Unc=c*1(aM6f%$+wF~wq_F3oi& zF^Miy8Ol!cbTIBU_WUNvDM>xtuw22IH79C1Mr(&|hxlkXaxM`&l6Q`h{>$|hdz-Q( zo$?#n-S{o5D^fe|lBid5dPJ~s-g!K&U6j}CnTZzdJ*22d`|lEku|H61x_YYAMe4!q z{IEfZ>3&8QMAd-dp{M~vQJfDQ05%`8%#=DLvCUy+joC&8p39|00X#N>!0vK&I#YM2 zwmpcYUqcr>-qBQG^^w+6ueuX-E6w^l-ACQeU`OtW1^DtmQ3T-kZ_)Gd=F`KsyW9Qo zHM0;r9kk*#p;QICrbILgJ?xt4vbNjOaoWm^83JX6UkB7kYa zP8^KPG<+@^odD|H;=e3FWegx8hsa%rt$kli*OBa@bvGWZ*L^LOb>yFlXArtdc&U*n zDxgK(PO<+>*`1EbUHbHD__BJ3{L$(Y$S@;Uv&0?e-Qj#BaG_~Kke|zRF+1O(@|j6i z)@BKnm6CD~uBP*+BhLt4f|;HiA&x?m;I5j>gDA8w6Ztj2yIX(R2HYm@JY; zgSOoPyk4yN{-R?7AtH(~tZ1o{wi|?|nHfelxMJiz35w#O(&H0!xZU#dmAXJZYAY4Q z9cY?*NFw`z?X3C*qJByD?{3|ik`WajRDpt(Ao(+qFDQz9Waasw=c_VYceAg13sX7tbokZ_DV`o%;IN+SF#q zM~P`)Oa=!Bp*93Nb|hJ;8oe#EB|@DF+9TuwtZ_OOHU(A{)X>gIMgQ#1;e$mPhWSOjgrQz@6z zYDEoM*c6^voY?NTtUE!8BmlbCkkFtc=md9(-O8OwW0JV?>f$6$RKDo^Z_}f62m!ht+h%D>XhR!8%~2Q zfUYs8W)2TSmd@rKLRt6*G|w9Dr=E)a!N{sk9H1A~P!>U;E9?a6?oF;tyr}Qw3WJcG zJNMD!Oxp z;^9tL7V;6mX5jptkqYPU&LN3sE+P2Tk^}_qj3W>wg^3zxo_^e!qPGn4Puaoh%~BnK z-qLpx`sT4+3+tLCpWuE2ckHp+%7_w4c`}Ty^&hdZja5b`8>jEQiy&obwdNLe3rd=lwv;onXLUEmz8@hFSm>Uv)VnyJCri zfyOx+D1|0dWdb)RA-6PY;&uG;!j0S4tG99#P#r1mKUVi8Xoxkif zUNt~u6HNP>-4 zE{L3VuX^4Dk3xpUpm?1-)eLCA-+lh(?z0Hi$Y0jFSUUR5ML**;%%~ zH{cl}n~zZmKG1G$utKiYXDO?Z=UZR z+^M^oi-TQyToUPcdh#0nauKvJADXCl9l;yKJ_I}eB`jP}&3q(GsVv_klXVeY(vU@= zywB-sb#VbFgch4q3)~Smj6-$L0@9U_YX^wepEyB@4nwM^KI9P&&%??!rMwRa^9o=@ z`e)MgCm+%y79|(F9inq?7pGewNkRaoXNG>98y?nCOJc$3l44k!-vLC4f$2%JqOb~+ z!*Sn0nZkO*edFvGiS-gs1HGc-rvTq9YIw2+ZiP1KXLMEj>+|7Pia`FC7tD z1-_%=x54GW9K}LM4lA8j@MNWB!4$&@4l>esHwns|36;{an#D4K{-TZF@BY{s6u+lx z?L#>uHMj*97j(4Ya3+?Tde*?m3QYjp2SW~YE+%~Z*h+Zr{=r~>U&;g>Yt9W`Bsq2T z>cxS0*y8oTRAbVJrrHWeZ_%d{g{=KZ#c~zu-L#!#9ROH7%W$L4Eg1 z=ghQ+CqWAhP&O7~GgeH1CI`W|yS=%-m;M1eYlVvQAI?J6{eddab#W2gAEu$`y81(I z)FTX~l#dm(4MU5F$OMG-)#-YU7?1XU07}jN7Em}4000Di{Rp(T*x@J3gBZa*TB3xA zVOoY>JlbPu4r^jseg)Q)`c?hiM^$e~J974roj_c6nl?)-wBv@J!a@`TsrgrzEkp*v zG%iP6C#`%^4xnF`)udWVg~fqg$QO)`c_l3gI+LSsrHj4V9?a>nw?-%FQcn@rP>954 zZ`~XOhnX?-@EipV;~SXcB*j!+&~%zge}f6fb=t}+(aU< zIs-a(xqHX_P4}IZhGQ&m8hU`_Z@M2;Au@2&AZVTWxr-vxDsc1~TFtnjp;ZWRyJyLO z;8dCn8%gID+VXDH6!tqsrw_5UD;A!c~1EPFS6BTl6oVe*FC96F_%(>-Rk#;KtIM-e%Lp1^qmPOu(z5#XT`Gs=L9kiIT!HN>DKm^j zKtQhtaf)1?aI!nPICs7sIkU@l9+&-OOHKq3X??i&SCjFp#}m;pNE#v?jREr zopEdewXZzERLT>iwmixsoGo{FTqWtTM%%^^|2X(6%78Lip}y2~@XbH|@lA-LsB#$` z0Y>(YMJ22{)m)5S|!G7i|NTwQsXI3QX@~UD;&H{?k(qo}Mzr6lEF0Xzi>A zW)Y2gpy!D;7mH&EuNwlM=)}u<1}PaK+<-I+_E_Ap+?D)J5V*;Os#25E&XhJKG*hPbm0q z_O4KfLCVsyN0gMMWy~Bq#E^L_*;R?RqR)?U@T4cFZP8-gZM<$BHqXxN6llwBz0L93#ac2f*27r_0KqtYzZEg}Q<$^(Ep8U`<@n1D7Gqz6*<-ONQE~IhWjz znsJd46>UFY#T@~KFf(^-HQdeSYaEg2BG!ji{{^EJQ1udSRvFdPzxW*>}xq!PZXF5mQ3(Bqp}EQc+8QJIfXXY zM1p513^2R6yxK6M>*7)*R{xm4N@a#TMoWU|onpBLH?_`e3j7Iv{Mn0)gmHBA2&ADC2_O&T` z8VWX^A#$L@KRWDN(mTn>ny5AOd6P&&Foq&>dNdv$spm*6%SqMjXeKU6a*;6!W=FJv zdO;L_0z*Lvg`Un?3-Gurbuf!uNl@~oBL3F%5?RusVO7OhXWtJRfiR=?)6LBvQj=#`bDU0b>tb zk^g)M#0->$q&0);oh-{4dKCD|rU7={GGK~0i3m=#S^3LDcXI{(#;t9*Kc6aa*c_S} zYCK%hsEOgL==q%~vR0Ain?pA|yH7It6HhW>NL%ApbRsb{l+qapJeQ54CA#vhmQQ7Z zJY>l!4|Wm*Hyf6=r>t!T#%=(jCLu_s0I3ZUnzb8N8$x-w-KhO(5ygn17%GNBc1U(#uC4l?{i3j2xB)I4u>byk1DiVmLZo+Nxs_^^)q$AvhKzCBVI2 zH$4DGe~Kax!RNxV;~kL)OPyXDeA{kYeUIL0VbA^G3-dsJlP4*1aXVvr1YMFS#MucD zbut-s6V}B|X`>yu4cMkC$T+r+pIuJ>?8BdlAco3`d|WF~Kra zaM0INsBpQx$(UkAjIk__fC9*zOmRS$AU{Q}U_w28L_2kkTK_DSQ|Z+9$IbO!l}Xaw zojg{JkBUcJws!gP{`$w;o88a1pMSi*Wo+c;?(WO44}0iS`|Fq8$GiWv`=C?y_`Q9x z6IV+NIS{-1uNn6eMR64msnabOM-nU>nBWJv*=XiCyh?IiW(QkOZ)LZ!hz zJkz|d67rpXPR=Jun(l!UB(F~6vF! zP_J}f7Wr_YmV}tRx@Q%Q;c6<+Q7rh=R=w42>q)6ReEjJnaCLyHT!S-w{Pff3fS%0L<3gC)Iek7{p{bsUN>ph$jSCbs*zqFEP6`^c z^p9{wyy+-!65wcD1m}y=1PDWnVGYfN?~=0gG!rj4m2CG8L|qZJBWZ~S-?Hd9^f3eS zs6&!xA~Fv#c{ju*Pz%4TCtQ~U`O)coP>o%Diy(V6q}+|n83Zy5^ha~e0{vSYAcV8Wv8H#70*{JUuf9N{4B3$ZW3>Of0n` z(FDg;qYJt2egG(lwk*KgD~T}rxD>zy^ zEIe}Q&o*62tK3V>w_%0Azz}CZVDB!rf8W7HSh?s*RCh=S>DMn0@AtmG_(_VM-Se;A z-5xkHiX6Tee0?#%fEy$ZoO`oz@Fh)MuNR#afDrp8D)(n#Kgr!_L|Kk zKv@!Gt9VP^M2~xSsIp;|Nr`w{vbh_(I{PnyGDQ0M)i7N7f}V*+2@#e}5emR|#f9!8 z%C}J)=6rqxM>WJ-Yh3zxjnp!4Fu}Mg?hbIDieVJWBx3;P_{}MPZ}V3uNBiEOGf!W@ zH3Tynv$`~Z#h?d~l_Eplk}mba>pN@3!08LF&*Ws)ww z^KRF0$k@`zUv=DQ`WG0rpLX}GrSw*PrC;rd1df}4l_9AchVR>=# zUq>0G1!qLSXrWJ4>)J-;_Yu+^qq}vbmBZF+ zt?3oKUhS~zL2rl7#WOyJ%YnX#y3HbasAzz1*5qKkr=BoWY`Cl_y2w74rs1yTl;!7K z(J8MNI!ZAdxpP2MW~ZhZJJZhI3YYz@cBnpmwmSlJiSaRO)w@~>_5(Ty&KKMbA@~$w zTe*QbWKyy@fe%6{FcfKECg3t`M zM|U9K$j*4GaKiK5C$j$pI=CS`*tU!y&A>10t*7)B1}#WUYqrEp%#q5dzIW;Q-4C3p zGg4tp-8ssaR8QQU%{Q0px5gzODcAhVk9QBBe}Avch#rTl*@qN#<4F3*@h_U;OfNSM~|d)m|}*m4e8W!HRq?Z^Vf`)z}K36S^7ml#F0-gw9`yAUU(hdCn3=Hj{lOC|yCH}L@Xqh~d-O}KuCPRYn zzFu8n4jd~uB5hmj%vW* zI5V&+Bl^<%Z0Kibhbuf-bS1TmAv62gRnb>F2ri0SfpQ}hlS!Zi#LgH^QUes+H`$G1 zL>~&Mtij^&aIpXF=v%Z;7$V7Lzr~u}-7vr7=K0{ipe+19H^2ON^8ujlm-{b&yu1GN z{vU(88{$Vke;Tyo!|~|gzXvaWdHBbdUw*_-7Cw6kzvt~e{y+reZ|{jf`TFvg;jgz} zaP8;O;3bOty}W<;@VB2oeZiN%{OQwY{CS63>MxO!e0~4%)6cm0)0a>0AMhP7?@>q{ zKk?b0$S;z=UrJ{RFq5w@KYqIX_~`~e?mykXi;!qzX>$;b1h*i8@yL z>=Ge~K8`;|iN#DM#~s3?7l;Ev z%1=CkMjh2Xsm{=%@~L875DiWlc{n9}wm73Wf=*~GT%_DP?+2qFl+lEk!o9<-!{;fV zQw{TbIB{Ghtk+dRS(U0hh;>zBrFO0QgDhH=rAa793%{h#Gi80OWDv#-J0n3hyf%WO2Q>=iFRZ08E1tIP>P?Nw+~52LCgUg;Eg6`(L?lQU6-8eS(6>iQ!&>#t ziZ6dSf<@k{NjPt%^#+^pHiHRz$a8rx0pnZ&t0W|~Ne*_K6CjIKXJ(8eUtj=OWhu10 zMLR^>j!<$HRltm`5f7CxC)231VO?cXmu@*0BCVT?fJXnAQ+#!Xtbi?#8DFXxMMafU3EA8#~|3|=!oTG^P)rj+sb&joCib7RA!uogKfW0!!3AiUgHC}bTQ5BM1$dV)?8>9It~ zo z(n;c(zaBc8WFMcQ3*i_AjK9A+!QeRxUgFaU$#@k4$N2=Q5Y#QS7hoPRa(US68%fks z3`%Zeq$#DIC-N^?NvL-oig{?^JQGqupNnSeaDf_uZhC652llv4SWSv{@buIwPh)wKhjrz_o&aamtpv z0kA=X#>H_8QC$x!3PMQ)DyzTAX&IW^p4Fo_ir2~oWFk)5XG*L z36*$RvT)q&G-%#E8$(2Z)9S5ymco{+B~h6mFAf4FvObpL9wQ{f!i`603kXhqat1*B z^5hjx@L^f+7pYr8VpF^$2~Jc4gM!d#$`O5)NqmSTXPBQ4XWo2hcuOP<^UR~O6MIz7 zSEH8ouPB0jy01oQ@Y~;4o3+rCl@*>1 zLq!bJgwT;BQMXkRQoRl@ULCDza6&P$P>~czk+TAB=~afMYTcH7!R34>?!q9*A+R9J zDNgi;g4WRI%3|*b9uJp$U-p5lX7Vg4<}dQ+^Zz>dZ&hpfDRI1$+BNGcoCC5fzwC#n zGpH0qztY={7i^_Q>2X>mJ#jqc6Xb(|MN|W(K!w*?LNIfo#HAL`;;ji2BC# za4?nJ)j*_3P-f@`xbB2|Pw4fnqJ7M*PAO_cODt{FI_ za4;!zfTqO|&;SpJatAem2`8(|-;Chv0E`Yl zze{6)Z8y~++&wzT`tLq^{_Gf8$D5OP^WDk8{%ATdV;qT`M>94j2utfWBHa8AyFRAg;cDmYl5eFbGb()^})^khUInFtzv z6HS12v$}I#r}KOhBy%L?8_I?pDB&m-ue6!b7_m>`hY}`lX~4R=t?<^Yew{GTqJSN& zZ=EC5C9DokGUKzS|>;nz`OzKTziBiMc)Vd zMc^*%zcY{!GeQL$@n}lcAsEsH>)WErkE$yyOj0mi^dHFrwzXkhb(#8i_H z@b;ks$Imwps459{VEY;u{`NOEndJjI)m-Gwk4rLM7AUQ5g4r34I{T7 z&^|`(`t+(kARX1aQ(2Y8aNXH^=Tq{!Y7u+0Rxxc`IFzcF{Z5rZxtH<=?pmR)4;QMg z6KH<^D9{|EkFtM$T!7}Wah~MF!4qDj#LX_h{&Ms4H40eFMj{E6V|cRhJgy zP#Dil5?0ho^%JR3!}Et4)+IxAh0KVoGn}lE0$YrAFK3|^J+P$CuJ#T0&gvP7v<=)! zcmHyG`CTCp#FmMpdffOhXvj3)9lf3_Y!%haV?nLZtS3-n@F)#K9IsDJX%4}p98BUz zD?yYPwa3b`;Y$QzT~AK{M{x#3B<|^JMdCt>2nuWJ5_^`v_~<< zdA2}8tn3TArnr-Jdo@2_Bf(igG{CZaE55;I_406n*5ughOZe!hF6upFnWrmkm$zja zq%9A?P*Y!2;6lMEUK!P$R+bPPG}>-~$v)It>Vx`0?k}+SxqwU)hbX7oCOV-y`RztVGi|WvmB&W4W-8(u7;OTTbQBodu7An5V z4vY+>jx~(FtmH_DaL5MrMWZw%b&0AUh^I1EA)VSRQYJP{p%P8dD#aN97o)Q~_~4zL z@Y}UA+*POy$A}uF?0cYE?vS3lhpd&oz2826{O}x2LZ72R=ufxTKi?1j^0&eNg=Ph^ z*!&;*etkE z{?xR?_RmZceFb0Y6ngLofsPhpoQZ`b#VoYPsTQ`tVnWbF8Gq=4Dr~5U`03M4qNhlE z#7QQ{& z#Eu402}e39X2#cVyA^4IC+2At2Zd`i9#}wlAemKGbkRNHiOHX!bELu(JRP>f^Q$Fj zvGbt2D7Z6W<S&3odTWtTtzG>%o%Y3hLH~Lp6LQ0^7;=^~}&ObN};M0Vk>Iu+UZT1rU16sI8*vn1>Vfx1q;E0{yG`>mdC+L@*2y zDHI7nxNh}5qjq#+srnfr{fdQ%^{hpZvLfz+;+;PL6y{lkdLUzow^@G;{u#ngyQ8*g zh}F|ZO#tLYh*b@hut#Y<;?#j4$vU|;+!>Zdg?td$0(^f#M1=5gela)5voZAxLrcc{ zA3po>;{#fD|8{eC&+e>W;5~%IW=jt8gy(z7Fai$A0B1fQ{O5lnQwgFw+wRN70kVhQ z0Ry@pF(4OEwBP_L3SgID0@cPnFx#f34}rOp9&E2oq%y%oHHNYI)`UAHNFDaPK+FIq z1_063+tU-|QJp~3g({1rCG)Sr)c}(OzUZr!nlqyNiW(zSM)fw}%13Beki9C+Zrp&t zaWto(2|**vilC-WmTmzSkcIfhF%p?T!YB~ll*Y%qq)Ufz)wjtjG7i?PnEzC$#+qSB z#jT<@+n|0e&#;iF#A=xo=THx!wOKpTjx}sJ+PpsgJ5$C~Q5lXy-_x-)t+d_rt}Izp zMob4V1JTOX>$K@XIi$}e1*g&E6A?Iep`8Nj*}3F=s2I@0qN;40bq0fP$TV5l91fU+h64p!IZcB0l7d_3z`_M{s5_`%L@Fg5-rV3_m60ca zWQS}mY_=iQg2){m9Z@)w5Y;V7Ux`6^pmh0%L28xHs+$@9)$`?e3Vi@zMSKMwxgEg@ z0L&r;9{0t>415l5MtNyzDF!D{lL3#K7BL`@(AjRYm}#}s^Z?R=$lPK`-DUc2+5^D# zQQ&y&5XC(J0OaV+Tew_T7zATLLeSaC+OQm02sX!=V~;bhIygl;Jz$_!^cayR1`;B@ zqBc`GouOGwcUfxt12NCto<0NkB60$*j&6V@+PPOMai@zvThs7t=M9y!Uzd^lbs4!I zWF&o!(D>JjOJ+?%RkC-tFg{Oxp2P)nH03@x;)eP(qC234!dBYuSU}d7^52QzqnN|xXP$Bvb`=Rbg!q5`jtCROKXMG2$Vs74_zDMT%5 zVWs$IwSx}bx#VoD91uPxIu>dPQ*+r7Uaf~~B+^E>DZ=?ec8ovhgTj0Q%M6F2(WB0H z>n}}?Bo1%ZYpSY{{tj@)&0t0#pO=$K7oOH z72(7)3BGUz)qWq14NSj62W8+ZlDEO}@?_s8=EM?`qH-y_m@7iFbS!AniRMW6WT6pI z&#*@cru+K*^0?!4$wnV>yX5n@$xbGCycD~cxS0_Y^M|l{17UY1`3CYR(8z+RN)-EK zJLzSvd}gu4hS*?Wr&a)nY1eOd#@WQ<8cH5IWXsMC3*?#WBDDkzxW(fQmpp5*CM<)` z#I$vdFZzulN>g}$QE_1umbyAxS?c;cU237b$70^gmNDZ8ew$&)jnOZV4U({Fh+ zvZ7z}^pwZrGjf-MCm&@>2ZySBMK{%k4Q=7KJcV~3Cs7(+9z(n>POnhw4SC?E_Ynzf zJcIc=F6AtDq;HncB&ouSZ^J*jIuEr6x{hKUn&f6*71|$8t%wflx3g2GOp!9#ctIIZ z;G zz7D^1*gsz{IlZOGFUymXWUoq<9Yl;dg`x1;?5`b9+y z0#;9i-qjq@y1!~q%w^X9>3Tn zErJ1n&6M^UAyB8i?PgHxHKkq71VSQ0sG?PXT4O4g?NvD{Ta?iRH<_6pi=&Gj{@?c6 zSwQNjDQ3#8!k#vVkX$kw+Rkhl_p~!m>}fgP6?ZXp1qPTL2<}il{Y8?8f|?+WxfDU! z*()4cGomkg;*k#KG)l_-(;e6x%}!2TSB^|ZoE^#)H=8x|_L8@OVHI=Y z6MG)zo4IeUA5Iv4uW(hTx@6(&Q(~g5KgwDgv^BmSb>Z_h|BdJ+@hrRrmIWSosd9lO zHsjULgKDeF5rf$gv+j|H!2V^)qNq$JEJ2oel2p#(*TMG{xSEzUWKAs_sW7ze9FhT; zC)^PFRP(5;gYQVPq9_*gP71ba?49fRp)QBRfz*`{o`(R}S`z`eNmY#%bn>P%JkiMm5HgI0I!Z z1t}QwEUxOkdFXU&MKI1ao(}X6jywIJc8R(vRz2qtJ=qaHex&E0A|seBL?@zrHdfly+eG2GW%absj^`zA1X-{;^|MoW-vaNYrK}foGS-Qu>Nd zr*39qa)I>3zwj7WFUxXasmnq6f_VOtvS=mHx_^?AO72WscO7rIPq~OU!+C9Q>W|nzL1ZDIxq<6#4j%EnP_F?ofDELcl{^du+#nCKj z)=j<4vIG$RurjIEx^?hB{>R|-R5o|^M)TzH#TPIFV24hcET1#g%cnmG9H1%4kL0fvG9aPA_Nn7^B< zb~ty{(aO22&*L*CAPf|Nha=W{T*{kh(Z%$`CbiV+x=P*LkIYgkC`bpPrGRwEDTgEa z5gsl_M`(8(abvF#eCr+ZE$B|rEr8*8fv8QQhhvv9xs6UfRA-l3^|Q+@F< z#DZP8T0xnmT8twgN_ed*U^1ZZp~|C|od(#kVGy!d5SFkgTnm*M@)CTv;1Uva7$Q8u z4ycUUV+3&S!~yyNxL~jml=AfwJAKgH3G#FJ6-mSgOfvXu)64p`nP?BJqp(2zs38_0 zY7KtQQF>AW7;o1bZ@EgHw&YyJop^A%NB0P4RlA91XVWh`)pQ4C!R4|WJ~VE4Qrx=C zd<%w@_c`)u6-H1E=)pt7#SK!yll`g<>&m5P$_Z{6!3Bn^tC!D2^-SMM-DHFO$MTu( z@#~`El85V`q>l(y)SnCh2aUXIw1%DH<+x7aFOLDs=+kKzg1kCK9k3nifbCs>_<*jF zd(b)sinqt&HH2P1*OBLYG}0K5LEe-go$Y5}hqb{9H>^(MPIbbka?VAb1%)y`KagXr zqLM1(%tD+oV$n@bl*>+LfZue@n9gK&E`)ZDc^wYPSqArO@(_%O~H#FPCOIL6R@DSCl1pp#})*OIaRmGdH*OwO?ahD(l>|>CRn|?(~ zGY9&0ENI1KY269RN)s>3@kI*yOZ_hxv8}Y&%zV8ZfxalX;dubID?zveVA!g91Q;oELW{uu)`-3k-l1 z-TfvIv;^C_Em0bhvgNtUZ@=GnmfR|fbVpkxD-(sP%#&w7-+==XhS=4Tw6%tdCB^&MIq0go&Zi(OxVwYJ^eAPUJLzd+)bx5^0$Rx&-pAcEeu)~o@^jCRfJvG$wAfauUxDvcR+2#N)>UZ#r;pJ+&#tvs$Hd41YWe!EM5&9MG z1J<;8CP@L20accC&s}n_VwG=gup-l{(|F)wj*p)B)#W9zc{j~<<~R+VJ!-Qm-{-8N zI$ge3U%S9cu@{$PH~AfXBp~_|ze| zpj)jFaS{yI|hj+=90~hrQdEBXUD3Lm`2)tVBuh?c<>K-U{emMAq4en)vwnWr+!K{PW@Vw zGIkxEbyn*mz5)Kjq6(u43$2sKWy5mgl&-PGme8qiRZ19OvBMm<8I6XO8e;c1xRdBp zAAygT5wnpr)vYxx56yOQWZR#T$J=f%16p&E-exW^=PokL;O zGiSDcR#;|`KAsPeDJdCvoN6AP4S9iD!en*JLI3K`Df0e8pGjwJJ&#ff=zfEk;!M5H zeHVFi0!d}9*l2K`pDh5icREL^2)+>FRs=!jb~S^l=8;WpEo@@@v+@#@d(81OUj=rp zJTp$>>PC_{J%Z5| z+^G!dR`5d?aFcVv@l((6GMbrNKaC?S=Ay;x@2^M=Gs#P$vwsnvVC+Yw`SzTUSUag^6NN}rj zg1=Q|n!FX5*$8MshbTPl^w!D*n5Xo}@;DYPTGG7Pa!AjxEM0VEOxDm!+OCY5WMHz) zenx!Q$r%7*0gh@(l1Yb!a$H`-dvDP(%;sA>T1LU3swqNx!Q?21Di4VYZM;_N85=2C z`EvK=0h05#7rl3%5L-3;hTmTNf4%?R`~6pR)?hhNYFNR~OEA{9l|t*DjLjy-?tTPZi3ECQugRpNH_?1Hp=!qBwbNDVcJH2~OIU52!f z-0I0)F%1vM2!P}OF0QWtE1P;SCGuvvn|XFzf5X?Qwkt7daIEY|7o)a}8vAd>T#kBx z$i}jWrZ;I0gC8Bl=6>|_V(wSTKA`Rjcy)h3axsuzSSl@qj}RN43E@e#$m1V|<^iK# zVLgy73lU+z4~4{418qj3jy?FJr`g5)xw0`e{Gp(}g3!npbY==M9#4p~E5@G;>T((( zB?W}&vj~J5H8%`rH!};Rgi3b}WE5+t(u0JIg*?}@J(y5d@bVWXomz)@GoEsy!pU~D zCSgKibtaD|INO>K-Qb-jOXNAjh2pW91j>Mi&dkk(2Hh$%yn|$tK0KCbHUs9(-U9%N zAMd}see7+wzuvz6N3dp0*9!)PTRC>UqL7v|$jsD?5bcDede&Yf+>|n+9IV{AI!$jM z%r)L-x&?dC>PPWhLpw-1X6kYp>MJDB>Ggue%8MIM=@4F#4d`Mqg3MIM&Is~FfAVAm z`J(@1T^MdIIbl(&b0`;XESZ;xfIJZw;F@r4Wt>}A@^lI~t;mf!W*7~_(TH$jKjwfp z$BR_49=1@AO|Kpv21BmP(LOxX^uxdlgA{MZnhKir#DNfSs%4`-RI$eSvta5ePO1dY z3LVCE<#dL~)A|Wp-K;mN69D%@T}vt?L#+#HVgjLd&Ztmp_gp2}t?F#h3O!D8ili&3 zT@0~^3cQBN`UJf}2gVHaZKD$Ga%0*^f1y!|`A^*ZL%O=?I z(ABd>KbHA(d<>pLPtU03hHSP>+;6)f^}4H%rOsjQCg0^yjCXc6dBf)bSQ85X7H@b8 zBIyi-1A7K6eo{-C;OE4YB;v-2er!igD&E}{&>qlNPM1Sp82Pi48T1G~$@rU4dI`k{ zEl?MmJ^0dpx%;@g1Ke>OTuok`Pxd~8?Iz=HeN9_X46b=Ls82{0M9bY^!e~-6sL!Qo z9g?k5*P-Aqmc=9Gt-%Sqm>dS5WO5jPlF4CQn4Fys2_lMmCI9dl3UDA-^ZpU|^J^oIuo&C%zllM58tK!yq?H=a-Hx7sV8R$R^D+A8& z%jZXHRBjGA7!9S5L=c?D&f;?fr}2^Fhf35z;<=GeB_J+_^;2NJgsZ-|?N7+!a?Qhw zR)Kd^U(1kpf(x6jy(Hf*7FNNB&B#yibnFp=4!c;Q-1-FLq!@3;VwenM%Nl5u*?y^) zera{dhJOo>5w)VE;51c*;8LQoo%fh1CU7a#Om}+@YG?+9U1*`2#FOUmX+xTBg~40i z-0vQ~e0T&UUK6&Q9NI7mEOQHJp5TyJRpXD$kntKhJ-b6}7>?cr09onUh}#$Bb$`z5 zb`;T-E8sr|VKo@0CNqNV7C$bp7ecETwlXbWGkWg0uw#34MvS3#GPXWDS%48MJdQTi z2K3C?e876TpWp93yojEn(P3WqNGBd8z; zO+(DBrRne~^s(6=FLSb)6$bh8D(L`eI) z9ohiz?Y@4#fBE?GkKMz|yH5<0{q*JihsXDK+qb`dV%;Fe_mazu@;_|R_2)A>>;fTw z`x#L)4gJf#UcBr*;y>Q6ha2XGsESrD>3s7FX@Q9d&eZ90o-Tf(h=oQ!BRHJu*PR4w zqe_A5vU>_|1?)zxp9rl0mW=Ljo&-r=pfb5%>^dT87uRrbt#^;h-Q7EMNj_cufOTwN zWFmnvHHbH3YNH?^EIn-TilImW0&@mZCY&WxDzd=^PWyhcx{0pA1 z2GS~3)G87CcUOkxi`^v315AwRbOe|%X4q+h15SxOEMh}KjIWsjk3qVJaQNyDU$e?4qLJVCql_a9w;proEqJ^)i4_CgW zW1@ZuwOKs_TX8*Uu4l*qQ3u2725s>8Px6j#BlanZw(eRG#q00MJCh5CT=p<;JkMBRCp&fzC_a1)} z+;aZ-?%y7N+oHi08w!QL5gy|OM8QavuE7Rp1-%q)KG}?<+(lk>vSG?H03(EXSPv6% zdF-s=&sqq*Y?I4KX{fnyHPv^08}gh_#`p;gzM6k8x(Cx|5D`v zwZnKEfT_=32lxCWyI86*$p-{|L_my+ES+Zmweo!O(IoOBPle+8&96*1Wpd8#2k^Z| z`7^}Wpx0Zl43KDi0~J{2h2e`Gl+rWf1Nc(^B1t$=>57_!#ccofK`7Rv{BkixFh7sF~5fL5_gnG39Ng4I9 zdqf`q{E711mpyjj`Sdf62(P?AT-hut|Da&?FNn2t&!96+ZUU}!+uOi5R=l=Oi+tK3 zIYAuiPfURjdu|E}p=sBf&Z4v@IYp>?K}IK{;4!U;#OdkvCqHJHspXJvC^dnoEy-`X z69v2Mo+rda3SLgNL9Fr#jZbPYXb(irYs~i4g6p}M?RWag>f&4>*P0qr=5)T zshM&s*GaD-C!(kud21)6UONRkhMW;egETI3z(kIU^DyxLSjsViQ4ao(1oNAvM#SOW z`HKGl6T>%@^lYFMg^f-xR<<}B*3D({2f|oLoD^`46+DC(VAJcLCBgMED@34l}kacw@u{O*@dpyY)`X%ufTPr=NO9%leD!L*gRO*V6+benUQQ^ILhZJU$LcqxBGDW$M#`QezN@9 ze);zv7pEBg*W}`thrI@;Zn}WSSt9{COA*~N%_;+0PU~I9eL?sRi6`_|iUuO82THDb zglW!~v&)|`!TOnANnMspKiSSNmzRNJtD_attZ-Vt^R`ipu18)=cbpGV4*Yq_BWZIlrqnGPz0P&}QXIR-kU;%vfWp(e^; zcqROdTwk%XXNxr=mu1@K!SR%W$Jw6SRNCY_S&I4uJ6~Cy_}Sum5#^K%Pjv>#SnbTW z?;y8U`59S|??QMFBY!%zNBuDuReZCPVLwd{GkHABjBd+R?z$xoGD1`($b?G2z|+7b zf|hQAu^n5hOj2&vX3_|mmm4(hOOYqR^JnNbXb}8qMPB48$1_VuMR|tnBq)NS>wbR} z(^*oXtokge6Rxev)*#kM!N(7a+aG5}np{J(2iwhf4_Q453~+^);zok(~2fYH6%H*d8%^ zjtv_G7NN*!5Tmv==DHvmd^SUt%2@>T>sQ<3OElIzo1;Q5w)Sjcqme)lex4cmz+4qm93^@q*ft+IOprbJmv6tOi zg85faxMV==2kOpBIGRunZJVSHykNxwGFg7NL&ef>wQ!!EE_>jzXwuhogS=gHb}^Sm z*#{&6MHngtb+HCm9#E?r@RN%Pt$MDd*TgAyyQy;R0o`%xr)laps?D=3_V&2E9|@%y zAM~2>elP=s5@P~|RV>1&aeJ*}f>U$HH2FS0z85PV@X1kV6;x^13NXTwtYa(3`6tB#Rjh--#4aPfvb%c>f}a{Y}-QENedvQD{~#tn=uf z(1Yikf)FDZ6w1gp&D5>->)Rd$-k?EZXoXhe>JyoLk1|TVQ(ap$gZlOyY9~ zdq$Z~Jmfb@ZfIXsoXl83*cz#PZF`P>Yl{zP)-*D9IpR4&lcj9c92qaDt5scw;oR|moLLAX~VHw9f8}s?e$@%$uZLh_eQ)L1frr`*nweieI|EU0!SUWS?dD9O3 zun}#8OrJ@cO1wiP1JQY-VN60XP0$(Z$8A$^-1;1$bv{r^@6kL`!be2d*j)64?Kd+? z2~c@H2x`!%r)p5pw?Tqjp2cMW_!h6%7?6$1<3UT}PSOv6t|3NPx{6V0WP|TqDHp9# z()r|+P7{=0uu;_3N;+WF)yaKLWRdSP`>A!wAGH{e$26CGolkDHa)zMBGnBa#)!4a? zz~s1VB)_Pv$R1zJNg|GGN~kHW0bYddihRpWp>TsNpCb>O=^DY9mjjckbef`V5lGK; z)?+2Nv2dAs&{3SLL5Rk%thk9u@DFObxPL@`mSg&=inx9-%HWMm07-7Yh(~-i<)d{^ zYgs&+p4y?DjXgbe`wLH7(9f;h4xO4xBD2KqTt zBCF3(g5VR$PEb>A?}XnyObJFdvQrb5rX=gY9!#!R;R7D(0we1Qfvo%uwYzBC=t-zd z(&tPLKjU)D>m`+TqSVh9aPY$)2Fx1BV|^IObegW`jH}L1kHJ!rC~H(cs#cMYI;zM= zp^DrI$|;X3?E)M`Ph!VtTzVs@w97Q0m*ogx$peyCKH z57@$@rgQ>oy-QhboB`K97k$X)ffcF{D;FTSX<16f{qk91J6rGt6bRVn%5{IJ)~N7E zpeo&ex<@RI;TzOkBku&5OL`T5n9`ag-)1c%gjuGrUXZ17+fozjOL{fXD;juXtnV z@*x~zbzs-4BN>4KQ7GaQ4HbeDQyzeeB3)6Lb9`n|>EjGv?)p4gaxGk*;fON>1)-RN zk|&$5A9ugp4hOT4gwi<)HFlU3mQrK}1yF>?><}#ZBt7Pd5DP*Ma*QqnS)fCQTDN+# z)Z8?UVf*W+-#^~o{jvA;I!&K(I~|i?A1y!=Obyx&U_IPkYd^D`lTv>A28EA z*9pSi(NGPXHQl9%9MlWr;ITL zZ0;2~{A#jfejC<|>`tED?c(KXvL-xHJ617gQXy}{Lpz~#Edxt+?c38N9aOmW1R7;VYz zfmO=hg`Pn+T49*=dE5Z7%`~>mnnIL?%f=;mLm~ETi5 z^47OhiqZNv?$q?3z~~!b(rdQ|2LW8)Vw_HIM8XLxE{^eOY8RG6x(iaKf`G3DSfd3a zN4a$bz%G9J^a(k}Q~aAKOpRteAFq?7=j2+cCdHxDfqmFggUo?_I0)Vc9=<(^553S> zsQmG=cZkC#WaBBfESV7(2i6(#jH{ot4KRbPxT;HH{-J|3P#{QuUzbQYux&M3uuHO+ zF8?|TAOeksKugEO3b{UWYk6+bI~XlLw7pC$8u4IUASojV>-M0&d_n;9D-a$EFuUQ| z>%)~N?wH7h!j>aDY+ z)3>1OHN!%z9Wd$~arrb2SN_G>%2W@?BUDHvNmuP4MY6D_t+(gI1&%D@l+6%?TftET zE#AI2^;Zj~uSnuVxj3d@3ch1w*mS|fSMmF4OKH4~EK}#WK9{(LsYqv;Q4hc*{erSe zAd~*`>Hhuh!Oa?dy&Al$c>CPMLmR!2P2|>Hb}i>v=};Q2n8_Id?&;-4Y;zo6C>{P2 zXGHHKubsF!Ro4z%5DK>jhZ6sb-)13y@kqfK6gx0A48Ye|N~j5}?Vu*E2j!?e8mK&M zB5}mxu_dvmL%MmnAa@8Xy@~{402kt$&X@F`na*Un!yy($og0qsjP4N@9`}!U;Qi(M zp6?(p@92Xaqxxt*7(98kqbTw7hVYYFV)tu61Q+jaz73A({VmEe(GC+*UTE4MGA5Kg zSh{G;EtN$MhftQ2szkKFJb}_2SW``L+ia(o2v(@qlioxsx3MS!`)+Lt8ZXIj=%{5K z^izpUcnt&vxLK`$ceBu6| z_`-cP6>7`iXiM= zOkNT4y?mVcB;fJ8=~J6xJVtY+7@$A{nxJ{ud79ew%2Smyc)R#4jodaljfg3DMqB^u z5tc~oceuVNY}k~0GXHC`tCdmRQKge6L(*VC)RGoFwiJR$>J&hORq#Gz*^=BAQ^w1I z%Dc9v=*waWJOQpaT^8#KhJG=O*LQUVF2q-hP{bFunu6UUafB;Dh-@oe>rteWLy9;1 zEAgAaeJ^0@fqR?wKr{VxG{rD zSsT{9Q_nCQ&#?DPDZ?mYe@yL4KA)w*xP+;U=#9CUBqT`~jQkrNvy#Adry59McNZQg$Pue~pHQ{tb0hif3fRp|A9MMjmnu2|Q*M(H64 z9rc5>5Bx!_B-86UDP8z(@W1BXQ4kS@moCMxP}JB z1md8WP=MLq*+S``sLj-00G+PCz&)?O4E>jp|FVyj-A*pnr*ttQszVoJvSi0}twm}< zwT2%*yqdUhc?!>FuhZ4)nxgM2+^GdyFKN-iEsJi9lx94H;j9KV6Pd#7Cq;g(PX`MI z8XJma^jvsngg2`x`(1_>78=*2e&_X^rhlTusv3@D=@nHNXx^1i2`vZhz2B$yZtda? zvnah*Xz$4o-URP0&eL`k3lvM7dI5B_AbLzEBRB-&^{Qm0UG0?4S8wp{6SPUu2@(j` zTn$Yl_E`HdLwpM~ZRvJwa}+gn#DDDw0&>Oxu!GOc5Oi*m7bqE`KA|jR4wFNf((0Jf zY4Zwg=Y~lD{%8M7Ixo?e7K%=D1jdif5?es8ppAr|3N^fxFCd%wJP2+*3+DNc;}xOS zHIsYKjEQ5QlMt?2b42#OYX(&EjM1_LYQ?sQa_)<(b`}i4CRORe`9|7Tlz;_e6X9u3 zCr3pRj!)L|gM1t2f!L`;9zy0M|9!mxTxjK@(|9=~1fH!xFsF*A0h_J79;&$}V>9 zESAnZF{eT^Pt8`k;jX$8cClJ`25Z7LRfms!7pah*LvxHQ8-#Q!k}h$eNdtj$91shJs(r9~`~eOfDW*j$FK5ezs>V3669u25M#_02DbpT+<-MWB`M1S-brSNO7(> zDCKOoH_+i3mq)R#aZR(91ZOk35ot3W(i>!g-m?0b-6!pKl@qH)(o)^SvNGW1%?kQQ zN_c=Ph2>+(O4-Gn^d{+867jr72QOCQgpem}vSU^#ay30Hnog4Cq{v5+5o(OckZcPB zljYltWklo(^yuSbNhs3S$Imc-qoeF*?^w)>?|Jd#Q{PFSj{+E|OzrTNY1o#ks zmqdlw!;9RNZv5__-fmy^?85+dji08^j;3jrbpu1Z9RgUSA%Fn42E}%9 zsp^a(G10KhAPZvR-$-FCJP&VBEleB?-1VL3;SEAzVp^75DC=}~Y2+!(X;^F>^@uuK z!Xq{_XfiE5Ca@447HZ-m!NsV~m^Fju? zNj&et!(MC=ENO#xQRM+Sq!$;gT)8IThQ~sTRoAA-TZ-{X7nlUKNBc$htHt~k3q&OT zf!`M>^)GH!P7r9p+a5+3*J{{_FNh z4emb#kGLvO0n<8Dd5O$!QzpJ%&N(gUvkBMACR7Njb1E0#fjkJ=hSuAct95UjKn3@c zE;B*QCD%$R7l(|ghzCyq0}YoBqCe1WmWIe`Ea5})k&*c?T&jih7W`z*q2Oq`A5n4; zpeC`r$VGMoRkdJk({&a5;HcG9L?YVqkDVOIWz%xhE13koWtxz3veqV+S~JneQ|&JuO2!`Cdd<$-zF{rw|y)2kLPmKhEyE#UY=OAm?Bjo%l*(`ibUfPM}QzQ zRS;XS+AL0a3#gBFcah_2cOe9+H>wTk7YF69876Ub)BVzG#i2-sz-*-6u+@GZ;ZL~R zkPeO_jc-4E_%?U)87a{`NY#dv4wEVRwCC$L+Sv`;HlhiR zO8Tq@e0VHaApXKoi9<{_XuJ8v{FSpY4|b^5WH#Rr|AQPh2=oJmK!5q}I0P19dL2o5P zQd`n4Fo}|0P9IMbIa#jvbx-o=WD-9d$!Al>tEj^ZKv{|%OohNP-qptpDiI*t{a9|M z7B=2Xgge@bii-k2Q5peLcf}gg=x-v&l!@y%)B`v-aBStiU>u`E0g_7(ps%jz=)p3d`@`3;qBm&ESg#d9Q?|Vew{N(Pt8Vv`3+s^8LQI=ach+M2lOrH zbFhK29kNy{4$~RVUWsN~}Sm2(StC+r32x1^Nhm7yS`elQN{ z^QE!E_Bp7G+VVtKIJ_!F#ZE|0iH#OIUEv4tTqX*m2)FB1T%g3U(>6)`i(r*Jiw491 zNtvSOaW?vL!WmR3c|OPn_LKE!$TBCHwPT_xRS<_|nH)FQ69Thtn7zHuAy+jj6GUF; z$P_=U=I1wF$cfCNawnu-`M@1wna$Q5ASNr>!{D5nc5M(uAj_!jHKy%#ms|D~d;`h) zyW4*N5ESKyySumOlK#iXm*_Edi++AF3!ig($j;Y+G(Ug$pI^d2@7tIB9e40VR)80x zej_^!T7v=5lMd~P7IAXSC7^ta7BQKmu1`=JXIRRbcZHr2&4K50PC+mb!_o+yE*o-I zGGsJ?mrO|ESEzS^qGM-2D$F6gUm4Z!=9>!O7oGOuxJRvg2#+h?PgyBr)}S=`@->vE z%_Xc#e*XY%YsE*xex6#+73dLJJ?qoIE!e|R1q`LWybwHMZq-2@B&OvNx`i|HIR#XU zPlvPuF!8qVl?VmhZ6_p-+HrxYn8EQ;f*dM7;PQG1D;?LGhN>onO~@|IrgJ5 zlT*5wVvm}kzmg;~0OtT$60$b?BVg4ITdYBOk{1UNr7Lh@+sJ?ESgPW03*1;r=R|bQnqejv^UZzbP z1Z90dEC}JU(YH5UcR;k#0_NPnN|0g+7hvM!qJwGFC#0k@4m2TS$P>+Vv&d({KU7I% zBEjVZJ(4e1^DX{^g1QB_*59(!AY?aq<%NRhuHL+1f^TF1YJyiM$;nSLC61TTU$0Ff z-8~R&HU>8BVnNsG2TVLn`$wFwohC=fOa&uR%+C--%G`4jDcm03XlY#V+WBRd!IjU* zo3!IZz04BfynwkZLswGtcJeDWZV!G&Dllfx|7|81H5h4#y>n z1%=hpF%8d3lv@Ps*>CK8x?XKA-#}21e=W4-7~F4<_jJJB?SB6rvK!qXzu$iR>EZtI z)9-H|v|AtIalh;yp(&uD)BSzRnWo?XZD(2n8h{NX=SX!W@iPSB&J@u=5da?x{0SDY zQbc|+r-*D!Pe5Q~%EOdntDcaY8uhY>9Q9ZLk{r&j&bG&>{!Uu0)<$c*qdLzx2UG>! z)C8wT2~5pzQ@%x{#fUJQijEGEy}Vel@D-wQ*hh<^B+?Gn!cvCmiwOu|U@C zPYYR#I2`s-%!!V~ybTlz1bkhYP#hS6J>)<1Twffoeu%IS+w`)38L2Y+jC@tbWg!v7 z-Ltwu4|sY1eGs#v{cNB_YK~^ zN==U-IG^R{+9+>VMJUrX-14N?20g5@*2Tx#Ko>y?1nfqOL(5Ye;l7|$yk&su53Y

    -a)5h+c4}%PeX`fsS#Cr&JjA096gVzG)1vI zEBc2QvgjXDxA~Dhl+%%qMdarx$b2{{WQBfsUq9ZVQIj;rsP$hS^)+b)FG|I`VL9q+ z66&T$F{K){9^vsSqxcwd`2&$-o@+p#{NqIr%2MKx?snpH2NC9A7&XmOIoJXw27J5% zI|5+aKFEED`C!=@@MHZ6ElgD-Tw|FSgLHA^gtC@_0$EITd6K|75!N6~kQstVhRoTR z9wo{qv6lW*ZT*Yk-A-fG9bT_BY(?w%sV^Rg6G*tpITA`F8AL(pWEnHk15$wj`X4## z@aU1t>!jEfK*>o}OhR;RIm=9>3@m;bRR^-MsIE5r&0Z**%-LTx&l-|@TwKzmJbzI- z9r8RZ3PM2Ixao3`bqbHQyb-^UQ0eRC`ZO^9#`OZLZqDGT>oQEb4(Nuwc3%Q>!jw%i zLet%{9-?2K6~IY!M8wlrHS@DeBniGT8p#6E!lPvm0Mb{88aWQ1(;g~(QDKsPlz|~B zU?5>3zZm))nTgJ+EM-_>wF#4u_xj6f4tbAvkRwxU3$vohKnI9 zVNUdnnx0+GwPF)XK>MX62-rENl~`Ql81`Vroqn31Z(R(7My zXNQ9z=5@+vhlnjqq|(^VQ*Fn#zr4Mg4goD$2AIop^Ba^i`N!E+0=vmGo3nIBQ^JjA zD$AErLjd*%)%2+-I1dH0pPkp22pLB;8%6Qyv(Ipm)KB*{+P-*wAD^EXNra{hp1u40 zzYo5%WE%eZe|u<8ZVk!p`u{+Y<+lFSM*%%Vo7#fttwBD2l7>!bN&XpGZeSU(AsISV zAv*`eSmNjnohqOckW}CS7$rX=`GKMdrGcnz53u1G2LXa>$sdS*4`FA-$}R^1=Z^_mQ{YiTj6(}!nERnl4!O%#&eiu3GZ zQ$S5_$Tf-}Mzw@dXTleoS5q#TZOEN1s=-E^@tW)i`8jVyB8=00ihSw%CF9+ZuIE7U z2B^_w0yA3FkR^SFas;4|rz{b50zh4!-K^Ncvt{tKm~D`LDQ}jR>|r=54ya>5Fa!Hk z7{=GCE02AQ$@jc-ja5+APo>u1m>@Ss5JL8bR&?A#D`%0PBA~TqDS*%x0h~}ZpFI_V z4BZ+jh|ujhTpO96);o#aUP;smX_^j~c>-X?&F!S(30Tt;z`F$Nmb6+Fr4!8|L9an2 zBbta-U;p{-?imU}_B#qePEiQ5An8%ubE{jf^Qy;FZx{1(utc~IE|*Zpv%>1E zKqx`ZK!}b3Uwv~}mR^HvBIRQR-hKP@^ziBJ_oq8lf;#vHSH|7@7roohB4iy@&w-(d z@v5Em=)zHw`PeBOO~H~9L1x|PD(>uQ*A#^t1&E#qr*ZQvI)#uBJf|L zzX+N=oL;PuwT%*ol#>8V?J?P~NLhsZZEv36z?7a&6HWWM^%J~shJ6O(e)6yA}V)qa;J_Csau zsW}spuIOHPp;7Vyuoc7AT4PGU=hs(fsKAMX=uL;|);U?v6VwH0=YuMk+fkYYAtOjN zgsWW&9RYg#KfE40TIamEmQX|!B6y@qrxC&zes7lU6N@(^BM^}D3Tz^Tu!L*6c-D;w zl|EbnDTXXW>t1o#0k-oQ0=WrC68FT|qZFuTx~%MFXD(J(m)Jwi5|W6tj^G()HtM!k z{ouH!I}T({T`P%FT8J>|o}`;(mZ`B9g$hAABy8iVOv1*Y;F0UK(NG4*S3&hw6qXNJ z^z)Fles4HJ;r%vASwOe-=7LOxBqf6!aDxitM@Mw|_kVz*i*dpl{ViX5;Uc$0{Q{#Bt*ad~A1(-;I9t}oWC9;rx z9H@|!hcHs0J?%M4aqL*zMO=8SgXqA_s$UF(ACxBuAcn~VW$58|#;;*ng|WiIO0#rn z3NqYx(de96=YA!^3s{s7io$;kvq_!i4|{LYM7g(^+gGb^v#{Wx`6q*g6&I)JlEaX? zM$m`MNvCHph5^MYt?T;X?)K^X!yWB(4v)iV@=9#A|371Iq8vw(Wry-p@>Sbw1J$fj zM(&VFBjtvrh^;dLkesoxG!zL|L(Odfu)11frf=6dckwMefa;kdli{9`5$@OTa_$zX za-hy7{lTU8yI&rW(|<$u8kPmyymV^DS!K z5v(VVTE`k?wZY0tR;TGoii&9M)3~YBbfjq*;&K8a#%LUWeA#&j>doa<3_+?5R-U6e zO`pTqVjcpH(i}j`T9964lCI&|HMPmx$Lrhok6-Vh%;pzfNMOSfT+4nEt&KvO{UWylN`^Vu>mv>70dCk+8hue)l{`j)JAL>^G- z-o2+m6a)_qG*gNq?sRy1M8EUN(Tgb@1@#ArW{=>9J%8csvOiC4P#y`!-iTq0T~;3k z2bycsVHR@2lQt$Nz%0~Il$v3Q38UwJV)WckSnucVhkUwW7@py2<98IxzInX;_3QoJ?L$r*;-7&F zg5)uHaj=5n4s~en%d2nn^?XC0g^wQ|cF3xE__w_;FaPV=!3q?-{dX2dYJ@fH;d1Z) z@7VycBT>X9IzkUhP`(pIe9=sum5FndHAL7L!4P51{}vDM5@0Zrg%Vau+D?bcQ<)&v z`MJc2^k>2EYh@$UI|T-%(KY$S9A(VLUcP`?2n_=L$FPs074BSL4!hYJscdO`j_zwv z5>(AVodXltY;UnyQ}IG9X-%JOf|{B8e+N_7C%JqyDuOx|p13tqdE)jQePS~2Au0my zOE4lry8&N1<6yyo5!{bx?z$Ca`ZdS~gNRG8Z_kjpwb-b;K&;W-eF z>O-h#eJz7LSmzUY9XiJmeE=KCgr_PhQW&1Tngf(kRo%|&FDN*=SsLyUe`m>)oV9yF z9v-yTvb78HhtSOBckSeoMd-96GJ+G%y2$L^5bn!RZ2VL4Ye3pJiDl?^Gp&-7L01#)V$^Z@UK0Ct~lZyp|JNz^6cXOqrb zpbqh-LhY=!NJr{~f$Fty@t5)=NSY)wn)XnPy+A!YD3Y2K)|);)l$Y{Ozzm$(vR|U} z!(r9FZiXHvlk>x2u&=4xqR|l)=VOEkqWvk&6gd>YWs}2=EfLG?5;?uc;^BiASpyiX z=L|RqChg02_rr6I{Q)vKq#4)abjBf0$D9nKERc7^NZyKbN>Er?`u^`sc?8(Tq>tg= z&8uHtp%s2V_sgr^dw74-XsrtrFe$T4%Aq`qTMs-!gqR1&l7MgZt9|e&RM}WAA)R7G zUrr84=;{oWANe1`X9jo`RkPhFTtr9{x(Df3w1kWElTcYO+LG?YRyq#mWCW4m!)Ao? zx4SRwxAT!)%`Eq}{^9K`t#7NI1~1HM&FRqU=}LXMTp(v0OeGHdB)?qYCdCBhC7I(r z=tS02cs`hhcZH^mSZbbD<)0SY1M$&ZgN0VF`_XBQ%E`&}Hr^DK_1F|Zs01;=T$&JP zC3YxHzJBV6(MQ={tazMvC)?g07u|G{a?qcqbBa6_DUDGfaKiy+Kpan2>lp638a zS!;}4Z2uKFi3@)Uvw|63Z8vEkQgyVlVfA^sZ>H~O*`q`07>MUj-VmWo;ri$3ti-G0@Xp7nBF7>jE@@ZUs*? zDCMa2GT7}N4iM{RylE+!%qFocV{YTinUeH>Fd1)E z23u115ti=`HAw#B``!KR!|wL?_n*E$-2HaD_tQ^5(QIL~!tUnFm#?$|(4owR<@$qv z@5A11cRh#TIz-iESTlY^>=d4?*B5Mxn(HbZljI<#V{=j0uAF>E3{4fz1*4chu-k5y z5;l#0yN`rUvmi^hX#C{HYkpBT7<8e&u(F~=u-jV$h2dU~!=95)#D$5_OkQW9Jv1e7 z&3RKXTNZ-U>1NW3xzza@wuZx(YPK0I0X5E~_3IWbQ+L-${zt4qui4+DhFVfpSNCEzU%M+CKD)K@+%_dD1Xoz&z_NM+zj`TlQhd%m~fyq zI=xsdvjx+(m=vbSuRsEZyHkmA0vnocAelNYM(w7IE~W2E<$g9p?y1+IQW6TJTB+tc zjUgoZ*r>xW)ru&=2aG0ha!W_p2Ch;H!sd!-a!a$?5V2=rGw%CU1r-KeBW#5RxO#aZ zDBFn*Q5kQcSw@c$&*~y~q{kHxhIb9sr}F3Gh`y-=(o5XL3;4DGne0v%o6GYz&@mbP zwfF7uUi=66>&JgV37miY1j%;$Zv#!5Y>p z&DrHx&am7l_f3LR*TsDv7Pnk%I?hNXhdMh7pWI1HQuHYn5vW(h7=BIe57_KiPdj%P&jQ zWXXL_2l zDK?UEp)DGo9nMdm;6K0KeExj1V?rT5=_^3A2xfG;Ow50gICi;18HwMMb+XPwYI@UW z>Eg|@k_19aMgvg?(N-;uP>kx9z}(v`=MZXs45kdYDJa_agYaJGke}t+1bIT`8U6hj z)rQQ9leReDF*40}QqL99U+4qo5onLe{VABngWi}{6DbyS2>J%r-=m1Qd*8eJ^6Bo& zZQzuP{wO{QKn3>%W>@pmn13Z^(kBi#_-5pgAm&y5tCOlbVbT$5<%d_d-kf-uhB&P8Ay5g4xh74^2 zi&ZSv%wdMvb8$r{-@`v{z9BF9chSbhOFe99CVJVO*M(Om_}Nks4s#T_cd|VItTMd~ zuLls0I=A zv-XHS7n31SflmI=)@bO1=&{oF_lbn2I%wMs=OUuOlMlL-$N>ReI?|4p=vi~T-9Vz! z{h%Dsn%C6EWj(k`9>s!0Pf;;h#vsEaj?oT0hSQZp`qm1a3ve~RSS%^{@Sj78Qd~vz zGeyNwrxVn$q3K1hm|XZrdmfW zMgzT+?1CB-p?Q=VAT%FzlqSNY9sI;bwlMB+-L`@QZL0Rq?a8^|r*5hIUd)ENT{SYE|lcgUZItC3B1DNTj z(7%?}R2mvvrq*ESb+1I>ZHVkrF>$wmh>9Mc<7C%l0PLUM>(#q*RnOlwN`k3-&`QzwAe#R`d_bHfl)w zJuRkGjz{WWG$S`^thSje2CaeOp5?e0c-v_oA!l8IjU0WtHZNy9U7J^CNNrxB2P7sF z6lm+lTwU>?;5czGj?Sr7q{aO6sCJ<5#@*tuNKunKshUg z7O3i=4Z#kcMPLm~4T2fDKOw&|D+6cMG$_0`Z?~5h#R+YLvNB5v(-&pxZ=WwpNNmj) zWtymR!8d5N4#A6&+o<*-#fhA bYv1N0QL)R1DLi6%U?0kspYL*Aad-VrQbnWQhK zy{~stBL%TYRzs#E-8^L@e#Rl5Ri6qEL>N(`y}~O%zyWws>7(691Dk7I*T;StzE zZg;fhfK;VJ$zzl_@g#)sBL@rm=TUwKoy>Z>-LGH1zu*0Kv-@=S@F<~`2=Wj)a`bMC z6fNFM0t}(Jqvoplb0B4dHDWqi%|aAU*y!iCZvhE(9qi3;^s_j_x?yjbGJP^OK&DJE zMcn)l@A3-8Gl6<|=m?<{65fO@4t6u@Q^M~6{cVsTzB>i=A1K zMwky;(}k4VnC>cpFWWRhO0$jCU&(s5vZ2wwLvg&3HhFb#G~CT^HnvIq7dd1gq<__s z&W<3A%xz95zrc>Fw}D%i!?>NqFX3Ft$}+|RS_TV_ZI6k8gFZXPT-T`0klR)u5;x-& ztPn`Kjg|O92adt|c@FP#S4`QH%Q`uMMzWegbSed_@u^7_3-Zb7d_hfG1sgjAM_nNZ zBB%+2vAMc0T=U42Roe8M{FsmzSs4m;&6kuOp_t7RvveI;kOgO8wOeXWSY;z4aoPHP zgHn;8cv@W{)fz9~;*hKF0cC@%Cq*Jw`!s3ULOi5$2IYA$2#KaZ#7ue4F6L^(JRRc^ zMb9@2UZ21h_gdFRmj&@G!4W8CfgUcAD8F zP#G1lM2PGfSh})z@1`(TNGTkkL@Q??XVjqQeXVAl7j()l7Dpf*%aY-Puu-u231&dO z&JbxKtxOMfpI3PH;CF>4%15X0RG)>&fwGijZCF{3X(~B`lddhYc+$NaUYKE%0RphC zkj_hfCWa#fJ0BM*45)b%goHXBJ0{6HqS_0?Y~T{O+AP;eL>+v@MMXaYXC}|P@h0%& znYlL$oD4}AvlG9(@1_;}ku0Cq0K}E9+!h%r!PIW)Wo0CSFshDLq)>exUmlhUn_qZR zXwU~)~0D|X>6$jOa(^~TB!-Q*NP3|_#+yUR-1d}_b=5y1g2 z-x}1Ii@`J%LO$blpwDZR_Mi#wp|KZ9HPgg2Qgl5BBzdqkK1p<_G#=EBaGjxJR9`KK zt^Uj!v?mV3^jdjh=!9a7!k-$->*Q*i*tuFsQAO0*vt(pSLMCe&se z5WhiN1Uhxku1*nHFDFX?5LE=8XeKn%uop)kFQ87KzzY})cW5xVa^rXqN@Her;Gps9 z+?gGS%kFb?K7vpXj|YhEf`Dqu?_P_x!Kj83^o+yG1a{xu)#aE$HG5QLM`IVsFh$9WfN7BoBT6p%R*t21VuF}6P zu?^NHMXQPN=#a}I<`&3W8FM>9n-5C;Il`;oNQHCHsDTx3PFHYa#V_nc8!9ynkcWud zlk1c9860piG(WlQkG;4$1Xc+`b5Yj9VtgQa*18zY1ij6SWJC5$MzQ0_tn|8w)4kp} z9A%F#R|SBC0{-k3rf|9grt*Z-WVbs!yIP(czh0wS&hZIyes*Wrd_J3bMQq}z`>;Tp^B1as;r!p?=&lIp2yf&vEC)^4>3=Bq>L%LzrNf(Ok0bM~c zIR;O3Q$zc3sNPSaHZtXDU`M7fF9aBIOu7)EK)%1-0-+6dZ^sOMU=5G$SNsy(q~H%g zV=Z?rw65!oc-b`<7fKq`FM5$O=931-J6Ln_bOr178S$nTfzz(NHQ(7a-(x;PI$Ji_ z^rEpVod)#7@dBNlPsPW+UZ2I+%dYBkRKOU|t|KIVx;_=?GiZ^`!BRj==7tG9V`XTc zUY;KwX5Ernh!P%34cLJOI%}|<0O3iXjZ$XFl3Kn7YLB}UPUm?Hucp@DBi!pDkUW%B zbs(!m8b*aC-Mgu4xj9GmW^!c6y#n35gzp-Acc=)biubDl`o%#hqhJT)>q3w*_+-Hf zjIZ0KJBo6@Ne-t!sGeR9>UnVKbqTyBkzAQVL?YCU+s)YPlPf`hjsN)?X=xXWk4-1Y zGVwe!iAp`G@k_e0#i)G4SY4{A7HFZk!`0y~oB@BF@8E82U2>*U4(RXHiX1XMJv^j7 zW7YnYc^MsOegFAk(hEppE~xz^>Z^dWY8s}gzfq@YW-YIq)AsE7*okI_*a=rU%Z?3! zMRZM&H_vmtJE!vH9VHly++8Yg0AfH#F$T1J3MumFz4-dS&%w*E<|UUsK-pThJv2s2 zy}?WHHB*-Z@@q+OYdKIDtmPLi#_r^`uy+*iAbZDqvA~z8 zG0L3El0I=qIhk6hdw#7+tMk>*Apfv+b9_-E+ua1G}?}#Wtj4pdhWXH3-gnLzLVA9Y{g` zM2(TCUD>6iunXe2O|+n>lO1gHH@(?hvdU<}5$h9_D+*MwIGrOY4(S4$`4;456~lG0 zAOxrV8AE;pr<9`)8s45GK>;9vsN2Cs1DS3i(+JR(mvyEEmA_A$PCay;n4bw@X_Pi%b8);; zz#P^ml1SO4K`N#+LEn=g41kPD1Xa)+B$zO!a9FK^rmw{cUVV6V`|9JXUtj(0)!nQA z?WGH3R7w{Bm3h^>ebxK;s`u-w-rrvJ?q2o&H=h>SLzek2cz|{mSKoV08u7$QZ){~H z+IAPO(YP;TMGltjK17yrH)${xxLczj+`%@UrY5;o9HwN5j-&O-0h`EVFK`OVL}EU1 zFoU@B#;YE*-7%`?B4b+11N5UsZ=4IWBu5?-#J4x!ZF1gHoiaLhLWN@w9W6eP zW~=C*q)$uaNP;EwBd9Y12<=gQ6+iwUArZukQ93_|f`S{frtuu8Fe<=2e8wuO>dro9`wz=g}hfD<4f+;f*lIPWo zo~MJV@;M1t6C=}lCUs<>%_IXY*=qxw7pN=18iWV5L4-lbflhgxTh&~b?7BWd5Eo6> z=F|fV(~B(%59MXGH;dg})0ZzUpzC6v%8Sm7T0>+;hWj-2x;+gF_o(Y6gDP>^FTEQEoPc5K5N;$coyMMpm`?@x0QoeV$k{`ukNpR^tj93r?NgG59b z6Vb9524QL;iXOh!8pzkW^~JbU`@SX_Muudo>Qe1beW{9i8S_iyf{Xqng=vvgV1P4V zIUYMZ+ft%c%sx@xIo!1UpKuGAQtc}jgZ2)axH{Mrh5mBEwwQ%9W$sVm9dI?Qk%tR zR?Pv>m6VtxA67;^d4Yqs1)5KbOT^nGmY>xG1K4P17)&$pH0l;Up0RRPC0IPrjVJ(X5m31g*=o%DS>0*oX z($-2B45*AOWUj^aY0uydDp5=ToEYL{Wa#{dsU{1EX#~BeJUzXvOe{6j^}Jd(1Co_^ zUIAhW?VGoaEo4yhpwtWUQN1&Acc8GGA9x*Qs5B_4r|F}bVvDzIEJPVW(8e$f1$2xl zu0-G?$wst!3;~VY_^sSQ9?%YTOTlnFkZ(jq0<*nLGg;FJJYNV_3`gOdx72s+bwDfr38OV86+G)M#{s4--|l73$DR;5UvqED6JADr+u5z zq)gb^Bb1-c>dvUVLIa=Um31x|tBoWki<3^+8veOn)31REStg}Mrp&{r}&50|W zBE%Kya3P|1jb<@wM6Hln3Za02nzO@86!l9i)5FJut+FLg2v-NGssz_AI$S9)|hUX2i$dy-@hbIu|d}h40EzvM0 zw<6vQ@Cj)uDl%wRaY94ndbxBhiN}?X$%)ua@qAUD6ma1XPilq~8qXJsH1je?vE6Cr zKpzd$#R{S-bY5=z_7Rw{~>mDJelQ??x5DIoRx^s z%ic}zPk;Ia|Gme5AMoET{`-jke#L)(b7T^9=EOHP+M9!K0j5fIR!wRUM;KyKPEq)p zfhO~l%XhYQ6fm)0l129pwM)7?NUj&5Dx>q5q=JG8T;yg`;8rFGjfuK`#mo{sOsr5f z^1T{_8m5^gHyC=NGA;JGNB3?dZn_r&hugl4B-zWHL*s$~U0enP-4Rgl8r= zujrg6+o`M&1;fb-C)ys>SYbm~4_&q}KUUC(!!Zp&^&mDbwg5t1?!&Wriian!8prME z0L@7PhyY$;hfrOYfok*P%@T16@3z9iH*4h36tQaU8S+lb2BE<7*P@p>I3uJetY#{w zk>-6^%_!O%{mZH+jRR7PI>LA~axQ%hJQo?9^hrp*mb`A3DzWqw5Or$`A0nFdPhkUSNdyKY)MVL#(&UB? zUYCsE9Qf#gaS2X>wmb!UcaP*CviPnzt7agroMlVgKr@uKVzvqR6VBTyXoLhOHL;n5 zEj(YhU41GV+j;37yb09O@CrvEawRnJX5@nI3rZ=h(b(>Mv+Yg2Ss%KIC6${{%4EWh zegAy8yhDjSBrP2;K!3~{&ZxXHE|lTiZw_(0JwHKc2kKz4N`6*8qa1UdQ2n;t*SQ5M zIEi_Ggt+e=#GalCF=Mcg3NoXbAj%4be?nP;nl^8qsNcv@fICk$$dk{`lFJU>I|D6-aFGl)R}c_A8!iQK*a?eWv?7tw{is;+qo0aHp>(4r(Q z>vd=BEd`<^DuS#vl8A!hbI)Hm30?9u88`nD2G}DkyU(cgS|vsF{-Bq%i_|4VS;8Vgq4X_ zQ?rx+a9w`n6-hEWo05-CvS?YByM}8%Q5aHw+B)dhnlpo2uv7*pDc;@ zWkx>o!3VP`DMd^Ya7}K1sOSkiSe?P`0Vjpl_hdWV|I)(WrJPH|-ZQhI>8F~gOEOqJ z7n4N9Q~6ydIhp$=mDY$b}xG}F-J1xrxBLUe4tb@ z4%?VIFEV5(B$4dUPy_4ADkrimp|tnW8$5SHqLoo4Q9W~Wz9q!$+@cEt&PE6I`Nie& zDeJo*9UmUiKbp3E{`&3l?(^OMx!wEW;Roy515XIV59D|B8c2S@h&pV5Sm0S;7KA9* zT8c4w+{nnvN&3`*jOxCrrtX_+rcOub9FNjx8k{}+X#`qF^1@_-9vp+&Y;yaT;p`yY zkwjUL59?i#_E;~; z7Y?CU)Q0rWU_n(!g^T9scYPjTMY70Gx5y4Wgna-?hmv!+ha!zXeEOk>zb&A-AI=pu zbF-(xSrKT`gw`8O6!=f13II`%|Gkp50sSnV6pbH5r>nKIMrubindO=;4K?+H?Wu86 z`^3kBuSP46ca1uy>bQgK9F>a!qS`>WL6As$*q9X@IA_ug3%&;Miu;=woo-Tr+bP$VSixS7*jqGc8G+i5;D{S{J?x&oFt4Eq7~ z))kuYXJ*6--Sy=U@tAAIU8EpZWz1T%l|#Dk+1l#93Hqi0Ki;2C36t~0-Xb@%P!Yu3 ztj~$W(NZX6TcOX)>HZJde{&RLFofI|?MF?gd}8KrlW>Z)f_k zn8I8do*4$L?ym3!G~GcjDeYz5+H@R8sjx4*z}^W)W8CikK({-gMaDeoffT*rBCApm zFj1QK8Owr3e!wS&hpZhd=p%k~%5SE}CXs^N*}#u%=ZoPASL&F^->_Q26O?5`+yFBU zu%3*!5Q!1J`znV(K3wUrAtdD(a_E#*0|HS{M^#N>+z9t)j!M_*!QhidGsd5^yD=`E zA^EHxBTNh*nRQoC#eh6?jrexd$7NHD2KxJw5Z*wGW3ZiK(Wo^pM7|IEdtdBtXpz8u zMVnkun>0G|nq3+MHdZ)OW55u2LSsv>6=6xqkn)wp)`}c6*jFHCjfCKi39kf@6fvjd zqMay@y&R@tXdcR(UCbX0IsJTQ*b~rc6T}^|X*~3$p<)#?!FvlDY6uU4T0S^8%PLt4g9zHsuwmFEtqYO>kfByEkSq3iJob z>~};4?EC@=%9guf>C$#d$d~t42xoCT-?9dg!3O3|X^+d-5}Ao7R+imj5nvDU{8V@L zEkvrXJ@}HmIry@EmU93ppl*9`Fbxs~6wHkN3Wv+apJZ|QOg_9tsko0)`%|E%2U6m- zuUciq8G%@(*|;nRH60V5tyLhaq;_ilRwIljVpWS7N;?5J*K=#Zum^p~KfvwH<{MU_ zgJB1BFbvQEOekddAVu|Jdko4GN!eWd*o-&g5y$C3=o7;_!d?JTQ597#SGJ&-pewIC zJn&cm;F0hdYq>GSW{pnf=njC2Tw+TxdqG5j2I$HnrdOTR%CSIb(JQKLMG?ieJKM3i+m^9qPH13FS0@*Y$1%N34%rm1d2xEV zhw29paP;C&e>YVSrlwXTG3xmGGlb z0Uccyk6{llUt`H>MP-j*rcvkQjt6=6Yx+1Mhn-B#oa_&WfXqdw!d)b%HZe5K*u-+} z4xn*C20-xiDA3YNQK04g06inZ+qFh2uhO2Q&rP!?!TcnClz7SmFf6nyMcP-Xn6fgR zYH|ZY(D4YwQqJ@#X^jjBe8>X9kWr2z2r=eeiAkJa%yo)v%vd-rnfVc0*1;8)kN}oU z*klF~=KQz2AHA_(o1nBEu?lN?;4#+0WC%)Dvup^lCK3TH?~Y{;5RYL_lX5hiZ3RKV zYR@kcz>m|D5q&0Jt&Zx~kEzTh6=wo(6wO-x zaFOKxxylsTM>*yeeh&)|azEjawZY0FSEuPR^TfDM%U`8LIp~n+OL(gQiEu3sEbm9Y zL80iJW9XbEVt3CeT3N6=SWF@p*(P0s;57{moTfS|3w5$RYWBWQMlXA(+oOSeQn=Hz zA-4yc2KUcAM0mAnGorQSgl=*0`cLRx`l0a;i2c$lsQaTIP)YQN^VPH1OSMT^&JfnoCVSo+7kX)EFt)J$=+2^c(NYdLV=#b9<7kHm=?0` zn4uJ`KTy6yideQHQGc;k3S1EjN{tjMiL8eUxl zLprjQ@L(qpJejPWPl@axjmep+JE+p1mu@2Guw)eZw}j$ETgfV0n#jy6<V zm*vaC?3(euJEdd^=FK=@<_(K$Q3yszJhYZg?EwQ$Ne^J7VKm#07! z+-~=`$FE-=_IBbL{Ka~y;9r1V&d(MHa3Avh-Hn!NRpFXFjiNct$oZ~hV@JN*0DO@a zNH?E$zkL757xiSQi&L=lpo6OhhbUh{K~nixGkRRN^OHNS{PJYDl(O+F4>{e|0>VV&`^*y;8 z6lBFerizoz5iA9AK@m>`ET=V&`E`9&2BuuQnp$4g%?HRmT0YZ4&l~6kmI+st#RNG) zI}lwC6s)uSqO;F=Bb6|Qxs1l?plHNF}iaT@L&Y{7oKQ3yj6f&NNzsycGnMdL;oC zX*^4^p@t`ssX8rKOc7|Yl-=Z#BjJ)ke!@|SK;Yx^Hpq&}RB5tDl9~fyb=pIf<*7{4 z^%2e8^l^zY3s0+BJAZ#RB z1al9GHAfc<*Jzv{A(Z(F*4=9HPDss-dlxlOT#=6o?Lza&#I&!C`CjJ&$G#o*8xm(O zM_;#GyABFl%rE=h3`Mp;t)!kJ_J8wdFRIKKFm1s?<9)NEl4k{@#gO-~a4wDr&u;>e* zSzZ2PiM|-jPoE6mW`xG@56l^HPHta1CV{F&83+Jl)dGsS4%E1j4F$im{yCV-9%(sQ zarf<3=T~f!D?MgDeEt3l+Ec5wt|}t5>agy`^~&0pGj#oBSyR{tA`69w?8vkSoQ`VW zGomyuFmTHR4?jIPU|H59t$79a*Fmjwl&ejk=%gaN z`^dA4xw@2np`Fyzlu121GF0DT2;%Mdu_Frk_ zsweF77%s7s^@=@RG;F;0|H(h~AELuJ&}}i9!r>a_yjV`=hM_k9_9D@*^x!6Ay5IKv zW~zrTM3hx{9uVwrP4^H?fkV-9$#79+JUYfi}B zl{#Bh%JhHgUv0vc5mr(qm+7b{ufu`&JuN3xPJZW9WdS62Ga*p2AlbQmK-WU}H4qjA z(e92JGINSP0S(A3pTPdP(Vp}r0IuLP^K0*5$1P;1z?HmKmPkrXrZ7x@&?#i?mm zfQ6Tz?svc3JwEJx`i+TUuRh;A{GHv;^`9?$fBql6|BG}iTuhmB|LK%WH2rMVLS=#o1mE0R*ca86r^o&7owMXOsydQrST0ldaU2sALXVWE(;jU#_Sr0#a?qcl z^ZW7)pwmInQerJR-7Kbz^yUKGFy$B#;V1x)cFU{1`Q`7QZ-2d+j27rih|PU!QZtxw zR&XMy^F6R+XZ+NN0dnAtNyx$S6bvOe}W89K}x(j!=2FJx>n z92SxqTX@8c^&%+}tWTjcGlT#TU_ea@nosjM=U+Bs=Xyof@`SAMQc_pnkm6xSM$d1|Pm)jOux%hb6}@_DvTT&EC!-H@+cPcW zHW;;H!Az}29(nL7bg9*t6Y#r16I9!Xu}Q%Z7+rf8RxOu2$g1UHmqyDA#88n7;vbMV zITQ2=i=D7H$<#-i4OeAVtq@eo!MzwJi6}|vb*jKvuDHf*CYdw{aboRjx3Gv;sA5>A za#0|4jriHFjLSAet)Ve31BakPZ-CR1MQ21ewhT>1o4$o!D;Q=j&;*?xyfyl#mxSZ( z(B-u0Hjj1eS#i8R1EwV2g!X_PJIIP{;={()X4b2?um`RlvB-k1xlBtSc38Q?S^ofU z=Lb3Q5EjM%)o-l18}w@0Ley$D6z+O@RRIsZ&2-Z&}lXQHv>ewVnpso!Nyzl%fP z2?hgXHE0oLDa5F4w5-iIlxj~Gl-h};@0yZ7Yt7e#w5C=A=5aHlic3{qTw(ak2Nq|N39}r1McIowc8UV+js}Rn!JJB`Vwm1Ftnw(Fxjf z_zo02)*T)Y_z#nxhCiX)cE6eSCoDc?QtM^!-{zas|9boRm)j2?Za@4u`SF+gn=kMG z*1Ny`?e2jX;9#^r8czTH2TI$`pjkA?n$cQ0s33sfI6ecM!dTf)5NjGLay39bvYu>= zLeO+DTH+SB8s2R#ywC{MidCuw$Z z#|(WH8*~V94yPn4+KEt5pSikuxB?Xj=FJ94$>;%Xe+OC;Ia3T)$f-I_DN4BldjF!N zwVMCQp0o$;Wl;SF2@zUl0ySyg9vgSR%2`I#fXsxUz*jAAgqUykJi=D#N4T1`Pqh6L z-Z%N9mA3Am()mk>i2rqc^=j6RHPO zOXGdeYmx{aRE~}Te}&pGS2t{_!C&*;`PpiXrYvdcsqRU->O#)T&64G>;5!eW@?#M$ z#|d3Wqc&BK2bo&1!|m8(1hoz2Y~a@m&4(l@L>YIh6;$MY_Hv-yw_+S>B`aM~kZsPF zsO@yF(bhCIEnYVc%As&1Xc0!p<{eVJz?y_Et+DRH>wYWdGxKUn zu|lh?k>L~uQmD1#er%Z_FCL!a0NJ$?>*~Zr0nbx~;GMrRm!0XGY1Ql2n9_pLbdq;O zV%xl3Y|>JFPt4NW=;qUEgJuCp4~|&ckjM|m-_%ni*JVib(mm_U^Tz-Cdk1``HA7zf z^X=#N-~PF~zxh1Ce?9$YOVAJfc9vdQigbxAQiiU(1@#W5muwfJih_;FGh9d*o>lL0 zX>BwXDEc-3vw+tshP6B z{N3o5LTDTryLVpbhlEB@Haf}xxwc&2XjQWyK+2#+apxaFJ*kdXBwBqQpE6gZj1MHy z5(t(P?wMt)qeZz8juc0=dy6UsjRFN~^h(SN+q@N4<3XcLpAB!o)1MAh9=2tuTvZ5+o;BCv1A(O1g^mT&?*;b zYmjGf1rhR#F=Ti{tz+ctIIW$-V<9Q8<~;QLR}d6zx&>fUm}JPykWYVsK<%%zu$nJR zg84xmk^PglKDdq!5Cp>u(G;(mu0~X(t)z_|8T5v&ZHCWXQb&2|{3FaZATuiWt zCKgmKT#sS^I8OA#?CE{Q`K^aA~rxm87=M=n>$(qpiy#D9b?r{RwMe#m}> zerc4KT`Z_B9g9>9^)k3-Qq?lKnBOP+0%h|x3$3hcW=G6B!M}qge++z zVhPky;!JcYX(d*<7o*IO@uGiakC~4okJx&CRoF(N-V4ku!jNzX^=SU35|h=S-+%kQ zySx97-TV8y$Gi7P-$7LTBLMQ<`>)@>Jl@_%n^^cIOk$ipp{rmlhXH*iBUndyfoN-8 zH`77Q80eZMhbnU7LBFfZ^PoR`mJ?%6)DrVjBeh~%#SD8L0}5j-nswzmk*-{}l=NG6 zA2i<(bIJ`uRZ8`~h0E@&%VVaRiN{P)h6gTV!u+YQ1(7OhmG~itHWVl@#+Wbr^w=gZ zAiqN6Q%eAIDbRi?gH;M zB~N$dW7#}CuuJx0X|wkT@Bjf&Pp~el3$C@c$V9kx+w%-{iEO+wAe#@NQy&&mF6dlc zJ{k;qf*@%yPv!4^T*?Xl6ZL8G6IJ~mmV=R|)O=S}7cb&}`xm;%-b21K{M~9k>8P5l4tcq*{Bi7$UO}R<(G4P`n1-pS|t~l2goB z?)nms56zbLVQF_c#tH2luio!XS%jK(w6DIZP7 zqg(WI#yL&Szju|%;8C;lV*^PETVU*k2J;LPe^p>0aIl~*&+|c2I!QMhnO`Tv-3cU( ze4;$(2L!*nTO?uC^;_o?^tQwW+ek!qwPM8Q<0PR(C+$z6+k!WUKS)*Ed3vqTNRy$$ zpe4}(sD(8(Kie{D28F4V0L37O65*(gP;0@%p(r98iD3hFdc{R6Gk04=F(-$sK0y~K zIr{EADUr9}+YxQWEgY>Yrr8j9UV=>RaXEb&B4A#IEBL(_S;Ak3GTi=3i1q6n^0VHa zAV25y5?CG3atDg3AbD_A^d6w9R0&j$Qha0tNiT*J&+vqH6A}8c1mY;*AeAHSl{|aF zCB_UFc@oL+D z-HnL}R4@Dbwg=@_lzd8N8Q?;%cIS}avNl0(YWSFSdJ~0J?rsOdII`&)``Rjd3(gwc z4av3Q05)+bcXPM28FOJqucC+7clQmjGPYv94dJB$!p`(2Q7g)rU*+FX54Uq6@|bVz zMCzz(5)mfl>rn0;|6kRqi^xlzDGM9*>ZTpG?+6DX_n*_U5RC>ND5$UO0{#ftp!rP7 zQTcsYnF+9&Nm@l)ixk@l4U8>%S}mSX4JpWOnlxcrQX-ih73{It1TFYtG{O?cR-Xy5 zT)-np_9na}vueA(-edR^+kgM|_0!EGVrF|NXn*_L5B|a$CUSw)B!h0f{R|2YEDrf_ z;o0IVz`~<~O{v@pqetWPR4&OeA6P9_Kmhv!Eix}SD~t&xJHEw!qCYniFL@t~3*Xtv zJiErWS-em&zz#MXiruxWEDk%M;9x#xhe(t?`QW;kQvr z&3Q!#o0Sv*Gn^2eRKj&qX9c0ZwI1H1PzjQdkg;3fLWm;ERiL256wCpnBy7P0N-s9^ zEs(7&Xo?Y~XeEhFHx-N}7Lij9{fk{KRrGk(yya4SVVa-k`AXsS`v=MPj=V)%xx1t1 z(+5YOaXm$VZ3X=*9vWE0U^XG8avI6<;+T9DZ^j^4-7vh_@Iay|YpGv2;=x1GF<#NJ zx@MAqMB9Rl9U#t6^B27nG(Z*W1U+_`LUHMcb?+K{ON*>iyNxlJAy=d$T9ke{$NQ}i zRVLx!G*ISEeZYmIz6QDuZO%~=(2LoRlv>2j^OAbv@DHmWnHVbSvCg}B1X&%?1NlLq zAB+DnJu;c;evD-1mGuy6h8}4w5d>Hhev<)z-aU1-y%3tT!Y|hjJyAsp*YrTw!p4xj zQx7)7fXn;Z_k=?Pq&O>Z6yE5Un1mqhk~EG z$A5l9F~^%fM2>*cyW#8*O21b}M7;r37LkNR$AF?X{! z0(^=%agFmsr0uV_kMH3u|HtkgCL#>vSG(Ouc&UH<5&!(iM2vfJR{zf)=H6p+n1>6P z2;VhQEneaj+VpRK`3p`(%2Um=6HZ=bta9pVv-HHV$WZSu{Z@%InmT6?zFOJri~#?90ttI?o_{Z-0MeVhNt$%kK8e4f4WwfF(cu zf_zlulg%cZ1DOd_aenryryv+xvz;tZ{Zw;hSL@65@{*_MGrsxt{qED<-*2&&S-YAr zNK-ukTijmT!y*2Mv#pBR_EPYIOhAZu5WL@#l93e3FA%&(5%eLsw&X&NS`t|=*WtcV zfcx?^;+`M{Yn-!AkYGJS?RSkJ#i4DdpBTeNn^dJhN453*DBB1_2>XM8gLIcQ_glREITV|9EIx5WRb>}bbZ^@&G zC}V*ID>xFkZV+dqnN%;-#HWYd?eFhDeSf(7?RF1d8ES))4|Vh9%h$)7$J@Oytk;oU zC4e~&=QZ+KH-}pRe^OBH@+}e~=g6-M=d(ImIidA=dPYSBF`+{AFsn5aRZkS0VqOd1 zAH}TXz(QST5UX#e?q+3zET6zr5G_xpH?e4(WH%M|u68U_=O)U`zrmqJJRS>=Ale+6 z)e3~on|<RQ8nJpi*$xOXE?$QukphN7}7_I~Rrd0v)7ECwO1gTVwhTH=aBf)pxsPA08kzS$ah=rA6FJ`)s z#B?t+Jpe~Wldj#<*YtjL^?lBu8;;uzJXE2lGQRN;i-yWh{KYPy{&|=lAU)-Qy|X%0 zSVS9(&Zf%PT#Iia&92Wq6x9s4tT3Y6Zw+1{12BX3uF;lIW2^2^&jdc0AD_tY_&&jH z<&CFVe~uiAT(3&u7Rp94kY_~jFPhS+=KPOTa~@d@P6hpwKtEFL@^TBt$2C9(3iuy{ zj?{kWy+;+l#}}vD#c-w7S&_nd#UuV_`RB`j8~uBt+53wZj82sMftjJcz7j{%pbR&- zlPR{^jxOKi=;i$R0JG54Q0k z{G|4m?5YIU94}GR_Zq^P&P)a%8xKN4=+y~Vmk~IvNj!>T0mdjzV`0!7$M)C^|6y-! zEjMA6Q6|F|P$qhRQ5&5`q>3UswboxTwhj^cmg({jxb|i$VE9w$skeJY*cD0C^)ZpsK`lVhlATn0nJ1$i6FQhcrfVHDoPtpQx$9gQMIT85&7Ju%Xr! z@z%calP`e5hrAD1+sfBRy=mWCz~n)Bq;vy~>7ByY_!pd1`%wl{{%)^H+?)-qz|2~-FR!{E&V80pJ_sePg`(Hjls$C3GsPBW)eWqB)Uoo6p~2W; zDkxOKq|K$;8o)72kf3=v4$Kf3b>1vqt1BT;mG=2`+H27DU2AG;6LoM}VUU6m25Q{` zuMQYD`DZz-jEOWT40CzBT;@7KdRZsCaIfQvv1(9#+}TX$T}c1&O|ZDq@DI5#_~a7W zDNn_}ltiM2aCVvxO~jQdgQDl;j}2|0K0=4#^n+lv!~5UeGu5w%_6V>-E7(LUzgVT z6B_a5;X5-NSca7(rhBSg8sm2Kb9x{~M63`4EhG&V;#+|j)$|hE1Ex|qWtCAqH_1{n zX4);f^0H3$<54Tk74rd@Ook*03Ab<*A7G*`d@M0?63`MaT*DvD#^uzBkaszzS)+ON z5ZHhFq^ejDvT+o!bm*YwM_{VtS=EA&w0gzr^vd#qkWH_kd>swNrDN{3r1TI7Bjq;S zJ_wf+5=@)Rgi(1@B|C{{fl>qF1w%dQh_>}4N|6DrXjOsIvvb6<)2USzbDhpX-Q;d^ zC!Bq%S$!52#%H{j8ZZVC)#u391=Bj+j-Cd!Fu_qRNkw>rEPdkL{UpVs8HDij6pPvK z+SL8$_jDgynkedaw4^zpo={;5K|6O`LI~1TcEcmE7_vnk+9^ zX%KW)mVUQ*-S>zDN=^D&tQ+MCn>QlmAK*JnmBU&wtqA2{YpOystarZ{233JS&V{y6 zNbDFC_e3M3)&dud^a&tZRG@Xwe3)&MpUGVwU3Bps^gkC=@3 z(Wre>$jfUOLdrc=@oqAj5C2G6ygxE)fA9xpf$edYo;B=XkP9RAM@K=UbO67*Eqj10 z`vO^_iLr0;q?IW{eGma2RoD9jJ%EJI>9RA<4Mrwn?7cM7#Qg(7CW)dGh*X>sfZ5QdEhTBuAB2^d z`6~xTE-NwfQ;-<}u-JShq8!yuiXi^e*x{}^TGPE0QB30gXqu87Uk3NIvl4BH0p%mD zJide4nh4?6m@;0xyD1!@oUJGvkj18|H|0Q~s+Z#qTv{O=XbPx^9X2MwFKB>Hbxh72 zLb-(zG6N+ z!l}%Y?-OOuGeqIsnu1<1G~|8BllzqQ$YRUk@uEi{`|(a!O)}dy&-; zusT0OGfAC_;j-VYH7IxDuC$32j$;n+*h{(30+A5_hj~^A4`lK6PA;MiE0ecm&sZ_o zt`vBgm7Y@OE$7I1`rKfKlGse8)F05|R+)SpcCIp3*}d8<-N6c?ZXp!IL|J1!llIE^ z+hSGKldxURPF2cb7e0~-fz=*b+MgF%F!x-2Ek z;SQN%8F^i-eq)BBB;M!DIi70~JDQr$9gm#IJuxzt@iw7$v2BHW!0~;2N)0wV!oeJB zRseTR5nrD-!DFI)tYoafucQbLn#W*Qw?_hJM3y`ZEO{{)I%FuSlyY~mANU9JxK}7- zhW5oYd?ll%W6%#S$uyfH2X}Ek->i0Ls6W^Hp#p3C09wHJwpSPQkRnI|t|w$7(!CLM zdvkPczNu~x_qKX`L7ecY?f@R52+QsbJd1?m8So>AE{9(hU;wK*vS3qp#%Q-anzO*I0ojTNYC51xG0&VGC}?sp&$9@fT1?_^(7?p;rRw3 ze=7(f?55?->0c;ND)h{yHwyHnKegVpmCJFti$Pg#yhukfcRy}@*~~BUicqp9d@!1K zWg?h>VM6#Izfax479K1I>;&Z@cxF-Dl(9eR0gqIUN}55k5@}Qy2gE2c(?d|xuD67~Cl|y;XR&*U|cKTgf^T~#i7*Gg41{&|O7*IV#qPOFZ z^Ie*FNm@-qtwz#|qg|a(ulr-Pza?+8eS;=lL}hb_EYQg;*eg^yNSS`ZIYHW)R`~QZ zf2N8ciJUqRhHj|?l?RhGR0sOx5Nd${JJWB_OXOg;I0U~5_Ja?xt)Ybi#!Hw+426ER zlS@4YtR0_eOB(2y&}wa1X_QOo?Gy1iYcpX-4$ArZd@2WSDz>f5C<~qs7EbgLs{fin z-D$O@prMol74@Vwg`2K=PZ7NYO_D;Qyy{!k8WZZF?kbv};86-kTT+w5?%;O`<=AsebO}VSBEa=6kTX6? zs)+;;$?mEu=0o-?Wa%)0yb`TabZGk5FeY0qJ;J_no)L-Q_Ljso3>ew%v;b3DaJisr z0MOwcDi4Jvvs?;90Km@<4?|v@!(JVD$jQK2w?p5 z-2yx-{juvt*f@0E>7hS*1m~w+$rjV+lP#w3HU&C|+i;EmtB}svG2oP24$JX?ukr|j z)!F+L$p8omJL<=XFevabBy31*6Puma%5)*GWYiv)U%Et5BX$xPK>vz4+L)YhuY5qa zD=wU4UJ8X#KyBwci^+mkXVfOven`j_{{ns=itUS_$M*M~ylsCRW@-%-FjG0+72i05 z^o#M0URy9$bddw^;z9$wle0oDf)|tA>dg62pCha5M9s8L#ym>Gg1k2ZJ^ppl?*mz9 zm^q4Eb8TWdg23r7SVf}9r4(RudL%SzfJOVW;UOzwH> zI$wLhPjdBpN(KS2MXHbmnx4F7S&ZbA#e-5#(#I0haM7Q{RKQVhh>C_ggl7K7_q+Ss zhus5GIndOw8p^I?6371F-}q1jvXe(Fk5_;QU~`?KW#3|u=?ukKhuToi;u2IqdC|@a z-G#n*Es&#nY`da@OGR|cC>7I*z_M+1R1bKniesj8OQjcFOJnbh#y(4op za)crYg9d>mJe2!Q56PRhg{s4bV&EYumw6&)6bi5v$hJR@Mh~{xR7~SUOu-1SzA~X8 z9;X}&P;j^`I3>%U8O`*2NKcl}^Hz3PGlZ+7Fbv|Zw?-;^-kzg7&iLNt#T8SAfT5zU z+4AxV>=%QG=ee>4EkY%b!k6-!)~Fr@{-P|CxCce$a=orLq+g;nm~c$Cln>Nrz5#HZ zalg-`JaPs*snwW;Y)tPT&o4?idbX#u<&#&A;FGIrF^2}FTKI!u=L2ED;~8(uKI={z zX$h5%8TwQe^@2eq=qqWx`qYAa>(KTE%7a{;YyuclhZ%9#EV&t}9AhK4X4D(uOfaa? z>uH(aCbE*sV1=$~_mG#;0P%K>o>3wxMPo)7TxyICSpj&b7NDzEo@RNKYSOe9vJ6l# z|3uMl4?A<|oFqI3s}}peqO%%`TkoeoD+>H-{w^$3ayuWZtW#~4oEgPhbKk}G!jZj+`(c1Hfy*kP>u&+vKvYo+XF)(+^0RF)F__WXn4m?gcg_2 z2$q@T&pbSSxch>lol-ahISBG^Ra}HF7@+hPUJSUim9Y)_Rvco9rWONiGzd^nsddY- zQ|KyLSOe;2C(GABGQgOo&Y)35D4C?}` zIqprf$O<#pTop<-(?@j65|$a3Y<-TUNmQ54IeH7-L=>lC;JJ1S!t-2O4HP3fQNE2H zA&Ds@qU);F$I;fFp=TkK3&%2XVxqH)tuqyAD1CF3LxTc-jkDY_;HS7U%=45&fnJ$0 zSp*?V1uuM5UmxfqJm#yL_rbx9egfFf zsQfjB5y&4(np}HLbysSqNX#mvt0sl8*?=evfG7lTTKF90m2y0SIR-%&BfdJdaakRx zK_gHk8V(&?zk!co4S9tlNLBLq9MHeNK`cch4LPfq{m0savJ-mVhI-I&-cSIm?dBh7 zZ!#nbp)iZI)a40M!7vzx7N}dgFRHQ~O)dahBD4vLg0F~bf@DSOO|0jWUM<%!j>)Y>I1mH^ za(abckor?iX&VCVcOFq#jT})5w>8a5AOizq@iY1dP|snS%Hagu8ssJXsFa}-2mVmv zPE~+Va?=*ja+j)8}IB&o^ZTF z=C*ojl5b)Ce)3Z(fz$O$wb0&5&KCTy%B|R_ZVuV{Zr2Zth2GiLXoacN=kfP>*aL{9 z9b~&;B-Tf;vI%ImSKvp6>9tb^86BDA3o2ABu-{&9IP~a9lP^M!1SOTJA>mQ{xTs?U zF9OJ=m2p3tCcz~*_@`1I;R&gntCN#ajD;#k!rs|wNyfv`OI@lVFx{jRsX8B*uUVV{ zz>cn{VfNBSJ)I|eX>$%#C@IFUOY7m3oJbEQctXd3zhyZr&q^^`Mu5yZwgB3^*Bt8q?#YMZh(vD(sT_-&qE(|Azta%EKkkiK zdP0;F#Pvob~yx{e|$!&O|$QqIs6xpdP#yZYqIg1Ms^?mV~n1ab_ zT>Kw?T9z}euxC;;0QIUiP;G-2&>GWch@PlHoAG$m zSi*ex%(eWQ!EgvZ`0)#hMm-1|$3AiV&t!#a_wki{Sl&k{Va{ysh~2@U=iAhp?Pa(0 zD4fpO@(V(&jEu7SGVJx!`%hRMy4iG$#LoyLfPi+vf6b$5KWTia9Mw&c_NdTFdcY5$ zwL^73-tzaDu14=Gny0Rt$^5ChLo#Lh{FK@AQ}!`Mkys1hiEG=eqVTqvG;h0#iyr8pKV~zv0mhBcEpg=Lb~9~s6S``xMcwJK6*dbZ|czpG6|Nhmd+b=Jzh1?!5zI@f&!*%|}q0~#5X8Qn<3~FCY<3P3#D}@)d!(UY~ETBe7k1n0aqrm$7q$i5)oTYh7Gn8 zsfmaA!K=>^8g(H~cEx_hQS>c^c8bUfjgL*1nYXUa(RWlR6xHdEk#@-7;h&oQL4SWR z+aHhn(^)eZ-~Ko~5aa+l*nEcqCoI^4GdMNvhK`zI;c;#~@?cO;XB&7xLY~Am2{N%`D?e(=R182A zG_{73%}b)4v)+!n={m=`ufHr~kA?*Kq2xO3z38O0#2T#n#im-Kp2d! z2i(%`doS1`+;8}QFNqdZ2J!A|k|d%tpfrScP-ZAG=he%#_tx(S327-w)-(k;nOBwM zzc`TA256U+@rr)WSJ0rfm`{h7RrRb^Zik~Q$D3uj=&WqcGbXR-0 z=ZlP^#JG!z+;E2*u4BL#wlXX$ixY$t3lPHL1qy=nd*R<>HMm+;2RtD|Nd)O3u_AL` zv0>QnA5d;;c!?OSxH)LWdpBxLYC{+hoC1+88LU(V%d7qxtuc8Ckd!{geD{VQ)+|Ph z&fBiM6JA&%dIka9tK&J^FP;PHoQ#Nd5%=)1!XRzC+rFn8#%e70iDtWuko6EN*cqP60| zX*wZ^<_|y;m_zoBvWBy)rV5P)SJ~W6g@u9MV@4<+2Eqd;C=v9nF%|BK4XM5)oXmi^ zv>bM{%lBS7|DtN_VzB^1#gfEUGRDff;Al_ZN?jOYs6;Yt!r8N5KOx@#md9P?Mi5GGkp zW;sbrtnG>+iQ%Am{g1f9eS~jkz_+=uxf$r?bCI44pO|>ELzStZcpXSj>L;hlqpA*x zroMCsv$-$+HiA(Y&M~vvM7cv^`&c}SSEi|q=!$zBmG`6&QmFrIeX9Jh55ZeO^CRp* z;DB8dDm<`rDt{^1cf@W15~hM79F9ibR-67jb}zecs8M1X{%6{T>WKA&kj zl|);}*EMQ$Are6nE(OO-!=!20f|V){rC?K}GbYc4wJ8TGYtovcYeD|u0(A+Pf{zl} zSY=fAJVaBRyk>(s)V+#9@EYpNJz#1-9gsZvH2{WDtKDOMF6%Q0!MO4^FVc5|^41>6 zmABTo;-;j)tg{lqHi-l_L~s9y<^1t_@t2z9TJa;vkTQE$wKY~QS#KW8d{CF0*QKT> z-q`F8h9pvI?~ujl>aatL1}W5x&Ovt{5Z8rAxW|c<6E;OdfT?C)ds5u|LPDQ` zg)y>A4+86JEBiumJH|>`Y_B&IW-J(xQ>HHC)8pse-2)J_7qm|hmjRoUJrKk)M0$O^ zD%EuNzOjV@9!U5A3jM)deSCUxvPOTnOXNL2;WywTc|syS!;=8B94&X@9nud!FAS)_ z#k1t|Ul%W}M5QYFWw?`gkrL_P@le7wP1LJ%-BcU8e@Hesc*;^xnHx#OEYQ6?3VYrj zs%&^=lJ0h@V(4kvE>E^_e&A;Z(-10T%IXQ)yaerS&U^4D%q`KMiCb4!6h0AG(aZxB zbh!u|qxkLsS|K(4z@%i$5)RfLR1O9cBWAZHX&d}LjM59_H?VAv;*j9A!rRFCQ@AJb zIESPWNsu$j?`XD#yaEmHLiuo60J%9@sdxw@d~zL z7M&3r5 zY&lRKtYRFV5Vy%aVmwE}Tf?#ku4#q4nS0LT2!Nx6FT(q#k)qOV##Ug^c#*uIfN=?8 zZ{!Sz#$^weX~Psj#ccr?R(O#qIjH}E>S)*JXUwb?(ikBbM=%wyde~U`4RBtbZ!9ay zg=o9di;O>HBNe{e`nK%7u`y-j#?SrH+nm}T{htq)cklns_CN5lgT7CbI-N8M&{ROr zw6(BWL#GMF`olFPK34!F+4v9@Eur28I4Zg5e5gHN_FkEvi0>3S7ojW_#>FKMIZtF8 z^;YDst%=Xk$r1~p8FyfUC>FEbhQ%$eSC+P%!3QE(usq$uY0sjKtiKFqxCaI1hyU^E zhaNsq(?mv($K&gaFQ|CE9!9j)(PN#8*Q1r}(BUhKwZT+5|m0H)V%fKN&Mu zOrzC>RpQ1(*|7L|kv1k`HT@|~cgNhDCPZw*h2RC~(u>9UPQMTw0!5sj9dHYZFd1IV zk(WDN0vkk#V@ro8%zelT1zjV)aMkfZMePXwikGH7Nhw-W^QBnXLVJqq8Yx<1s72^iL4+es<4qZ*pBfdc08Uut#uJzp<8BpwdSZ)Mu;q@)CbiHbaA))unCN$D=fAdlGMcQ-k(Z|WLnw{!vZN>$Y|$; zq0UdPw6cC4fapi4D%g!3QQ-i>b^lLIF8BjgENt$sqamY^rQsP2m=zGC2;_ASLdRU$ zVHI{z?(D;ms=Iwg$)8}tw!#|3h8_8`X9ip|8n^-HbP+NZq+~>34|>Gvlav6__V%;r zdO#&Koj*oP!G(A6BP6MNWp~ilL;8Y9gvSE|ILTcTy8I$4a>5^kuCp|F_Nv^Wj@ z3JZm5zl{4+C1{*_MT-IhQtd^sm*jD`>jReHp%DbjJK(rnfy z5Rfk!JwqGe=8kvZ+B#2@+Rq}lN=*}52kO|+evQZ11F$zJKzg}aXz5F|C}NHPv2qm0 zr-)VL5VGU`_U41KSb#RGD90M zMps9T^}!Ld`*eHr@Cdaz?Ke|cHdosXa7j0Z>VtgA$X>Kew)kxWqj27mj8%9=Z5D5h zdt>9I)us00qDPwhIGAcLuE7}5;y~_$#;2t$4z>VBOtquJ$FL7eCZmplzH8ctJ-_CQ zSIm}oj7%mNG>%A0QW4Q8>UwsuS>Z^KtR_uwk|Wiw#RV4xjMn5_O4gG65yY7~?p3H& zXUY?rcV2z3s$L%L2CQEcAPK3`L~B_Q3RJVX!qX6QPg@pgp;TVwe`%4_Q1a!(YiGz} zSeSs+JE03*xhRS>n&}q~Pjn<8z;cB%9#t*4%!^`XrfE&1#nz>0O;OUyURb%B_HnTi zmKiDVgYSmV3mP$Er45za0#y(Hx7}Xa?o6pTVH0_B?Wkz zN#v%supn5sVAuHVa8&Zkl~Yno&;!B;AiomgJ3l*z>|)i^gz@^(wLT2j%*Qj@Vihc@ zwrRLUIz@+NLYihKv(*>;3)l;|na{ia_}hI^FFKu}$gOh(al=W$w$_ zRy;*|h$*bUZglELL+Z39I$v#AzbNVis+0#TR}6{rW!R+n1cqMyx-+=;sBJcm>=s?( z{duo4UM-4vV237(}>7d*pNY4lNIezkU)HhC`RcS zKisOY(lHe%>E|w7USfJfWlMFIrDbDh`a2#RWFYCu`CNh>O(IuD^=qhA1-1I`yH8L~ za*mGH%ZG4_L|!TRp%w>agO#Prq=HY=)!Y5!{o~iqzi2=1U?KSu6s4`4UNl81BAwPX z72ncDxO{uHaU!-AO$Lm1p&eeXM|(G~etGr&)rXh8o8F)P^b7ubkN-Y|CrK2_OSGrm zHO(o_rnb5nM*Vbm%@NAy@#O(*yOMz9R9s0=v{I83Ndi{X0Z}bwIRQjVNb;1x^C$=@ zj(hMeIzp6v^#{a3CKLy*-^aQyPnC#m&61bl$%yR*k7Kc!_J9!>+7-b6a>yoA}(~-wQ`be}7;A4AG}>9AZQ>e6d7d zX!KI9T0#79`czjvX#fGDo(-1>@uh6K0r8Av*i1|+BP9#YDwHjb(UM)#9C|3i5 z1ci{qMhK5VZ*&+=OvsU{+B$$F>{Ks1XK4=>3j&CRkeu_wuqILQ@6ROllx#@X(7bfA z7-4=;Bw%Her6`utpLxIv?3Xzr98rMPbz-Cz9&e9h4dp}%YGFVdmIubp0^N`tl#44< z`_*~UzU=Sb`i|Vmd99_eQf!=`E7YI~5q=IJAGuYua7T^D*nlc zKV9XU{-!YZ)Hu)pnmz*x`12Onaa|s*Y)o!qSy*>p?jC`yy#IdxaQEBo9wBFzkGj8o zMB#^9U<$Z^jEij2pJi6GqMVOd;}_f>kc@O1k@6Mr_`z=kH4*+rS_r@fwe1=|c$>P= zZ_%YL>SvZOVa9MeLtZ7dmV*0m27hPAfUj?LSng=}PUvDDSTm9AVdTqt-7EJ%ot6uU zqf9obh0Qu*2KO5+JRMhRDG@h5qt{p&5RwyQ6}_fV`eL){jo~U$$DuG(EN?=O#fbhu zaI23g!I2P-tcD<~phB=%zga$(7+Q|X3h}HHQt9Ffg#6Hc*N^$4pY=f*vlyPQLWE7t zohV#$^5Waq`$v%8huh!7RMddHmL-Ggygt`9QLz+`Jc|Iu=d0lmIG5ZAHDygbGQoGi zF6`8Ehyoj9XM_zN7>}EriziOQo}B@5DrMt|tDNsn!1DIXqb#kj(Qb7BZ7(Dfab43P z-5*~Sx@Hk)Uj$F#*7%x0v|Moc$we)mAUU{S3?D%aEI?CG3v&UiW}TxLj4xM?KAJOq*9 zZ)(XW9=xm;f;13u%rWsT4^3BgEgj;3G#KQXkq|d#CJqNWNid|{(h!zM5;ir zfXn@8rJ)%TV0wQ*Pn35;K+hxYGs9zLnmnmIiz6=lho%e+xA>_p`p4jt^?xw_WS&39 zCCxt*9-%^4;mi-$T@1FqCGX*SeTJ6A*9oEYVUZChju;nXbvW$7Sd~FtgJ+)3p zrjK{GEkh!`q&0@v$nu#zJhHf6aJVKPh5-m7b~=Mjl>XC?lv-WldNL`{jkT(s`<6YO4J*cxC3#UqP2s@OG@ zi-O~d6b_a9gPp1f;afFQDK;MN{ttK|vwIHDuAnhmswg1+u(A6@8io>Z5n^7GYvqQ< zp%|Tj2$I4lA<+pF4_e5h6DA?To=h+cn?_7&VD!cp=JM6K{ahEW})_h-gs z50MYk`!Bs2(_*Y1BZ9}CtjCBh?oTywmzs@}(Z#cqhJ)vCggJlcMi-R8bv_oziRjO9A+U564;sROsTSBm zY%`#65T8N&FNgGL#3VzEBNgdXi9cn=zNK$OJquUuYgW3mCs|e z;2}@}AvVbtLT15<6Q3i~vC}!y4opdBY^*)p0Iyi?wFKT5{8xlkiFm1xd!U#tEK7|q zL8DZ7cIbmr=m)KH)t!=*RtxG*d#IxAR3@pilRSAK<5OfPB;?XHMfblr#Bc$9n}uhq z4OX76I!&MN>|ljTNw8fhqQ8FZ?S1^P`+V~NqzD2-bu~U;+x-AMw&H#m(fuHLGUGpx z!>WH}IZyeBzNHb1bv8L&zD5{EAQVX$l+_~wfNmm}%cErdjF;7BrAG*TAwv3OGy&Nm zVtz@fI2_C_7DXeG8CpDm#a3dzA$dDSz;X}`F8az9J8vYUi8eyVTe=O*&!G> z0|hP;3Q?=%a(nqR-wnk!;@f8)ZXPZ$f>`&`9HmfnS}h6&S)f}A!+122BNu}Vq>;nr zk&=>b#n=PXrIAOQM8lEWW`?7&x?oc#L%LZ|#*v#~<+~=9GB25*K}{}-VhN+NrxvIc zt%r^`+N-s7*(DE|TRtdqM`de&62gD`y%EYMhZ>LQ1i->X|Apo$gqOkmp|BCR1R-ll zpxd0btE>k~QN)v^U*yZfBZRik{9>q}sLtgqdNa7sMNOwwom*Rc9kOe2SmOgvL8^TX4*rgd2DFR>N!2$o-2RCs<_=Nh<1Ob@9h~haOR_OHQukfV?9& zUBR0T;C5gY_!Cc4z3ewC2g8kwdKh{cR#5s)w2p${u<@AMbkLa>T)+n(OmezD|fbYXBLS=9>hIaGktf6O3hT-7Z zDSyPI0%!9|&>kd?{ZJ(Z*=OksDaIf@DIocb*CXIS6?+ke)hWKp9TR1(qqlEF2jNz+ zM?V$O+2@!j3i#seGYy2*JN|ilb6?sX*e=T47`qmfA&z9*l|h*+Yoq8nnNktM5~*En zmZP4fSpPrv-UQCBqT2W0Nf>34c@k(QNrW_K4`*WTDFf+rI^7)-5ImeQG-M*(3A2by z0v8b#m5YdiiioHPii(QLMMXg6B7!2KA}Wd(6&00>yx&^&t3A{?op}G(`~L6qp7=4} zUT4?YXYU$Uty;B;sx#-?SRU_W7YKrm2e0B|yVhemzQov!&P}%6^B75|AJCVOv*I%Q z%X#7uDtw?$t;uWKYUo%`oH837gjrULEO(|8MnxSL=!+$b{IOD)?%goyu>M+-rh{&{ zq0~|tG^(cAauLVWwBv(OMn;yxYitRu)W$F+i`8ZZgv0YP=aI$65P1_@IBkbfi!CHb zPNL4N!v%0~GwX2TTk02Z1YAMJnj|J+D*g=4de)xChAYfmvdy5wceM$`A;db(GGw6+ zI{-0I*uSu=p8Fl-^9o#;`F?((ZKi3AQr5jZYwRh+`x>qNG!tS*ABOoX79}=fX)+l@ zrA+;du@dD!42299H=M4fX9uaMj>5CM@SJ!9M6yrx-rfk+QYCv) z!?OGTQx|N-=koW$Z9+2s<IuADbQZI=8g_ zR6kt&O&FJwt=$-bWJ@XZI4pZ&vO0jO9NSuwVa!Qm#}soEU8aU)%?XJr-aj^X5TPVe zch7W=Z3)d!XhpH-HaNWnDugT}56el@#|~hFj=XhfUo2}c$55C0WxiKi67!p)c{v7s zI~sPnWWJ>FzT^~{g*~XD{aCcCbcH&>LLtF&Sftkg%!BD(Q9azIbQ|c?%i1y$XYqL5 zQhMpEx;{lBy@9A5P?cE~TrC`X+M`Gva+jVFv3 z;ZzYW`I{98XnJ!8daWuEmjaGxMN~ohk|fJN^OmAFvz19(iP0WldmTeP=20_Yht9k= z?z0dmASgz%Y7Iv=jUJksnBWd>EVce#v%5vkVCl>C=_x%}2Gt_z3F38myn?7o0p^ME zN=nAJtzZ|-H9!QaGq?88#ed%XxpoO!lm*F&bnk(%J(**}H1Ky%Umy1UJNrWAjOW1x z+Sy9-Z1T2id;CtlF?M$6FT7^hX9VRA^};q7X_bW@@rnC$-&f&aN?Kd*fW^ zC7oQnq{EvI_XZh%1;nQ?opIae*}mAlY+ToqnmvL!W!zOtCZ;d3D`}fOb+#{Z(zOQN zN1OE_WnWB^Nt3pt-0%|mw3&G7cx!Z5W{B%>$qvDt6X3R5A573vv3TT@+AKvjAjXZ9 zX5^^U8LODozK#|Im~m2XMdy2K4(o8mWTy0BjD{frYT7*(3UhkZ#Z-DaGyaPP->Iw1 z>5h!HEEqS3A1+6%r!=i9iNkGxbE3swEV{fOVqqk< z|NEg`Ho(}_(=Rp_ddk3MN4>|ygK_!V)$7(&T5DIYtSnzMzPhqzd{v@$(wC>wI~<>R zjbH(>`i9HmS<|@{HNVn6z{X41Em}c ziVD#owoE*L(%>~!G95xSE2E&xk9_Y8j8zz_QiGbFH|vZ)`Z&gpROy@F*EcX^st8u8 z+)5B&ZD?uxUM2n5w%PXY=yPFpX(=}G<<7(?E{iegg)aMou}@;=qJBL!5}HXwI=YCd z7#)-7mu>%URFB?8h!#159c|Q07!hlVM0x{T)^r*cYpV4?%!w5kovO~m)vFKRfL?3A zqW!J(9!{=nO^~XR!2_xQRK28J%#3X`qB)&T5$YH~5v`cgYhxGjTv#0Jxt+r+io9`}eWMY!Cw zeZw3Bsh``%*!C?gmx`Weyl4cKp{p`De{2lF1I(rbZ%JR+hl!xLhM*d`?woqH5Wyb} zwvG)X!5m|wX>feqM$=&1xB9-xYozVmQa=*cU~%ai=|M!6%3%M97^>Pkb2r6?`t!E5 zl4o4g#AL@r5p!BI8k+4tjItqzl@IsYK;V(6uoL6US2Y`5^;2LRg&P!Jsb_4_LQ#Bi zA~U8DW9VEF<`@I{0Njsk+hCWYMxcJoRpiw%ib;)$4aB2M5d~bY*qW)mQKAV9sJ>*k z1rNn0Rk;f`4+RKxio1-Ab?MWswDyG(UnaZFTKW}Kg~6O2K{$0q&;(-6);>;l zDdoC!I*iI@2Sw4>_WMO zos4w9VBBsnoU)3_@RqVhOp(I8kXA=LPI{k3R$uRwLYt-3y?7g}`DuBl-USk7R$G7# zFcs3I6u(7kV%nGMkpV_jP=*NR5qjL{?=^oI>3mS2vyPo;J;>S{FHPgT#bhgiiO ztuBqk*3E@|1Bf_i-9fV-o9wo^N#iy4QW_se#PLC!@YRbGy{S&#hZfH7RLX42GF9j6 zw%0+wq+90VI_QV7%Wt~#OMU3nzVsJjJ881!p0SfAf#EX~Gkk1Ut;`%T6I>M8Ek3YOqlf!GrSFatP zJgcixaX*qpSm--*@lP-2wo(f7hvq^tlCU-iic>U8lx8r_sM#=jA#WI@p*?r(1%IP1 z;*qSZ$F4ZmGjp`RXWpX0c=Iz2UQ?&jN@s>$v}{$1FqXwx7dzqvk#0^*Ph{fF(%E6N zV-o!tI<3=X!v@!imuX}pp!9v&wJbGhq_z^-N5r;p)9aZC6-zOVO?lyAg(YltPqd>adFqGsx zR6Oa1P2^^G(G=DIt-jiQ>LBXZ7DRqvhkyjaq5n>wTS|%k+|&yP-~wy0`swXXg-%; zh*c2@?$5;{?3Pl4#e6YT7>@_|2iTDs1ND-@VHvA4r7H2r;<;#iGPQavYh8!Zp#|rc zldOn0E6^H*k!EBW=3_S3(sA|d)1~%&5BJO+s4SIMl$3lJhi-y0L6%I78WbpPo)*2Z9!F9KkyNLw*JkL>vzRu2G5M!e#)X*E_vU z_L}xGu?FWy#;}hCP*f4L1#ycp(KCxJHGKqmL=DLMcC6yS*vIfQ@hXd~Ae&O|&1oqY zGi#|RWVNlD9FZ7>P^qD}W#Ta)`fzGq5jV^Ms6hy45jWo{)1+I1)=m7gsDcnj=D|`Q z>2x0It$V>{jybShm0Wzw+O3AMZ7uQsSM4ciTfj7PThX|CmW2YK+hNwcJtDxZp;@pAa9{2kg0@I&&7?9l*^1`}_FBL(#2R`)4 zQnuR?#RIKVLsZpEE3b1BD4gB1UWQ^}lxl7Dwzb&nIValQ&_TolQg&wp zlo?&@limPtF>KDeGG!8fWga%3we8r`_$X@b(9zjWP~EwI`$bdhB%7qr5xWqU3G`D= zanF(BmPGMVBh-pGACqA$D&_J>X|^H8Z845uE9-xD*S)O&8H1fJ0cb|(Kh%rVDJh=G ziN!xjVk){>NHxYl=~_@7QGY6au?v=5&W;d0Zr!TML()6S$21gRFq0_`>Cze63hH3tF7`@$Ys*aP*fOR$e}KZ*V0VrVs!@t(n@J;#qCf|q1& z9GFC0aP879RVF#zGS!Rmfl?Qfie$Pa-Aaa0KQrh_xDWXMp^78}n_sa0Rq+Itx`toa z_#6#sVl_Nh(FI25H!;u#IkiJsl|g$Mnas$7K-Ob-swC#^bRsWXU`7`$8G#i{mXc79 zVZpF=?T+V0Y~;Ks+l@?l5B4R+bZ?E8)D^-@672$`G)}PM&@Jn5P#>g1*mpS&;ZG_& z9AWXrO{XBj7m2yPh*`jR4t8@qc2W$>6BeRqh_kD zHJvQ!q>_G&t5C5e!ED7b{h5}nX-y-2`T#hSPJG+d4P+@6_}Rq8M<1P1e~*9c1{MQw zRTl%yK88*!jB*1%zrk=dCW+Y#g`fQI+@-MbyA<#&##b#K{Y_6^*200w%-9*Ew35Q< zwfY)fS7JA^_lHJkHFFd}eEx*Ej#ynD%ZgB=oR zBdJv8Na#E0_c&%6*^GC#aX^2^ zzmk#GB4xV_gI>onMGiT6;PCo(vbUzdkx&)4nqiVY9Ze4hb}SsloYv+ss&Z zXZiN{po|6FPmTyyh1NKz;jwg(a>qc8PZsHMKBoIN=0%%p8@9}tWt(NArZTjz`IzZ9 zYJ#mrTyz*1^37)Q(5kUT>+=??Nb-ioFrN3D&sBq+*;R~#BH0_8{b%#w1FvOePSVD@ zgE;Dqjr0tSCbKXZxHDHe47}}Wq&!6L?_DrFW`}VE8pOCZ_8xMKn>c>7w&uM##34CxAiRKm;wz--XPV!zme z;&v70;%NTRV%V-SA3E(SeXT9R-@sgne=DmKne%;3t+(!1T=+_-)%Q?Md8wEx=U^j` zJR!V{ZT6XYzk9VqX>`1c*11`xDN|b&?G-x+#kIt`tBJw0(q+2sbuihgcN;v8MLi1= z$Cx6g`Wjvw-yIqn7?cLD1GBq9)OwPO!$wNWFSa#OtK}CrZocv*kah(|-BEPZ=5=OH z%<5vuaIrGiPvc;~$HNL06!kbSP2yVS3Qkj~KemJ(?!@F)ESyE`b(&4`CY0O=1 zkH~41ZfCOF6FOdFPXcwvt_mj_kwiJc{zKWrh*?$#V_QeR?@-*q?aFMI?ZkYQ~8P^W7)LprCBperd(sOP_%0teiWZyp#z{%=8d5Q1DaVbiQ*_eK^F|ClFt&P zQ-%VeMAk+5iFO7x1~YgZ+pFGrxD70?h$JJc_C_%AgXIn;=QX2JxsGpRQCb3jq{|Dp z;j|QE_}FkN(>9RGo;)&WgZL%>0A2C$-AZ| z^VAVavUf7Eib1QD7&X4;7)@v}1RA6Nu~cjwRT-8x*=yJ<;q5f?`+vSZb7g4hMMp{w@JZW_I<^wDB4 zSH(}a6U5z4dX*%u##~iUn=MXHtPCx}zuAR_>^1xATAkf*>mx{yBa79h0?EnM*-t8! z#O)O;Rrb~4(xLuFc0%c1aJqc=i;3TnjeU`sl3eS@a=vH8%Qi=rUioh_e~HxnIh-Ae7u>~F$pyx1EBP0@&TKQt{h5_!0`>=9~Id|$^{#qoZ|pvb!N@Msxb5h!c-t06rqH&Q%aH`T``TnWL#Ag0KW z40|BN5Z?>uL9^J%4zs7tGU!Kx84Iw66ou9qmv!1aY%g{gH9ICVT|`ml2(9<&4f^HHG5N+-%rTTQPUf7;G*+b?9BdfW9_!=w2N zUaB?(q#5PVjE#ne3#MFkeGw(6Q~KC_C^=$V=7f%VtAnxJ#;tPdAfa9{sZ4=u?flNJ zwez`6L`JhYqYF72@~;6DgN$A#yCUgg%qo?faU2_rF~`)Q7CLaUpE^LSQ)x`b#Z>=| z>x=VwhpOVHFtsGzJmLidQAMo6k!)Rw)x6ZAfu_`Yfl#lA2n5?&Y7DoBFp7l}Q|#Wf zzy5}jnG#e}x;ewcDDH4&wu?O-ubHp0!_LIF4df6Jo6VWyMN&~~{k*Mavgjy=2{A6n zO<@^K=acCisIOs^DE1X~s4)5-mqy`JB<@D2Ass)qt*q^$W~O$+$;uv_bu-zegO+3} zs@5Pp=j0Kw3C2YB^%J8~Y6Sv*BS~%7C~Q2Ysq^YN+_fd+WfCivPaBwDdNh+RdGn_8@^$RtTrZadSLU86(2NjNh1LWio} zmP9gD-bQ9G=Imo<_ZDCDraZX)A0h2S!&W@ha`II3c7J~W-a1v2Ll%aAlTxN;`#NsS}sl=2S8BEmSL ze&}=`<`!Hv7$?&gDVexgkI4a?wyW!K#T1z=!TPy=IAnHBwKR&$e$ZnU+cuOY{5#S_ zk|x%<{iySn_{c5~WLG+(cuTzG8Zr|b-{HH2c}Hf(jkb^fB)3xLTHkITh}C;*uJv=V ziZ2u2nVMjh(fU<51l^5z%yD@}HzG4XRb7ztI#{5Nty>ry0y{;m=vg5s>9Ldw3uHv4E2ZrSj?xQl6(e7?`KNtfQ;`=6r4gPDVjb+8coRqXxE=Eseysq2~naV-?xWkl!oZ_{0DDTC=%8qpbMyIlCJ93n;w9!aSM|x| zyKa_BBk5CzUF^LbHG6O4ZPQEUEl{Iw&!8Nxh3N?1S#q+N?<(3bJ?Q-4#t+M0XE%P# zkZm-s+#E#Xa$g?K3uf0Gtx@gQlSYGpXMcCpFS(C)f>Ozh&X>{n+ODx9WLBFsI9<%g z*|Ui@BIA=9bQAjzo=-MW*+RmNwLcIqENmK_qgC4k98Xt?WCU{GIP5U`w5O3=F~dD~ z40H^`X68dzod!fS{ zwehK|cYC1+WTYNsHVq^JjU2R<}qoAatAiLd(ncj-NFY1p8O#vf~ z#>|ubLGnjj4W>@gp+amRv8fu2^f}Te?n%hv*pJ3;tk<qG;ijdbtnL2SuuE$? zc06bwmkQ1k=d1QD2m))u(qofu$DLTIZ|ZBQ8AUtP9ut`kOM{5GWDQZ-53@$7%(Yb0 zhzB9@es>n@G#4@nOy=60&z^U|39)2Dpqq2prsa9jiD2$Fw@c$Asc8)&p7XB^EVNfsl?SJvpf->3~XA2pP6y^VOPX8 z>cm?>|3d7PM3Xl&8L1qdQfYQ*P3aXsJxPX0rcksn|Aik-+Y#7STNT5Ot;lf))3$7a zM3tVQ{;osp%Lj4L(s=$RuaBc>GTR(DYs1HOc}8dNeKe<8Ya6B#AtI^bS7f}E@)iTH zSjb_8vK?KgOQs=eQo&jcl_Rgl!*}t&t2@qhI1dP0NkTz z=eqMF`j35ro?K!y#rwwP)>Bz9Ffg3z?wu>zQuwH+9KwLm$OhhtLYrk}yN(aedc|S`sZ0*wxpgqEpqn;L6>T=wB2_Vh64@yna&oOh zS$4RC{$A_=3TVEWs&^9W%g)Y%IH0f2iF|mxz@{d8ISyf- zhua`JEJ9XMnNAL@!l>WjL;Jk#moy7h*U}P2*X(!2qMSmInUN>Q0MbArd%vxRNowYv zq|^IjMGu>e7mduv{wdUds${vW1t6Z9V2v$Ptab*>A+lEnN6E-U8I?SY2hcfvGODB^ zQE?k-s-1VZYHGOZ3dhYgV{W{Zj}yPNDzq>1W40z{7%0}AznSE&>UyRYO4Zn?`b*6U zVQf2{#pA~=zF9ML5tc30LUbK9QI3*Y%~$DoDg7?(38Yp_buhyeRDeZ;Sbl*=wh1Y2 z%uzB3O4*ojGL?Qr2uzNl%hQi@SZD6(wvf1Vvaq4)bnuGE$!UqAna5KQR8{b;H%rdS z{q)(5c2+LN{LXy}6xgZzBcs8x7EWDq@k$?Bs(lz^-*rwX!Ril8L5bO3?Z^>4sfO&? zN~vZ2ww}tqOn_B`w5BTkuC6bML+}(AY!&QySz8l5;ib77k6OvqlzSZdg&ypaX+lz4p(PWfHpgKzuAw1t&D9G6WxrO@Y*;({s0M4NGD3up}Ox!BP+Jlc?N zxNcraRs?LotRDPo_H38bXdqEKOajvY+~;r|F59o`+u7IFjjbANJ=W6NFLfB(J0z0( zqL#0~4v5OSHFCQ{98UD^yDSt0V0V)iI503 zctV^N!}IBECpiX{uEVHOkWs~Wq?l;cwx3L8ZKum@SO~jZ=|kYBZB@|1NeYv2l$;Ou&J z#fk|C^)>5`tYaH#^UT>Rb8A+t!)MFGuG!d2ID2BUapdw<>+s{VTdP(>TsvN$oUJ7` zzG^u>Y^_^WpG4fL*%M7AzT1)kH6_npQ(aqKi4pb8+2gBLAdE0Dv1(%W1n#h+c_e~5 zSuNQOoD4rO+t$)+6li#ZDWks)^<;+OxM-95fJjdgl~l{ldVC@rjopimP3nn@Lrx8p z)IQ>SB}=@FF>2MaLYoqy`ydO}_>fi03VExRnb!*Ph?E0m3da8>5=jSI71dW=0FSWf*J;@8rDFuxYT(K6dM;aYoJO08TXJdV8$~kqvlVMNPo3w ztW+481JzbeQeHGVU#1b9F6yE8@un_zuDanC%Cq|XdzGc|M?>HOOpoSZNwvQ#nOMe4 zQGsbi)>@A*r~}(T|6D0jtY%}9U4dkk6m@}gw(OW&}K@?7cIW_<+WH4pCmMekL1*V=Iq6Cn2_S8-tcSlN@)W6&14`L`-de zq%h~pwq4^qlq$hQ>Gu7$X^i!Uz>eaLDc#t0oYj7k-~`aOWm1d-0m)=;C|NAnu5cqySUel$UG+?UX>bn0S8 zP1CVrs;aZGw(WHqe<)SPkv$*Qomlx{dE;dqmScO5ZuLOlrC~b;Mn`p@WeK5WFk;w^ zJbg3kv%2bC`|sa{R>mcs(9AEfY*q0W%sU~j=rR$JHSxH8tGOgo!qSb#{ayzx-Y%6| zLzZ?UId5BZm9A={n4I7d`wu6ZK6xhm>aB;b`zF zHaEx)K|7SF_k(6@%K4#ELD$?rA7|FN8@7yPN2lEMG>~PsRPSdL-PCD?b{Q_VD(QX- z+e4~bg=UN0Q0D9zv~Im+N6GIHTUq81@mYCJB~k}!DGlq?%Hz=OQn5ZZEcf$q45)-_ zTxRSEx?YUoU}?`Vv~+8?LN@>pW&F!Is<^h90lF=Pb~UL&vRHSxKL^arG4;o17a#xvM!DR@!})_2YCGpZ$(z%7%Uv7LW~Iwzr-# zk^VxvJ~G&QJYufFWj59{rc7hoHnup8%dsiM(#yfJHgd&RXogW6Q8%F?m~KVs%rPch&9^ zhinF39~OamhErt>2O$k0fC-lD@PF;ZQ|%?&sYySTbnNL3TY*d>vHUlVshCWHQ&k?zHogM!w-Lo?Ka*-dne$@Y;GEEP$FlJ^OH8@16P3dGYi$X>m)O9Xtraq;ZClP3t&SJ08ne{2i0%3&x9) z@vJjmT(CM|a>Z1II;=5kn`_rr)~#Bxx_(--F>{Tq{!iG?A$nqW={nd%;ot(G)j&p< zAj%0GN|H`2)%`e$%nu@{42H}l!=h`BR+jdR44H8KaaYg7INMYZ@vFudm%6_+Avik> ziz#sq))mLBYX&k3nL9cJmw%0NV&WKSv+I?b3_Bll3+q7vFMOU?|!j3u5g z(lCQ(z-gNjn9^E1O@AS0z%3o_n%U`7+aa`H(?a7=6(3ia>CsAwE}iMoN^$5WhGSKY zLk^}-b_&1>DoULR>nP`}1l>VUF1BpEF;xQNfMYVgNH$1>WI5#t?!39-!6l>EmVqu0 z+h_Y=VlV@g+0)Cz@s}c#dygeccys2q)JuKEsfh{ zjsaqz=)fmD@q^G=P!g=t$CjVu>0rH-6Xa9T^R0^6F^v|3oe!j@kgUI$D0eWtmdu#R zupYyUv@W63h}y03Hk3?WYXXW0FDyT&(=GS~Cxde0A^>x+%rlT`)cVEY$P>Rq(jtz} zMbcE~rZ3M?C6|*hpK6)Yv9CAn#1p|)Su7A{K84l&zCM`^qR7w@$rhM=5!=q~5lThl zU4$vMn<1Fo!u3l6*2vw`KhN5ztJ<>uFA6No_xue#gix$lU2#w;>1)!pq3s+^tJt$M zOjVrrq*}0&!W61`&C0MGyU3kl+$ffE>&1?;`1MS2NXJtO)JPOJ3Y>xlbzRz?QS{^U zX!OmnPMXA`M4)MjBOYsz?Uy>}kR1}q%a~cSUn;=MJa4T;sU?Tl$e8X;HPhn;1sD2c z4j*8yo{o8(zOr#AN}NOzdo6K~23%-tV5)d9vStT8x+qzOM<74hGXNXcFqT9REk=|V z?b5RsZ1>rASxc9_C(fC3UqltcT<0Bcxp>E$F~9Ws>R~IU2N^NVbX3-})A=Ypo7o?Z z;bX~w4lQWO@?6}&63102WvcM9F=@xg^&kX+98qI+E88cftFV()UvUiKEOgA5;FEn7 ziRcRhytdB(X9Xj?C2NZ``AScEMv=WBbyGEH>od?E5HY@zIKaveb=tfai0fabQ0Dh9 zlk(IKVu}kL3zOf|r!y~_-JEQr33R+>UP^v09(npC!gZ|srav}`v7KQsCj-jNsROQR z0@;Uv$v4M=3d}9qywgtgj5wyJ>}KtnNx##UrbC0@P-@8-+t3^Xk3EjZRO6n&kN2oZ zGc@%$fT>(u5@dwZ0LvrG7L8cNu>FF`BPicEF|dCL@@R^^8gdz-GnPmuiJqZNH)Wl$ z)!aNprxd2ZF)T<})j2&2=MNqq_mVP@Bp-LkFeib@BdcObxE<(sqL!lXR^zK|Tvp#O zS${>B#KTbIDNKK8T*qW~z_B!SO)I+5X!t?>5nhlt_O6IDmU#zg%bz@s&lsOFI}PCo7#WfZeGX z-=yp{+xK?6xoX#u_tQZl5}ZlgJPd7 zl4!H+N!fbT4Osg`okub-gB_o>Z%ob;G5a1x>y~ef^%cy797dq@GH&885th_n!YXXm z&ur09|G+}A;h;3de^K@FOblsbMaS_uQ(dy{rb7a4G)WEdvGb^N4e`tVMr#PtI5or! z*X&gK)FVJ9gqnMKiTTNW7tXLES!Z^j!!kYwC)ZqMs0Zr{`;9Km?H7BL;Jw|xOnX!@ zvWU)y!!Y2s180NE(7@8c`MsFi1`yPDY+lc3W$}{vP~eBKvk5WQok_1OB*FD#nd|jw zKet&bJ!j(bsaG{i+ryA7%!DB+%V-|IoER5ihwj4bX^4MWEmgZTwos*Aa5T`6Xo@nB z!?Fht5%~v$TZa$rBW5qz0@uk!zHQA|KaOlSky%{uqxgJN&D{`gG~pC+KyhHYR9Bo9 ztHVz3lF{R_+0(%8OGFH9#EFIjGaNuh%_(_0lE~y~A!ZDE1FWpd@-jlrsHeVxT!8{1 z8+M@r8^X$Jj)K5v*nf+1Uc9&xn?c8#6FAsFoE~K~P>;ub$M8{Yd~#xDmwePkzsD}! zx*LZWOU`La`k&O{ZIRfgF^%Xtx671Q`kHZ;wo6l7any4g+Mbffe#bLk zWM|U(U>pki%s#OWtp^bt(D9(?;aMfCm$HmEx%Movkjk-zb-0_2uW!!m?(P<2seD|i zu3EKvvO3wE8DF;Fs91M1ETtURQ^8rKqlmefo{0H4nQe-G1F<+Z>R}S(rNC&38l5L4 zE^XB+!yPqLG17F|6lw$GG~#L~*loJAK=pmgamHfGWr<-4NDJZXGxTwKPvzU*_x8m#D$IK*eMK1i~Zl6i>s z#PT52@Le@S&0LAWchT5z1pi-*@%;n6OGJ}KWGvUMGOc;Zku8XE4bLwec&nWa<|^oV zsrbn>L=9>3Pn^M>E_TS;j>$}>Li3l2TKcSY3?bh3PuaOV=UkU8lcBhLr zy?B#LW?VY`tb@s z@-vS73rBv|k$>&Tzj5T}9r<^T{DLF@(UD(t^! zP)GJ1IdtT_BNrXH?8t{Z@{x{wv?KR8a-Sm)IPzRap6|#D9C@K5FLLC?jy&qfOB{Kr zBOmX`uXp5=9J%7iRYzVvZ6wn|rg>*&EdpaX;>FqQ<{R6M^7G&hDymK@1*51c6@;2U&GxE0H&NI^Y+s>Ptkzehdn~}Ho z?##$Lc)!lbJ9_(wA{76(leaV@@9bTak$3Svm63P#ew>ka^S0YGz8U`5-8(WP@8O-6 zk@xhj%gC?szL}Bt@?Or!dwU0OmcIW!-tif^%ex{Y@9TXoBk$+^JR|S#?Yw#Veg}Ac z8TmkOG9$m%`*21+$a^Fs&-A8kk-pz7@6e3=I`5>6e6V+UMn1&*Y(}2#{X8RgdpmEL zzW(3|n9^!*~w&&V(gX5@l*bw)0FU(U!S@A-^e_V(T? zegDI}1sVBp@9d0xg!hq*e5Ch-jC_>0wM=5-|Bm*K%E-rfYcg_=cVkBG^}d^t`@GGz ziEoBK`n@P44|uhVJjZ)mMxN_^DI?GGp3BJdy*)D%mSeqRGx7rOtc*P9-JFpZdXHx0 zA#bbg($BldJ0>F!d+RguV(-HldBl4>BaeDpB$El;c+4whC^)viVrtjDA=4RxkcSc5Tc^}Eh%e}`l@+sbyc7mz59rupN$ftT|W#rSm+cNSB z@5zk3(%WI@^z*IqhBNYN?}CiHCY>1bzgA{6n&FK*az;e@%wPjKGgv^%boP)loipT2 z=L$K~IYQ2KW{@+T5#&tg0Xft7A!iydL`Oc!k>B9R z6-PeVk*khebL6@sHypX?$Sp@+?#QP&^0*_P>d2=#@(M>@>By@bd9@?2@oq<#Eu)^a z#2vgz@7`1wE~CR|Q`h&yb+5L%y0(Gs*yEGkb?<;l?|_Dfs1%j)wWn9=YsV+Y5vl^A zKQzKbrM`OIDuft`6Rbg;3)#tytrzRoOd>o9mJYo$Rz}^q?l2z)je1zCl}mn9%$Hi_ zLJ0?g77A7P7nB(wxdf_kl$$6+0Q z4i8xl^X7}l!HIQiv`T8627lN?6kq>iGv05m#qG~CRKxVB{t`-GBBOeq? zc?6!343M&1H-QpwXeQ(%Y`bVQ3e`Fy*p|amGiu~YrChbt%s0ZQP_9*T`nAb5)rrZb zgOjzl8TK*Ym|@ zu~ci6tEF14)eNJ2RMT&)T-#iO(!n?Kes{G{t(L-kq0wp$gh>K zfF{3zZ`9Y>3LsUdUMyB~)ke^$HX7wZ3t8+J@d`mb-w0X-n*oj5>eVaoGeNmKS8UXq zg)-`Mu~b5yMp3QUD%Ki)IV$1xna9dcNfc^+*ag-wLYLym?0RbIa>>d9Oluvzf28P}>?UzkzaU zR+~B0^_yAGC6))2Ml-K~&{OkPm(> z2&GL&g+{3u7HZ8>vw`~3$Tw?fZ;>gZYJ;i6YOdbMmz&j~)GQW?*tAeAlxiiseW_IN z8zn8#hP`(yM0=@6LB0`{S`DNrMEJD^^0*e2&;m?qxFwiJX_d=UF=>z{M&` zAP8}O4c;Xxm!d}GS99hyo9ide(oO|^L%kL?QC_WT0}TQlUCqzutEeidY}E*7vq;rl zUSDyVc^D*a=9-a@h(e91(8MXf=pzdFPSoqGJG`=9J;>`1>{0d4GQQNs!^kg{Y~{!3x0Ra zdc9R_m`-w1TR0kDlrQ@=8AKWZ+GryehUF^qyHG5*P*NrH^y?;As`XmEoQE@aGt9%) z3q4)6gwm{{LKdPNdSa~uXEd0Pt#X7RzJ%0a4#Qd@Kn*JRNQUtjeS_&oZGmEl#+%iv zd+lR3^+1S)lL32;$EPD&Kx6M31bDcjw<@fS`Oc?Up+n+Y1z+i_}~A@p_?Lh|rx@i)GP*!mt+R zss+;_rFwx@F^tgL`cV)?=)3R`#U@k@A6^NCsL?WcnDheWW>i9}XsVWg+tIY5{3=*gdFALULaRP^J+jn^XqtV^oq!$5{d!CT@8bZdE0C+fS(Tv5!xIA ztL6(e6lO8sgicf~Hgi>+DJ|U?dV!pIc)u3;^&B#(hW;WfRui%S#MNJtvXa2-}l2-O9m!%2B?+h z?(Ae*&3r4WH4ErF@Q#IIF$a|fYK+wALOw8ApL8;13`=Fy95hK8_^J_tv-wphxpfQ_ ze#2CoxRa?i(25%99P-24VcE71;W zeyvqQj~yTzb74bz`FgY5LhUc2ABF3#R0#8py!i(DCiJ^#G$?53g-w)~kDm-`xd^>h zk?LEzf6b#V*BhaXmo2ERg`iMwwzBYA#of@FXP4Gtmn{~)X`5z zQ63W{Ovh@zk6{%<8x(TWu_L{izN1{bp>shK3BwRdP9Alrfx)JXak++(30=2&B4k=i z)Xk{aT}M8m>g8Kincr4hr7~t-7&Z{!IVjX}%-P9Cb*;Q}h zAwlQL`xx+`SQnahI9vg{l=+QX4WmX8lWMU}R58CULjkSl#pEQj0#jdYMit7Ko6BT3 zgu;xv3Ed-vo*@52*IKO=tNa1B2TaLfWk92bi3w#^EkNIfT3W_yqXWX+Ij*eQd`f;d z4t*$L=7i!dVkTQJRuRJq#*lnYCR7nSOVlOUrttiJ*o{#vEEQVF)oKY%*@u?vcPcgcfOE^eh<|v2dfIY-h3VJg_hT>Yss2nG4*?}$|09SjH6Ig^9^Y5 z7~U}jt(9=l1k5?GQJ5C4_DVb;Y6&LXMd&Xmmk0)<9J)a?*IW+$AwoH{iYC2un-%*d z7=NH72UW~$kg=sg5u-8mb7=B446QAhW}2MS9=&qrniW+<&23cHtd)MTkne7wc3@m> z)f%Wfeu%fi%q_%F8kO`0`t3F2t5&W%6JH36-I%DO7li4jAyyw~G_3+UIy6qq2nrYr z%oohm)Gr~^p)|py1U)ei#j8=nD2l$W*=QjjFdvLOOdKbhE6p3J+13-Cvx47*nRXHe zI~gU6&+zoqrs<01bSx9f%Hnj`eq}{aC}v@ytk6xzvY@PVO~>+|tXNIQvY@Q&$aPt9 z5z0!5P*xa(V)++}MP4YDa-mqbg=dg&Brhf}CvPA>LEb~&M?OG4Og={bi2M!tdvXLu zZ~cApI`R(kXXJm9v#}H`>DQBQCGR00Be$4g(shxG$!p2akiQ^bAzzK9WV!#oWI*>`vlgE$?$O?HX`Bw71t@3kG-LoOs= zPmYskkQbBhAU{BUg8U-+Ao+dr7v!JFO}8|^e;^r<$B;whiR9(vyT}icpCg|m|4Q!i zDs%q>$bdYC93oF7-$~v`eu;dL`~mq(@=xR@TbbWEk`%ut$=4Ieaq>*^Qu1BohsZn0 zd&z$%e?tD2e1+T=9hLmfUgRO<;pDO83FN8d+2rNqP2^GAnEM?|E+t#!I`V4redKNA z7szMGKaexviXqRr1Gz7mBabGB$dkwwOO09j-OY^20uTAT+h$Xqx^32 zHX(lJZu0BoBji)$i{z#lQziXgALUM@^ZbIT2Fnv#K&l2J$rwZW?G$GvEQ@`iw`*_}) zM84dbj6^ zC;4<_hIoKa&w1W*q3?O83j@zPM+ov@;>xQ|=RvdEU2$s2txH!VTqV;Zo20jc}Rgy(l~$z7ME~)8S^al@OIk{K==E z=z04HPlA3ce1qqO!V21h@MKT@%%@j9PxZSR>YsdG$NLBysDHwy=dBU8JoQ7D^1oPQ z@Jiuw&wDrJ_mdwMp5l2Q6OMb{r-Z1?ck}wa!qYtOe&Gtw`z}BKp>QSMlk#)q%j9O8 z;5vSHJK-w4r*O6B?JZp6c?Sqj_q^8$*W!JJXgtRV@x6J%NzWS+uJgQQ{Jct@DqQb* zla$XRFBG1EaulA4dMQLFaV@WZn7obrwD4@aukaj{CqMs|@LbRPo)GWBij2lIL;yb$$OcoF&;e!ft6G1{N- z5>Gum<$havkmbH4x-gaZBKIfJ)b(@QkL4+&sp;ovLP|6ZrR~>Hl~qO+Rif%CnDDf)F%gC$9Ysl-!50E#Lw~=>{pCj)f?;{@|A0{6opCq3qpCz9s|46<> zPMc<))AoI`X;fz!A#M z8ex^x{uJ^`$`j;Sr0jE%^xD6IS5Us1d^dSLsr@a|-9q^jB*|#J2 zUrcI$4*4X?b#k0sO=|y+bmvgMfV`BvlGOel>8_=G1NkBHR#N+aq`Qmq7s-3c`$-)S zknT~+Pmn($e?jW_fOIcV{tNjEx#Yfi*(6URPbbeH&m%7+FC(uauOY7^KS170-bUU*evZ6{ypMc$@=wTLkiQ{cApb(XLTPTSnve{*shaz}D^av$@ zi{z1{>|2!Qo=+|!myoX~tK@QWB{@N!MV?PyOkP1=O}?AFp1g^?h5Q8hY4UE;+9$tG z`5{vFQOfgwpL~jZhWs`8JMu;HWpc(A=KEWc*1owjD15deCO4acO~~G)joyiJA|^G=Nv}4hnz<)B99~O{H8%! z?N+$oTFPgUZzAoy#;zA$!_VJK-b8+swDXzIQoe`$8u<|UUDD2DeoFaS^0%bgx$t~{ zqip9dTT}nuk=%pamz+u3c}s!vkz_x)fE*$1eC1@y%gI&bI#S{?$T(r=DVI~entTs= z1F3c~q_^`EiQ^#Ow|23wQdYYdKK~A7J1_YW!(vbleF`WODJDSzLUI;ypgo?jZad( zi~JI4?P(8Ew)2c1Q2q(|OH%D>c&r|9zphz$C7qF zv5azsY>_L;Nz%?E-c0#2@@?e1$@h_V{_ru%caWbaze0Y4wDX4VQGSxN>*Bwr{Cm>Q z7sQc5>gVR%7rc@3#pJ(`?;!t` zwDWS4^K)w# z#!`;nuSjC4Mdbk!OCc)%SMUFSioN+Ct=~S){IGiMe>GohzW<~1=fC?L1-{pjWIwrp z#1fa*1G^q@GUeqYmUQ&`Iuc7IDql!mPF_vEhrEIOFlp`ocT&Ea{3>}r`5p2x@<-$| z*pD zpMKsm_}u?o|1$0VAGQ8s$L#o<-)!B6$>P*R=*IkCG>lRq_%fp7+z_7f8E)^-anTlaG^6kv}Kxy44>jzeG--VeY>r`D)Ux zSM5#tK=KeWBo8C)I@LVNi^${1lgI{X*QeG}K8t)4c`5l;(ymLrm-0>IN6FjC&ysdM z>T8rABCS8f6O?~S+I6VkQht&A8@VaxH(Qf-{b>)%`;s%sL&*YpB-u|cAVqn?ZT%5o8~E zEV-CmM%wkD7Uh-XBzX?`X40+$y^Zp_$@h^TB0omj^`FmE{tEdG^4sM1NW8w*kB!aW z_Gh~PDLvfPWB#cZm~uIi zKbDIqUqQZ|yq2_nlh%Lb5_ zQdWOTjFSgaK7|{VI`u5#{5^lgI{n8o8FVekpIFd@1=>@*48Jr1eMnDCOHp zyKm?o%3mX`AIf(rKSBPKe3twzY5h7qldDMUXL2s(3(3pLtI79}*1zP#ly4*NB=07_N?N~??@)e>{1N#K`5bBeN&ZTC z8pq8o$nD5oNb5&(0Of;LgS${!*>M&3bwp0s`+-=O?$@_Xcy zs^LP#A{mIvnK3O8If5#lkcK_QLWxEfqM%nsxtf6f8 z#l4ZT-5>Wal&wF3&Sv?yLI`%6}yPlbo@o z$){J5+mpMI`;f0CXOj_mIN3|iCx^+UBGUcz6-y$C+e@H$}{)+q^`DgMKa zl{|%9O|B=;BQGMaAm2`2OTM4Hnfy5UY4Qu?z2rB^hsnpur^ug^zajrXzC_BNHfe8L zlCLIrB_+PQTtARJgbc~U$R2VYxrjWDJc(?Or;%&Pv&c7*c0c7?DPKdrm%NGmD0w^i zS@It8Yve=ZcgZKnpOVj#c0cBel>bI`>Zl6Jr6)s){u-avksyp6n*yqo+gc|Z9b@-gy9z193q#HCz5sYRPuE4O!9p467ov&o#b`ojpQxlC&{}= ziT^L-zlJ@;jJxzNh_aOHrXOeb3=b!7ACcpk~U$^`T?J2(`pC|uBzD#aH zd%{-a4&?4+7kLobP3FlX$UgE|axuA#tdK2oB{@l+L%x~3jC>pUZt{Jk-B4$w~4Y^3CLB!eNCGu!;4!MvVBVSL}$Z>KFc?S7L@?!E|$aj$cN`8R+2>A)}GvpV^ ze$6~!S?tna(i+&av$=w*Tk{N68DR>@Py)#Q5eJn|y)3i9pbwdDKBo5_!pcK_fPDBnwd zlYE$boV5D}e@^)~G^IG!N7qldH&e+hOR?ay@or;o!Q;B($g-bUU*+I>*> zP`;0RfP9#IjC_)OntYbDRsaf9m-rA3nkH^E2cZ$$ujsApf2G zKKWzv7vz7CeMZsb1XYsuMUL>^A|lJm)7aw+)+vPrHWC&;tO3&^*S zSCQ``uO~l9-b#Lo{2cjZ^6TWc$VbT^l24PrB7aBznS6!ZjN{KX=r! z2l6G-#;4qp`sr=touu7I|5eKOliwj9BY#BN z{qxUJeu4ZeIgRUuTab3&{4SLDA`c)BCIiy$mp_K`Tylt9LY_$4ee$PLKAk+1JfFOT zwEN@VN%=bRM)DT&lO&qQKlMY@oByhQ_*>@Ni{#(PO=+Lln%t4xgWQ*#NghfTNb85! zPk8}3LLN_^OfDx^k?Y8F$qUKL$*altkT;MYCT}C}B=07_O5RU?hkT6u5%~=H9QgwI zS8^Kd5?helk-Lz4kq3|mlmD!zrk(y*>*>bkF`Khowjp;S_ay(P;ybM7{nwM{kr$Cy zkZ&iiCEripOn#jFH2DScUhz$tTF4lFyRAC0``}Ms7-d zeQR<@au0G}awd5wSs;%j`^g352zfktGP#^wMXn>yB`+i|C$A>oL*77sn7oa=lf0Y! zDtSNo9r7{qN8~f)bL0!;U&(3I)3+eEBX=S9A`c)BCIhle9z)J0hsY)5iDaETl{}q1 zlRTfiguIe`CwU!tBY6w?N%Ai8OXPjzgXAOR56GX8za*b0|3tn_ZbE&1D{=>Nce0B- zi0mfwl{|&C@xInmK99VJyn=i?Y2$popYqM* z$H`BVUm))#zezq!K2APG{+#>``3LePa{8909&Jg!n%tG#o3!UM96~uH4;F zQ52<8C_@SjG;7d2ql6NrG@A;Qq$r`m6b*_}2vHHDQYnhc7{0aI_tO6QpS``#an5nh zdDnH-z1O>b`?sIxP?3U4S!(Fb&{ExlgF_T zi}N&AU=^On3)p~-*o?t$$NyCO&Gxm8>)~z3&FlFI)9=5G*U$gjdO5Avb*#7E{e++MOYUQIJ;xE&KUsJT^YcWW z%rZQKXR{{j@lsyNYuJ|8vnOxhExdzwa{?#v5kAhR`5YJVRldQs{E(k<2lwzh{=y@* z^JQlq7GP19WI3M6>a5L+*^o`xlI_`*eK>%FIh{&k=@ykH}iIm;5a_O zDV)JMT)>yOjH~z#H*gcT@hk4<0cOyo^`zTDD_n_Tr7a zm3ML!@8N@-#wYj;pXXw}#y9yMH*zz-;Me?~zw#*Ud^wnx1zC)xSe|F`T-ITIUcshp z#SZMoz8uIQyo+ObKPU4sKFR342n+SU!q@pW*Ygv8&M&!-KQVkK<-zNkc?|ROM4rqt zjNX56w*H!|$4hx7uVGtW&z`)2x9|?$%?X^uNBB6S_Z&Q@e-U5h8(hl|`5AX`55MCt zjNU_=U3*_17GP19WI3M6>a5L+*^o`xlI_`*eK>%F8NKgzjQ;!hFsE}i=kY}@ED z`n-Zo*@_+5jeR+gLwFa*@_tU{V|z)5_BkMn6h$3=XVZ*VO?YI=DYlW zpK?2Q^IQJRO!hak@mQX~B0QC4S((*Xix=^7UdN8{XD>o z_BXRKH;?B@JcYsRm%jh?cU-^xd)I{?Z9gLy^RX~Xurw>ODr>MVFJWUgXB&265BBFE z4&_LW=R{8BOg_bD`7)PtHQ(h2{FK|do8R(hX0o4=jmPo?7U8KZ%gU_ATD*wq&#{Ov z&sFT7oW~2;fQ{IUt=W;?*^f8#c8=gUKENrQ!8u&Om-wG@f7`!n{rKBqzIdPqMWj@Lu_@o>Bz{@0I(Pj_5giRbWqUdYRM6|ZGGc4jZ$$Xj_QNAVs$ z$Z33n&+vIJ=4*VD?{OnH^9z2>@0q@z8K3W0*>C*4=i7WC?rVO}UwM?{+Z@cxf-J^T zEYGueF6*#9uV7QQVh46(Uk>CD-o>%JpOg6*pX7Wl*$s^!YPBT~0Kgp3E{lgJ-iQ z>+w=v$!pk_*Rv;Y;4Qp^cXI+K@ew}Gr}-Qg@l~eZuNGvzg>mmJq<#;`$->)36 zhmOv>b!R``%-cDFk6zdQ z-?~2ed#?}rz5V!qRXceV?d9k30ybbHHe+jcWOw%C&Ago>IF1i+3TJQ*7w{!6<0`(x z4cx?S{EGW|fEl$TXJu|4&y#oxPiG~b!}EC|FXL6bmhIS?y?7&U<((YGd-x!y@d-Y| z=ed}#@lC$Rjoi#H_%*-huRO|rc@E}fK^9{vmgiYKmvva5SFkBtu>-rYF9&i6@8VeA z&&hm@PjWsN@)f?$^yiJl*W=H*?`08R;fMFxW@jE2U{RK2 zIiAVttj&wrkWJWy~ z`B<1GSeg}Cl{HwGm#{ILvkg132m5mnhcf+r@A3J!w|4rQcpHatH1Fj@e3Y{|moIP$ zSMV*q&yTo;JNXTNV9a$fnVFNvu@H;%G*)00p2rK=fQ{IUt=W;?*^f6f{eAE8`6vB- z@9}y_f8Tq&9@5|U9GK1r(qnV5OSePYPniW}wcvpJ71aw%7G4cGBwZsjiS<&VtZd0|I07xS?&ORzL6vMOt^E-ztYHfI}l zVh{G`AP(h7j^{*9v`1)H)JJFpx3av+EBE{^5>oXp4gBU*YR~ zo9p=rKj)X+$Depa&iL|{g~u>IPvprg!!vj`YqB0M<(0gKZFxO=@&?|*J9sxIa1tNk z<9wRWaS>nT8(hl|`5AX`55MCtJTh0jp0YC!3$Q3lvK-H3b=Ky^Y{({T$@c8ZJ{-Wo z9L_Pkj}LP?XLBB3ZyXdnAn{cPnf?&Xinpxx(a=3+h;X8Loq%x~I%(x0Ohua6(p zM@+jPF61kGoo{nJKjG*6lKc1*kI?Rug~u>IPvprg!!vj`YqB0M<(0gK zZFxO=@&?|*J9sxIa1tNk<9wRWaS>nT8(hl|`5AX`55MCtJW{()cIIIL7G+76BoyHju)f%gl<+(JGh(s z8NH7#I&MsMJ;fh=-c58}MJ>mh7xGdzW^_G&EB)!$SH$P*^y@3)^^ksjMZ6x;udj&L zL;Cd<@p|}QyS^fQdmo?ft8BObD);S0&$mmqy}!(M{VHC|cI?bvypgx^PLAR|e2~-l z1fOC0eZukiCH+3(cs=~l`-Ib<_Z_dd^yhuY>tT>~+MyiD@tnx1On?7XyxvA@x4oAS z@lnp=T)x01T*0^aK0o3X?<8f$8tRicg0>`~6q{YtQ@sRlD&~+DCIRFAK65OR+r9 z;<>ED`n-Zo*@_+5jeR+gLwFa*@_tU{V|z)5_BkMn6h$3=XVZ*VO?YI z=DYlWpK?2Q^IQJROs*Hq#$$N`i||yIWo1@lEndXSc{N+`I(A`i-o)EDjH7ukAL65& z#kqWeOSpn>@qK>8E!@d(_yc3E6U@wlI%XaL{ zUc8aF@=lK8J$#VU_ynKf^IXi=_$J@uMsDU8{F>kMS03eh#T?Abf-J^TEYGueF6*#9 zuV7QQVh46(Uk>CD-o>%JpOg6*pX7WlAUFm-0$p!?wJhJ$VCf;T^o26F7;F@NquP=eUTk@(r%#hy08?xQE~I7anZ%4-2p;OR^l#WOdf&#caqXY{~ZQ%03*x!5q#pypIoaI%jhpU*uA*xP?3U4S!%PI7c2n|0y$b@;DY^ah}Estitnn0UNLpo3S-JvOD|n zX5P*b9LEPZg)=yZ3-}V3aTVX;25#aue#QMfz>N9g^^}#lc|1?zDLkE(cn;6!g}jVc z@mjWHXZGTayp?xy6z}1KoW>{k44>y>zQ#BC9yf9`zu?#Wp1<;_{PB9q!MrTUVl2h- zJd5YD4(sy@Hf1YzU^n*VKn~$u9LxJTnUC>F&gVkD!q@pW*Ygv8&M&!-KkNsBT+GM9EWy&O$f~Tty1ayq*_>_Ii9Oh#gE*8UIi3?al{5Ji zpXJM3&eeREAMjId=Wc$>pP8v(yq>c0Sf0QlJe6fxnblZ}7x8jl%@(|lUD%s9@iq?Q zXx__*_$X&_E?;198YTVuzF^zD>Q6e~e}wbmS$GWd^F&7Pu`8qh44%!JtjFkjzAN=# z!?wJhJ$VCf;T^o26F7;F@NquP=eUTk@(r%#hy08?xQE~I7ar;Ur|itb0xZgsEXOlh zowa!}8?p&ovOT-94+n5ChjR??#e6Kx z5-iP%tjZd!%S+gp&Dn;X*n|B!h(kG&<2jL2Ig?NES-#BWT+Mg+0YBw-?&i1rnVH=8 zl#R#o1Qy|`EX&HQ##+3Hm-A}2;C1Z6-n@yoaTrJQUOvP}Ig4}o0+(sB;R3$IWn9H~ zxPhCvjbCv;4=|(i*jbsI$MYnf!qZua=kR=9$jf*YuVp)SW-s2zTX`o(@g6?NX?%ju z@OdugYkZUMaU(bL3x3V-`74id|5Fa;WkD8WDVFD1JePG?pI5LcTd@PXu`dU52=C%p z-p|Q=j8Aet7xERp&bPUqpYU^j$$k8ZM>v0-g~u>IPvprg!!vj`YqB0M<(0gKZFxO= z@&?|*J9sxIa1tNk<9wRWaS>nT8(hl|`5AX`55MCtJkojW?99UgEXtBB$1_=-wRtfc zvI$$VJ-f0G2XHWla}4j}!<^39oW~crlq-aIZau@gVM`m#T`e^22J{D#PmS#m( zWewKlC2Y**Y{O3M!Tub?p&ZHaoXDx1$*1@%U*>YI=DYlWpK?2Q^IQJROwMCxyR#o}=ItE8aeRPNID>PzfG=?wSMeQg;3jV4 zSKQA7%;-FJR_5mMJc+09bXMXyJf9cxGG4`N*^Zsri#PIC-pNtChYxZZpWrimo{RY! z-{gDT$j$tMU-NtZ%A=ge&cVDa$YLzT@;r;@vJUI>3N~dcc3?O5=CabeHFJ?nFVN14W zSN7om4(4!<;eC9V(>a^-_#&5bCD(8rKjv2M;$Hs949;I4&0NgK!YskktjMaY!MePJ zjoF-S*oi&ZpMyA*BRQTEIh8Z{6rbhGT+Y>emmly`Zs%@(%b%IadF*UFmM5?XPi0wF zW;NF0MZBC>vjwkX7xv~&yp6*+n)mV{KFV2~%NMwWEBF@Q=SSSao&1JBFy{PqX6EE^ zEX3kGjTKmh=kWqIU?VnTYj$LJ_T$aGog+Ao4{!=+a1IynB`)JCzQYaN#BKbF`+0yF zoyX3~+&rEq@f4oUN<4=_b3%H1`k&aI{_nb9zPWblHtfV6?9V|Q%8?w;iJZ!re2UNV zWiIDxzRM5zDYtVszva)&q#ZdM)1SK*UoQVy&t3bgFZX}-@(r}!9L!-H#R;6qfA;wN zv-3AF9#^mlTd*CkXAkz{AFZz`zV{esb1t9fA};5DdOiHB%m1(by}#;wv&#JQHrMe# z{dqZEY+s}M=%e%O(SE?~hTp~Ly+rrwkM5_B&bLSV19J?Y&*=R`OZ30S^z-iV?fC!7 zdH4U6^~rxqIn%m-F~$1ok8Usj(e>T|znjtWo|U{Ii}D^1n8{ z|J%m*zioV`{Lb?Fd*l1h9$r}ainA2Uu`p#37@Za5jm2CZxKL5w(&;QizZ2I~q zKK*{L{Wg96kJr<`tNlE^{{K7cKZpICJUsll?dkhJ@#+41^M86hrPouqpP1J3k?HeO ze17>yxBKbKUHWqOXD)Y}wTJECZtmxw)n1l9-==N8{iD+(yyV=zM2+{b%u9)?s~K!KQ4*4(!Ih9LOQOi(`2|Co{UP<4OJV zxsb2$bw<~*t=InvKj)X+$7p{i{dq9)`62yzF!6f$Z+RZfpS>QbX}S5cuXh+M?=X(y z1Wx1>M(c%WKjy)gLQcc8?!mvuoHW*KL>FrM{+zTaw=!?DL%`Wxty!{E+|J$n zmOnF-=P705u{?oAcq+@XGOMu`FXH9Ank{%8yRbKJ;%ywp(Y%)r@lnp=T)x01T*0^a zK0o3X?<8fw3&{`6x4U@;DY^ah}Estitnn0UNLpo3S-JvOD|nX5P*b9LEPZg)=yZ z3-}V3aTVX;25#aue#QMfz>J=!l$E)8JWt{&Je`$z4$tR>yo^`zTDD_n_Tr7am3ML! z@8N@-#wYj;pXXw}#y9yMH*zz-;Me?~zw)S{;UwI?v`1)H)J zJFpx3av+EBE{^5>oXp4gBU*YR~o9p=rKj)X+$DeqF=P703G0e{sc{0oJ44%!J ztj9}vC9h#yUeBJqfw%Au-pvV|#7FozpXPI1#8>$S*YZPt#vRwVB`6xT{ zumFp)B+KzkR%dNq%!X{jmTb?i?85;Z%;6lv`}i=Yb2jJkMK0w^uHia<%&pwTz5J0G zJWuIp=3+h;W(k&NMOI}E*5xH^%;s#vPVB+{9K@j<$?=@Xshr8D_$*)Ma<1mP{D7Zw zJ9qP2{>)6d;`3299?KJ0gr~ABE3+DF@giQ%tJ#9ru?u_iCf>$j9L;y)gLQcc8?!mvuoHW*KL>FrM{+zTaw=!?DL%`W zxty!{E+|J$nmOnF7aKTnMA7$gQJb^`cD$BAmtFaa@;^n-WEqEQfus3hwZ5+nY zyq6F0QO@FAzQ841!MFH6KjIedc@Ar`E*mf^$KN}i|LSr!QSN{AIf|p@xtGbtDiqwAl(*Z(Vz za(zz@=4C+^V=0#BSv;3@Sf5w0DO<4vyRk0^atQC@Sl-Xce2hbeJm}xM z-a34{^?P}ua{S(Qs)TZtW<^$I4My9iOY}EpbGBh8_F#Vw;!uv{ct-29srqN~DL%`W zxty!{E+|J$nmOnF-t>+x4w^GD@Lw%z)@?PIaOvwYF~ob33po4kEFkVAME$MSwo z=3{)4^SO|(@O8e;^-RA%D!x3tYCHM{)8CgJub1@qWykB`&w5{WTGv;HuMf*vUMn-L z?Lq(Oc66BE8^sBX_P?Y3jc7eI+wi%3o{PAgs~D}1*6II-aIF`>%HC-^(AF!SVIcjPAS2r@t^u zurw>ODr>MVFJWUgXB&265BBFE4&_LW=R{8BOg_bD`7)PtHQ(i5WjXw-l;e8KQxEoI zv_CRf|DU}+cvapvxRxLCGe+xyJ^H`nFFexxoSo70-U{e1%91R{Ga227SzG_bY{({T z$!K}+s=p5ha4?5+4AYnM`1bT)wVZ!odH-o{}Z&3pL}ALT60ED`n-Zo*@_+5jeR+gLwFa*@_tU{V|-tFSQ(Y9UdKJ9}+*L4ei{@~|U zty>4ti9>zoibCq-QEgt(_t z#D(oSQN28!B5p`R+%qZSMkK_AZRE+uV|+qfxUNYSHz^@*eu}th331`Ie#!F9N{D+l zMcllExaU&DElh|D&jlp=-DL@JFQkZDoe=k8inz52ap5{R+3#*lhnr9tBl4YJcpGmu2Di< zxXn%$7oHc1@`Y{S$>Q23#J!m!u5&`%TPfmtC&aBu5jP+q?(GzDLlWZNNf9?9A@1E2 zapM!>!hM-!^)e|T?!6Ro(-Pv|PZ2jOA#PoYxOoY2;k6XW%C|5fZbOQ=WeIT~q=;Lc z5Eq^!N%p&I6XL>sykv136XL>iugT)JB*cB3B5qegTzG9svV8jz;x?s-JCG3fX^Ob8 z-9B25K1&goJt1y$inzQ9aa&Tv6-tQPnj)@5Lfq#m;==2eqw(05A})NMV-&YNMO=73 zB8uCQBCd8q+!rb0>L8Auc>7NH!ldPl)?6MO@p2xUW*gbxw#2uNg@8yWx43 zs9yG@h#Qa)_jQW6AqjEcq=*}l5Vto)-1vmJ@K`C?cuY!&+n*wCT0-2nDdJ`&#D(Wr zqu(tUd=7R+Vqv>j*v$*#61!kAJ=hfu{tx4#!H36%!@};=;9pq(zZX|Nh&lXss|0_G zy0CmM{D=UBQPaM=~l zjOcSXtj`ItSlAvFb%PLB3;xzlHe*21fb9ZFcmX;A5;vkS~mjx@g#%;17xURwv{e6by^>UQ`^u~^vF9(Bp`jSn8EHzQj- z-{2Ja>X(eg!fSz|F8X+Q`KndT8k-yp49jiV#UNj}J&3ww`Lfr}8aqB180H%l1Sc!s;}wIL zC|O{#d?o5+J=i`S<{OhDU*0ofvGAGMQ5StYe14e~jisZ$Q0ZdIVA7_(tug ze=FapAm4}4#wmzTmT!ERFGvvPn;66;o4%c@#bWDo{8qfA`DO(V&MXEM2bFPA8p_vbPq2PgzBf|j+wpcR_Cgw_$Bii!ts48 zMZQPY$6|}ZgJNB>@$DTvh6zD&6?#0I8iehKnL>zBTh)346)}9ek1;0vV4<*2fyzLLc)AIQsk?5 zT-xU65e*K_&pT7(+aBagOZl2#a;SV?rpPxrUxqa8-`Br1TdYWsCmi41De^5$W4_J_ z`Myq(Z+#l`jY!D1H$}dELB6!if3t#o(fqeRMZUiIGsK!kGf5B^eLQ^rYjjz**ot6a zSbyK8$hRhFWY`!CkGf>}3N;L-hkQQ-!O7<5S-}G+!h2hyF8X+Q`KkpkFt|m&pHk#o z8{9aPmV65v9m@A}ihKtOrY&E!D-Y%SHATJ>h0~UA;Z=w7h4%_3tH1gurY&E!CWrEc z&o)YyulGr5%eOFikwi2-!h4vK<(m}D1SNvuQ5SvuKhwAQp?sNBXPMK zculs0^FcU2XGxK7YO%EC+j8xpe8Db7((xTqJZ<^1w>*?D*kmWocU@3Eny>$*8Dd=yrAs=#)5c}{ z?RY=D2QpdxZ4L6JWq-2J_(S`X!6KS8U-io}#44wud?SK<(W(HU{}N1##j09L%yw%QwAIhQE3K?eKgHgBKDV6Z}$`uUv|J3xa%UnO{~1`J&^G zU=c`KzMPFS#Dc@*-?||5V0U==sy%RM|MZL$`A!e=)%q=4^7TRUAYZ*8E*#&=De`p> z@)b!#zG(^NJ1a%L(LugDg5gmYeLQ@8mj(G22Lr?MRSo_nTYmFjmA2_SWYVGOdrpdc zrv~{7MTH9tLJxL_mv4NKuShT?EMIsJb+Yo+3i36Kr#Se3vV8M`d>!OFFGaoyLB1Mk z$XDpWL+ksRDe}FS#`^0V<%B_T|vI`!H}?g z!74pzzHfqjm&*~7EMK81huW{MNRh8rvkb9w(~xgKkS|*Q1*@c_<-0Y=_emPIN6UhI z;k$6d@eLM%r1@I5$Pf#k0UC8d=)vyr`YSU%TP%FHaF{Qc#ggW$(mF$$&d1N28RQFo zDa;p)Qqp`=gM9nKh9zAPdaygZeAONgj<=jYx+X=wdpc)0IG;+Bbowrwe`tOVswips zo(%FG8zl=&R=%}CzG!{bDj{FkHW7U%OtU!1H}-FgZ`j5X{<%i*FYLAi`Hl_@>#t2h zzKp@=Fkd*Yhh4+I8DdX|H^b_}Vd1}^+4BF3%eXg7tZ5V^CX6d6E*jJ_h>LQEe+$8+DI=D;66aLJw55nOp+lXLjnOp5-eCka199rhv2%4+hW1l1r~RAcXtT7xVyV7 z4#8a){q9p;$*|A+eDC#N|CfvIQ+4WCopR}+Z<$JQoO71^$5}S!jxlCju~U+sPZDHf zop^$z9*#KCjZ|>7f@2jNui!)lCo4Er!RZRlRB*O}a}}Jg;6eo#E4Wm_xL3jb3LaGOu!2VwJg(qL1y3t@R>AWMUR3b1f>#y1 zuHX#?Zz*_3!FvimQ1GFGj}?5X;By6ED)?H#w+g;j@S}qNDELLeZwmfUkO~?9n5Lkv zpofB<3fdL)QqV`iR0{ej=&xWJ1p^gKr(k*oGb)%#!B7P=E0|Tm>kFqeXP6pU0b zO2PaJ7F4jXf<+Z9u3$+8ODPzuU>OC=Dp+2@iV9X%u&RR96s)0OEd}c+SWm$Q3jU&C zBL$l%*i69|fX+=UgoTQPm;{z7rXTO5br((dH5t%Y>#`@TIX}=}>l)j2VAuU!wQk{I zTCLb_1G`y^X|?tZ5`*`4%MrS_CXd=%)44NZlis@BpUo3l&M()qceb={@@M%(mi^21 z35hEP^41mpte(iizg(Z0m}5``8pW$kr{dVADeH#EvFt%NER1FGsjA)P1uXfhlu3Op zbL;pJM|rVqYgxZs@2;$7V&$W{d^roPm~cE{WCD+}C+tboD^4q$^w71=vSWPQD{5vb zf3G%OimMtI7qXo-f9n_8KxnakP3=AP;OidxMc2BZ9pmDr$1MQ2y=R(; znI7*ibm>{N6U$*ayQhL*J4+^etY7n@t*6CB_53Z)FQH9bhT!ecnIU)^-Z?|xG-Tm5 z&+ww}8%Fhd8gM=FY{1dPkujOhRS!I$I6W@0;*2=tC;#)r>G@d?Wr9o6f8Kzzt* z&qQX!8y1q-@Er4t&D&=J z-if`d;~h#|{i6P4IfBnPbF#CSZnG=>ZU-Z@;dVq$*V#9{S-9=Yn>PLOrV0KL&aUbY z^ZwQ^FNN5{G{JA$AavtPQr_PPeoQKyQb*zE&Tjo1MncO#cRXqo(g)=XecO=b&{6)^ z6Vn9y!0Jm$IYQPqL^wOUdM2q*>ljtz-wcoFC1TgL7O|eXEjFEn^6J+l9Q=OWd_pI7 z^N%7)zGvQ!%3dK&@WdE)b$t>v4$3>aUuZghJ|L|8Ow=oObxBfe+_gcODyD1QfZq;* zFQPM6sMfkX_icU7+ZKB+QOX_6f+r-goYQ39eJJmlJo%`&rloz$rwJYbDFcz;rloz# zQ@Br(7c{`Ls7R?Mi|ChfXK8%Gm?#F`hkdIA0!x`yGtMpWr zdeTTe<&*qV^u#JXC6nSFx_LbX@j4W5q~!IKyg8CQQg|~f-b_i^P<|PberSySz`!yG zeb{}3|NlNi{vY|L{6F%K0sj&_9q{~#r#l`2-y=(yH8GYQ4|+(Zb>n zbR9#rwfY4QX8F6PVAdR!L9B73Kyxdz99v{%)`E=)BlJ{V1+ygF)|j<$CyDV0@c~Vj z0G(MY4xo@s)mGmbRZSdj4rGrsgjpH)_t!La4? zU>S`~!*v)K(aeKI8au)~U}nFmf|W9S)>>GM(LLUP$(Ge|8uKhnvrJD5+&!zI#%$Y4 zGnOhh`GRvhWh5JFo5Mm?j_CmaRzk>^OfH+8j9e9@6hi#Bm!$5NOzpw+7DPx0FK&z6 z4(Q$Rfnc`0RG6H5h*0L83o|QQl!>qs;_qFc$Y&ySAeaxgMO*`~vuT^I%vPW;1v|HB z#cTzMh*?6{f}s#ew-OmtmG{@6Ds%@VSCE1%7Lt5?l$F^knUa~3TrM9-P05v?q)4t} zN^Z~qlB<$1E0IBRn~o&;*(%_F`$_VgR%mH$B|_s$a_ccElG~T2ptQMzDf#*@Fr_02 zvl1C3PuU9Sy=kS+Y*VEmt5AX_UnXvu`RS@!SM9kvs43QhjAS;m}n-Auab^FntY)PiSlFRu1t`tF!22)V_ z>#>>5xSsIK6XLZJSt@Q5{3`;!>G#7%jZ0CoLitX+jw)_Z7^+dskJ~uUfZj8;bfT4? z0%vL|-b2zc|JO?(#*iYdM48HBOT#B3;ETBxvjuS3bLiP<3Q}7MqK2N--;#=b^APE0d&S{!Qk@BBtW@HtHT%{t<$+42FywsSk!e7)T4AWHeHXt6 z%^HO6m}3UtxKoRBI(l7;%t?U?en+IHbh6jX10@ZX=E; zjN6E#3X^Qa0bUvsO-~%>XHtwfA?cX^w+QqoC#6~|QNoDZd})diclbe6db3|MJ#klI z+(z6}7`GAk6(-q;Tl{E>5lOrjg{3DRDkHpWpg0~$wN|2p5nuQRGNRHRIQE;$lkDJ7 zx}SLf1}QU7-}$u^aX*x}wi;?G6C^+f)08P}4$&?} zrjN)?VQHdM(lP)0elR4J6rdBOwI^PXnNiF^z<01eauEC6D~ciD z8#@h(N}J*^C{jZewixkiinurtOkrtVStV{Fxv88KU?ob3t1U*7xJi%Ti#q=yu9jGx zBCek3m?Eye5|`m2m>Ng{R-%NsHsTqHb7I}@T)G4nIC z-(KuWQP4ptxYivCI!cjNqC_R?CyFBA%P&Def8p*>sr&3Jen}BGP-LXA%>E!r$NaC9 zLnk>{3a}Dos+_j*;ydP@u4HT1jrm^aLJ0iM5IG$iO^tITS{Fj(#zBkm#mk|#BVY+%q8p9a`Bgp zW^oNU3E~wZEu1exv$+;mhmk8q8yO8}a$@EUL2{PInNDS6CB*;!N>i$NGxX=81LQ~< z)stmtOphUULdcYM$tgZeZ%8SG@Zt7|5rA?mw?~>|dHQZvX3yK5XeiB#+ly}m^p07t zF?$JF@q`?=!CmY;aZ{&YkDft6s&@8Lrl1xVASi}-twaVvwZ8#+ub9A@z0OZH7=mgA zc_j;~cP~XyeN#~0W6<1yc&$VRL9OEez4uRtf;Li+n(uIXs}?DO+UBI7w5XjaC@mVh zy*=?-i41~9js^6d?gIs*{w-+48se5&8`F(~QqWjaP-DB!?Bj^nN@NhU<_e&9|LGQH zU;A%Ct3MLA6tsR91*M=wQ&2!&Xx>1)Rw9F-vzfdhXh$frcJAMT&O{@{6m-FZf>O{$ zQ&3=2IQ0_oT8YfdVt;oA&^uo(!R+tl^oVCf6Zali4~P#YA_F1VFF<@W5$Oq0Z!$!E zG7&+9xN-=He@p~TvAhr80Ly0+k(Lm@t%X0nn210in2*K&_9fXU^ZhNJg3{dYM8qtO zTcck7APcQTmdaue;6t%*;d}oqbOoC8Fllf=eC0;WuI6)`EcSg^(qQ&xUw$~Hjl!fM%(X(m{H8E2!ptuQOk0KVCrp_pDB*SrlbSGz zWOI9kk!u0g1~eTM#+PV@vuPYXHMyv|2pjI+mA>AR-%Nsi~LiHxJy4x z!^ye}Jgr}HX0PzSQ^Z|W)&;bHxNA~?l_(+ZA)k*xKz!Be%>L*n>wFVeqlQ0L7&)u| zWiv2O6ozK?0cGmeWcFtYL(8s!I;DYmt}wLh^8GRhZhWCIa>oFMCh`3#hQ8uCC@kIh zTGBE9?vW^!H_|knD6OaQN>$8m27=sGew9<&$#7!CHt_5{qr(I;l zARt18oJ`pr!XJzxRCDcU3Lkih1et2MI(7%KxA;p&JzW+owe3Dapsy04HdmVwC{CxS zD5G{c^RlNBf5_>Q!}XyvMEQ!(GBvfUZ#qQ%#3pnWA~e9Yy(==FS|p&#iO?WdB|k{^ z7llzMH07abn%yNjNt?su%*&oe43nw(Yg>ZrW$ezq`2 zArnFUl=oFa6gCmmO?f-4kWs`$P%q^@EM8qsF7oqS5kf*Ff2Dh!88IbI@Rdg)=b8TB~BHV6UVmTw{0{LZnteR5pK6_HW6;OZ7~sUxBX=z+-}=uBHV7yccJV-Q+1Nk-=?`&IKmB?Xl^9cWrxOBHV6!Y9icjduAfsZhLMb z+-`eeBHV6!X(HTidu1ZrZhLJa+-`egBHV6!Ya-lkduJltZc~$Hx7*aD+3hwpX-;9)_u$b-^ta+=I6d)A=K`bwVCiOl*HuT;X3nU6_}ah$f@9a*{D*Wo13w;|3# z9N82`PA_OD-Vv@aotKhRf;jSUD}|-?5t5Gi=iQFJI#L>IB}$lHo3~GwY<9k!G*Id#u|8d!1P79eKD^aGhI0o_}LCM)0tR`$!jeTWihA0fxV_%t> zp$bD4*))B)!caXm%W8zeP(?M%VWf%Cs2=)C(?=^BIen#l1jkqt<3TRKbkQ+@H-u$o zX2$b*lrdRW6C@q;4|xC&OqAwZi82*;tm88&Gg6$nhs$-j1ua!>P%|I1GH}OQzB5I^ zM&6#nQbF&=?9M_ow&akk#R-FlsB*@s+nheoPnGMGta^EGvhk zWBye-!f#%JGHoSFlvQrAja=~SWu)X0vfHysucxP}NQ8;8QorY0I2#&eq=`}c%@#*4 z@pp>Kyy6cEOO;WQj``Qj48i%N5-U+cWjT>6BWCcGYgJ;nNF-G{rGn}^k;@7u#wPQf z11GnRiY5kUxMr0vE2@Iu%w;9c1BB{Ksh9rI818BBwu`BtJtBRC>fP-e`wsVbDLss+xV=uRk%c_JkohsB8$ z1*b%B3QKRDR#j|zA5@YvQlynA;jLRDeI_V)gR=m~9hE299i)kcF?SV4dQ0|6_f3qQ z>N$0BH$_SCn|VqSH&RqSRC#*pk2&%qsl-Z@DDTf=9I2Ee=~q?WvO>vRepeV;+?jpx zkN>C>|A@pCl}wALu*@abHZlD9pUQ`tDl}4JB}(MdPkW6(K&8^vnIp9(Yqf*s55BXD zg4ShX8T9OxSB9G#t$&>FaTu5+4nizA$iNpquBT8}6h!aq{d~Gb8 zOiW5~glnf#OfRT~QCRw>kfdY&S9_qREG&(+5+#bGgBFRR^xf?V1s$ae)k$2VCNMog zVPpZxBI~3ua?LJ{=&Ug6B+k)Zs{x5-o#?9FN-?6Fq+|XYw!=%^rCOaRt&Q+XRnsv{ zOOqMvaXL3}4A<&l8GwAs)vIHKHU`sMI?bSS1II}1Af|01)Nu8}brr`bjboxf=M||r zqNBAPGU}A8b;lSjM6Qc{UA1VzHddR7ftb!K=;*^SPRlA&U9MA^;hXVVYYa}b;%K%bWGN|p}!NMVRB9Fn4(2W$yr>- zC!#G))gH;zY_1G6@tLMIKnEZ~bGnB7fT-!(3K@-%hZ~L=T4Sjw(nb3uj+t5yv@8*t zPabYKW@&Y#KF)a90DVWn3%T!^j0%MiiF_E$13T#0#}+GFr(sg~pdfT62_?2#sg{zobJk zEY{i}Zn?L~!n{IqqwE4L`S4ELDIIS1Sf-EmVBR?gqDfkG4A9=4Dd>OZln3)^!Rh|G zkHvdQFVLrZa0Qgh;whM?tc7_mCoHp9#%m-(a_d23UWWI=b%+KvY$=%c;#^2Y{Rqmm z7aS`ZA_3KdS$5S!bS1G_I>AQowV@Qefx|GTYcg2U*T!{~0B4?vERgh}CD4%+grp3O z+A?QeQ>-PZ;4@riQZXawYkLr?m?P*`TX)Qvp;1rfj4B{yq=pC1LZAH*UU5K4)1mZ0 z9l^q!1<#Vw>sPGISvcAJHaOFA7D?9n1iS3cqGrqpYI7ZWikUG-(2cC1EN;e}LG^cg zFlPxfmOAL{Al#NJX~qJAj9JhSZN`FvX7vVTDKi$#GICu1Gq|%tT8InEhCMuIMGBHD z8|Ox>62-L8emp4W5xAfVWd%_Ybi4y}R5PQ4u%jkWal%0>^O%uk9I~9VaoqV!ClV|@ z`zr-W@Qy-|)W|f!64d)PGTEeuOf!PW9%obXj21eK!+|8zJ`^u{l<+{yXhec}`Zc(` zWjMQSMJy=i3BjD*DM&hw?1r;?C>;<}nF_njuU6LDxhWt!L-8gs=VnEnp;2e%+@gpx zH0r^eTg`|O)aMNB`KytvU5=o&QLucQ8S~E2sA)^)+&)%nbOsH(0LmREUqDd(Xz1Th zPQeCU(0Md@=fT8qiUkMFMT2l2z9C~_LDex4aUK&nC@ou1|7DPJJiUzN%+RP?Q|3Hn zYKTA#HlH?aE`%72K4a=D78D(5Fz5MD$rl}zdlBrs5G7-=85*^Nl*^`+(m~fTNpfB@ z`6>lHz!{PAdJ&W=8gfvk3*ft15dIONHG>WeMHRcdU8dCynq33c=sq<$5!x`QG7fT` z4@@b|Std>);tP>4%6G^-WH5yAaDNPvbV>@nh=Y`D7H45W$*xSewC*e_OgYRoya1xn zVw^PG;ySk;<|IcASD$&XH96{VZJ}xuqj;UJ%9N8>u@bVVJ5P5U#>c7D2-nD8Q37Sf zUYVNDwP-fnTwbLXat+FlXhm^erWSLZssv|N5oS*i?JAxHimEE&(yr<6Kpd}9%egY0 zgw55&Rmoe)Rd_udQ&SPgyIx-daV?oyxYV_O2#oA0hD+kQE@~vs-hvv27J5XuO1(jH zA3=3q3q2uRrMn>${l!y|YoUMZt`bjR$q-Q${?S5j>#n&tigykbA7whas6fjScoi2* zZ|%nMG=&2#RNKw+xl9G(E*}{MClRfdq+9XM#LG|-L&Hy`gbGol_aqTTsFu2kAjJSe z_S01JWLrhYP#Ki5$#Hb~;SEs|8Tl^cF|1e<3`x#VJ_6}3*-2!UVYFb^Y8?AHv&f1? zBfaPiS7qg9)i9upoDgUs$ziP?V+e4T6!(_Q%XgxuAvxFoH3m4 z)?$W$(;@`!fK6GO>Ws6VNQKO~67UU)vw@(IiNqY74XYcfaB^%~iCgYkC+8yoJaF3#n6foj4C!Ek&e^596*L7-gNUq-HI29(Sd)Ky_=$ zu7xh*t|#M>-bTe&ao3!_s8GMD_(txEoeBAEReT5JZvlTh6+ggT8V(?w?Uno!IE0`& zM%GCG1)6cPM#gb=KfJcE9m-~9euGPcm2=!_y~}VnyEtOm#(8)!zY#h|x2VBdmd)>u#{5PSrHKf% z(85HsSgs5ZxXEoPrQyDIS@cA_GVrO39s;G&K92|9f8x!Jv3Lt(H?|)%;~2sg<2v5D z@eFUP@eOYe!#l!*87%$%j~2#L&$!R}_in z$H|#EdSf1wJ1W=c#kP>L%oA~?$#{$#TIdN$yvLuIQcH70-KRuR6muqKd>BYMa}1(; z=Ti?rrqS~u~_68;rE z8`Hz@6ox0P;m^l`5>Ai8g&b4upspk*^93?2d?~7`(d0B|!A89b3|G)=Vsa8`Fl-g% zH7;WD5oILeGrWAvqvLwapQ+Hrf`ufY-a>NYBHUqtr(N*0aitC%XFTnM2`|%0iAS$< z8nej0Ytu90sY7?Ra{L_!17UuS6I&nx0&}oN5~JlfjSmd)XUTD?7s?y6aptiE{=MAjrTvO(S)M$3Zo$2_}Jqs$^6}FKxP8ARjkGgOx`?L*!F%{^@*|k zCb(T2TOw=YIn@bjQcYKJCMNO+C?y(G2fRS7pRA$=3+ww2z9|u_cwiJ*ANqlIy=q|0 zHTD9cf0;Y4Xns4Zptsr0kC~EKSYka?L~(?dA=Zs2k$6(>PLtLuYf)v+sVHY*2XJ~F zA^zqGsGzQyzrdE8Ty;RMF-Kw2ZN5Xca_+(vOeXGfYOmDjkG(_mKBx9b_IEl3n8cUK zsNo7qf(?&2RaRR4x5Gq0JmXX`MX0xH1g?3A*RuTa(VXjYEtJn&S#BaUm5W7#>b=UX zud6UhQhZWTKiA13h<;Yl)UM0V!TVK3{axc@VY3isSLAZ-!5tXf^r!77y4q;ziByN6 z!laFaQ-31WTTtoHRN;CcY<3E2q#`ujmA5>gub=`Dn9PUu#@#ssdq*?js+_HRFp)_H z$*a;+p~Cc(1tVAitn+mu%*8LCL&csWIDL*of3MIL(I2mfzA1OphX~CT)exqxDi7rH zc~WiyqPZWnMt?J3)JED0#3TMHh7BPEuwTLWDv>TfW09AEyMuV-aRfdhz#{%YCIc9 zq`V~`Sx`9*9Um)9$bTD$$s#LK9rW}KUKZ0Q0{( zErOhu4OFC$x8gYpDcb^d6j*Y?zwOJ3q#^|rk0%)ZZ6CfCW7J|`-^XP88i9osjR*GM z_T>fUf48qHs>A_M9U+P5c|)?V32^<$zT|}b?SY{$WKc1Qp#Z9L5j;4f7@f?plo*l| zB*Qj0Lun9~BZkakS2DvXVn|Mq40qfNH9=gL7;=f1$qes_Avr-Z7;+LIO&SE^VZ=~c z1fxrkd7wFAzT^bSkVi6<_!Sw+gj!Y@l*M>F#}|0jL?3vtITG@ijeu97>;_t>``4*M z3bfFup;L!blKWr-hz}KT8ei?Yt$wuDS+iy2>UAajRZ#85d@_OtXIO+Fi_ zsli0IVSKp2pvrauEgKhgU-ZXE2-&!9AOYG^>hCnO(Z2$^NKT+hOm^s0^<$FR=+R{} zAgO+&0hIMOl;%%GjULOQ`57>Yis7=C=2V?yWX~MSsb`Ln z-Ee8%5aHNfxQxl;U*8OG$Je{Op$s!t<_K=8@%dZ}Lzfqq(53?-uaKXzV;=#( zBpbzZ(0qmeei8Iox3cmyU*UgHl-H;z^V^TIn~1bZ)Ox5dK@l=O z;23nuntBjv`I7Onreb#)f0EuC0je5&_~&H$r$nEOm-OEyePxm#1lnMtA17t|8lX+a zN!rZ7;IAA{hcA9P{rUtPh==BIoFtT&uBu3d%Hvt7{1q`7C@tw$LIkrh@~inJizx#LBFBj`igSu6+Yl6|y(=M-43(;;269 zgs#T_k~#23HqZvy zF^~3vD11ATFNL?HqnLvxA-udFQ93d~LLQKK;i{-Tncv$hh2C!WvODaB(3$w8`H8{_ z3M1K?REk$8iN1u~gE)fCU*?%fQDJEQ&AjY8_GJslv&q9)&LDuHV z%}Y9D=5(?z75C-Q)~PX48%|Ztl=6N8oVeSO%t_IR^gL41*R|J^x*~NJDGBrboWdA3 z_koA5e0Ee-U$ox*`4nXV89-7&JFjJ>92O$p>WCyuElepGAWU8&DLQ-rhoDFVi;Wn7 z<&$lE3`7+FnUk>+$yCHr^5bCHQNeZ4m62dqNrzVJ6P2pgIw93#} zpHB$7|6B-i7Ed6X4Avn)!@Y&Qm_DBcs5 zK(L6p1nCDdU?BmF{F0O)hFeKOa19=i+(!l|6T}H2@{gp^&us`6J(u7VJUB*-m`i~< zW+Zqn1(x6;PJ*WJ=(z+*DrYs_!lVBs{13%O&@{D%+tdPc$y8H+A*ugovo|7*vl?#6 zZnJ5vRUHqK3A~ZPx&%JRV08lfB!683hh(rifp;dBfQ{zDtU7^qGFX=Y-P;G2z-ALm zV4I0000)7fE&*IeCt3pSOe_Ifr2AqWxqM?`DzSQr-Db1AeD59%%6^6voNW`=80hQH$ZN#iM%YHB0` zq$>Rsa!UWi6To)Zf6-4wv{*6qQ^>&clYUx0XOXCyv{J2U21yb9g{V|hKM~L(*^E&L zvo8pXC(stp|I9xT)yHG%r%+=&Kk3hgXd#J8adTcoX{~Fjp-?4B5Ko{gp8u(ah)Uow z)lf(-l-+KoMsfrXcXyG=D8YJ|nZ>)1Q0rSaSu*NpvN({*Rjr#W8TB(+ZIH>eteY$u z^)ngHT+J1%n=BdiGZ`5PN<8Kef)R|usR_R5lAL*j|ntNQ3`dH1k2&!tTx{AFBtFKc+h@j9ItG6^5QYekU}wdU;%+Lc&H4~ zWDUB&Wd0FRK0GMHNqy&1s0f~)stRKNazIWQ@8ckR@}Cm{un-`@f^{ymSD2sO3@D}UO`HTH;z8>XEEQpSN9h9$t#ssD7~Jg#BK=9mO){%XHt#F8*t>{`97Vcxwa>`8^oGa!F)3Y?kDW6u(Qxa+qd1gJB#X?BQEGDao?~+wd z+wuS`kI1GZnm~KZQ|Vbk2H;>#d&jdYf%z?Zi&G*A>!p+Tac z3Jn$x6&fON79r_|ioz;1OcYU};i9MtO%TOYXrd^tLX!jzY9;SvQBsAbh-ej>D)1XM zGIg4WQK9Jqw}d5bhA6E9 zk_s&pl~rhwz!8*8T`a1q&=UEB5>y^bMKy(6CaSB@a#2HtR*0G^v{KYkp;e-`3au76 zPnD9_h`K7YR@76Wb)vostruttk}gru(J$%UAbwGyjpA1`B;VRpOQWBn7K=qI-dIn! z3>QbV^i6axJ`$DQr>Wv~Hq*t}tEi8b=6bOVxQnZ5{7(|J&=z|3g>hidrJAYY4fC<+ zS^FQPO`(=1O3!oT7c8cVId%IVI9}GgnpiY%v=bk1J$2@9m^KEq(yfi=p%rR@UO%gt z%l{=>DJb4r2DB0o5!*%=&2gJiv;OuIqeUy-R`;@7G^Pc%)3XLSaTu+oZLj##b8eWU;>E#uOblM`P!lguPWe|4Ui4uWoF{brQ|i z+!UobmEBtEF1ompnZ&rd{>&r8+J4?m&rH)IEw`VZ zhn_i$Aez*AW-t8MiABqvOss`#Dt9?_70B6B&%84Ls!`$b!+x3f;y7KA>kIkPen_Dq zMQ0x)=tJ6_kFdYTcAubduZ9$!S_3~1!iwS7?sWEYEzXYFv+YFR6x-A~`+(c~I=hBT z5jyLF`l_=6sM9(t>_MryaG0*M3d=~&3+z7X>=0%II_tBEX#T*DvFWTwZNglSpzxOc zq-Etg-0onDf{AMk3RGv~Fh$VWhWpArbe8@ZQ9eh1shOwKTHyi<)HVGNTQ?}KJs{)bp8FEH6 zkgix9Rp@L0?vv=u4?PV^0iOmS?*+*}o1YV=@K~aMzmDW@nL?PbFbY?mO7GKqh}R!& zRA=vY5oG{2MRm5K1f_1;L8)a+k<73#diR_}@5xd=K0`!!1jbUGRmG)4loWoo7;^HH zglK$huCq2cx7JzPZxn7T>%b)pdO9n0kK`ZxK<``9!s#DL!st>YZA4|#@+ygVzv8k! zye<9k2uIhjFp#YMh%o>)Er8yWyAb8M=A^wV8i3CBAhnY@h+CB9gYwt zLw}+?v6AF?k0Q)A7g=&e)(wwG6h1JEWagA*cQcVFE2Br%S$J3C{U&YjtU)F69^*S| zyM^dGJs@eh_fj4=w4!i(FM4l|Crnx#tLkhuexgZd^>GPTXGgEo`x1^b(WdZ40BXf$ zlBOS~_vc$=d1=`q9+si-B1|fEw!J&iS6ND!ulRK+SiYZRHpxyljJ!m=H^Qn>tHl5;_}-0inX`|Swg z?e9hOS}Wr1H;M37@yi;hC&S5}e$kZLv6Hk-w$p!HMA?5V(agfM4!wb-senEmeWuLa z$au1i;Zt0l&FDxpe^(^>Pp1f9qldJ!J<{U4LahmY`krnnBdoWl9i5Z7*8dD2;$ zQAF7QQ-Ap67GV~ZrSRVih&QWjp&yQt%-XjJ-*N)IpD!gFy62|wz}FNGnnLfI?@8LB zP4u4Jol;NA7QLw(S-UZq-oIrf$#V`6&A}bS)dD~4h+h%fOPIs5XR!Ga%@a=c*yOm7 z@f-1m^&?C2JSE9#@r&;0-|*9x=mBs}q_fPjL@wfUY1E>ZB-2rW=yAsg?{2b(?>#48O(gtFESGdv z7eD`jwj*1gy(Mu)a(dsz?+)oKst@Ibeg+pcGnBYyuEy{6vg+vNtZY|S{8BGFIE&sL zd*do6i^2tNE4zA)XdYo!Yh|@Q(zaMeoH<%qo_b_$pA4ke3mb+y8`P9!UXZP<>T=Sx zd@yP6gNyH0_G%DOhCC$v;%It*&qeR%smY$mLqszfH+HS;)N<0*ssia1s1;V0cO~)a z*eHYthL8tBA953r^(s06T zzUju)FbMCFk1!g(8^f$aL#v}1OxDBrPF&Bn{RzV1-EpN+AI@F907me})nL;|KCL9g zjpFZ{z^>7JCw0_gc!4>fAIo!LQ&k_wGo?k^c>V#CM(cc@z67*w=bsLPZwG%f61MK- zcWVH-i;tyVbT{8dUF{y;8Xr^Wd-+OST-NvT0o3;P^DeC*`T*a!58gP)-$tQHAL4CJ zAa?2pcPMQ%5jP1mKpqK3?R-!L&X>w80HLeWLKEYCB0pqTXt{XoJPAb%}_?JjZ%nFm#vJ@!Af2TdPme7HvS0&C(j&hUod)>dpnS|rdO^br9+)4o_k1ojg@1U) zGawl#{=n~B>%&C#BZ!R^>jNNcqNq|1Qs#=p2S{5a>YoF0jrf9XGJT6^OEbj1qWBJg zW5Tm3ke5WZ6JWR}!ixYTi5K_4_gIV{36f{R=Wmd_6qC0Ayb&X@g3v#TY1JWfxK@4% zNXBXlsbzB%kx#lU};_# z8V2eGy~rdz74G-yWAra2z%WhET@Y!rb>}$57U;KVR9~eB(^P!DK7ALETl9&|V8l*+ z%dbH0*B|GHlvDbvf1vifewU`rH}%4!L2_4*NCnYP^~g~`zSeUXAo-|!lGR`JmK^~4 zTU$K_`w(lng8(C~jtO9xY%N_M?DMT#DnVbO^$hL_=sT@b-h=&^^=2r9UAKna1?2;4 z3!Fmg@2nH10r}Z#JBru{k3%${nBvi@1Ndfn_+eS9FZ0Mob!nAH!5<*mu3t+WpJ}j{HzdX0aLhT;U)=xol*t7QUh@JPWKNlp|Jdbq*$sNyb zLlArJxg7gs`diO?dw~4zS(=8BLAG2ykv7Jblcr=7Y;S2Cono7a4?6W}w!-+8WPO(H zaSt%evu&=4j4iOmPD5;oEdx3jeT8k*ET~;&Th$2c>up+EkZiFHcn_<0+q$*_`+nQF z-H>_MmLG=$`cYej7GOAO`vrrCe%2Pd7is5hU$CvDU$)ip0N-_6`~YaUVS6wV40mi@ zv2mq8u(ie&e*K{>`xNj!wOu=kw3oJK4#ZyD>NQ5}y{*iC2>ZuYBmv-ytv>beKWs%> zA~w)o_bp;0?d?i|a;*LG6T~Lk3(-7%hF!l6FvmV^4=h_~pFsoZa=WuXC^y^FX9VRA z`!H%U`|Pc7gScv|nlgDevrc z$mO5xMP7k#fa699fMJd;d63&Nj$+-xKFJYM9BOAcina&eJV%lb_*OdF;rLEp@7PM+ z;TA{vH(=Q5*jWRzZfJ>9GQ-RzRk|Ve*75Zb(mpsEVed@;$FYJsh_8;vuK<3) z7Jva>V+c9eYkoNRhIyr<8QMrM>u%UG#;Y{`HywSvS7a}!o#a)=31L&b)@J~i?$way zB(uDFq(^M7*QIG-Sm4zX*TM9KUIpJk`y#J8HxXOvb&ou;+^gpUkgW82??T#Iue12c zM}3{w6S8`}mnZcF8@;{(9Gl`f44_pK~M);ft}Dvkv7IJt1%ye>VejkD2^8Y+>uO z_#f#&@`hW#gYOgXLyNF}q8PUFF<9Mb0+Ml}$SlMriTLAi=nOHP=6Nf`x#MumHc>Mh zx)w6j$NMZ0Y3n{=jCWj^a_+M%S0{*EFBn!RvV= zSEu;Qe7N2Jj#r~?Hxg+3b^rpM9Wmv#h8M@e+nS?!HOw4x(no*RT%R6e5}lj==Lu_` zsOrE+?7(mB>XFm%*_oa<6}dmk9~<;~zSZyX&Y!glWENOQi>rd!N_`~Ly)k>zC-H_CKrojtJqxgc zSK1Eb27WjerLc)hb2oEo?-ni%-pZxLe{pH@Hcr-BcXKk`%x;m~P~u;JdicP8Y4J`s z3wwEbrmdBrAal<>@Kx3k7hq1Vois2-&Pl*K%87e^dg0>PkXl?&IhD-8k-5^BUm#q5 z!4#xcIgLFFtw|7Wxu9_ImbR*m2kMZ(IO}lpOR5y35a^mRuuZ z81VhL-+qAp{OK3S8Nf46g+bGJ683cU>AVNdKlK^B9cJ750^aNj*cS2~JpdN*MYx}- zui>LC(6^SqW{|RuPoeeXR=#dKr2NGfV`$R1@g@}@%wkmc%dqhWtI`k(8B3yf?n;*FW&N+ra*j?^z97KJkUL^c^Dl`h#+) zsB#>!VWP)nq)icJkHD6xqVOZ|O%v~EX}v@YqBYr4QBH^2W#V}>_%;i#{9xE3vQ$CZ zR*`=rG#nBMl%vDq*ARfyVkzxxUKUM10o)MxX@YoDSPnzxU6HpSkV#@AP0F5%GMymh zg9uCo&`&$p3u%M3RW$aE(oSM;T_3GYT>#2yS~yJ*r)xQ{BDP3dOM6F)wLZ;(T(1>x z2a^)D+*N_xtA*0!YM-`fjcPXq_8x}x!q1x~&!o$?1Xt0Ew7F1h3N40?Fru?Bc;H*&PA@o-)g#Ud)uk>Ux=qn%1h}0^(@&aG=$Rc3k?}m_# zUid6buU@b}B-gN#n`>r151F-=_XDQ(h|i#@)0w*Ax*1DATD|Z4KvTc>Rp1-UF9aJJ zKEO|U>%YvUEuCM7P<3vUnJ|s_P4WZ zRn`u`wElP=GTW?O0?cn7HgL7I=K-cg8HKkyXZ9oj#JB^~E1g`9+$w7K2s_!!9P zyy!VFU0%ck(>3NKFx?&vhn()IUxL0znh|*S^ezLM-&az({c(fF`Cdu1jP3mxA8hM= zvL}G+&k1LN>HC8!Uq4>$Z>aCj$6bdl1Nib!hz;aEIHA=C@tN5{IG9hH4BjC;{RSY1 z@-OXy9LDQi2XZ)niOU>V_(! zZZy;`<~?#CZ3%Bk>x!j(0&O%b<4f=bfxetaRD$s<_$T~tH~LCm@)%;Pc)#* z!lX64Rb9wj%e`@R5j|M1Ng!Fzo6~k_NzK#EbpR>Z+zu8fkw1eME4}CkiO$Rqr2Z6J^GJaIrCkyt7$r^~02nO}tpep3kv=07 zjTMfZP&7^iQfE3|Oj&{01Tm3jsT1*QSr9!*9Dah>WKo`G{ZqtB8quc;|7!r##CU=ZeAu5Su58+ya;{-s}cgAWoe@ z+CotfU#wzJBTGdXu~0N;-o_GY962oamSMtb7V4_>l6us1k zUckfz1w&4(ei@k3w*_2Wrr!~S<8rTo%(9WRDlV5q%i!`WzX4OB4(=1_6`ikvsnnmU zRpk|>AfZY*d^fIFol2{z_>9z_Rf}nZclG7u;u?ZnR5J@%Tg#88d$n`Z>06yY6Ck0k zeikz8J?R45>VG^0OoQ85A*W&M6Ttk^5ufzwzrLp(=|q!gXwl`Yf-EKMUF|^;?5?VT} z+yYF;CA8a^&}}y`opR8&Qs<@}fa&7r54~M`H2|jDRGOZ2&szjCdxXq~mY#*;p!fIe z)Yks!{}Py9oy$UU@9_J;^vOx56@MDh(4dh_HI|?=o;kzP0Ig~ex0m(4_G7SU{=T3@Zk3H&)7+f3wF3qjZs%UkH1!DmE6(M))3 z+m(E&C)ii<#?-1;^TobkU&D7d1ad9Uvj@m^d=yP}*YgVHkcUJ*o(|SG@b4p_VIyBo z&1e%(RR*?f=F@HgxrKKv2}N6ZS=vhfi$5p{Mceq?(LiqJU$a5j4nDsdH0}3tKE5&#GWYWp)ZriCd4r+-AfH_q!VYnr=IMudL|te& z!iz2k@+faT9N-uqxDLqUd>Cf37(j0~fufVRXa$+4cxCFmPV<8gAoC1=+!C>~ynRtH zoa0+*kK;V=?}XY5{LfJkc9AFeg7OmgsRdz|`Qs{(a)l>t0LfLpz5tB4#yfTbxXwG# zKIz~51?>Rd;2vGUcaz6ZH-3w6BDJ@9Ix^x8KQa@-?(!$zpuERNp99~0j6py?;Jr_R zFNrU%42FliYYD_2@f);3^OzU>43Z~2eOK^3<)uD=KCK5YTs@vWyp@}8%qmFEZE{5wcK@-c&f{KQ+}q7w$t zLmPqo%)8()2m|QVKY{$p_eDa(H(vN7V&8dNnt}e{`EP@ypKws&^cOE_xivt{m!W)ztll74iR;6kqHB6p2E;DOr)i~^Wmaj401a{d|MAAMv6@xfgB|c z(*$U=h@m}-F=8`KdB%#S)EA8tJE<9t7whQgWrA2k)qA2iPLuCRVq`pwm@Jmi@^y+h z_6>YfMK0RiohI7QvD$QTl(r6Lh$!j;X9`dJN*)H#sawG}TYL%uf^%|$eY&s%8Bg$n0 z-&yg!1&lZ+0<%Ndc`>~|NG^!cb71^Mv9%{+m&Ersh+P(gE`#KXxb_6GtKxKa=({E! zP+xal^rto2-(nk`*xe9GGXQRifi$PSC8kY8?6wFOP;^HOdw|$oG50gl?ui_9q2s>j zQXgp#@WC)*Nuo(0z(disH?%(z?av_XvH1N9kWa*1+GKkw&b~qHnYct_>~nF8y!%1~ zcS7u?xJzBoE3ty^PP`T)spoqmmfbWF<7bMpgy5u2%}{VJTxk@iir3IfA-vEVymKSXbu81~Z!zC*0PcA*Vo z1GH}O$mT$8b0fqCX;q^E25VnvOJj&O8QWs|P;EP{XoqQb9R?29dM`z6gm#vWqDE@7 zXq`Ap%SpFqMr*&*2H+Si>=`J>YTn-v8>hYSg-PSJ>r((GXmLSEo2YFoha64PPSdP! zvi4*iVpFv1v>iNE3z>@8G%XKxxYM<|RFh|DS829CQ(NtT@w2oykq|ap`-YuDeU8?$ z3DV|jzcfc|o;D9>wfcPRb3McsXeSE+EY#jz1X!fqc!{*d+Kcp%xkUS=82FZIeQBz( zOj|@7@yoR@i2y6KX*3M3)Ead|+A8f%1!QHl_L+8t*Jx)2NY-jO{)D;fv`K{_dc8K| z4n!wvp>(dfL3?xyv5i{eC1BX3^{NJj&034`khw+6=nL&zweO8V@|Tu23mCR(54R(2 zyOtpr7gRt4QaW2UTeG&3>UP0beH9#wjdA;m$Z>|E9SBm zG#oj)qFtkXfUDZVVbE|*`%H_y>zefe*#Fi-qrq@PyG$BxYDEeIc}wds8w|I#U#}zW z4$2)2ceQKHkakbYcMECvwfl6q_CT}H(Mgh4dI(|}1Yc`4(}Vqu7Sjxh-fFRf5PPR> z4+g_~t>r_of6zLOMcPNrvoB>q6_UCsNfou={ci^k@I;j12g5-y0NdV|)@d*Wce@nw5&@jN_q~3d=CE_tO46-~J4~D@OPJ2{CEW4tC9BO$m z55k67{tr!G9Z+TV{Oz1`E_Zdqb=O^8T|3s?vb$^T?(S~amhKJ_1OWl*?nX*PRBRBD z7VJg=(f2d^d%yqOxie=zGv}#uX6Bsd-q-jk9gUfq!(pMhIi~~XYZC#8RGQfkMyc6J@DQ!4c#exvcR4gv~k)^8GUJ#}!UmoPr z)pu>sc2T9K0+6Aq6QPo+Zg2#jr5^A-n5`BchQA!OX(9lZ)S*ZSb5-tej8>d4c1YtY zN|$#-0qOceK(*UNp5F)li7Dqljc#St=-Z?$UUZ)P?le010RNf|Pzl9MLSOK!KgR(s zr6i8)%IFK{rsebn+1r2k&JJAM>$Zd)cONhTwtI}$himpe-oYHUCk*0p=frHjGpBeQ zg@+kGj%)UR8tu07(p2{{9`AeaaY0+Zh*$Xh;~0!yRK@8W;KjRm zom+PyOVA16O^#VQe<$IP^K^``B}j8q=hg|d73r9?hGxDtfa`;AwOerS{C8NVdikO` znA&{;CX@>JF%F~Haj+gqwR}fM(PJKGqN)2hkTTl9OK#;fnK#>3lASK#Rn(eO_(!yn zcfi%qZC=TFOxL_2d_vuMOGYDE;s-ntT}JYTAqR1t%QKGRw_XsQ7CuJ6Ig2o^xVs4N zE#O?mAxCt{O+@vB&|Un^DX@olatClvVYdq8ytv2J2ybzbC%G756a~##k&}V8crou7 z+7iU8wGh4+{kXDKCrpFT_EEgv0#YyZG4NpWr#a}$Cy}xbNBdd0PX+lR!ec@7WY<}M z>&x|LL5$?;LO3#(E!;p%hn{EcJcbFz$=^3Ka2IfU?* zraYDK$$4IDLma8~yE|))Z+EXF>{RKdkEPMc!YPpri(Ha?F2hCb}mv6%-vXa-sp2}vt z4)8)Q91MRi<&{uqzL9RTLEcJlE{xR48@wL%LB0!xO1-rB30#Am-~^RV@)XCmO>&k2 zY`#_Viveg<P0+N`cynHDt#RxDp^c`TZ{ZkC zJujHWY83CmiPJdl1TJ3V#7i0pnq9r1lBk)O1d^mlTLqG=30?v#shX)AfTn5YJ%VPs zX3%6kGi=_}?BS~HElp`lkV4Jq2*8UpwOlv2uNlOP z9uG9nwD3@(DIEpMV^Fs%;gI*un~3lIjw&EVj^&lxQE##2q#4t`E548I%ey+py=wu@ z@vn99eL_bk7@c@KAE-$NT&13z%vJ3v9$}DA?J^&zWgS;Se|ghi&|le-Gk{fJj38M( zxgAhzw(%XiHkZ?kbzj**XFX-X&V~;BsGVBX7l)@y>AX)ypMp5=Fd#!O5JT$9LAMdL z_!n))G&}^>OsF|txI$5;kr%Vf=n*?Lr%`V}EJ*h~h$X!W0^EwiIIy-Rn{M!IL!gNhvu=cvSqx(6<7k|yJ?DQxwEQyw!KB|DB$OueU=v1{41o)$ zS3C_yP@m=?80u^QkD?Y^fQu%ZDhOlfmy_UP$w&bZN6SOuHlF4tLYP3yAHrB7IY)sM zPO3HBG(;417X7z0z(nWA=MeN=L$OL zBF1XLofRQmp?4F1d4b7XWV&I|WvJ z#MfKF`HF`Vp>jdorHUmSd#8!-IQY6K zET5w-L(JeUTbaVSBTQ$B`J>R5Eov74kR$T+KrV^vH__`{(a7=AWif+Sh4Tddcb&7= zR&CMiQZbk_n{uJU_kD$!%#TZ@xYY^5DzS-6tkuFj5W+{IPy;w3%QfI?g(Ytbek``} z-TFiv2?XG&SZNKFXCmqmJUkcQ^4R!75C_38h5sw`^OZQ!7Tjwwxd+G>v4dl1UAgKZ z+Vthxn>Zo^`LqF64CQTJG%%6}`F=5${o>)sME+2KHdFcQH{i_V5KnOCvcUs53pu$6 z089ClCp;?|8wH%TtT_QkHuCg9v<1n|$H4{5iY4GerSW!3+|ly%CkSKY?z@1;N+)07;$%1nos<<}M9lH?$+3}LOz+5zsdJjr+66Zs_@9-hj#Q(*d;yps&_Twdi{|Ah?VLwP9+ z4@2dZ99IPoucgy0aBpPPYH)9*nLW67auV;{c`qNv!$Y0CI|6MVWZSdw@KJW-by*{| zG8sZ+wSmV8Q+4)xaAwMz0|;}~hVy<4<$+~}|C@kjaw^`}xdvAIo#y495#F0&W#j;! z1V?W?iO(@5Rj@Sn=tO9a8;}mo@pZ+pHsPEdS|@(tJAa;Q2;}pJ^8LF|!#V$=sYCI7 z@fvS@UovbyEG(VPYx2vQadfr3kweK9J#1lfd&E)J|$(N$$8c zgXB<;u5f#aCQgS+F0JCi^kpiXj*TN= zTmdcO;ot`Obpg3a6TBh3MSt=`cbmSq1MUvF<)CMGDW4zYdlcIPZH2UmSCEP*E)OdA z>Ao{aG1;|($^$yYA!G^l8oKN?`S+%G2YYlAD~%B20WR3pjkWM;UgJW;}q1>cZDDgWUdckBmKm| z^e5`l3GgP0;Jd&=bZi9`OR=2Ccx$n2ENo))`F;>v(S~1lu@id(!P$#(*3k47z0H8T zAl7qe;4hRp+5*HKjwJ$xPb~mJ!XqDD3Krwr!e)qgc^%$EMJ3OfVd8F2sDz8doJd57 z!Ixn(Qmp2JQBM#Y&eRx z0x`Z4!W%*-7DsziY%<01-4eHb(23jP);DOoBX;x5bXUy&48T3%vI<=)6k)L-MM66i z18MFsEXE)!!{Ls%|$@?vX+7|PRXr5Mdq zS(OMo1!1+Y(F1uTe#Wl>BW$p~4V$%MIhUy)i#FAOKM`xLpf69w+TKukCh~Y>crGUN z0C^$wIkt%x`MnB*+#&<#rp+Nc_+MELHJ(S@SdzXQOG6G55lek z+CGXCytY{{Oj4lIAU3vuuu(KNfP50!uc6r_O14AgvpB@V-52o-hswHgNEi-NPrl-~ zMqj#N)JI@)Z4`*1yj2UKk+eAmO=GFJ(rY5SavEbQm)?ODGg&_z#8pl{1uJJ|H(v5^ zmwkQ#IVX4X!0917wL_by+-nX^FFF4)Y@V0fdqBlo=G}))A9?C)sQAh`TqKW?XAi+& ztn~Z@E?&BDkeeVEUICXVXYrVvB#-!Lskui@Rm$j2P?Pb=`0BE$X=Yg-IbLbX5N!Fx)2u1DZSyLNVZOe@V=aT5H^dY zl+b)C^~zx7nJjmQ@P(Yq?8;$Ep{EQa?gwcH0PZ`7KNXnU({IAnUSUN?c%DP3OZ z{-E?tK|ZRGgAmrMYYRad)Nut|qiQ!AoT(;nH$0eWc6NtN3(XEgc(>H_)lB?e!f7h&W8iii1 z&E1N79R8Jn+r9@gY&0sAN+huSDd~YF^~H zL~nU&&81{};4ahS7f`uNS-gUAkFt5xFQS{AvEHZF990&RAiP?8 zZhepiooypHdfKsu`?K?B4`}Xc;#0f(FV5KZxDJQ@-k;v$`##?+oUHu^=0kG8lBfKG z&3O;lA;+=k)xYgI=ssMz3Eqz!^?=QzZ6fe_EOrGxkN?Y8?ZihuH75^n2y)7!9lq;Q ztszY7Q6~@B)~6x7)yaU4HAl~EXeUP!wiFtGHhYTaDbIlp@k8xM_V3}yiM~sRbuTj9 zi!Pt1Tpj^^=roU`zSNxWn+ue93vfR&UkY3dt>f}{ER~%FAfC3aL|XzC83T|=SNI-I zB9D6@$#nWQG;zalW}Zj0a{$k$5)PQI(jHH=U869rZIsYL9U%=JS(0_odrSAN2KPFiX?g^z21oxEkc%#uX zT2%t>Ieqsl+Fnp$Z+Lh~9k!wE747GKzNTm{CcdF1v2gpAR$qYd9j#vn;d|=U6{oI_ z#&WIo110gZ_K|8hxTz=Oj!{6rNl;F{>j3uu0(H?MJ+U+8uQZ0d^6 z{ED@nFyPeFUF_sB{hSEdhAw%E-CQg35|+!Ma$bDy2`k=0&k!m;!p#rXuBYK z?E~CTv~fY3KYsfLT!0wBs|kT3fN$6!u}25OU}49%PKcoARlrf0a7+g-T!izu z9U+RBfQuAPe3qibnZH1y#hSm->lpE37jUs6_9t+0Vu3EWc(I}za0#Ne8H9<#Xaq=- z7!m{AO>wdl{M{1YZ2)&iJX#OUyJ9QPZui9FiO?(*%fH287KxEuf4?tA6#!5ylJn8_ zK(uLwzLbbXeC!X!5-uy0io7ZqD-(nM1}PU^ctN5@6aU!d4=a> zLs`=r9*pD^URgJm34PIKA`5wW!c<-@LqE-A>;5oiF01)yEu_~qSh192o&jei3lc!A zWkYwU*hoXJ$OK7c2P?r+6Alt8=ko;*lO6tqX1FW}M&~1BJujm~%0RA&M#;nbpb{;c zR)fUI!~a#lWe86YaWYL0xOkbuYvKv=8P|gnWpXFLlce)S0FvbgPSjImmrD?)${#o) zNRzj&1D7uIYtV^{vJ1c5n;|6!yqVIwJ35gi6Zp3ovgM(xz~#uLry;x~+k6eTx$-wd zaF=CkKG)T;k=sZ1r=`<^BO8XY7IX?zG`qagcnpOXRm(hAkTyTYQO`i z1gJ~=_y#It33!ltGzWlSxLDPnlixU1n28R?tL0(f5|myW01{Ph41`H4ln2~ob@Dk#ifaE7 z!aHhLZ+O3}I-P-Lp(^1hu}IyW1C{%#8_(s%O809Rd!SZw#!;ev%0dAwFI9~k zJCvy?2Xvxb+1&=9LQORUyi!>_1XrbwM*>%^PVmt_QodY>tx0CYgTeG!9&y23PMlK&$HmjOLL!7{qvgZ z9A1WNda#lC7R}wAbhAf$InWsrqKtuGR+oV$tc&nI|yNgX1X~v zD>W!@;5ukbZlO!nn#D(fd!z|I0zi%CqBB%#H9L8)++)p@$ACZ4Z1IK4Q%xy=nrE6N z-{53D*GwD_zzfZDemY)iGG{~cm1f#fz+Y=_x})ul<}j~uztseO2S@KTj~&tWUh^ZT zyLFoDoL_y==#B;Mqoya90qZqQ-vQU4`JMxbM$J#WLE@8U#9!c=H0`-I`C0QL7e>Em zp8Nw&SI2`(;Cecr`FYpZ+06?*20F%z&?Q5i*OQ=Wq_cJuOdIR0%7|wE>EYH3%^%%zK%xE@^wz}?&7ODIlNeZO=n6Gx>Tam%nMc?>a@BIn`Jt$ z(!iDLEQ~^1g-(V5SE;k*HH=m11ai&1S|^V0rAIn;T;^!dX}b(;~FJbHEvDyJkSsNW1tg;Ktg~{8X7}n=%0~ z)kYpccg?gHdEL!iYZ?Y)7TP4<(PXLpV<3o?cI8nBt+hcMYuIRC@j`*E*3l50o%YaE zn6}q$S_jTS`}1Z19JOmN;q*9ZJI;gfwDzU}gwEPD9#qe0pLT^K7i~B%8@Os?O2D1f zw&Xe7P3z3rq`P*{?;z*2^SFZUp>1~?I8SYBPA9yypL@dld95v1-o3TveCzvYcXFQR zs}156!3)|`Rp^qRHgp+;{@P-$Qv_(QbLRtbLVyd>o+}0k)|%}C3DK_Nu{Bh?RtJX} zrZo+Pqi}7{j}S&^7dXOLq&AOJn<(w8B_Pq-*2mBmqkW(c%~8< z&LaSdwTI%+R-@J78~U+!pbfhFMC-;O&QtBbhrm74R`Ht^AGMo#({F<|kGCB)YF}7` zeA2#I0CVbT{d6E-Ip`03 zUzPtCzOVkm7i!HGuEngK$-(2gDUq=K&DU2d6G1saIT9QQY300<%T z??J+-3)gnTX$%)ABgmy4gpuUU;R$}T<~eMp(GZT9F4EVI(9EE>ebARo^2|qD7HK&( zE}({85Z<8OD{w@&XlxMLZqpz9aNnUfcYwP~pWI-&mKM~&^b;E07b;H)J6JgHX?q4L z&*=nLS6$<-%&J&iTMAsMd0elaU5_T zX!1djkMzTI9Bn;W)S-h7WH=4NM(V>s<0o2I2hKuN@dBKs`0g64Sc`lvY}$ywzX7oo zy1XUEP8`ico4qjQx}k&kn@34UF^I=@CtIiS4Pf@u-+g(;(03^gRii(1>k}x z;%CQCT;Nj>Ew1o_W{h~!8;24n;&>fAUfA#gNP=h|2jL}gL!yJZ!qf;{p15uVl`CQf zN6Puahu6rjifS%3ToYAoAiOS|Zh;htZMXRtO!2 zSBl6CXucK$$Dp5YL=@*J?}QJp`n(sz7lNx3`t|7b2eBa>Dj!8I*9q#y3!b|g#2;rs z%;Y96b(zaJP5~@s;R0}0QhohKFc z!RCmy{ct3se8xe2wC%6Z8RNLWBnLsh(S+;uo93Ux_sw`HT{59B>Hpk1BXQV)QxXI0}6f! zVnlOzD}*r>^OCU%dGl(gAJy{F`I8BUXMq&QH+&Ga?+JJ?9p}s|geLOtnot@$4EDol zff-ze)1h?OjG(|UbS9EqxkwsCXL#Kynpz6jj3JMGAhGm;q$siw&4l-#>7j!U- zzUK!en{IQamqVS$K;;rmUkF?-t;<5&WpZi{cpjO%!}Jvj?gTC$zd3>KUZwsTbm=+e z7r@vHisbbNY*ae|;cI$+0V;2(D@T5BX-zH2J8~ZY5ASK?8K~6J>kR;WAP;^NKaw8b z5B2oM0Kx`xCN0%9Y+%m>a^7*7SU6XrZ?+6#YE^wUA?=ioO=%x(`ZT8!t}Bv!mS04`4G z@ebg4(Z~}=g0Sa6AWAi?a@Jn<9p;1W6U+I>SSnxXUF7uyxOJ8P+QQ#i*`*Zz+~gX*_1$FyZyz}) zuk(1~Au}zYk|uv(K)Q5@f-pnc^DeGTS&#!`S+bJT@NC()GgNZqCeE=hNsoCjmMag9 zh00|adlSMuIba`zSL6o{((`5A16a8#js8Z@u+xX@`q$+xu5}d1A;+M3LuT_ZdQ&F0 z#|6J7d-D)|TZZ!len-YNLFKND!auw#GOyWhJQaOf~*UDt(BLK?fl{RoxAqV866P0rOakN!Q+XdjNrE70k(Nzv> z0oPM~WT%5L&BkTtl!?RrkT!suO*|*{Rm--CoTu!s&5P#e7RSs;w8{&q)>X-jUPl zn^*AXtcG)u@r?51Qi+Qi!%2awYQ@3QSykH-ZEk9{HHfd^j0~`L44GAyc*%FTJqkJ3u?z&2>n#hY!H8yy%{7xjpkW4P=()z zl_2%!akvdu>HolAh#ImNjzZP;>mXsO(=N1ys~sx=h*0C+K_ybzcYu{B)#^G(wEA-+ zRASVp1K?s+4d)_pYVLo_#>((#9CU(u%`5zg>S;c#Bq_l=ppumvFO#LHfhho_s@D7* zrKy}X=u*1!Jqbq_Rl~1g zby>CVhR)}y$6WWkq6REM2lLg6Gtj)Ms(60BruOrWtLw_}0=NRzX#%(#%7bIAn`);E z$Sw6?1OT^{53Af!bNKq*RsDa5v3u%Q9+wK$gjnE;)bthL?yF}{;kH=y+z0YNjlB*l zCCc_!03NC-d9YHdiaBmCQ(gE(maBH*z*VR?-ZNCGzAb}hm3m+WVYNEIyRjarVlKbb zs1vQxR;!+t0sdH>b^$@g(G_h^)nG15JyW@7z&%$w--5hQc7XuARGVhO*eext8GzSH z!=uz2Rl69%x5|OvyLzYga02vRy;_L2I%UqI;s-T&J-YN!4d>^!UM+M5X;4qNOO5LE z0JMElof6@;N&TP;?z2jn2=Ya}=9;0drjEFd2~Cht*R-9WVH!jsWrp7^1jxG_fV{R!#CynSy!OB;XBUoHty!xVpF$Q z_}pwW9bUGaY6i<&C-6SLZ7K%ew?8dM>yAq^(c7ILM!?RlmAp@KciB)_-qX$q^1Y*P zL35u)SD^MAgh1y2vJ1q9n|YKlq;hvO8_}?D!I{t*9wAL>>sx4;(I4#4oZ54d&w^+p zR4mDyGYBiHc!V}sIRvM8_w!fd*63jNBVkrQC-5*-}_l1pD3LwK3S^aUV~dN{%66`HJtP5i-V@(w`bc1F!b;^dLM|6)jGS<+|KybBW#^>xYjpD_QCuIB?nonshN5aoY z`vfY_>8dVNUeLYaF!qwx@T2vLmb*anH9e|82j7q(53q0PaRS=j(fldEy{A?`Ls&<% zxj68FI&*mSk({QYpY=3d1I-4yy#`z(eJO*AzxbYWw*cYO8=66a_$k7wl7eQ4aOLDH zRJ7uy^)QiR0TM0@dA5xZvw7-{6uZ)(5+%YrLKrQ~Ig^PI3mnnGSka!B*y6-~PW|G= zOkTH15F>d9L836_m@7#v=kO|7G;yIOMLbDITdL^J*-DzIS_qOZ0u+Q7MOQ!g%McU! z-Ly>6D-^;kvFLYj*&>lk6FK5U6abgRH!Wc-SCnum>9Ux_E980Na{~ZZME`X3EMM&5 z0Pd;?$cFc8!mJjiuZwOMAS@6o^&z|=Ha7>kDQa&4eoI{73e0Wsmn%%)5s!IHyenSb zhOv8M3ISIrg83mS5)*iyyf6Cohf1;diI-s>hz2enm5BcRVdbIdKOd$`Ma#nwmWgN+ zaOL7LCv_DffpgkQv4of3s>EI!aMj`;uF*ddLA>TsBlZXg9pwnl%bjEl7Y?1}sG}ff zr1KM~xX2mL&y(V3*ajqzk}NgvY5k1Ke-?WaDUn007n7REEl*yIq$#ZQQqJU3c+#` zr@?u&WCGYY)psN=B08USJ zM}naHtK9P`eLg*M!}e!+Rgciy_#DO6$jCizgx? za9(Pv4{V-SokoH4R>m>typQtWQoXO*H3;N_%1Z?CQ$Eu{{FUV;*bGn;2g6aI%9##h zL8`2C3DCY5Ioj^mmX|Vy9mZ&>8Gd62ypD9f2KlI05Ac2T7$ex5-g+|Z%=msb zT+HgF2hG{lJY>x&;D>(g0p1t3?f_S%He7IoWaB^^*xWR=6rY>NahS8^*=Jz4wmbsK zws*YCWV`bQSl+S!FZ5(*QanC)O}q)6-48f>-V@8i*WTAxp}FrPuW#+Yv=gldR`a-Y zu=^?KAKLXBB>yft51qr7_u%D-?QhUL`p0Q_Io7!gB*(AZgU$(zWY|IU)d0v(+5H2# zE`@G{2|d~r4YWSR7DK^+M)EUcNEQ65l@Vn|0&7fdm%^n9nfHOvlvFMNX4IVX4|6J& z09a6OKiIUS_Adaiq8MJ+wx+*QpkhPc3^4P$;}cp9et>8(9*0W>=l z!a(X=4tNm#*cP~8>d+pY2%&SS5QdWVcyM8~V=lOG`knLm2)f71YmpSMfd{;$+YZK} zX(N|sV`$%csKio-mQabqYX-o@)2M||Ng(r%5GImJKqr!D%xd^crox$MOQD4!z@<_r zt_r8o@-t{lr*gizE|LSkrkO#jCc{G}Npo1qqH~vEI-9z2^(cp4qAG!)tao1ka>_b~QX%E6}1vT^sS4o~coLA8*K89-gVGD$hXcfm;HPpBaov5X4o6+`| z25=1WgyJ|CdP;rAgFK`3GytB{v9};ED18*jOIpA?S6S?itV}s}AD>hGILMvW3yXT1f9k)5mFW&e(3vr* z8RRoDmSFfA&c8%6+q(we=XByHX71;G(3!X65Wde(;PvMP`zyHz2`%ussO5WnF7CVo z2fO5E33|U2yCN_I&H51*4(Seu<-_y3K4Y@J4ihGHz6QjU!u-%? zMp+-wW=>(=uwp@TdO>JOGg2V5qKUDvVokZ6{o0UaE;w7Nb-=;d(Q+pcdph+7#DTV@ z!6yEwmP2MIvNZ(YG&zojF=sl-nd2F<;}hpXTddLMN<$-H>@0m-49<-lCPUMmX4k{Y zIePOD1b>xQh%R}O^C-Z*D0dVbohQMOo;S_E1Dp>P^oOP|t#E+m1v2G<$&aS0S~6eHsCP$OoEkAis48oj9yiO3#T9jV-Zwu3@(z&Z2^cP z{L&~7J|lS@H-^UZfE`Ptu0t3{cK?9HllBv=B+%o(K<-lrFQgSyN^^9+gm!Qt<{@=m z2CkGQ6{4+-8m5Dk)94()E2uqhfv==*zK7c?3gH>QnhtYH_lUZj0=$OKJ%wg1ZT<;+@bs3ree=5sn)0V^-41y{6Q(xWaQujo?};IHZU0GyCFG@EPr zZ^`K#9KEBeHQ?~}tvg5^WpF9v1I6+v_mOfqO{}NkSHZc8yN5u|imy01cNd-bDLE%> zc;NLA1^oV#r*KY(&`Z?uuzy|*=Q6XmIK~AWA2Bl=o%a=~qoHy^L~cQwpYWIf;xGQ- za3w&rjfJs55mAGa93(7%hm~Nl=>WJ8@!>0IhKkrd5Qd5OTrCI}Q(l8ahy@(XM~d}L zfJcd*4eCVVf&h%tA7ixp8{;2}-~aU>Kkp7TteAR>7tx-Wj`qF1r72nQ( zJQPt?uu>}C@+B@4o)+jtxhUZLutNO%J4{!K+@TOwiKV45T`lYj0e>VC*1%?sn98N( zT5xb2vF-r85YPB7e<^aVLFJXGMm+}Wp%x}+ zdm|Q4gNL`G>Ms1f6O}w6y%*;LVY5y|v;p@)9QgqU_E8k_tzR#Cak6q+j^^aSSsu*- z&P7h-9bK;S@8=MnmFr6&bdw9%gS(94rR#HY!y(x8kmn!4rl+jVgNm1oDuS`|vi|_I zdCLJe(N7-x;0@e(Wdw3`bluu(I43gjSRSA|$xWE!3 z`+Ng`q4N857z>jXT=fr^-*7w`f&U5tE)uH+&@7TJe6sG#i94YAK=$QIdx=!vf_o^t zY=>s4e99-eOxCr4X1SdIHM&$GXD$G|QXbK!~hk~LLOsgVmig4D|4 zp@2V@$s7+qk-i$(d@7&t$$BPx*r1=!O8$@y)34|{`i?4(Q)?$hO8_{nIuUy2tgg%eIiqYiIdV}Gxp?TRE+<0s ztQ!6fZEotdFWTId_7TWA6>t>9Lp3f#Cp=ZB`QW^i_5+ULy!yTX0B?1?48%um<1oQj zi7?o_pkDD3ke^!B3qpV8+yTM>b;KGZP>s;SN{~9vd)tE50G|IsR7?{9q3YTZaAE2Z z@0vc;L_EwyC4_UdM*NIs89S9XR6D5yJe|O zY3O{mvf`_fqbAG(xug#B(sZs`$HU=el|B}ldCH0})fKhpBHHe$cxMlf26$N2DEw<|T1yJkDBy$mbFM25MPoyDus@>?#)P zF;D#8y^%Ku&3)hTjn{9-2y}aR(ky6>c*e8ZD6b5(j=tR*pfORGpflEVDXfm$)QIon zL-<~rFpw*h6MJ*5XHsToNG8AG8Gnj{6(mz%PlmN=KXRt?&pqCQGkqwJcr*UG4b;qB z4xMK8IP&JsGympa4yin?<^t(@8j`KpfpK!0`62I#Ljb`GCwPfdd5b^hLvub;{H z&%g1D@Oik)4?rDVW((A@4*g)~_y%X_oUqye`N{D-yPjHp5t_R6cq2r5WYPqpPcJ#T zF`(bLOk_yw_$>k>sw@F#OgC4%rMksXtWgDNY|&9H{IzgpM>)1ECW=<$>)qnemP0Owqa! zo}u=`0e7K{Jhb`KGhT%Yp!Ymq1ks(7Ai-2PAI3tcq!j?6G^YldVKkU0m~cAEb9w}I z;XPxKR2mKvMVUNI;P>c1qAiA!c%>nh$~mHlqm^Me%y_aggGvG&`vqJgy*G!=B%1mw zRFbKPpX3xedJXVYIjo=Uats%j zt>wfMFlHkUagb^!8=c_RUY=?J&Ot^D0OuqJaU^nD4sqwJB2#(Da*@_6(B>+)?1AvC zY{3N!H~E+YnRD_cZ}#<&^*i9mQ!epDo0oh&3qo(Xfg?K~d4XeiU-<(s4_}azFF?g# zF5X__gI%mJ4r2QG!9969n7aF=8S53ad#(gARnrDGewugD3UC+EwvoGe_G6~BSI zCbQbYZGjBr!q^Qt=37{~Df^e9?Uu|P1hPbQzG&0-w;7dh+~aJnj-vu!=q z$fwRgh4BDksMa0?z({#Ez_hWl*1^%5seF#A%$4p?a2D#`WZ*2-R4xNrD|3G5%tn3S zZ8Em%H!c+0sjpUpIH<{W5IQOxI!D<3zJQ!oy*NlcqxuHHgNq6+hiO-}TfpsE_2*c0 z&|TS$0Q{V4IUJ5Wlm38A;L*M*9Y3g=}DUlr0EoS(8<0phQk6@vt* z2}@xtNbUUtT(J732qZ+s#ld@+vRwmz;p*#8;3Cwj!LS*r*0zL7v?~4)Z86H?2K>dU z4mId?oO;8Fb%IKN2SB1)%2`5^@;n1vvg+y!VX7L;+v(EOK=zlecJ6_di|Qb6n$1MY z0WM30XaUGp{?;Hl>W2bo<|_M_aCBMC9s@2|e(%+4PL{WwR@)Y{Idf8E6*ADNG!(7)a z9oE**^hN8Q4ojiGcQ0>#+^^+4@4zm;4GvCg1`CH8^P&0g_DskRpS=gkk*B;UbTqjK z#hvNAGpwAUOupA#D2Ag@S32DYm9u2Q5tJL{{s7`m z$2qn;N6TYyq#o2|DmvjwCTG#+Mf)v)J5M(`Q1m9JHmkOT(aDfaX;lYoV zE`z2&*-uAX0DW5nVJv0&K^RBBSwNUTdT9V8QsoC2OQPJ@XiKIY9J8j-HXbKa>1QrS zrcqB0|I+dQH&D4q?KvdLAWy!LGRe~!B#S=sbDd3n&V$RL`5j>85}9$SE0-Sm0)Cm6 z@(rIyH>)7LLhk$n!uiyj&u$S7cn92l+Pex?9#AKq(n=_23H&{zQcmPb>H1h)lrmbz zp?f*4&cG4jEpuKusH8Q#)Lcaap1?{qW$+pMY$wvG&4#ZZ>4up!G$lx-j zy?Dp9BL_iG!CesgoYDA+06X9U#IGsnb)eW$0nhqfrOCKEQJ#jpL~Ax8L31t3;r@rpC{6t9G4p19T)RSsdViI8aEu8Zj(p;;j6j=}p4u`CI~n_|UQSh*$Y`8wPdb{rkw5t}%9xGQd( zqV1k2{~lbS*uxcqkTG&CvNK@jYiXpGCK~(A1TWxw@ezyYgEM`ZAA= z8OX~A;LlJV4}~KmSsnv`v2^3H&_q580x^|dT$eYK*ROz>%RAmdtZmpPX1U4p}qVm9-0m^C<|~$xq1Okfs-@}19w{LYy-eq zUgJsnj4YW0&P85s0CAOr27;WGdnAmx$+VxqxyxQW6`qqvIZN@7Tjs;Gr#zR8WAKvy z_@V8*?Dz++n73Rs6~ssW#nY>=+`9|Ma6z8+fFnP7?L55u%fg~ zIIi^nFkTuGiozV74{$z}{CYjCY{bw1^!}1ro_&u!Xsw2EZK~KHBiB9ON6fN2e zlny&GROVQ)YW834D2q$2eO)>I>vyXwIy!Vj3w#b+xDT)qAxB|qq-S$TMrCtsI=cD= zH2>MQ4)W;+*CC&Ir4ip}9kYV{+5euz_c`vo<#>hdU>wED=t7`Yhw#L+=F1T1tbM!_ zpX*AiA>Xxb9IWkbb_;g)4&{rx?;8VX?hoFM13F;T8=tyV_B#N2}lC57;>Op!=ULz?!5EtG@U2~=S-IKLC#RnAsm+vy_^C~U$X5B zxF20paOzKU2SFu(F7t|hAeFWQ7fUxbfy9v~2h0g{fNQ6T^ynITmPGNL(UwflHlr<< zF7o>6WolCg4_D|I?@`OADBf;&m8|)>xkfYisl89l_!m2hX*C!1ODJ*=gb&GzC*e}s z&>wAORKPYr(1H#)u#eQ*9V!hp@i_pEbRrp=pXeEfrA?IB8RRqBmczprBCdhziYvSf zqbHKK0iZ8x?ZFv{w>%sfij$epG!jpuAv6{l%V5kz)CzRhRCLw@F%zf!p<*t6;{vXQ z*zyLPr5NFePFRWVJb79RqaX;+3f+Max`~v>&^#xm*rG2UBB~48JjDv0ti8nHIcPgC z1P3DC;>bCu_=sc6;nr7-KM3Ik5yZ72Kd~_x-Sro{W`YC=msY?9iXtcY3lh(HxD6I3 zd4LTOe{Y7{P~ltxl`yeC8Srp1u@c52MAsO=BZcpe5Jrj3e}Ib?n|KC~5lgHAh!tnY z0Ujqha#1*5IC37DAU--nB~gsvIW$SM91oH#{$37$DPk{ghD#M$%>hUg6+9QFi#y-K z*hSIC4!8`_k!Q_JF`9#zEb);`Hh08fj??an+_?Z03bPut;jIf^FugBwe*vIaJbVL{ z2jT+X!X+Z+2HNlwZVBK@Mdce|5wgiHxU;4ei@2x^gI0*8b?3-1i+Z|#rQrh z;2;#<+HGKLv>a0ZTCZ9hb+1$i~%a(*dNVYx?g67d*Ho(_0&y}!q!m<{hC!29O)&-miEvpAHC5LPP%;>WgoH@cR{7R`lfsIBWd+F;r~GiT7RD(vtlkc9h!%HtlKN9pD^jPb&KENNYX< z;6$E(pcAL5*GE`!rdIc$d4|F!K*fb-okE){_1*~KS=!+SxEn=Pq0OC!5y&}u@h5N| zl*KDWp7hfLsCbdV3joejX*USHDIf|59ZL;!!Nt)E9&!`N>?*iKiq->3qTxKIB-3Xn zz*Fcqu7IXeYYsK>-&fzGpXv08s}dJ!iw!vJqTyYJnKXsh6SDB9lQ>NLdE^z49GdnX z!b`M-H&o=3M=dljlLaUCc{FJX;8$p`A%yv4dKkj1bi^9u8buBP;5vy7AO$plGte7! zfJ*>3DP=K)x9Cj+`gxnY_+Gt3?;Ih#OPzPY*gd+%b&o=N`wD;}>Ng+cJ_Rm@u$WAS zfjppZIa4m7ndbp`NPVY6SW1N)<&{z8Mf9wk{vS)<9gxNG{O#^ue;zcNsxgTz8f%O# zv1`=WYwW%E7F#sY2m*qrAfkw(bOfZRh$tdOKy09Z2x0{kq(~DRi1>cy`+NU+=9xZs z&)x3q%-%iW9`KOQUhanEGj?$dJiKDfD3_{ciz%sj!y3~4@|KmWI3akI<~b1Gv-xGf zYFObgNY*lY+WYx|{qhNtb!+Vbx^p=n^GYtllSZbk$XI!nh;rh2|bdN%_C@5 zz0a-aQq1A@zrpka9;<1544Xy#M|wh|m={xDtAvj&g0WIQ+5sXj z`O6?86^G1 zf0Wjr6nkhL7a&g4KGaLX^K+<2i}725#fUysu!3;|F@QFEJrGmdL*$`o{}|x0IJO>=PsC_y`#cq!OA%nM zaPI(Kp7`-C)bmB7_TW7e74yJ*E)K>*p+Gp&MN}wyQk~?52&Xe&B#L{1_d(QcfWJDS zWr0{PBBn#{lQ@10;aW=P=?1crCbj^!OL95@Noy&0Fo2B|OqmE?2fZA6yQK}kfoLcB z?uVmeQe`bLcWH<-)K5sAX?f)#oyfr%^pxf|1Mre=|AOQ5me%foh>zq!6-r-eF%4FJ zQjZM){?g1K92ow-hSrpqq^a>BMoWF^wvUlGbqHdmTDk+`B&i>wj+e@t!q^q51A~VI ziBX9vQ7XIxktE3~3?f&hSC-%Hf=;MiqgWFRd5|$!F3^DsMcOQsaRYNKe*4vQS#H2OeHXlfB@tR(eDM zevp1y1)CowUm7RsCCg_}_#`FMQr%K+O}UkoJopVncF8Sho3*t(V=t`Opf3m!TlrTi zq3)Kq6~f;!*`OwZyS$oG$P@Bydg9tc{*QWAp7Iu2uXxEXX`$jRhxCCJAK7LmqV|=28eY(Yb;zq~OD;G{gQ4MYNDD?ji8Gg@v$bKGS)`8`Bp zTG?EY3uu9wAb+(6yhOQzR(whF$WUNc<ukJa?&N3z9$<$z-G2Q zaR98`m&51<2RU+3OJIfa)~x_9_+?-x-j6FDItJW{v#$O-O!_ zN3{c1C;v`8tBhdrSHH zAAl6)mI>;qN+I0=x0QVAxIR$!w*q*mWXuP6tmM|g(Gw+dDr`Pg2CoB_t2n*|@1;^e zOO7(dA_$Hul=D%rS*g6eidd?Y1~i(!Qm&N%t51Mr`eexsparT$7k+%9#<--ygw zJ-GprHfql~0Eg8hXF+sTyOl!3P5qY|L`T(jnb13?`t<K7gm@l)T>z3Hzmp=9W!YDM`=fI5x_ z&OmizIYfd~MZ#g8Qnym|IanS3FVsWS;@eONRlmIgkucSTR=CmXA8R0TSzSVFuvm5J zIAC#V-yo>RtCyBR?}~c32To6d+Vu%U64d}VfF$*P5k#)4p)|cDt2L9LcTN3%IC$69 z9=|~0hB|9GL~g1dUxIi`%{vc~6t&+XV5#cZ6qvrPI?=^`N6kmL|5qpouEx=HJVohV zr#f0ej#y2#nUP_mAvk*R8Yqw1GY9mszff^@+?Wh}AKxwmQA~Jv6qJddXG3yQ#U|MK zGn>-z$r~tbn6iW>$*JQ$z}mFlRP&fF?Z@YgU4Oym%-!NOTp|ZZDkB$6ZgVcD4XSl;|gOhskU~S ziN>&UhFKm2@hp3@16Vlg*8`I0*jO(FAHfp)K>a)`NrXrm`-*1mbhbAIyt{1mT40&% z3%bMav8hy0%wj)A0n27Z=V9zV+ieSw!)6`??*Uu77FHfIM@q;av4R%3rysL=6JmM7 z&eE1I*gEiDvxHPgzF}p{Kzz$`s0#j$tu6xbJ+q+`S;KlpLj5q;eu8ONzRQfr+_-}` zu%rBGF?h%LMtVrWo&T~7#N&LzafqDYjj7Y^!JpEgP66}gJ*d{~!(X%k z(U%9s1M}mtjllEgtA_wP$z5}S1#nYaL>9;|(7+PJ2Mz)86xZ5AB$)p~^<-4KFCpAe zu2M!8#y_0^IL#~Lpm2si&Os1odG<#T!+E(iFl@t}1Dg>%&j;Sm^SoBD63J62vA)3F zz5uw$2LwVgiWk!)e2I5She$NfqYLaZ5AcNd7=D{Ft61))fEULv&|aK)&S+G)!jE_( z&II1%I6NfsMGxRTiHAD_WN~M@b+dU>YHH{3B{7Ke0r#ao0yg@#1Mv|L9|Y_%cfAUK zPxx29aP*WX^aaS}B_9FucnB@F@_Bp83*Pb_)Np#oFHxK|+`Ajp@iL4?h~)!6N@HXl zkM0HDNB*2@o%MM85{RF8)m-RVicJ)}m1uSeHg^d#Ew8M_*|ku&5#4_Uuocm?jMy!5 z>4{}K(Ssg1J|P;-#PN9upROQ!i9jlsdW(D&3O-``KQQJi94IUC6P;*`#ira?5KoE^ zi+}|P*Z#Cygk&o<_ktrksU!WG_R^eCi0qN(Q&rVLGN`WMEe)eJ zo{v;cZEOS&3}{%Y5?k`&NP~Sl7hpaXDRm?1Yjk#*asVZJ)^ZoRh-~CMDpnqrv$jCN zRbIaiLAc3Qi$Odp2V3D#j>&(}YS3N2*$=TCmmAWxbVBY}1uGu%Z^__!%0u=+!AlNJ zgSxluJPbr1d1W_X=jFon;6=(Cs0VOS&ZHSHN;Xj~;gam^1CeO?@j(Q5S$;uxPK+#F z1}|3L9)&8-$c3mz6;Ms(kw@93{)W!(io} z?C}a9OYXiMlK16??_o1X#+8q&Ty8|e#Y1`X7XXjs+f>VYEJsk^_lbP53JT?NCK@w% zr)VfWQBx&{HpM}|lHa>Pq*`ut6e6$X#uveRBik3k?OS0K{9$s}Zo7s(ei=%-c%61=Q~--E9EU6hW(kbfrs6h-4^J#=y~C#foP1 zXG$Aa_-&kOtYR+0QR~vQ`EI<0aDe;G{@am6)OaB zN3FJlNSc~YIZC=ZdLTSxsMA~#*s+7J~D-VG8R_#Koj(2MBzX9H>(Sm*sXON0L)ICOqCjY&2}lmb=4Y8gu)Tc`4AM2YGJhUKBiUD;?-U2 zOpAq3?PfecnD&agQfD-YmdjO3dJE#9kH+qC_O%<2(`l4(c zU!)#%71#xR0*x9M_1+f&qV(GTVC<6K`A6ub=sPPwOw|i0xxAw{p&u?ycl;Sxx_+5< z0%ho#G*I8w?dstnQ%{)=y?gpp0b-WkoYn~0x|v?(cVF+B2VRbDN7rtVK9-8_#rmlQ z0Hyjn+Rpk?KSB=)l<7axCe?EN8dV4?^eh@9EA?&8;8p2c={2e!^$B}Gtk)x{lw@i6 zdm$t%WAc0ixXTzw?@P2cLa9dPWL&3G=K-VrJ@5`1cWEK!Vw~*&;vplJsy~N~QUAb- zkI}3#h`vTG-TnSX60HVL8dqo@3oshrgoQrjXJuyB8{O`7rtmT^Zi zmyDbDp%-mjp99J3Mq>ro4P%}TE4Pf>^gK_Bv5ZP=sm6x;u=2pDqz7Ri8sQ<}JvP?T zAos)=-2&KCBc%Z(UmEeWR46n4tp~Bfh};OQ(r7&vrmKuiw|RpG|J%B-mx`qwvj#$? z)0D4ZW<*{BC?nUT;rnPCS`&=Py$YqV%i6-wxL!2NjlZ)AG86h%fj+U{5$H~8O}&9X z+t4ns$!^q1nsPl8GE<9aE}wRf2I}c!O7J=3(`0aGHaG^YS_H^ zG0B!qrgrLXHr^V*o@LWGzK0E>Y0iPU%z@xuc7Gl`?qhSQytbdkQoF#BB~b+&eaIq+ zpeWH9BKRwvG92ka7OcXG3w!b_L=LgOG!!0Y3ury!%4WX>IKuq9!-E@J*9($InaxR< zKF0b4!n8YkO)153W-b8n1RF$Sk_U5r3P+yoz)l$RVm6ead$WiUAo?&Z3pRb(tjoas zSUROs{%kazr<1Jl4Db@ztUB0CWNAZS>?-pTz>--UwS%v*P%0^3XQ%#x-VIhY7Q~yZ z>H;Khv90|PQVKi24_GQ2K)=In*7ZM_zQZORg}*eGZV3|Y z9X3Pc9vip=yexKh3P3gspgQw?_T@?Na@hW}@b`dirv~^#_P!H%kJyn10FT+;S>Qck zn}@^uQ}!1P6}hbQ9q8pTixd#^*>`iH_l$K*hr%b;X9IYaJcVw$UA!q>oYs8k6%cLs z4?|$gmbauP<8Iz>6?k_1@*!BU=T-D?9eeoR9>5&XKe`9H)DQ6>8@&!JY3E4R#q!V&)EOsKo@ zGqg}X%429cKE|&FL&2R-p+xUEM*)P268D-x#Dg!P2@3zB`v%6mxEpm-BKSmlt?qd~ zlN!hu_#%1%%SG-^dnuy$&fg$%iCa+9A)4zY5V_1-x_}qM8&X;n%ZKg-7RQ&-2prGr z_JMeX7nk7p5_lKd4wuMJ42ND4Z}bL|SGkWnh{^o+as+XWyITXh&J*amzQI4y&~%dr zrb6--|AKO}6mE3|QK#}p^uW(;9y1Qu9bQL?Ng6*wX;C^KIs#UTctjo)iutr;7%Szc zsAct%&!Xw7jNfkuVmTk^jQ}h7!ga7x$@3|Zt>UX`YI(&^QFdF+&jtg$=I-?FyElAf z3?$$3b@kAD$N!@Zn(ujIDqGd?KgL0_mT%pNSU&J=R9Hdwl#5ufdyj6Qdj5w8fUB5G zm+%ph-U_iC727+3h__qPROT-Hwt{$ESf#?l3DK^_gxf^$vV~<8 zOy`I;wNQT`rqf{fP%NGYg-2pA)jJ-GNj-o)5sN!R;i>3B(^amxM|&~zL`Ry3^F3#Ga6Ap7cVy>&H|xPCR!*q&~~5~V&6g#i^R$?AQp?c)I2D`OG;t0R1B$vqn9G| zTf|Z(cGH%{az{D zL0ePaiHH;^ycaiU5I7*s`vVHjlJl34bdgRsfxko2o*9rlEZI@j*;T6O3`a+#f2i*3 zCT)rUI4a%ng2FMWpA~?+R7@`!J1(84()tN$z#5qLkjBP==P7Bw1M`x`Q?0;TT1EvD zA1TuXlD^W?gK*?0We$Z+eXxWvOl{9K}cryTf#>RJ{`*PAVXq@lw;#09T}H9X1oBJ9XeCO3gb$ zJzeUx8oUf?C)GkSr9?_T?n#+R5Xq8~Lczmt}ZEKjwA2Uocp-781refOc@CcmcJ;;8(Aw*MWIcTkDfU4GFR3diM8ss^5rchLpy zAy4}pBA)VwY$$lipPht=w|uQHcs}yKR3`J4SGED>Cm&6Lq`y3#OrMmWEQFN+`Os8= zK)IY|-yqrd40xyHt$sL$VA(qYdLeR)AXo{N?WtA}CMVI2cUrclsqTz?|1YSYm6ZuF z9ge>=1~?~gq*q8p$i0wYp`y}k0YoD4;zu0A1$o|c*t{s$QztM=UT_VjFUjTqyENp^ z?I3bl-aG`n7&-hojK#_#3Rs-%P9eq1Jr={*6}fvB^b+KQl@Ljk?JL2%D>tM?Sf*^3 z3lCZHO3DPX5UXXw1njlkh<4Y!ksDF1+*Y|@0kB)ip^lNgqSIn(kFt0vfP<3q zGkANIXzDfYQz{i;`<1b85TK*tLDT06*%LjWfLaD2g>OU&ZAS zMEsQL&cOT?-@D+QRIFRV!(}Bz12IOK)gB^o%0;?NLAIKEuv!v^T( zDg7BF^OZLJ0G=rYG^;&VW*!14P!jqgh(g7ZX8RY)Cz|7m6#sw0D^^+#hm{)T=6irz zW#evuI%Sh5JbYAUKZHoVGN=nYd{PP~16ZoXG(T9W>!twPrM6lL%v$xI3k4f>Y!a$; z|656XL(@RVB3kZu`j?iFgY5?3`|k&-zBTlRDfm8Y^(P$8ACG#&&~U>J-$%@*G;d@l zy75MN+rs2%M*9fI*!*wJ(YY&R#w~jUkK-%P!Onz;%ivBtdInmPX4CTe&pvCxo%|=| z)>HbA1b1rMCfJ{5rad#$XWxd*44+cipIP$&GP9fqL3j3F^m4U1F`q$qZmxpQc{lSQ zGyn8P$S?T49-j-lcf;qRCsV*(e2{AGOWN4uxR$1!!uMsjui*P~8(Ma*xKG2@%7rw; zuS)HX7*^YFfy|o7H~3uZ`xPYDt@si2^=(_j+Fy<7O54y}fU?nl8T@XtrzCxIVPnW| zS*_ypZ?Em3Y*nV?`?gt^A@k2ITBh$|0qX%ASaB`*``As&j>uf$Pac{5|){wl(X7_@~Ew*bNL{eDX1YoIb`(^NM zv!nE^`W@Dbt_5s$q?{<7$@J_)28;Uz;4W)&7rYV{NKKAXHi~uyl(D(r0F*P^{ZOc2 z30?q|tZx`V6;mi;y#$MAvu*#($Ax1}EY9{!B7uDv`e0bn0L{T+z=`6w!n2JncF@DRwS1b}yn zZ=s4xFwb2A5W??J#uCcA6vAd0e@W}q)BMXhAfDlwbcvtkJG(3=^iJw^laFw^41rN#m9W7TM^R|az^9ldZ z4U)P131!WB-0TRD&*i^iS4oTnl2jn0f>@&xt3;pdKN*E`ZJRV)i*; zkz)FK@Gb}~9#$@j?NpA95>C|iz9gJ?gBL9tp8~igil`owBK{r;z1za<1>zlXW(nd< z6WKq)QM#B@1S~_eqABFASh*iqrkEIwka9(zp74+-@=@wRS|3aa$aB&3TUaR&adasb zimg-~c_s2_6K1tAXG8ssNThApmQb--wy8?(je?y{J)v&(x0%<@zH#Ib}BR= zGx}BnM8>34fHH3B=QzmmKaIrq3AJtUIdR@($V@Vv;OWmX)A4{Q~al$kq71 zCX%WNYfl`4*18N|P}V!rj-J0ZQB7{cXOs&*nwF8mQ1<12;DxaPdqF(S+OEfWJ;Sz{5$9P} zK}C^pRxt?R95d4|7{MBU11slQ|CJy{vhXXga)AZiLl75PPa2=2*hCX}H(2us@NVKY z=g>=GNtA%6vYT|4Z?mgcfZbsiX?Ra#&x4?z&bGNjA%p!r7reV{FJ0A{%)J^m@39Vx z!OLP5K>*pT$LG+y&tB^=ox{{3s6Sw7^yuD0wx2SkM=ZA(>W|q3no*yy=q*rq%F;Ii z%Vi_J2A0PxH$o(z`OW^*Tf+n90_okJN*sd%Fofpt|Rdkv&jFWx%1^T-MU+zLhct8F}D2V>t zUjaDDP3>VbfH#{B?}5C@bW)@Xu+h;dy>*J&2L~_&yLX@II6kT;zkOZXLz< zHiX_K{))C8NAr|&IJ(Tgq#Tn4#bB% zc{74|#BU|yFdy@Qv>ojU-#h@4PkF|5Sjpu#NH33%nh0b0+$Ig!Gv2-*B%gEj6!Z%C zgTKHl#^K-PRuY_NxO-iM_&qS!d^3$}Fp^A5;;9v2Ubdyx`&rgE)n)g!x-f+DDB5(O17l3#CE)^Tz^KsK~U^TpH zD~QzcRAyN6Qy&zL=d&-ti__C z05)P6RYPordkX}yTfAS2;O)dMFJSgUP)Ti%cxMAi2Vp-9#J!?|-0l+zu^{djlWDGS z6rWRh$O(TM3~)eP&w(Rn5n%`Hpm;%fj*A#et(Ze%!gg4}+tnW+Bv%nfiR}^bAP2xr z1T2Rh9>ArB<1w-O2|T!qbr!I4Ts)whr9%E9h_cp`BJwFb#ELiXpn&(n20-$P$fQL{f|&9hL=wfSBj6>8 zyRMMDDjv}BC5!2sVC9n&69IC0(>z57QZfz{O!41vDBKeP^ssD}m`dj?TO6RQ?!M5! zgUuXaO*QZb;u3Y19*R<`SUnOCX{X|2v4r;4JQ3Rh0G^7PD%i{wNB*y1d9@wRQoeXg zIo>m|gm$w%7t%;b7Ko~@0EOc0X4rfoCJu&3k%*_dP_bx!4ZIQ&-xslziaYebm@h>W zs$7%__B)8>qT@~|REW*tAXW;84N#~Olb?Y1N=%^kYPIN=0qnJyO{MoYcpD)O<*l&V z0Dtd%?!nA^B0*(3aeKv0^eLKZ%?^5V4dR z&|GRIHP!)kNk=FLQ$!96f*qO6Eb(3zD|d=ypo_CmtYJniz+0L!=VgTo@|l zeu7AtbjlUH)6#fa1fG$+=t17I(#v4*!ljEZ5z;xylNOH=(n&86&r3ULNRO0WQ~G~F z`hk9qi_%tVJ3@2c(r6HC8Z=~K-x_v9{ zHemXl)W1E{-%DKvfLJ5Frc!FHbcb^L57Mh_5bGr4CPY3;bGE}+z4ZDmh@T`g?Fq1y z*G&axCEuV#Vwc?4AClH`P%y06$Oh$swzAWC7~3r?l$Y7bj(-8z%m31ve2=_{*6R-P zP;d-6&ZF=HILbd1!-|s}NTt66a$6choaN*%!8<7T9tX@tE=hsg zL-Nv}fgP3`w1F{KxswUl5xH9e;&hWOtDtaH{+@EMV{!qN4BcfD{YJ;-)LFnz$d1<# zi-+8q8j_y!Fluag$+0hC%v(;R61tCkZw(}U<@q$(_{sa?;nrWyrvdk*{4fB-0Qt*Y z5Cdh+8wx>khy`q3lmnN-N|d}0MR4pH`+`Q4%ksBWn2C}7sgV*Zuj>lEI60yMydrrS zU9H9PkBK;jQn>+L3@_y@8gR>GXG*Ec<@?)!RmdIam#&l}9s#S8N7FvLSMusv5UG}L z$HCugx$kv|ypgX^jrgs+lb(ouC&y?2@8$X^h}6helA&HJcU%b!>w97L+4k~kLH?WHmHyhxP@}@J0hn2BZ7IalQ*TBjVC4V4@Zi-(Zu%pTq+SPkZX}TJY z+?9W+WOZC=dlu>^lySBQ$wR3Qf~2Ps;|JiST&GmUTe;~6NgpMik{Vy7TLgH13TFWR zit8~DPb$x;8WNy5UxY%SvYB4~9Hb<=gLq0A^fT0hl>q`EM6uisg-|8$24V?QO6hk$ zt+-J&@{F>1F(NywSW_h-Tp2n7*g2(%0b>!$?2f?BD>fe?8L4!k@$7;!YZS1HN&{N; zM=70d0K24IrMx^^`TYQ_Tvm8bfEa~u1c+6pJwXt0%IrUZ#VdDz0d_@smkqrHrA-Tf zM5T5UcuC6M9}(GAWo#ZmvXVjVkwV3SW}p{J>+j(mTij@|R-$~MMQ*9GH3x2ADqar} zOPSJ?@~mUbLn-DpzYLlUUMmae`gIr%IzkO3 zh4boWe^`lBd-ujMTu@`F#&J;{Hw;*mdU!psOKPWH@E5H{(42Z%)#>VqQ6<`x606Rp z6&e(Pb-yh{uB&IV zVe^K1j`rZ)R2z1H_Y&2yCwQf*{ZHVPsdx7w+;TP37ROMb9-|&&rE293$trbbE+k*6 zzf1#GtyZpu!fUk+{qAp6x4ZEER!x5Z?44@#MiB4SPt>NWQLp?6o3-kXZ-9MJw>1D( zr?$Neg^z0B3V?cb1q~3N)UwgQEVcfH09M)^0b{$gy%}(8tu6Qjn>N~e8Y6ABRWv~C z*3xOTvC~{u1K4X{(-xIInk!w<4%%^QVf$@#$IG!M%B`*cqn_SvtxFSFxuZqU zm7J!2gH)CO{Y5BeJy4J4lGC8 z{TLz-w0ydKA8K7|VeFCi6HTp;wN{k7J<-frz@BP5lYr%FZY_c3X?`>S=4;=tgt2GZ z^K5|U+G|?b6lfuIX%uSn!eH}-c9@3WBCS8&K*icx+Jaf4jc5t1RO>-G-%IUx2CPim zM(Jd^#;AW&p_S6mRjF;Fo$OVbM-ANW*ZpWc?Wk{l44$*Tf~K>B`o=%t!A1Yq7a<+e z*HP=?h@MI%3^zURB8(l?f9r`;eoT+Ng~(3m%o~y(`jpSX^VI8Uap$F%{|75R`W;%2 z`Ra8~pzf!CPH(R8*T1FWLy~@fA;4Ar9gQ#7^c5YUeqC>ZaaF#d+n#~xoBAeefLnU% zBS@y`R@B^1)nCp8xUFwZhu$6C#s%Kf^tL_#>3S<#H)iO6QO)nJ{*hV)nR+uv9N0bm zi!%UOx*gRKv-OWun!c~+P6C#rcRLQ=1O11SAU@P@(T)B{H+_!aAL~ES66%Tmz7{s0 z>OK6SkgIQd3M@}QLABR>eFhDH&-6J%5ZQD6AFBBk=!=`u52s)24eW*9pEk-B>7F!@ z73<%`!9$6DpSBp4>Wlp$`BHcL2gb^D>)${;Y(#ek=4#Zp2j*ssr#A6XW8ZRkKW1DT z1Ap#DN6Oic8-IHPoG`xW1W6CW7D(UOCym|nU^>7kpw(`mF(n4XAfu3`f>VZbPn?%vV}J)thZqHP--a6D8Wh5e zVoDNE8x>(7o-xAy#9^K_9^V8OZrmz^-Z>-o46H;L&4h)Xcqu@%k=qgAvQcvzAjU|Y2)$UN>sv_18S%6vjW>qVKCUaq;IrT* z7%Mu1muR%7oFU0*MUNw0H5Ocjdb06fcX+>MbfT;0y3v`k-y6mUnh|aqz3p&9ZW(3i z;H4Oi-h!BFOq&nvwy}_A*gM7_u@Ff!a;R99ZoH%xd4{oo`b>9?sniL{G#uIj+%u-0 zMi5!X>0|JaZ4CPgShazDl^Cy$_uHWG&M;H<^xkl!gIkWilx9w>E8|?SD3=HB&*fHQo3fV7IB1X4pNZ#x$Bb zn8Lor>Dg=Aycb}fsc$gAep3yNrjDk@#}TfRsns+1b2f$Mz{5dP*Cimjm|i@B+e4=B zhJkp-bg3tZXHB!HYY}0ZNr!UY)Zr9@h%^nMvepIDyk7w>nm%5JNR;XMRft?NWll#( zF{TPnV6mo!?%>6lCI&$=-sDAd#}!lWX^17kv}GxZyZr-E@L7 zvKyv#SpYXpkNyF;WqNW6#!^iGt%Kxk({mHBJEpY$P)IYqPKV8O)1gI>tTct(1gJ74 z_~VGGP38L_^4c_z@~k(eS+}A7*3@?>uy>}PXw%JmQy&_aYD|}@f>mpZr0VMj)2LSf zb*38nQ9qjAZiKOV)7(g4pG=N4dRv;$Q#ZiMobWX~>@u&Z0%mPaq1n*J9D4x3*6cvd zlHKM}U0~YI+<^KG_U2{_Ai2lfED4x{IhD@!Ui1DuVEfF=zXi76Y*6Ld(OgGqsFS(f z55NwXt7)cmHb3bH?4bFdtH4~$6KHfhWNs4#?66te4$ReTqJ_f|a}xC%+{`B_9je7Y>8oRkPj`ddcRw4S`)VkEHwPx_QSGfE(t|=yA@Q=FJAI z+%h{QgP3CW3Pzl%=GIhaxNRQU7`!{?Z8WH+nH$hgm2Up&8~DpG`|p9mUGw+>@Q`U< zeiz`Lc|Yx?$TI&x4Z>{mJ$kt8zPTfVdXBjz%^eTSH|RmPhvqHT0FTTObe%mmPp1~g z6SJKJ?5R0}ZiHO(fAmh>JaZc=LFJn#QP1OUpkaf zi$Cark}!+4OQC++qIdx$&sYS|c8IeU1Nwj%Zt(@xQO;Y;j)cERi+2UkyI_&M5LPZ) z)TBcs%Hq*>IJ#sJOo>ah#Yd_h#8`Y!ry$m%#XEpFi`I0L#9M4Qi?j5|;+q^8du-7- z99XVJ<^O(0i{pXN%eScO1chf7IW7=+Zei0JSb;^{Wt@pZi-IgfR&253D)dS$Zhenf zN-b>91AA$qeFKFuizG_H%Pm5v%Uoe$ri#)liz-VKs(EV4b|@2y3C zIEe2os%Zp!k2hSvU#-Q+n^5>*k?sIcXA!Xw#E%w>o1@nAzl|rWM?j}z0TpmMO?d!j zKVP~&`wy-Lec)6ocn#W-hwp0kNhGl!e zfGz&M6~x`FDNV$7YzcKTPO#$c5bL=NIO3DM+{licXWZ_$3EQtL=^`}#8|6o`NX1O%Shp^wM#}dl=7XXAYpMwxN z%_eS#!Wq`p9qMP<$S4rQ+1OwZ&#`CJ#fe~7C|5qu`W*&{WPPdAc7Y|+wRVv`cLs=J zOO66uVrzq8I+{(QBFbeJJRXvGVSZciV%ZEk@Z#92UvLcZtcwq@D{KK}3JEN*4~U7( z!5@c`#G-x#c9oU3LJ-N!>m`gmW~p>*JYi8E0CHJ>GM2~kssEDCdTfT?GqxxP*mLH# z5jG3h9m*^V*}bjcyU0SQ5 z=fTfp!ipz6dX44PHzt{p^H2;pK`pewU4a6A!gi7_XJpX%0#_|7P@2u(QOc?MwY0dGH|wV9RP(K z(Ypc)55$gB;5`&+lp;J5nKWNL7Mr#MJQ2NJA@WrC?LkPn;_Im(=85_=SjiVkBYNdP1{$ zuylkf4gERv_mIk*2@re}t z9R8k4&#BX$E5%SlDo<*EA2#!)Y`SlsNiNm^&!wST==YO0%TOQSgnpbS)*3@;_EqDF^Jv+=EP_UQH zNieoY&bI+@kog1<_sY$>0NaN@oP?GAa=?5jILd_u;5o@2*WvGgTuKw4vpktMXB<(!MV@qr2*XTw)LKP+r&=rXR_tGobKT z{+>1oJdt}|26!rm%!5L%tp5j)Cp*rCWIifo(0e9_G=b^o@|0*u7Ra4AK%qRLF^DhZ z2{J^AgbWpC+s~7evd(H#fr^NjPoBNeY+D+o9-0=l)QeG_q?||}GC#X9s8;N*OaclwL zqKxW37WuKB}?9Tgm16-OF-jwnS3fw?J<7vaE;D(&t-;g}LN9Kc=4d<&Za%4;ey z1}b*cPQlnjkp(NM^e94z^6Ec;P-WvOD8wq=PJtJvq(#8=6(xcyM+wS08ha9ztGghX zq&%SB#Z@Jo^3!CcL}*A-JL4(x{VuQR|+Wne87a+KE@kbIz6o&fQY((y;A zKURX15%m)#gQnf5ik%yR&sDmYgO{gl``@-nWzi?-JyROf4z=fs1=SC$6~`HV;O5fv<{GjZ20#>IK(^~GMGK)s&dc|)BygR67 zI^}!Sn>66=SBvOYb5vss!E;i-Oa}3QT0p5vpn94f2n$kkC?O42n*@LtqMqIWEL07m z{&kr8AOzsFI+wD;GwNJ=hWD)c+aK@{t}ZQy_jBruod`EVji9mTyxN|YZISAt@cqMG#(2NtFF+y?BDs`&zoR$b{#TvnI&0P&8xW+J?&sS7P(GeccOqyAm>#XDHZ zR9B@#;htL23&bpSYC1%+)g!+`{l2=k9w0}p-wDYFYH9+ohiY2^V~^Brv@Uq9wwR0H z->CUi1AV8?-VTxXDo+MhquM@$_geM)G7vwgcmIWCovPac`>5`rrz+~z(MKWqNj*UO z(=4?KjX|{1_*#Hnn$h_EOk%(g#qE>8$@$1aMIQ!5w-o zx--=X59xQuBC^A}OEd6X^$QJQ`iOpx7IAL+UMl1r)xU8BIHoV~2GL!wZ3BhldZIHN zozRa_XWc`;7Z0AN9ytd#z4ZQ+lzQu3C*f#)^tT5AeD%+07m=TCxf|;K`d&Xsp44Za z1qjfODX0*??1rGRsA@v+>-Ud zBOr23&$R&Yx;|c`vOZ0E)T$k#>-vcYtYfLa*uHXM2k`?;$n;=%|UjM*Rm42c-h_7_#5P)j^+rOdr zTK_<+&o_EBUGs1C3>Y>yg{-FOsORqY8V*?OB>Lv?- zdfgNOg-`l^+PGzDeCrDs%MAi2w!6A3+Q!~Y$Cjq&v`1bECyIs!>|<7EN7pD>E5 zfO#0cRA%!uR#7tRWt^Xg!}K<)Dxu(GxU>b&*Kqm-;AhN9gNVN|k`|gLjR=Z5z*xEf z3V}xaJVYI2{I?k3lo7NClEKEytI!KEx{QaTWTRmYc-M?&Uje&eoFIjp#=|23w~UBC z5od~F`7Mm48rPZwyKQ7W0q>5{wkfQn8DG-cH{EzfTf8!iC|c*=HEe$YG1C~m5wYAe zrcg;D%eXfNShlg;j3DkCF_g*W7==S&<$(jGGrgd~BG)fju#f6vEh3 zW2Xxga*Z(BP5IU+NQ2FHMl1SJYmE6++^97YsgL==@bUtvGsbU#qmRb!-=SA;Y!x7W zGQQmhJxkMC+CO4ta>$0>F4HQykE~5AT_9p(nnR5VThk}HJ9e9X-3TjoCevU@+M5aw z<1i1IlBo9PYTCUD;D~9cD~N8UEwt`GYU)r2EB>bJmmmh1UUh+bplS6@fFM)1A7JH_ z$>AVClxZ?m^P^22Y5crw3jY?w7*n6duo7!>rN_VHO#5kl6mL36)7cf%F>3H7nBG%c zB++F469Fcf5~$d6)%1+2^2w$+8Wr!E@@YYpZTg#r%KN5sy}-*cU88NH4@~VkK;)rm zKh-iHnHKkh+sCGVsJ`~Zbj1tcsj2KEg3mR@HOI-yGvzG-$Tz*b47Zi0`82@4GWjnB zs5UL2lKX2@TUz+NG4&4z@vW(t_DH@n8THV6ZwjCl!*26Cnnmr+skEnIkGcIWcy}=0 zqFiIIc{rV=eP+*J0QQ@UsPyD$-r|HHj+@_oh5$Xxiw$6&W@l=Ed71w@26b=q&Yz*+ zV|JSYaK`NTH)07lXHc$x&a6*^=?HVx5MbxckyOHpG%vgd?5epbgL<<0;x&j|Hy1<# z+%S)%T_HEkDU`6@GH-YeV=3l9Dh;HX_QJ& zm751vLa)NSf-=BL^Bc;Ls>}`hL;aOGVJ{TkTdYTWxPb&p>o0!>p`-UI2z9z$2919C zRJ-fnvk?w&;0bDV4BC+f%HaI3VEy+Vi$NJuOD(#gDlPGcouIpS(xCrf;m^)A5l^w% zk3*c=Q11#08;?+qy6G)-ls0!w1%1m8^I`4p znw_w`_0M4V+P3^B(EkZp18e^}(R{moBn?D6rYD2@-w{d?cD|-*$C8;WV9tt_`~cc6 z)~i2=)+}xyEZVTEVNkMVr~5-|H~TIhL_5}<<`jGOLpt>Ku%=Xcb6}pMK-|mn&w%L0 zMpJp_C~KS!J$IHvJ4}zWuMz-Gup#4cN<3J0A$XqbK4nf`>oNh7cs^h?B+s#5>){CXGkW~+JbM!Z5Xo$)FO$fe zDQ!q%vyMVNnWYT_c8#@(g5Gs@WC}s>Pw9&90~#*E_kliCvmdmQvcAEtbWi-A zMaMB@2Q3YU&sSh`M2k|`9JzBHWJVQ^#`n<^|3LfpfA??iS&;76Vmo;KlPT#RkW9}J z4BSL3ltHyNkRE)NewW|3(U}~Q?S=0{TjW7z*zYu>{4uj9dD=pE#E8+9g^c`u3%-w9 zOZ~smWt+hrGmR{az0ex;aTV)ebNnB{u=Z!HJtCfrp9y!pi7EzDV`vpRt)+wf|Koc@ z8+U)Pr@5qCHQmGA2UJ79$2MAM^xRhli@iS4b^2>|B^ve7CweL8L%}h?l*k@qq;l34_8ZJYs7#N zpp2~f9P*<^hQRCS>R5aqv)dWRH+I=tSQzIL49W4mC>NTL;Rr7ipMD3)NkQ-N`RCH! zpiFK!2U=6CXu&w+*g440JVh(6S^KE6GCScb=+4RO0Lt7Rv|O4ugBIuWS6_zKf~RO$ z{@;;U{|kJ;jV;g_crXM}4%%7+xxv|#{{0@_16GE7K}+JHZK7an*vMdf|D)m_WQJd+ zQoso21FeyMR6`kctS{miU2li)W7d5Q`LP-`IL1X=((yRgLVkixH&7;?rONOmS87iD zxvm=YY2{eg{$EV1Xx{FA;31;!F~0?*d$t@5{a&7#`2Op4dbs7cp-({Red$Yl?{oA2 z(e~AGQD@!%+)oa=?z*e%?&_*ztysVS5-RF241%OEl%gXs0!m2;Heq*ncXxMpcXxMp z{N85*anwPyk2%qeCzKclfFTx zK4`D|FIZDzL+|Z?Gzz=|s>ToKHw)FzNdtOZCtq5#p)sgD9cMw7_Gl<@ynN{KLz8v1 z`@G9Bz9;pPJ=GOv|Z*hg<=1~*q#B2F_D!8>;TNx02mptIKZeI^P zqqTId#_XYg%rdq`Hh9J*eguvom9FgDbSJkaelK~R2}-(4OkCv!{I<7gCffPxfSxV{i=q<_I=2lOxHz%PsVb(zsbB&7m%#t9e~qeE;)kP4>AGI znfDp+j!yJPIh~fK0@68O2}qY~Q<0oD5*vp#TnK z*%VwE#GcdD&0yvq0pJk!n4&mC*|I4B4r6}Do=rq)B(tHtI*Q$;!2D>o zh`g*Z3@#U)mf`J@jAJgv0UXb4DRMG_y`}SUB0C&_WD4s*az$f9FueC z@?-|HTZjRe$rkoOGK=NW-xSVfjemmlIjo!mQ0B4%dMYxHxsi)FpDmvYW((L}GW`~^ z=Q$`XVwvt}=VG>rtePck6}>`P$|{rq!7|p(4qTQqeg$~0VDdyHD_LfDB&%4PI3%mt zuU;Tn!%}EE*D_uNmvw9wy*FCVdQJ!V1{O=l){Of$#^b@O5#V(y{|-vq zm83rGHjy=8zxWB|Ubi%bz@10Y#&Tg4&2v5b1aPO{;WEA+DL#;>SvJPX+yZqzI7a+U+dtBiPU_~JspT!+ zUPU*ew$ra~x9l+;kX8;;QL7Kl2WIOfb?}Y;i8gr5QgRGpx6&UR#r5cjykX5`;J2ww zhkCp#-9#n~Cf74@0I7(!4``{h(`2G$NsZ|bsFH`(0cOhR^{7pCx(0aK;CuMC-$mCT z>CaYyTSiNYU}ZL&0sO2@D**2>e>3p2bx%Q?^A}xacKl})a5|mu0Z8Y5y@Au^#%ADm zJ--;-x-F;21KsaF0JmHgMD}wY8$^?p&+_y@D`5WgQ+z#Gqj-?^WPct6N-ws6)?IH_ zWifd7XXyh$FpwQkfnX4G+z7^lnblR4hOl801P^61HX#|t&Qk~RTk&+IHiG4l>o*DxU($X*Fs0x^w8}(77||K9^`(gRYm=sCBDK zCtST3z7SRa@@C{4h;$~n%P@LG^JIcfXdY#I0YkHSCuDf)=@v$NYY2FG$zy@jGhx8bYukO`vfP|J_1gfyxE$|IrMN=IS zPj*YBbze*Snsx)8YF;$JQy*Sl7fEL%CNT;T=Uk36n4-SH_>w+18xIL#0 z?S7U#jE3_mGS%n?x!#R$ry{R*rqjp6Zzb}Y=H!`s9;GL++V;!9*=w;Y^>))8;CT0a zg}jfh6>yrm(1P>5whis^E4>ul{3j2^H^4R=kU&>5j)Ok*<;dq6ti4YwVlo*%vm%*MYh#8=Ii0FK9uDAZ~SCII4T zOXsL|AKA@5$HvpF?i-6<`4*7R==W*>YW?rrv-FCmlgi^IsSV8{vhO_a&~JfgohyUh zE1?OvHz`FyaPJbN*L{Z5#dXulc97(|lcL6c!vg{L-$SvkfCrBO39L?AH)z@e;50k= z0`h`q(5s@5o>x$-)7Jwebjl^*hq!S@Vrc=aI-;8Xc z*3C)fMo*{6QOry_jbmq42TokxHRKH^>Atv4cktw>{aEsr)2%LnHlru;$+Z25d{*27$m%f158Sdn z_X0oX5xr08m`Hn})1p-1bRJK>ahJ5^mXUo-TETNPMKZM6osjpMOtFe4waKFM9;yW| zpV%s(Z|Xmln;>As@A?9co)HCoiN`-Ui6hpL+^; zY-{Rm+~jkB8(Pw?Zd2z5IK+=6&n98zIq$I1NRh3DWpqKSjM0HSfX*tlV^#eTEaVwBQ zb}d0$bmN|acj!aX7h&s~;Tt|R8$=QN*MoOtmF>tkA54B>i_#;3AGPHqq_yl!Id)Wd|VKPo((5Lf!U^16u8C5+yo@y##G=WDgps% ztLcw#yBDRvIqAe2z?0tu(m2^)MB7pw>F;pUc140)`%!9q)0cb#w+zqgz{jBv{H(u1 z!J&f{#r?Bu(jlI6k^W}29ng2;GGQ=lIz}`v~Di*q-o7#H=2Q8K5Li>K?Uqy zvgNET$sjZMVJ(0|{-%Acn-~g6=)LlQgxwqnNO;G;kdGKZj3NW*^k`nGExs*w7ej5- zpD~c%veyIPv})^yum0#R)V8it1K;RuZ&1aAUIb?BpQJJ^Fw&k=jG_HAif^ZvBLgR6 z%rqLOMQY$@#nAOrhXtR(GaE-H#_8HR$nBWZ1^AuLQw*~6SUQor52tWaF8k0Pjmu-{ zCs4{~0Xx8?fK5ig%CzrNYl2@eM}A0%k}PuFXIc%RQ)w2%O45Zv_`aT?jo3d5-^k3B zXle8AGNiRw=nVX*o%WE{auHoUx7tQQZ~ZI^DYyQObX)WlYd~Tql1~yl(gXRpO1n^N z=tY+#ZH~}f#y`IZDG4iBk+$Z6<4 zQv(jUY#JR>dF=O%DCM&Yox!kxok6tOG)^95pa%bR5IjPnNx$iiIDkj!O1iZU3!unB zcvbpm1|l|D10FepqAktqj6uG|(Rtt*)qN?xEh|&3w3S@}_~>)bqPBH78Pd^;gJ@|? zEsF2O+LJzuE3p~9F}%Ew+BP5Sf;N6pdC(@j>HtXMq^{uKcK=ymw(C{{kfinG(I@xG zL_Vbfopz~L=-p`Aq@KWRKk5)@(~p+MH{;AmP-T7;fRj~iA-)}QegpsPlN2q^sSH&k zc6>Mi`A%6Bk?pKpgqC!7p))0y{dNEe_T3in$Y=MQfLy?KM_Tmw1q0}UYmER!NF<#e zItRoe#87o7FX915|NMcrl@+$mh_Jowz^5$Uq7)e zzO6gaYKyK$Hfzi}891?c0)lb+XDzrHSRd53X}24E;%}^il!Vn407;y72RLnSkt5ko z^9GnnPRXcEHjo}lDdC21>WP!6OprBUdeF`EPU4 z(gxiN@O57s3Y><&)CYB=K++J6UnK)w-DU~C9{%(oNpt80xOz5K0HXDu2Z+~PvdWrh z^FizFwH~!TCC=m9v1#R zG`YfF%UKse@fi1qWR*7DLQmNn?I&Blaat;9)U#LO>rpNO5RFS&(0gXgMqc}76yRQc zG5~3E^9?w7H>NPVk6jyln-hW*(b{Rz+A0uB))Kj9mBo?PVSO%@~H!ij$4CW>DHoR-7s0F8dmsV>)&O=h!@Gc#~EMrihmNZ7u2=+MWT&Mjh$(Oyfw3P^y3G3v7>zJZd%9 z==R1lnI05r^l&8E@qP3WAzkq;|(5SZbd3i$||Yru?LLHC%=-}OhnMGonTsJ4e7tL3%b z;LvIeJxSDmqI19XJ0I#{aaw~h@%@pHl^a|1K^;HfxgX0#UBh9o!LiX(30xXaEC#;n zd9wib*jySA&FC<+z%!N3E^WwTaPfM$8#qn24W%BwI1fHP@nn5BowW{BzN$Xp=9f;V ztG|;ga015A7zNs&M?Oe37<`%)Ym9H`-*kS4g^)H3-$;@3h^-ayjm!%GqE9X`97ozP```g0QZk{08W4|6#2lmj-U&HF=e^U?l zlP%|7jb^D~yDVTdsuzKtG(JIInR;MVKs?GOfJSqp7UX&QcSEiAdMVU;eNF~dliw+V z;Jp%_jOf#OI`uGeHZXll)TACBqV?k+(EykM&Bz`KY(|z-kn^wL+|0!jn4#@{0z7Om zon_%4XrD*?MSDAP*ss8B-jsH4i%`-fQA=9U9KN`NZ>yCQH`h-jYpL~n`j=CqWd^F4 z?X-tu^I)!&c{ z%z$$9KpSXx3-F*1sem{8>u6M8cgkg!-+e8b281DuE*ewz<9fFD1G z?A8S9U{EFQw?}Q;Zls6WRi}HGq%P!7CEua>OIboYD0OZGxTW2H1giG?=!8oDg|uMC zQaV2~Hw{K#vevc)hYsl!qsZP*wsTJBOBTH~=L+x|f1-O4b(|Nz9-I0Cq6sSpE}kDM z0;2uP8}0L2e;VH=t!a;WKdpqXkJ~l0qv`NXfcxH!hit#n^zOpnn{Gq`R+9}C7^MVG z&`I)Tn;oW|AH4o2v?C<43OMT$Nb`jrs}20H9J=2NpYsa&7O#mz)LeS7*m6(^IJ7!G z812!QvBtOcOR`3y-RPn*CXg)7*g045jVnbDQ4HN_WwohDKi3iOPB&BuvnY;{Sc0M( zZ70)hOS_V8s7>mJxPfWaoFGrG;bIElHY!6tf8+Dj08zi(0gfJ?6vouV2I1@Z>ln0B zJ3b9xua$9VWs|TG!0}#G8B{(cZP2o&3uyoQP9nJ9m0W!N_3hE;fJb{#8|d{rzCqq} zztn6g&0Fx%*2stKT@H!i;Tym+LQ6JTWMk?>^BvisZ4srU5vf8kxR&E@0<+b~8Nks; z{DfAu4kQaRx)%kMV;tzu9Af?HzAVnVBrpwk{PAsb<`MAYf1#If2{xgYo}W$ubTu1r z8R%}LCqf^HAHLf+$ErlsFG+{bbofqXxk zO@_(=)}QXe4zh`vkba0ckWqh_E&mHBN0_ZU2#&I+3sE}8nlDA&aaNxGu=)gROE(TD z*=1_(DfaRXB%EeV4B&Exouf$6S$2}1DxG7`w}Ie1`#A@|3#=;L>0D$_rlE9+o#_gK z%WTL6l&-MmE*OcctQQ45ud(`r0KCrHJOIHBHl3{2o9y6d5Zq!Tf`D?H-6b`ChaIQ$ z?JiqMZooZelLFv~TQ-YayLap<#a`dDu$CbBz`B%z zppVRL4(L8H=^-#bGpA#y%jG&%2QZJT)C670=RT1YSinso2fGL7_Xh3k$;r!6>c#E2 z1I*rBX-}Z^;f_`Zd0%c^T}($mu6HkB_UEj7g7pBdB9<6l0PG}#YYg6uo~3{?o*TXzbQ8Es6yco6J(&*RByRpXlqPe_?}1^5@nF%pX;P>KmNH+ zies+k+P#OoHJoxd=+<)A2cp&MxNG@9SzQ9yY==R`K-0j?>+ zLncL7paO(lelE6#v3Xs|hB(M&lZk$adrf}}ag_T5zJ+*<)6;w%=YA@VHl5&V4F<|dt|8qF zp5k8h2i<9|5j`U~!_8?4%(Gkw2=QlJt^x#|=b8=#<^`_%9*|$;YIy;8i931#z{}jz zFi5|`^`JK%SGjxSNM7Tv)dBf+ZX*SjZg3N6eca@#6+rB5F7Ia~6L~vwIux1ykQ4WR`J`8ptPDF6bY2I{QCNk zw~qgXj?DFZssUZu$d7J>u599KtVL-vUzgnCt^7!OakGuTMhkH}U-CA{ck&QR4z5FFQ-}mu-$D*{K*VCol0p8CECUK2g zDF37bSRdm%QxN(De}c}+ll%!SN~id%(tE){F&oO zF7Z717?=4&J&;`Cb)!Ldm7mcFb=UdjI>@`h|MC#Pn|zrxu)fXjr~=G8{83tUclih7 zQM%7(Mas;?M<|X>2uP6L;GUK1}QwDT+qqgznkNB#ml7jPS-2r)x3q?IV*FHz9v{|H&y!vH{|Jii24s_69w-+>K4?N8z?)U1^$`4ltg4W|Xq0tsL-7qg zG66ip8vTxM_}_Fd8!?1>7n$md_B3~*JDnC!Xd6bQ-i4Hw#rEUdYHh+2aKDWx_$`l2QAr|5l3!oA-BNz{?g&~^(c@^(K{tRSf> z`8Ua~vw)fMc_uid&aMK?w9_=U?dKC_dQ?1Gm@(osYBN_-d$KCuN4`T`DmZ6nK+B3b zODX!;(F!lu#7=E&LDkvX9kpHZ$OPy*@)7X6EvNIfyX$n|CFx{gq%K1n*fx)tSfo&{n(ioVBeoDp(w%t_LwGV zAiGF6JA;^1A1H&_P>N0rWmV}-BmSiT+>YX2-9T4^BbYuD5=OGwbX7Zw$@Tz_W(I2{ zV^}NlaK^IH6igq-x+b9!<5>&35}CmKypc>~16rVN5<7PtrOE8M1e7W4Gec=A+d}r# zG?ujv5~i~>3O&wX6rJ?{eY`OJqj z&;qu-5>OVh5#)d@VxhEA7c&jH#7o!(vMH7_uLQJu8C!h>f|j$Mt5LUtwW8g-lC}5) zbgS4)x=CBj-bhH+uqA6zx0XHq6MbLD=2K*DJ@btQ<^~r104N(-qac(vvGKjpq|Hpg zOv9?~wh5S9S>r88wy~<~P`91Uk&x_Q2NRL(WZP-W?_#%mfnYZaO@g33Y|K+I+sm5N zLd*8CgCuW1d)@|u4lpM=XAiQ!$+kPhdPqnPvsYz+39Fi7-$&V?U;vM?52Q7Yvxa2N zonXt~0_7w-ycU8^F|Qs-PO~^_#2FUR6m(}4(tYMgHU|Cy`Av}ih{fcA^mhcm{-U+~`>@uBS&)BB+ z06u3i6jy!0hSfy!lIh7leZ}gYM(H)Hx&g@>)>I8HZ`tyxAb7{d@<`sZ>rqHPu(KIx z?nf4u0nAS5Q7r zwaP+i23NH-B+TUQTcb3K^Pzc|&2^j{*_T&YtaSi-$Jj?z-@cUPb+;`TjU3_iiZe}3a#7$U)y3L%1l-w51 zifo0gT%Eb#vW@#`98k7%)#>co!Ch?wK|8ry&A??BcjE>Kc5|C|fnX1Jo(!G6-02Az zkbRubZPe}OhEnX~0N0(g@IkKcPpCV@wWKSD!`zF`AUMKp8IQW7+%s}dj&aeH9Ooi! zL4JaJPT{DNT))bYaEkN$fF_;hF7-fihPxPqy0hG+V`$kqt|D1?=ebvO4qV{8?jgCz zHKCZ^C9WBTzb?-~pKGa>~uG4{joxAo9r5jvs4p`sheqRZKTO52N zvTVzFfY}``aWJ}am+SHjzzvb?xp-Jz! zO)*H`b3q!QeBeG@0r^MnNf#uaxS#Vu@R?Imq&t@vNd4yVL1YQ#^To-xLki#cRljoz3qE1wo5xp7M`=Fa zi0tD9e97);#6tcxX_Q6$(6wN_n4jMjJeTk*LO`&TPo09`^zXG_G@46GEZTzmokg%OE_ypEFc)v3M?&RyV2g)u!rZIrK`Nrhx?%_XBw0`!@g&@iqcVhxu}Jn{PZB**z`mjOJ% zPw_@`Px2>e_n+dEL@+zekMsli8D2+`v9o*zExvQSdsASZ=X1$qzra5rL-!&dOO3z8 zr+NY9GGAsGfLHk2wCb+%b!l^5<7?6d{B^$8MGVLd{x>?LZt|_SpzaobawG_D^EsnH zeuuAk9l*Q%eeyc*@qRK0?(^2iFa!_zzj6V5#Fr!KkNJ8hfcb=H6eoSkYi|Ja8Q)?B zfY143WM04EPm4%i^6rfw?-f6nR@Q5N4aHgB@Yxj6e9Ld5D9Ag$2fYV;&qvgTpbz}n zyGTCrGwAUC#Fr08=`$ZI03}z@R{}wvPLoaA zf!N-{F}lv^BaAD7QeWYx(r92mVMr

    @WO9{^9`PodR422^mv>GFT`*1IZ9UTN%uT z3N0xvH%z!i7p=nuks_ocgexvU87Z_X0Lmz#`Ad+G7RJ&78-suP5WulQd-9{l2}8(e zA1}E6g=B)TH6HRN3PTQ}G)Wky0_(}bO;TM`gfMbprwW?_F>%v`0y^!d3m0~R*$m+g zT@cO`O3+)DS;Bg{7M(2wQ9O2zu#T?Z<_cx%0ys~&`43R$3rV(+ut2y*i*KQjy&k~D zLe1@vw?ufFh0;>tm*FTa6F!j5uw1B1tzIEKW#G9|SXLg)Rtbkr1Grjf9R)6Hgh)DU z)(VQ@s9PsQUx9@6!g2f=0)DsSCmQmN!v18mbCXc4CV-m-1wF;sA{-b3d0Pc1Qe@kN z0d&G{7w)V9!4Ba9-7M`Cs`iG2UBV+@B)f%)!ysXgFq>{d_X>M!0k}`Frq#M%I7fQ- zfDq{g@`J+J*Qh%rsK^35EM!wC=m`EjOC(2y4tYq92@lA*J}%sQ1M(9>SQ%iR6w22^ z-6^3#5=v);#V^s#v%-EC@H{7EQ#j{51^fw1Vc%nTox2T=-3sZ z_G#2z6*P3TUK39J36$$X+pS1$2)mQP`lgUrAIxqE$-7ayEnK~Zn%?O`(^+>6H4p^`Ey~; zarEzn;J5^WUJ66VCw?UuP9b?Mj3fKyjj(Yf$lnV1iyCY-;Z7Fn-V5_QA@+k%i7xU! z3RRB-^OMktJdMvnaWaB)#if5E$rE=|tMkR3T_K@B%&rD5J;b*ZN$n|iqixYk>{tbL zy~PjB!3EX;9R>ZwL!^cKi!QGqVSrfsD3XEVh7?E`BxaNChMzU*3d|v5F^ZcF6+2US zV3;Tw{W!5WU3rcWooUsL6dk=$8YQmy6Uk`tG=&fDVPH55;@u&xYQ^kB5vuR@f0My|h+$1Y~hWN*3v}LB) zm@YMDiD%oOG+R`Wfi*{bHy0>##TJVoeV*8t+BskJt^||?V)r_zTPWUX3$csDo3xe| ziyj@2ED>8#oNlT3l>D4!;^zn?%f;N*;JHGaK>?nXV&eoPtHja+!DY30vI0=nh+)ns ztrh3RBUvZ58wiy3;<@u+wn2PR8p%fS$W;u;Cb59l*=BJB&FvQPGcEnC;^Kp7%Qn%T zEV=EXAH^tlh|MU>w^M9%45eM-z4|Ea7JbhFWsf*R1@e8Os|$ep#nu#WJ|NDZ4RlaE zdlyYQB)<88(qYkM80wCQ-ILM3qvGnnPZFw~tD2a(5jPIRS@r$*Qw;5ij@=Tslb*OOdO3sH9dVc&lDlHr(Maxz zkID1CkAFK0m=8pqBX~X(Pg6|kk*M2&y2m06HtaajordSBc$<8LXZVw4l%9*$V^De_ zItU$vbgfC@|lPrO7M*AnHjAe-tl}9r8(3 z%>emlu@=2E%awS#EX|Y7l0Tg|q^()&oa zoKe?TI!(K{pETShq?!jvtNsSFfzl|7&kmCQibXP5dfWlzL!|D1fMBR}U<#69 zQcnup510P3LTQ9FiYOC4L;_O_3VVqoS$O?b~R?G^vg|cutq<1w!l$>EvV7&6HkK zWNVgGo1PQQmUP2WH%Ho)jk>u~`aJ;WNfT(t&6g%$L>+zxhb~wbN^ORsEsLawbUnFP zs!Bt*L~5B1l%>)!GGCWVDOEtXLTbGZ1S_ScV<3H%)NVHDR!hq~!Fr8UVI~OHNB2e~9sx7G7CrSSx*)Q!Yj=BTVlJ1~8 zC_N&l;*iuQ4b43)HKt4PBhqCGXdIP#(Swa+(hBmcj!RRkqAMq)!~0M=DczuO(JART zg|1FZ)}K*2BkiVrdsdoGj?pE}T>!y@*7!qztDjOuXrP*XT-jQB)0m@xzhH=M9bIDY> zFV(MsU`Iavb10J&-acn*@gQYd+_9BBi2L*x!^K`>OVqf}I(||HketQ6{N69}0pfpVhnXCu2hiELK)xOYx`lG<5HMRLccYE4SZ-Jz$r5=NSprMt$L&F| zOx{MP%yRi94}ul)VDi;g%1!Q}v`Vfu4asV`o(o#NMy`1hb!+8uwD{J^XDIf(UM@pV zSvSZH93Xb1Jes0Zo8+0#zUR)ql|@^K-6oH?kGk#hFKdwOkjK$OqMh<7dg-!D zzC=U5TP{a;3wz|h-vYQ-b}R-7`{dwYNZ2oL_WONZpsV*xxY*Q8e| zN98#&;Brh>()oT|{^S6Z6Y_cbO}UfumPSB1C97AWWvAuMEzyWGa@akL#94V6t%7s% z?-Y|eFYk{>-39p=UAA15%hKKECHe9)lrGD~)}wSqzCuy?tFk>UhHLUJ+8x(rC%PND zA>Ss)_NKgye*E>8yx9Yox8;(%0lXupg#&m`eoGIM?#tVZmZRLCE*l=oohdZ_NN!yQ z$z!=}J#_4e+~F3Ir}EAMkUx{>Q)uqFob(VVFXTGQQ1?>Sl|}zv$z#Z|eJ#f}2Fe@R za}OlEm7ThR?wve>lJ{~o`oqo-a?k*jKFV_sAo(Qsr6Kq%=avMQTtz!Fl=2jwr!f-w z3V|MQ7AR^Tf}kFX40?{!Q&E{LfnJIOivjGd$X9`&kKzNJuzeMI6l3Y9I8Yws{S|%` zHyxn3N72xMia}&w4^sU3Gg>xS(JdL6LllkZY#gdkBp?~4SVAVwaK$kSZ;ViExCsd( z6~T1yjZ(PG2Hj}Ifq_67qv%0#sj-T-_mGTJ>~cXeUU9b-FefO6Cj)b$BA(tpO;UVH z0GG*%Kl0I}DGDhXUJ?mJa__;Z{xm2O0 zrwz*#rRka4a)nJz)U8n5vO?WTMf^BOSf%hGMYdYeVj5VlQAExGaIIo04eL6^32Ok? zD;CD0v_Vn1I!YTAc8efyvtrMD0JkVA(+%%dMXg&XZBv{Yhh)2=75&J`4uxMsFx#n^ zN7p606vdp;z}<=z@>BOHwg#aQdlk*bg6BTPQVNUgSNPe1;DDkQSvm(5Yko#^4=Ji= zg6CnyJMs~ZDC!LZ@TlVN+F*7}k+&3Fjw_Z?B>03PpVsF|#SS%qrxZQu{_M2kA38M7 zC=!-~?yO=pIUMH{ljxn_c}2TAC|yttd5OAAtSc}dD0+?r z`9sB?Wk7kP&{72Qv7-C})ICw$U5e6Eg@$~tX9|G~rRR$4N(g$Ps74prFBRA5QRFMd zLpxx;R_vh5p*M;{^HKL!(JL6GcM7EyO79i*1JT?MieWc^`BAZOHspO$yk8CJpA{iQ zkZY|br!dcYHC_MZTMu0b@&apj@4* zEuGrKt*w7UGQxWOQ!pE8J(Fy{QPx+e#U*heAhxq^Xgn0dmXD<~}x#*zKKP$)w$i53YPars~rrZ`Uu_xJuZ(SK&r zpAXqgn+`gMvDG2K`7XtV+=gl(y1_!)PK{d9#i>V31h{Fhks$8@bUEVdS_-t`NmpeP z-}9{gXe%q}D$B_P=1o?aj6&S|bV2D23t0gXpV?Xpk@V!&?vPAGe}p-q8MOn+&Z;x4 zD@5CFeF)JGt7)+~mZ=DIr*@>A>vnksxbw_@$h({)$Hw&+5v<*sacFkq3-9q&&-@h} zJkFDv(xf-QSKIh8_^ANMzFD*$ncNyT`&uA#6%y=CMb*6;p18q>gz*txGJ^SW_J zSLD^5wj%Gbl`a|m8n^%w80rlUQ5|$>UdwVbQJdJ-2^`v9FA4rBGdqA!YA(4{X%hXh zUPcn>kPeT>0Md0_E8utQLP5;#p|o`i*p`2g^kbp4+Xk_B*J8veiMpgt>G>vXtE-PiYy; zqhQT)X1f~6N)~t!kq69-6wuzy@n$B#Ogmo@|iuG1unVV zI|`@gaeMBAE}wgC^b)xWa{=tfxljOT3Kz5$jhM{|w~;L2CQ__m6*vAYO2@g~WHsLA zG=G8o6<3d5x_{vMl!Nq--0Mh`dhmPb*+V~m9)-e&@bgXqIFwhDMjXQru7bL;ys`xe z;&E1DPx7ksX!SZ?yo1ttz6QBu7x-jye6I7?27&wre}Qbs+k9K&MGaq^-t#@<7as@k z13!uu>@eX01*1j?*T~WyCFHz-^wGj4au&x5TdROzx{yPT!5rZ^ZOnzj#@(n}B9yI< z;aMRZD+#()!fbjmbyiRfLES}R>sIi*DwO>IW;cZ%j_@3^+ z7K@4sNS2CI=)ulP@ie*jtHr70Gp-lwZvk+VSgtSHxkWs+45b}no*oi*i5i+R-dBcjb2s7m}#s(g&rX7wh|&HI!aO<~O{`k_FpHnSVP)mxFdTC?{Y zz-teiiLdQ&>X3b@j-YgSPv@=E>^O|NbBXc5bPaorZ~dor(OdVqc!^__({+Y5u!rDA zU$l~jvAAZynZ#V76pYp1Qv|s4yQq<^q}$vk<^P}-RjtB09T#^6H0!yw z6rI?>smKoB$PJfKw~13_fnXoE_bLWpKNs{5P!4cQC^Y>-7)mOtpZGX|S|pbJ1v2-D zp`TFNEB^fmb^FBPbg8;u9C;U94v3yTQFl;0P!gp>;^}SRazw1&4k$-O+dfE+i9eAN zIxd!=sW~CO&qL{?_~8jypAywQ(4^C1PfE^+r>MDS#abl&oEUl#4LmO-50qx7yRu;8LZc0V!RZT%SL;uSbXe z3OJ40vdbv+={BtzMAmCX9&P=-G{p_}M4Hcz3gbziRQ;1Ks%liFC{xXrcThRI`TdBt`;o#>!Bmj_xgASWVLwkD*_n0-PXZ(2I@wK8 zHA@5Ddc|wd$2B>De4F!ho0fi82S|3@cznAIB6Zs}@*Vi}VcGd;-T=0S4)$T}C$hvx zvrTl7Gl3231C&MV_XKcQ#-`EV$E;#{C!nlj`^ExgGxL3g7VKadq|^4Yzv4h}i1{u; zSB|s&TF5!W%IpE_vn=5RFfTD_3X;q02(|VGJNMaaiEx=`z^_Cda@v0Py=#Uxo7D!i z!Hl?v)c*(!T^8N-g}vL0Z@7y5{fNp2h>5J|3(V$`q%&HSCKD*?;Q-*Y97eDETaBeV zI{g}YG!^Serf(Y$N95z94g)j2m@P0f`dEQaW)3Za4xw~E+__sxqE+EzMKll zRbKr9Jm2#ZoPYwCAqBuQ!k$q`t_ZrTV0}lJ^Ec|A2)Tn$$`wzLP1#p`TN%LNqLxA{ z6GT6X0L~QWM4=Iz#m#gfvR~AaLwZU)It!(%SQ`-Z06&iaf{&s-`4By%g>>E9QqMo z`EGI4?U9ufi`grO7DKXM9#R%`2jpHK!R4U5elt3Hk1AB&XyH6p=eE=hKXxkqx91&dLRU0rQ;vlP^%t%bk7$$_04_ z1t~7dSNlQ2C0RI#CS8^vtpLguc{u$+!&P|zT@YTAOVNGUb$L@M(A|(f&@#O#Cu~G= zOIF+f!EJc~1D8AU+N0okSI(li!aaF&P1N0&=hZ>!fgH9A$wRrsPGCNgUmMWB$FfWt z>!~d7MCqA)dOmnQm)op?ychB>y+Qs`K0~AQN?t=Z$*<*0osqneV=3PGQFfu5vmT0O zwD@`}Vn{poQPftV)K}4g?mqe{l2t(Iuh_N<(g!HQ+5$LO(W^Xo4pa1}vtqbns6Uqe z2t{QIT#Z?fCzMJfd_#ww~mLTQ5HJcW)XDwa~bdXhph1>7C6 z=>@a0n#+&h0QU3wX|2F_A>Rpa?5zg=WM#!!)rYUbvG+fhuwwWRS`hzdwzhT|>9Ka| z5HGuo=wy4lxb(yhhV%@(=(t$=zaBt+oIYKjVD%%+jE)(ZhGe_6#FXT$od1Ruo0J;c z&d&HH)^QFheLDUh7p+f-R{b~PwA5@vx}EV`q+PM~qSDe+iwB99QQW zQPG*nhNP&B%&fS?)F>BQ`>4#+)TG#i=){z$==5azf1UW0tU6yo>!hTn80r|JV-lkh zQ(}{{;tWyA(Xk1MDF&}v9ZQ+{W6NqwvM(c%UJ#|4a`W*N{{_N9_^y-z(6FYrq~(B8UvYW zNKem7%PflV)vhqFb>sT|AriJt(Ym4{io%VIO@h3ZV| zFN%_xkeD8q7M-5i(N5zZ;uB)$V_LXHaf(R#-oin@Gcu!7Fzo-{;-=PpmnJPS^&51H z?l6tgf2p=hH)I$xP2VEB*$Sg)8%wH*v`-sDbY@n%!8U;aCJlo;oF0<~`BDv?Xw(a+ zg(B3)8QMf=C1vU}JEj>j0E6kI&&Z6!1fu}GivDJ1W$0s5oCv_epkP8Y(UX-rhn z=2?m>%s^qI3KJKXk!8vlj3Ww&p#!GE1TzmVfCbXAS_e=kTdlU8B_nv zw5EcY+*B}gFcln4Y)l2GqJ_HVLg97->&#d)erBv0H#63Zml^A9BFKz&v1BYA!04J= zfSg&pX`sQ=G|<#;R+p$+X0 zsE}CfZdx9utsb3DC|K8pDgY=Jg##2rN?c+zZ8B0mMGF~*BttB%0@{)piSbZ_=0av- zvY|sXZ5LEgAtTK|tBVl-RZPoFNXL;-M4lxJah5KE4q20?0XRAddW-a1QLTd_DG;L( zhdhE~M%{`mG>=h7Bl`!eMJo|{*UF0DZ^bIRSF)>w|EeNG|Dix-J3EfSwzMF=vtrc* z&WaNlf0S1W%$Qr+MX44zeh;stVr4UQjS0Gyw=D|Y4CvP^dy6cRpV*}-f8B`5`vRTk zCv+iZJfDkO2PD=F8Vam@C42rH*OV((q(M_f)>jbt9@H2-LD^=$0ZIQF4&zSDE{1YoBKd8IXo_~Q% zKgzs8rdM|*??x#5tdxnBDmKQr<}xM5l+Q;^@dg!ZH>_wE0xs@T+#UH&%7(4W1LcNQ zKB4#<=b^SO<#on!DmHc; zSvN7Gyfc5ln=N0#);;_mlF+V7dA^|_R_EdM5oq;hA3@n+5~3@8{$>Y zHEY=CI62tct6Wv;&>B{uIv>9fy-$En8yp-Oq|4fm)@FeU2(CN!g%*@|!v$s$mu66M*K~yQ%eBAB|ocp@S?prJJ9X z&c|OHruNh80`-&)3DRn8GCS1H%&4J^OI3DO+8|e_VP*$?h9Oqzj$((xV*M|bDgUa? zqH;~8%HH0-zOt(_I{_y@8d<{%eKHQ5XON$tsr`N=R`2GI(fJRR`mktY_kM(8>?F-h zkTzKF>7(;@GJY`$kv7muS%w-)o9|ca{WIDr|54gl&@hHSKqMI$$w16!klMk;Ti-&kLp=1}fg!;23DV+&c@5C`1q0E%09=*E1%UCXtFm{+0-#yZ z1O|Bd(8>u2(W>;pJ|URb@53#l@olYf9Q=c|L0Yv=<=||C<2XIFc3gC3bPZ)8xULzj zG{KEHHY$g@wM_sk9knGiK&NsxrTSoPh*pPH5~@|&=U`6lvyzPc^l=E$d#ZJ6Rbj_W z{ldboYwzfUe$h}GyAK9>e~p8239DSKLe&0#4k~m|i5!L#W9kE5S}&gft*4E-R^_U$ zq3qJ-Kcdw2_^&9={~g8UzoWPUrG^#8P!k#);u9F)Y8l1C!L?L4*(goJuJqJu^ft!& z8YYmjP+RHhRAeW3`T5ZX4D|BC7-4)JTxqxZhelvn9PK^Lngp9)?dgDJYTC))RAAYv zoG}#2?-LqZPK(09$?1EG!okV$dy4{K%cA(A)a>;>4jO;Gr$-ao!G%+AUelO+dsj#M zqH~X>uh#_VifF0AWnr!|DJg=Ia{X%i8dr?HSsiM;)d2xoKfTtoNeB{;K%F<`wNM@= zefdL#!YyM|tN%j#N_!Megp)l@Hw~JL!}rF_MO8S_MK_YtMP(VYfG~ffw(|-N(T3=Q z0|Wi_I<0?@p5~j@2IMMTt!Nj~aX|+PGzxVLiOSewqh2@b91nZ9LQUiLWdV5vdOAAl zgNV%2Crst+YbNx>CN-TxG+(CE1)4>t#~BkCY?SR{lud%wdX29(z;wbm8h35DI!x=K z*Z62O+5itMJQbZTG(%rkm}X9|PdC8XP07$_W+%oPlzM%1COngvtW1MmZ)5YXYP}wM zlyq02W-uK{Dkrm;@79?led&?^7jl1(B5Ugh7$)){e|@k7X?xbsCaiYm3n%k6WJ|o0*y%18+Y%(@+D#Gn4hn zi7A#Dpa|Ce-)}+EvpdPG|UBOk11_x)~Emle91QZl5OhoB_B{E-^>G(W->}V zVb;(&YqCT14oU|a0hI{=L&#sF_Y3h*s@w|C`@j%Qs9%tygYOqTr_xyIg91Z*BJ>#G zz|dfg_N(P;T9wdtfuW{(QaPIqpJ0t~tN5!!e4#EKz3jcdtn`o|A3fE2=>0=*kQygg zWm?cC8w_exr}GFavR-ISe^vL@LNnQKmJ?hb9AVnE4i-B=^<_`0Xj2-^KC{(lYKX== z#HYE|XlB4nb1|7|KgKiaB9oB;Rqo-Vv!`QG9qOkewE>IFbOO^UT)RkK+11LVWx&}7 z>Lno5-vd*m(yKi^gOxTGO{5Nua8%Y(I=j;HicZRo?wElfN)n>P-|pG3s*QU#!e842 zn!*vswmK}>^_z2Bt;#t z&OgBT&eAmPlW#0ES|8XJMeG&J0soD?;!vnSerT^a)FA&R#KT{$3-*anI=X#husRzJ z)*@$Cp`{M{#y=ECT8K^?WHGY8nEFL)zhjDjmy2-}XaRevLv*f6i+*%)_{JnNT09OG zi{~qQ*TKQbq992FXiW~CS*h4Vsd%|~kzxt=#EA+0jl)?RZn6#ZDrcq5_u<9?z(M)F zTI0^v$0w!6L?`JJlhY6!*5fR&m^LKAEM5hF!ipxt!Jd>TEe5X;f3uP@=d}930P}D= z0GrG+@(Kg}gQ%_FvB;gARAiA8B06lZ_cE%dLi4TAa(A#-k@ZGBFnc8AQ#m`Cg*if) zK0%MmhLlvxd0_T$$V)9e!Y%Hr(ep9Zm=>>E7lc{U*0qVQ9aB5Dc3f?^W)_e#y0$W= zwlWqIQ(K7>@XP9Tu>Z!FF`L^C_I3a3`UOr6E43#X96_Nv<6J_w;_#u4bTpSEBxE4?=0`{AQ4}qziu?-J?vdA9)J^h064}?9>BL1UwZ)G)Fa67 zgJtC9rL_5Ron;VyfMFbluYwNH5KYt?czf`xao}OSd=rz7qtRP9Yr2R~lQFDv z_(rMK)%yj8f9r%B!Ip9Pw+~*|w9H{>s)IZ{$SS84mN{AHu0=MH$x*X+vdpi&X?`93 ziY$ATqy4{aKJ&6yIk^4TWe=P$Zh|K?t#O>R0csCF(@3eTEOvoW7rZ`TyBL=s$@tgdO$rtkv6s#(NUv9_3Y`l*d0s{rB}5kpClV1cqdjRk|J=UoAGrO% zKX5Db559QzmT;wUHGJEAm8-G&!H5shDp7rLz%+1bzg{yxKr*fwa=ocptAXDN$Iikri8R?m+*|8ZGUlhKhlg8iZLm~}wHM@wEhcRS|(NV$C;Y%UJsYt#E zMMzPK5J!`3NhXQX#lf^UJWOXaS&T;CDFTs5({gvRY``GweT_H5y_Be6?ik|}#+~)A zDw96892Y?pVSynJZRn@*H92!Gg|l5`Ne71ESS$>yTc+}B1QTnRT_)2^Q)IEJ3%9L@ zW#4+}Jwr6XJ~|%_{5WGY+7wDLZ|kDf#@>DvZz)7F?w}&(Wue82k)cHr;O}EprbvyE z0w)O`tt(H4d@ zCC=dQ8(TgBF6I?LTf{%aTV<2w>_lM{n@}vLnl=70mTRQ^Rgs=Zotz~Tgjbj{EveluUj4`3$!i`GfX^BN>gMS#N zpeoatT6Cy~qe^Qs>%#-F8O%1~SHOSShehkYSP7Qxf$JG;kD@!^-y6t`VQL1>D`*s~ zKCeK;aeM;&5XS#^K;f%!LX8?qigG2!DoxP`i(8^XT+ZY$8;_qf=iF2PbV>O1rL`%fsQ2AkEX>2A$<8&>GJnBtN}YfL0Y-9oNB)Q%~!kbI}cL}Jkz z4qr~nZ_j#K@TR5U>Eq=^2Wt_%^KGTMF>nr@U6jtwrZ^PE$b9^R{IvdvHflYMQ6f(d zlgUC+B8|PqkxGaX(b$;orZm1r<*E+F-CuLRAY!a^q?l(o!sEeu9gb4d>NiE_^o21z zrK6Q;kpkD#1AZ~Iv>&`tJr-VEYF12=p@xI4gM+=3le4{(n**+bRE{b`9hI}6`6ALo zXHL#vS-j5QSTU9z>-@!%`B9)kMS(5aq^4^|xB^9uo5JAW4^11r-jGAj zM+|ZQUcGvKhs2D;n8YN+fDzKJY<{IxxdsmNFK((O((vyXi{pRQHI5jX@45^)QEh%y zW6?0*sW&PaIwnlomRxwFsbf*XWa*fUYz?*(Zd~dbzjR@W*r~~NQ^DM|MEy12K{Lvr zgPW`vTwNLP9(xXqtcKW91?0)c4&b{gRt?}9^=2OjpFEKUAm!- zA>BZa{)%jL(-!*I_OhoyiuuOYzHZUW@48SGrruF#z@l|cDJ)ys3#y{*Ep}CCP;dzB zphCl-NDIC~F>O<5kr3QaMPP6OY;tNS4LNwFnWDraos3LnjwM=5>(YFiMk!hMMI@O0 z zb)G&UaKDU(*!QYTME{SmcVTYh$g&3YQxNWm393i-qVOWPz28LOMUfUr!T>4BJ+pzJ zCCb*8dmxW#(6r+F@~n&ym(x?Vpn?U{++x>= zMB)X~Q2oT|)?EATaUtB6UUP{Tf%wLXbq@LYu1_GY!}kXii>D5@PBZd#$$itU(4Se} zr@L3@OT<#oW^2g&)Fw|y{BdgI@{Z-JkAlV28mX8|?K!-u`mIdh2Lgt9%-zHO@AvP3 z@_Yk)Ses@0hy*WusobJFL(EC;0j1MIV+bR5fejEY`@T7O6ehqagTBB7_WK(=>IKZv z#nGFmy*ItT{G|sIS3FOmEkH(XU+*b@@e1jB@*Ig+=G*l}8+O)E@HTKZ(gj0k*XJ)+ zP%+@!Bt_|`+Si+o`Koib=MOvPk2Tpul*56Y)bZgH2sR&$J7wYxj&)2id7lNNqOSE;_U%2%dc~LT9 zF2xUcCUF0tPbEILet$?A4?VR(T)(j(tSlPe=IU~_qY0>o7*q=u+dqN)74u4bp$+kA zrSYU5Hus~_AD3(i6j1@%(ICKY>-F{r73E{IF92x59xX~OolYZq#Fs# zC&iwGg^ysNrr%7k@Xnph!6GE$h^YP~xHwzpJiW{((Ui}CI46jZ%Z1>J*+&;DutUIP z$d>j07=w<4FB?JcPw@*B;+?q4)%C=1swlhEmJwvXd=3qf2jO~g9Q9q|=E7SB({;Fz zn3@o?SyQKkKtjuKm$6bVV4burBi57WFF~6+J1H+KE2%6Z9dyQmI!5)Q$tgMob|9(} zhz5$LPMn_vv0dF!>yj>UiSIyLk5dAZkOj-nTv!w$#jx|Ho)4Q;Jr|Fj-gyXbuH?tu z-~M*z-qAi}BiBGZXqziIQpibw5!vsrwoB+jo8^Y_fpdg?_PyZB5^m#27)dV}eWntk9w z^Zb;JxVRQ|oTQrp@$Es!;(Gqd^L{?;{7$qs`L37SU#Ee7^!b(kizz}szQ0g$)+ya{ z&*hr#(AmXeJG+1pDw4DR2N*eC+R3UBb_(S^U9$#0XEN#8VsQg(0({|nXn=5^?Dk_2 z3Y0U1g{O`0NRx@BVQ&D31@{WO8F}Prok}9Sj~F5f>=4@2n3fA^SjKaeO#@Q0$R&hT z4AaCQyIC#4Y2kx-y}@cQq92rp)^NtD+us+fYgpHf%WE15z?rKnc}N^~AvQXcqBRlE zngIg+8^RVTP7q>Sj1pQlgh@je6~s=toQce72+VRlU{k7dD5B|ub13l*Ri_Ch?EZOw{r=5Agt}Em%Bc`XPjj=T;OLkl zALnjXRq`1kaw?U@!6VvZ6R^^t7hZR@S^SN6hVvdw&cEe+hC9TJK6P$HD1o(TWj2s zXpH^l`D!Ak6_$#q>vN2qw!ldEiap$IrA9at0L3tW`RA{aj=Afj*p9)%ujCgFO+)AX(O99H8h@o-? zjjUt9X|6u3Yb6ON6hMJN4`K2{7dpSBrWNFbONi_QJ>tI<+iWirl=bP`jV#XvM}=q@ z;L#Gvaj{s5F5+%t6DV?7Z^AdxJFi!#*R$pK3D0ef=|?~f5U$N2kiw)l0!bS~Yrqt1 zX)Pm1h~-d|QQ&C%?d)Z8*PhZ*O29_Zr#86=Y7=jC3 z`5Y}2`VdT^&>whmSMIB0x}3DZr#KZ;=*D6^Zno!FdmxK<@I7%agABfadJ7usHOD~} zoDGIy8^EIML}nL@6Pb=F!;tM4{ZEblgaY{W<*+?9a;q59D+WKmepCsT}re)InfG z7pKbNZqvg+vng<9smGrf3(I0rZ!-6UtSK3APFMMytP`I7@&+o%7U75=5OMo>`|RWU zr%gb)#Nprepd{x=?{a>(-}YP57jbI&`)+0fmc_>^woCGP@41Exb49X6N=t1 zW{a~GdK;e=IZ~hi zYFnQ_zhnd$I>I!yM)d1~yYuQXXXlk~OsDeYWDFiXYDiQiC(12b=#& zGG4L1m)Akp47zS>N@Z0anXF4|h9xt#{#;rNGLZ(aj=lnC)l>&u2RzUU8Je zFZd34mTJOO1*6cIJJJl+0r67`evo`K>eH_o-Ummvg3L<}nUBMnFpO>SLw}e3s@**HuenhPESrbIy$qmDC%j1%i$RN zK|fe|sAhZk#dp`sd6v{uq^QS9y4oM^-hp?${oh~jA4Ge4wR%k^vcI~%fKN#1CrZ_3 z)MeUJjXjhnj5%5Ovl8FPPMK-?m1&h-NT{mz0o@RWF$G);%>iW8Ln>!+39(fW+Ue#7 zx-gJO{Tn$VJVAyxteuT%e?ZT#yBnL#ZwQ`l?#A+Zt_aPGVV4iW9r4c<5(}fQN8ykJ zTJa@jZU=w#7``by74T21*^6G0!utE&?LWZSB?0dB>(L%Dq^=w|roc6mk>;*+ z&A~~-!QmTW&fyzPMu%^NIfrl545p(^#`**2s+0*3FwkPkd_-6q)f4Pkllq_@IzPF2 zvh(ysEE-Xcl!7!FCuw7w*DIiwCZparA3l6Tu!kiEV`5_-$H40(DkWN2S3D&Q+Ve_% z1K7GNYs}iJS{40*@7if@e z9D{6tinmTLLOd*_ksNWPA-`bW4S6!W7QBnXhl31^aZIg1bbZ3YtSVDaN&OQO(su%19s9q#;Fz6 ztR*1kP$LN-n30(V&>Dok3~VYlHn*1DJUtiDS{<$|ZGy$|lux`hI(?xYy z-jHfM-tB6QYEVE^PvN2SD47}XFC`$BRtAxy0oFV6R9rpad}T-~IGPRH*8I>die4T! zKcIHOBPJnd4EhIWG}H=^3UDz$g|)!|v_@G=|98+?`Np$yw4$ok=W)?I#0=+_6ox@; z;!MJLXWJ`Oj)3pQc}soVccn7Rs4hBSqzHpKNXOx`_{dOziZ74H=IO-FWM#3@*K<7gK z#Zyo|;T%~Y>l`>ja8;49q3Q<&??}@ced7ogBR}0<-)!XJ2!h39pD%zEAwUL%4F`7? zsBI6+8YrkqotHUl=Kj-K1Su~VgjL(o{t|r*LQ|)^L>o#FA#lueg(MN z`IWpc0UKg>QxJjO45?&#Fqwh{?&~e3r7Y16YRz14do0+~`|A_vI8+RRcqM|1ftlGQ zl8PZ+4UMPJ+&ko4!dtArmQPrYurDfd?^Yzqwml(t)hm2M+8ON4K_^{ip0?^ z8LWmP<*q{AgK4624yNmB^`JqJ)XH7J3X|GG@Cda^C)VdFxv735${iRZs@<*0-J6%P zanKjA-=v5ngsf&bEC4F7Y0kxXe|G--tX7$U*PRmDpVBh~a{^{pZ_wA=Y1wy}Lvp}x z<{R*B%riG|`mM)G(Nkds%!}oostuVToF=CWnxcWc=ld5BwF#FmMwC!Y&ZMkGL!-$D zJLl*vcweD!;oX45@jZZv+(74$V}Yj~j-I@lonJEuC{Ji;j+Z!Aa=dwua7}M3ykN zOa-D`%X%K7&uz9Bd%^{-E-`tv!+QsQkM%_YGWEc6lD|gBRC$-c^h`p}U+fpi{@s?L zi!?kG=IGjzC8(u{bZXCE;;&(4I%iZCse7)jS6gGuU60}DK$n!V9vV_4m`J=T%xCPt zcTWZ1t;Xcglldwtj zC2EXlNs2cp_%#ZRPJ_AN)Eb9hpT7Vg4b%*c3k zNoXJy5`rOE&Y+03!r)!gRGesM73;&JQWjq~W+m5z6-cot(FC@ych_qECbw6dpY zSTwRVP=q7P@k9fhS;8Gy)fTEbS5frf?7HuEYg9Jcb(B~+{q%edwJ5?Mg3pC=(3m1D zU6fUVYNudpq|$_fwruP0>E|S;j8$&KMIr5ghiCS`^X=%T&4%S6Q*{A_LJ6;iW|373-p&CH9C8%(Kmm<<0Z-7&M7wVfDp{8VLGK0^0-C*XhP2B7u*#=- z@uKcYdjEJh8Mt_Gg3)8T{K`t@od}TY3t3nw~z>Y_h;oI}+KN%#p(*JUlDNhN{tE zUFt(gJ_t`^Qp%Ci8KJaFj9_$ZYFBgONFc!k0u0)V>D&V0rlJx! zU3;j)>?)J^Bx)z4nEvD>#Ne9%Movn>gW-9&paJqkB z*FO|tf2$@m;&I$f&EqpKuw1~aCq!6`DCxra`ShC%2*uzlV0 z0Ij`;x^t3r%tnlgR zRFLWisOm+)i!-y}RA~AG!VO*ICHORemJlS1c|W|Ni;8d`ldwb@6WuDt23iF$YX+7N zIxwJg_(%heyH_l@EP|$`+|i+fX9l_8E0c<<{3}*d%^&g+(5$|NPf)^g^%8w!%mZ6I zMYd*Y-y0C6d|NT^rMV1VGx(^IipHo_pm{@lTSQ@Dfm~kU^x0~9j3Jy3Aq;qYLI9S` zwiwm7pmyjLG@aFw(VCxO;^?j*uIXl&41(`11%34<19vmfR`bL?3rmWh!1td8Pb0JU z3fTf{l1M?3(Ukj_`Q)?`#4qlR2-xUFj{;(IEOmUo97JX1^c12Q+>hVTxUMBAY(qYX zVJNH1FyHy|b)HtLd{-(9=vbAxwrBAiE9#El3`Z+fsDe&}QiF8rPeaBl6aY(1%Ptmj zDIIIq^;GAu)^I_=L@c|AqAb%Z$dL9>(*Q`F?jxSk)?pv9tTmk<}uN4Q4bqiBZ(67;TLNCJ`&-N{WgiNa{8aqvb9 zj)+P@vGkEwv_WB6G>#!HYbO^x0&B2HiZ4U|3Ch&jByty$D(4^XKEC<*tF+7=u-CT! zO{;eC=n`|Z89!<|$dtzoUzzgwF31$!1@}8LB}Ld@?sx19I#u$2R~ zbmwePo=#2UrXND!AUoK`LDA0f2#JE852RmW?mX)6@)hOlj!REa5sX6X3^z@@q)ugq z+&Vlac=v2`eY0KRIFyx&A`cgbYi8?L#wzTqHjCe{Zp~Jaxhyr$yt(IMN?!&y5!OI5n zTYoMLgo;bcUGn=y@;fL)a0rX54Z12J?UiQ^wLRY6KRmnt@`g3R@#|AIE9IYw7anFk z-UJOLk`o=SwGOk5C@rN*B|sl3_9s&~Ii|1JRwPaM0oLewPyI5#+!LQ#1Gy?QFUOG^{}OvC8%2Vzbdy>42ziruS;=1SMCSUM|t ztbQxH>W_tnFo)6`2YsYB7MwItP;tFNIOPnbmtZU-y-Td_l+{7EhP6y^791OMu$@lF z21eOmK;8CL#|CD=w{d6+PRpSwC^<$FGWFYo!4U4!I4~?o$9QF7x@PJEna~3_GPxL} zTP75faiK+b0eFW1f~c%1i@6zET|1^m{Oy5^^vhxSx=?x{p|&ovJxsMAT1v%Q6SdP* zc^Gj3*d7cWEEg)?7za8|S;=wFR7R1_6n+<}lQD54z!^gY;@`lsQ0#!cs7*6XJ}Jyr zWmPQq_)-<}X}Sij3Yd1_&?)NBc^jfsV6Z*;z?uU_wiNOGSc&1j z?IJxqq;P--uy}~JU!p-lP~RejXX8R~-Kvv4D#T?aATeP;g3jLA`4(0%y}d71KgO>- zJ+(S#ttsJK^}L$1(1YsoWzqJd=L^Mz@Lha6;M#$NKfO?lHDFibPMROG6Ycy?0XG4|GUqxO(X-r58?MVU4Vqnjl-!GEil{bsE}xl`!^ITja4 z8?>+2{a)=)$<1gbkRa!Ro6&!Pq|+dYMJ;jO2K|(1M!@*5Q^m@K&ULLn1U0W@f)c6G zu!e$!iSDn_IaI_IT$L^~G+unp^fuf=5=g|(-u@m_nk2CU^> ziTlH_PO@qm`X{Km+X6#1c3NPJ-E5!lByEc)sdPTDs59Jyi;Kql21WGez?F?VC4WKYsmyUh;kf{3X?; zi@@*|ETk&C79tj5#YuV;Qi4HJ9Pr$%u3);A_X?^{60)kp?OY{3st?QkbIrM9BEN%d z@&T#g64LlbTsW;ptQ}$1Q#?S0gXa;=tq3 zp+I<1$yfs;QtgY8!Rbh}FPj;TDN(^_*e55o*NmU+UtXcC`8kSA{Pr0f;Qfa?oQyZ6 z0zukD2|tvN)m_$JN6r$ll5qN_N*qh3pjO(=lA)WzsOp?28zOa+wx=^X(>idFQW9w} zJW4hCexh9u=AX-Su zEVftZ9f8$n_M)M2;eC&ad)OGsA`LvQYeI#~bx!45={eR%nQO{-_zs@%Y@~AY_8h&d z+7ztG!g8TfQ31MN?W&)^%9t#>sf6G%_K2IL1qOFBMlvwwvuTa#r2?J4f^!tVT z$4zZIG1*|@?|LK*YHye1d-Vn=&wPr=E2uF8fwl+R;`Ve$#bT%Rj8t8q>1`J8Ae27N zz$b%|s}PyRi^~o^fl3t!l^qngPb0|?zyXm&0TzM*^XbVS5|R$f(UgX+uSWy)Db)aS z1S($Fue~e;u<&^fXEx*{RsXCkWRi6@zd`x~L?_DkK}Q5`&f*9Xl8DU=jrNorD{7!% zO7PJpNJV(-P&P%1{>b8x*d&I^)d3Z!tXBxpSZ^XTJLl-m@<|g_R@WWu!*va=8kFi+ zn(lzymBn!OsIPi<*b|L7$RSVktZ$xZ7v4bONUh+ucA^>t(ucI{LjZ)}CI0?@|JUx9 z+b?%-|7-af`sdxJhx`B9eEIyp@7}~;u73ZpyM6et`_Jzle#g}RVu>TNq~G5^{K9>x zT)6w`>(9bp;ZtFH6JjhEf#s1`Cf6$rube?@N|Fp9VMG8+_IGw$G3>J8j=NVT>X%T+ z!apHcD|j76*&u4AQ1;5DM8z^1P&{)L^$>+cSj`Z(X$ZklyrQ6i)kXymg?^rrWXBYx zNV`Gkkf<6Hil5o>;gjImk1J2&@^ywhhhR;q&(;ETt$|$5wmzs{aD5M`s9q0Anv`nf zwm#W%mJdOBWzp@iNpWFEFM!hj@^}>=^Mu};+q*c$H%U3 zpeqoG4zN+wQ)Cwt7$rNL1glVA)+v^KiWw7uFLQU|C}uU3LSQwDw1wa|=0e}qwQ41W`$B8K;G9Ti$|pg-~RHWQYz=~9MA3+h*> zx#s$od>j5j=Y}$U0+*cksWKVo3faouTiZFqRKl<;?WM^h2sH~Z!~$E9w1-I%@<7(< z#ge3xu|QDMs?|L0u$rgAY8KhrpF&+bmaTNn#ZfR}^Nf0SRRV)J3M)H~kRGLNlt>g3&2GQYYV?9QtoH zLFI=f**p)YL}qOb5+$NNsE^C@ra?maH#?}w^^TL8&d{S;DWK+do@8Ent#9+&i0aPj z!f@V@^XK5-Rx}vj0X;r$x_m@r1xKV{6&z}sCk2?P4C5ylKF8to7mO_uBvOp6ECIe7 za95oA1=mi0las0F0@iEUK8L{znH6h*X1Z4*xWdDTSKBMhNu_^sEqH8%q~N3tR339e z`lF#hC=~-4bWZXgqNTxu_Se6Bxx0N!Pbd<{(f3sT3=FXn(9n=!8PFKfFP^zHhQR=6cznonOYn0?|9m(^wZY0ss!roqM{J<2dw|x!RDKDQuXj{zOgX`YOXoCs zLsx{9gqeABgXlu-s^70YCO4ub*scl+Zl<2^>X6^E{#m)Lx;V8Uu4$49YpaYVyiF4h zT3!Vn`b>`m<{=c($_MmjMjaM^5&yEw)P53K7z|o`-(huw>A~R70H`!koxC%_^7=ec zaTNo@N6*J)kzB=g5~ivRzp^%4w@S?81|DWbhWI;bDGHpyCXj8qHgUK@xE&MuIBL%D zz(;m8$D^&b{Hl`eX?&Gkr`PM*tH9vhi?Kvl6gOqdoOnl7c=yZe=V>$8>bUNpFHoMY zC#R@$$ceKuK`GN+)S98Bg+$#$onFgTsd+L4Uk26%AcI(EQ~%K3ueobd+QI%^-zRna zBkQ7dA~Ynxd<=P1(QW~_76f)sr2A;U5v*g)QPbQzV~@sE>vQD38B^yDg9D;`2Z90T z0lsB-d337WY!4j`6&k7h!0+O#ZotL)aLA~al0u`N+t?bHH&0}+ke&KlS#FWsg|Tk+ zBT5BBWZ0a}WP?R^xUYCH2w1t;`*DzAXPaTGPP~T?hr@%1ycr_qR4#?8ZESolucuwl zoy#gHuS_!-DX6U68aPOnv$LypEHT6dKteU3sIDcX=Yl31R|4FlaV~nn zZK!eVAZb|?44VvvfpS`Ix7(~%ODPa(`@*dg4TUAh+!qZFf;N0q81%oQL6x2h^aBN& zaWkJY(vANS1k^R+r@S&QRH>%fybp`gFnWm5Qy>@*iom}Rk*|#EDmYDtlk#XyLp@A3 zMQ^}6TfUb5SX~D6SY=2*l#rV}bL0U1g;fwG4it}Nfw7<;snk&iNlf$xa zLOD<9$T!!0H*8=Ko6B~L>dMd_7R|(3GY0;kzH`Eyr$gGQWP7Ed#aqB1fHrZBc8TL} z)+Mf`B}-Y*UZeHoWUp+|ROs+lz@(9zh%X>5gn$9wE~HIGYXY$qc?1r-6o8n6VHQtu z$lgbYK$c4$O#qRbtM%L?8O2qdY70+%qc`{{xGV%DKdBEL9T>U#R+}M@V(tjaNeLCY z$26i=MuJ?&vN-D^s#`&n{U@J3|B9~a=uLaIIePl!G*1tJhC!@tfF5WVu$c~PO})9 zW(h>#K8SB}x=J9^MUc&ct8@BfEc6merCZn8!AQHa2!B(rlJ^Hj`znEhRfn8&^8}X@ zWaB&zv%Z=U4JJQ=#AbX_y=}@CLIHj#TA&uaBY8AU{q2fLZ_in;v2(~Dr<{HXy|J$8?TEI^~~CPnI?BlXByR@q@s zE43vmq*7#PHx4B}8H_bLvBEHC@}+xZqO2odPStI}+(b`7*v8Rl;pdh&i|z$_6rto- zVm|T#dhJGiflRv5-ej;Ke3wDX%Io=#q2*?_YDBIgZo0VG0TlIewUw?R7ZA1x5z&(y zwXDI|VLc)G=FXwjs3_MGwlBN4{twzeb|2yv@QPm z_CFAu;w3r?U2;n0-k?$z*DLyDIfDd%Pyp&(ZRU&AyubhytZ>ePcDuaX?tlcu=(uV; zK9)wPI;+zKEHAoQGRsTd^cc`J@U2abx<5!qVjmU8{3wvv!83mh#cP0j?i z2Iz5uw}t4yGXYIhm0Ienp_nw6p4g zP?Z9WF#_3lP0_8PR_;65B}p^Kqdh6P5&y$+wpy&cBl~5@Rr3O^AW93oXo0iv7yN^0 zFAjOBM!Bb6&$LoE|HL=J+l=xQ2WGf#Q=cbfz_&Eyk9rTf*Vv@pu~Ap|M7c4E&qVF@ z0&tc>Shj}cLwO%xwo7kuF$#BZ$PCqm{v6Dxs_GXg(u9TT8VW1ao*)mDc7FeQ_w3{C z{Xd@lgjVpZ=fNKFOq)hYkMR4y_5MF(PTiv^ue3hn)ZSC(SnEYSx*ngn+`dd0TMXLZ zdX=%|Gx&UJ!Fx68C2LV%td{3D7bZBF;S)^>HE@xMM7=M3bH{k)3A$$Llb9#5i4d*m zV`hB@4Xi?;s_;ChHy!Mb`jFjuFbv*n8kWlA2266;%|}b`Qg?L2c=Nx>pHKgD`2S)U z3bypbiQ)^ba00oIL1;}&ffGMZ>y6X?dx;a0U39!rb5g~JG$(yap389%CjlSgIEqm# zo+dbo(40H}{3yXuJiojV+}q-8y?O~}@E*&0`+-68qx<*&4Vv8VfBO3V!vk7erk6!< zI8PINU0S%4M=~E&Mrf8%iXi|$gy|ows`ikdtIB8PTrts3tre*X$ttQajB)&dRP(+u zgUoMAFS&jx#fE#;{i=UoIVNAG!e3*oP;V634E3d`D}*#XETgjumm8V0WI|dSchK4Z zw3fT2#6hJxQ#fv&Gb#tJd#)Zio+Le#L#!N|Wpvm}1~0M$>=Ff-q1{q}iYMW{aT?IF z!QZ+fE%OOVB6>n+&2c!PIL>xL8)PDss=XvpRWABAmP1A}k2s2;tO}&UWCme;qVXPs60&Rd0&X|;v%@9B1W?Z5o$IKLc|GT zC(k7|O{XlEU81wIN~N!G%}KwUEu@cEAHwFAAAQflA6~}a8hh*EK#ditUP5gS{HwTL;acSk*r)+8IRPAQ3Sn}&dPO8Rj(?Psf`7)P7W`idV&rBF>^IL1^>aOMqHPW* zr#n^Bi}|_EKA_a&zIk_^^L>w1$$@ekOl&0k$h$e%4PkmxMCB~Cyz}`1(x8pIC&7gq|oJpMV2}u zIFvjRnz)J87uE-T5-I;MZ4lxU;u?uQR7PN@Qdp}ROwuG;mUfMHRETsqi&U>ES)@8= zP|4n8uA%0c0q9(RFfvaKy33ecXrPs*}JhwROI^O8%65aMDbp)_bYP=(r7JwJ%EX${t)Kb)e%F8-&Nqc^Y_boGg7 z29w}!qq$yd6oEPB^_G2+5=2B*Xa$R~JTZX-HHhf`mJLGRW9^W8x@)8aLfD&lNFhUf zchZaH{v=}_?zt8kWC=Yf{GU63^?(#pur!7KgR&To+D(#k<;LRDXgM1|d*+N#7`PrX zLL}9hSwOivE7tJz26{oAxa3s3)Qb1i6rDfln-lj}FZw?0H!p_{cgl9>%}AaFa9 zqoqmAWP~|hO%6w@5WBN$?Kd-+{;6pvgFiD34k($XWCE@s?IKIc;L!z=2$YcuXI5=C zSGFXo4NFmDwY#vqg$(im7uB_4?zTt&2F?{{Kv-W`WO15(-5I=a3I9v)$RXWDXD=ut z5&_pPd(vw;0jJZk1Sn}b0I$`b-=KZ_(cLprKLlxk6pT*qNR;8946D}#{htFSJGb(DP!6h3V6r;pJ5pyu(i)t@ZCfPg5U%z%htW=s5 z*Vsu*tINY2f?+#6$ zFahCQKO8(8^m%#Q&3sNPfo2H;)KZ7cu3(JL9NSib<7N$Xc%loaPc0qPt#>(GO%4?$ zFaktOMV1_d86$_0-DXW&_%4H%mrl3GD}m7jvX4gxVl|Xd3|H)t`hDd6Aj-LmBh*$I zO`hDoeFItj{=vUF@eI{mlr5p-lXU6a@$T#*Kox|GjO%=~2?_~ZAxyYjL*r#T%SUo8 zKTJlq@W8)QpPO^En^UWu!9E0EZa@8e_xat?18SK(Lr&(Ghi7PWin!8#|M}g!`@07p z>&fMBd#&s8A-MHSO`)bXTeEuIkKm!_*XPJn|NCmGpdhPejKcIFsD#K;lSK!0rS|jH zb2uYV#$>-hs}2piMdOy(FWtjIs7j0m>G0vxi4U{^l(MvYm|A98ts~$Xcy`P&rkWAn z1U|dy-E_4*FazQUlEj~cjC_qd%Eyr;vnl$T&>-w!V{Z|;&hqsrjJ$*)irf%8fC6)H z!{kK_vmxj9mCp)8fwxOuhEejYFmrmja$xe$*+Gf+b~_Sf;2XrEda5(@x~%OJRBOy! zN$gxeFQ#ShyZ^~A`zy9S<1Z+gvtC(L(`daJKOEPPcKW^6iyL z`sK2)PI&NaDwVTGH7cJNAc{=|{RXl3GD;6Yd7oYYt0irhiGw!Jf#OcIc3kc^NJorK zYCXxZ`LZ^2qgWshsV9KHE0CR&AvJ<^+6-};gaae1fQ^6LL~RWec4|4Ei>bsryt}^I z$hY>hQcgftvGNR@R_7`A;P(2N-%^?Mz#o&+z@U<>H2aIDIZDG;unQy0vd6@4{Tc$yQR&C zxu(QxEZo>(dzw5(s*6W4TCq7)6_=h>8t33+$~kRc^OrA1^b0{VGz$k1OYncVWv=KL zd9OqOc=1t`b|Rot>6cXAI{aW9NOegju>2b1!2BOcQi;P4P+FucXWZ$CzXxe1|D?Q1 z{7Fgjnf-b?f*0Umw2wMnp-^?5RQ82?{gTE4(+{4Pc&(N--Ga zEI?QWSOw+dXo?k3eIG2`eo2r=3&e$75eiU+ToVel%5!^adgAs}vK|vhJA_+!zTo)e zpzLYJCwLgpJ}Y{`6@%L56*7t6Ht1aa!Jk-t%!yVDN&=Zfxgz@AcyQ81mTq9~m9YwU zugxMU1>P1<={&J<)SzgUls0mwRToVXsoN*ge##7@pr4T~SjFw{s48#nUGb??2vsx&Qjp(Zg@QKKqLQ z{q`H)tmEt&qcoG%yB5Aed@dhg#sM20Vs zN&gR)tNiM3zir+8-~Sgdj`H8%djG=z{r(GTsY0!9Au$oc41`;Rb1+n)+sY&*+r&Q< zR$A(BC)Pyg99?TVDp^!d1v3G5NVI_9@Df8Q{^c&9{8q9)-|r#Krtgc$?!dRNGrXVYg*X{^jHQE6dS%FOTxYR z>X`$P9ru?^6B0u)YnkqjKvT0c{3K4h5HtslXfZ~5RGDn5vSD85k-)>B#G;CV6IE-8Fl5b zL|OciY%#Ws-g!&ggnD zc%;KCM-Oy(l_`(+7L_U5TQuPsl3=sDKo=SL&9|24GC4&FDHS8Sl}-tPPl+A2gyn)) z1mhIPL+16>FB%NJ9Ofj7#RQk9v78m&c)%Ydb~xy zaZHf2Ah5+ib9yYvcC_@rxF5ac9UzsDr2;UBR(P}}$1`_Q2`m(RAYd~16-N4qXx*%@ z4V6J{0b_l0;S`TZA+23uIge0(R=I=MEX<4PileDy$y4K zmnQdQ_>FV}TQ-Z_K#rVx@*MyG>pAd3c^rT8qKH$yRsx%GJre7=>p$#Pk0yY1L-&;|F|(s>!8qsG-;kun!rBzjBdrpagETr;VM^ga{N$Im!i?bQB<@Rn658AjT`CfpQ%!`_C z>0l57jGDLt?ZNQJ_rHAp@{s4>1-*{D2dC=(!Wu=JXiG0UIk!F|gjt3X$3gVBMkGCuGd-g`mvSdi)BKn~<^W|!vBTQ+PwxMD|8Vzl|MQy<|Jc9z<@VG5 z=eq|qg8%dm4Tu2}xc&O!;XZS5Qg{mDY~bNIE~(qpcwaOxC?XhC5~NN|Y08+B=%{|( zNv|9g%F9$Wi=2Qz;5YQ${J}})z^uq2z;>}&P)mwaO66fXq1i#&qd%}HYKgiEh--7- zJwS`UNnjxy48)`#>T%0MC2Tr+r_wXs8wDp9@5kohPX6H`qv8*&+%i%u>Lxm&vZxkQ zr_;P?RO^nFhA3Pp4za8(>u(1ggu@`e>m^oOtQeG?pfZ666X*T*_0=}8fO4S10a{a( z0VK4F3=pk?ZF-H^(5Z@~Ngz5$o~M4SennUlo=U^LAhbC{*-NJBbAUhhdpUB+1Ua6? z6nAR`$+b8mLK(E9`n)86AT!{bU%tr|B;PuBDoQ;IQ=u#*sCH}BXH?Em!mWEqsOPZE zwkIzEi_R3*vWx6%@uPPR`QfWhkaf0)7hTKr!yRb<+MVAgnQ;SECj})W8j#@6WU_he}`O)1K^(U70QxNvEo>A)6M%QY3%@36IN{)F5dL1!=8k*3IsM4 zFtzZ&#jLh|AnDp*g{-U7l(h5uY|9@nXBRLwX4@^QFEUmR5k^iDo}h>XQ#ESFL)alG z=PNk^(u_v_u@mB*#>!#YG+Ur1?f2!Y!_JOGIUwSXP7|Nre*S$AO9Rc(%vmAJMI}s( zSZJY-DPcZGDOIQlpQqaf4R6AF7S}6FSXtg9LR;|FW~V@&suVd^Et&S6%L84bMj_#uyB0CfPTPj5-IZ~W02ut$*QB3g{#lA z<@0<)EE@xTaQJ}kf-|qlS_?JNq1PHHd<*5cYf!DWlTLDgDMmA5agMNyCf!VfLZNa> z+DS=^IZ7%X0`Yyi))AV|=~l?XF$jxF$-WG56<9ztJOo z$MVP&{Cj-xo&9%%Obc}bG$$chF1i4K&&+ft+?#~oYVQ-TJG-So=eQK#VK;jct{5QR{F44OzHOz9uufYx`~E3 zj?5G#do_L^!tvns49BBgMpdp*CYhcdG6849CIS!e=^>P@1h4~EIorsh@aM&BiyV8h zUwfEx(AT*#MHVG!ae*$xW+u`UDa4tt1`Vv)MwbXyR0czVEoH98)W%_6Wp*+j+ z;VBbLX`MoMoYNJo!W~5)wEhL2OLjhJMu!QtLA+VMW^u+dgWQvs>=Oey52Sg~!Z#gqqN#jV9^H9N zt$z5#Tb#0esoJWlDzA`T6+D~yfQkWUZmJ5fh#2s~g5&QR@#k!h%VV1IF2I?rCYc>M z1~$kK!S7Nd2Q<4JJcdZ;D3;~3{Zs>uND5=3p5UZGb+dFYjB+80t@1)tq*{ly5>_Yu>v>DAFeQ=pG{u6U1 zoTKR0<^(lrPL4BawNnV2yXsPrlKsV=Q=snrAJ9UBEnJ2qI2;+M1SIa?A1ZHB8< zpHfk29+^x6589f#q#dnQI3sfPu$9N)>S0V}=t3)wheb9WP%o!Mz$M2?3<0YGHg*Qe z1{0=%_(|>3a@^>ZpunNYa>(6fB?|snvSeJ@qJGZ;z2Z+fU-ky*>;lJv?qU*Jl=4Uw z7Xxw|CvY+FowCaKE-Mt9o3#=iTIPjw$Ov^Q?+*tNQcV2*L61fWwLmNU;&h-wA+0G& z7p~d1OYsF@U|FLt*KAuKl==!_Q>_M74c?efkro`%1!{(7vDy0X;S9$iu|uRZ3+`)v zlq_1DMp}|7dJ4UG)qH<`mBIG&0i7)5;jKYco+~j5G=U0n8TT6LX&xE$8a1s#EAeS+ zWlwt}ZZ?Drk#?;l2B0LeRvH}>T!eMrM~K|q4aAwxQJIr2Nw@)E1k)3BevOW)=Te9U z4|4^#u%lpq?-aq{F`IpaMe|?WaAW0RU zVn_`NYz85k^1E(pdP0Xl1 zKb{Py8s%}C^B$;hlSsqj*RRoYq$?$t{fbABl903=i9R|*_1s6NZ2rs~m5qEHtm(G}&uUSZ1S&&(0+BIx`lUH|lEkUz`%46>OuP#GDB=>(?n>#4qat0R7-%M4`e8FUtRP|zs4gwWc@ooKg9i?WzvQB3e#*%JHXsRF85B5clhbs5yI zP_nQ+r=o#%-%!38O1g`)=-#AK=NY!r+e}YqYE7Z${Lxp&O^=R3Sv~yu^gsJ3btZQC z5?$rQ5n*nMLah-!fB|Lo^Z8QSdD4oYHe^fWSb!et1tMH(%A1Qqcs3LfG=}EEJhT0W zyW9IR+brbF^m~(un1p8Rmx>}`k;r(k`>c1nMW={3{BA=`5;zKf*KG4edMPh>T`^R{^j!8I5N1}Xr&hRqkx z7wBjIa<#a&My4V3q7~uE7Zc^HxD|upfb8N{bo=P3dS#C9V++jU@FHuHn1(sr)}AgT zguXF6$$q`TZOm6f>nJ$I9|f4uYlwE( zgZ=jaPcBh$+SbPkAGC&raMgU&A|lvxrUanuoAN@9g0xsYBlsDF~lY9a%IA z$fBIZDux14@~hbv_+|vc!=4wMyFIhA|A%hwTpV7y9SZINFVW?);&5}KdS{1Ek5dNy z!&4g3DC5Ffl?p9Gtd=u)Hv=39AFHt}`isgDDF~9ya%S2wSGUmwx5`ArARwd!0H|o4 z(#k`$A56#9gno>B&tJrC@Aqd<6yk+Y5;LpAAHW@m`eGkyd#jQg7ocRR+>IC)J#?Nb zMh~6BbKLPPU_6g>i6tz0qf0N<(*rM7JVOwV5@Id~^{}ZooPj0$W+io_N4qj=XEgT^ z$mU3DF6${e_c8B4I_P^=n<5()eOX@NLrz~M!3Vs&nTju~du^dIOOW=F8TvkvhEV&- z3+Z))h!rc5P12jt)Di8O8p`?dHQnX(~IxEe$u+0d%%Pc zhI|ePErh|F&tJZ1!O#8Or`w-C+=;p_+m3ZtY8JtlLrSO89>lecc|Qv^he&hldM>uh zVF=}L>SNnqv?@t7pN<*XCI%;*>Vtsda2T1z?s`>*!rgI+hF};Kq4or!$%K0Bd}|-1 z(_Ky7^D!s&u20LY4LaqZp{uPt>ImB#ORxU=^$Y5+qH2OCrWV|Vnuq}NjDqk~2PkMq z3ZfDjanFeHq8wQeI<>)yoT*OZi>ZUzR%>Z>Di8#;OmI)^mPqn2M3PMp1FV0S!cv4B z(=k782C#{~H25bQXn18I>5%k9|%e30;&evC$0?KGM-vSb_ej!;;g0nb9 z>(Of%%CM93DAY#jid=U7q91Kep|1Hb)bfXLoGsDD1~89A*heL9AuS_HO9MuuHX&c8^0&coy*r z26o#oZcyFe!T>H>^#^9E0&~>Qq@;0mb9r5uHnfuZLqezJyHLkPO!BaB*baV~1xF|@ zSLd=Ie&3;uh5JUI6IzMQcw-{qhR3~T2q!d|0*VW!hUrP~Ko|lQ8|@TL@$S4GfS>^I z>gt?@P2Jt>Hu7v}SjX?2Oi;|%(tm()Bn`D)4a%na#|{{W-M@~W19LOHT>d(B0b=qa zcuUhMLi3r2A}-_t4eIw&tlk8ASwx<2c$&=OoA@2j?k}i=^9yoZe@8&#PG9L{jNq?U z{xn+Lpt=ld_3YQ%us4iK(nh2(2<*9vUt*ahe`i^HQ2)#~bBY{l_6MB|AP0 z@06}kkyJ+T3j>19g*KOjGvY% z(Ml9ta4tnU;=H)>N%@LYxY85x+5tPMGK9*!>(y$r0eTH(aTxnY-!gyF za2?o{@})_xifSGtd(kS=v>7cn7`UL0>kcU2h04MYn)5#4tF>YKpli-zAKMD35FZR3 zk#4@eDDMLffI z-i%nCq`=SsLYN6Bo@_`bbkdgBK?052wn(T>^^(*donOyw+wx;>ZW%B{(m!qG5Y#BZ|AANZ{O>tz zIB^c)8O=F)y+@uH?!e#|&varUG-D_VPoQ7mdT)vr85pK;y*@_-Ut9xh=QnwB%0n&w z$lgR8-wvZ`hGhZaFfs_Dr4wPpCSD}O{t3vm(_?{ABqVTSf`BaeU7<&HPE&4@Xaf{& z^} z#f?xgUdzHb-%CCzj~S!aCtYCalfzi)6Yx1K7R=ybto;Rp7`7F61wd?+wv8pCr1P-n zwYbRAi%RF}P&n=o+RzAwi~cFZ74cetOsDu`uC;r&Pj|1*mk8j;@_N+pJwcrkpWacc z<|7X}8ligYFjBx7`j0@BM%*lD(TQ0jLNA>nOPcV|75m?^X91AAvAulPgo>8XIhEg} z;yUKWtspc)9pChFTvWuzyaicB&l-)Sj#VUV zvm1N>2B(i6AQ$gKMXnIWh=4y&PXp$U^4o>!8jvn+1hf^ERIT&599hK6bi}Ppv7vC&+!>zQND3A zrA1>dHc`$6C(!SWShVu&hrKn=$gQeiR68|ahQzxN>6KimPm@$h;7=GU)YzEhN#S6YJv@gh-m z=+&3HPtZsLt8Nd;y5z3t$|Nq0h77V|ETzm6TAR{0K;lrR3#o=AnF1K0F(A6YdE{iC z>T$YU&QqnkHKufD`%Yw>@u9rpwun9pvGh}dM|F(}D9wrl7BL8^5=c%Pk0m*6#x%lE zIG3^KSIT+PV)5|eI@i?d8c}F~lB*>J=^jxhkJYV3^)AIL&`FVSG~K8;r0YY)X*}wU zQGdtz!fs7$E;z^T3@nDU&-bFoFw_HK{Jvwrb=K;z92#izBV;*)`T1|)E}ry{>rC`l zM}g&+5FjK6-=c9`_Lml<0AwD-LrIE0JW63%5E`y*ryP@k=!t?n=xPLQ#)c_6SfTVp zj>c(`)(z>TV6x&FRt%HKiZ~!(!D3vwYqvdaJcfHDRje*n*JoEtc(7uWTFGRy`+GRG zIRRm$@+$2)`X1RMVApMtv#^04qd?RQyMZfRpF=>4IG`mY1*dyycv?DxezKC(0xGb> zl7M%1i*@GD=$N5|8}y`>lBRl+XLS^MQfP+^cB|3_RRzVzsEAOIkSRRn^+Mk%hTHjJ zVE^q}pOgt^)Rh{mY_>ZVDd|1%WJ;5PTvZ}f=E>(tqXM@_X`bjli=l%!Cezauc5~qz zawG&Y>Jy?!*YVNb`D=HR9lH}JmIdT&AEqI@e$bOX4a%{(6pV1-fq{P#l_YBv=}J^( z#3)7K1hGRn*c}{>!5iZW;&XgU$Ez#NbAsLyW%yVVo3*inR9&slmyCIUIWa`gL!*p` z_iu0r-hcY={u8hnbYl!}z#qE27#MuCku1igODs3poy_o{0*1h~fqI6Nb!)bUpWa~& zzoNq$#?EH=ZvE+FxP&p$Jm&pTs4UeWNQzjdD;q(QQLnqY%8)ju@s(3_nbZ>?(1JPe zKAENosg4S2Qsk9(E!|xE`SR5!*@2kU#sRw}WB}O=+B3Q$T@Tvj6ZFH8?LA*n+8R=6C`0=}X0YVL8Kq`gr&8&9DDN8LhW>gD+DLVi~=*zh_pbTQ+6ln`eSI`wkQ*Q<3rQtz}koeXgDk&2`8_tsf=&vLpUA!!76-yB4 zDHg`Ej-1iXFrH@3lai(GnxYGBx@capup^Ei0F#Pr$1LHj`~B~?Uq0=>+`YT|a`)-Y z-Tl%1KR-VEc>gm2c(?Z-(H;An@2rb<@4KHref^H}kv90eIg^^KS3oy#Mds ze|_^!4>#z2gI}^?ltusT{pYXu-$bWFVjs{JSg&4Ezo(7hXMmTZYXGG+&R_xrB_ilL zHc-(aT2uJmX8yj~B3BbeRu`3;uH2HfW~wI|!{UTQcb)?f5FWY)k^~+B zNCpP=!c)gxHE2U?YUMrt#E10FT;n)t`LjjiIH`MPPJ(Afj>D`O1~Erq3%D$bHWLA$ zKZP^Tuh$D$M{pvEQ1cQN6hHLWv@W#Il6uJ)3Z0v-afl~_U>uVFZOPq^?rKRdjqG`v)fFaA{TjmA%1Dg@s-m9Hw9A84)&ASGPi8Srj;bEX_4>>o1r z;UElN>+HI+!R%%E#ne{d*>G|Y?LSEJrAys`NL{V84omcAcP0zbZzcZ?JCgtOx^JwY zf3&NNqy`G?0Y+vhHq*3QlDNMcT}ciV4{N4K1CMM(UWYpbe#Ta;LB4lQ(WR0j8xnrZ z@SmRQ|3T3Je?SLXcynN)^za8bAmW4Pz+J461ixCUHH``LJ-Rs<>u^+_|8x&*W=zO8 z`wagnbYGAP&lxw_w@K4`4XY#q1RzpJyD(Y$!NYdtmUw*fWQ|m|{hQklAC7L{zWu@s$G;x^_WAu==rT{`m#0s4|nq%+K8Cl)veyyvY8Hz8j!r;S;&KA@a#}&*;tFzUKLxT`V4r?Q^slYf^d#waJvn zYgfsX$17mT6s^hcs(A1pdlGGOh&t!!DazJg3{BaJ(~Vl43H_^4d|cMSP6L8u(-L?PQ1&yEJB23)X7`$`1BSv-)<@jD|}uj5lw3W!ZUbY zb+4zP-N^h@%_D;>)I*#s@Qcmte7ghLs=pF_xppti0)Pn_cxh!+2_O~X+H30+ItN;u zb`1C)w1;I27-xoOaTwFPPEUcy)t;OzK(gOlvkWc{^Z(HHLqSafwZA+?3!-PRp8lKN zHgU*tka-?+KG?NV#DCWv(Q!bPs{@C%e0`z zN_5g~^fnoL&fhh_@KFn*8 z4C?XFe1D9}IhLF4pJ+jS$5qy;!_qIuY0r75tY+I2OfXZC+6Z94nKm?YN~dI{{QDjIeW#o(&tOTSEDd zhhy8fXwT7GL=Ha~cGZv>42K6fJmzGKEDJIM*(SvD1H97`ip4LUWuf_{wGmftNM_l% zFcdu%sB7nNYvp@5ErQ4*4TjKP!(N*L&=Bno5Ay`1s&zkB_<78h8+O>mrsaFRoXpy_~@Z3(5fr z#g2UNhc`2k*Rz+;Wi?{gykHhn#zT8RCdt_%d;WFo5rFU`}O$+isU1QFS1E2jAqR(kzoX41eu+9oWTP9!5;>O;`e;JILR9#c|Lrtjm|KdNm|Y(51=q238nGRo<|k}fWaZc@Z!SZFI0 zRGu;ivvfyIp#P%-FBFH?NQLy;bCmepKHxEg28AEtw(X(HeJhjn#ue-I@{0aJ-G2km z1VO)FfZcOr26_8Sx;rVvpf6;h?{Ho22L+@x%Et((D!PPi=;G3DCQBHwel1_ z?Y^(r=3z9DAlh zgCpuEXctj?>n{e>=Seoymf3mguTRmSRP0f}wbqHkn5l?SA}3J1K&#c!3c1$jDaG;? zn9ZYXF+6+JjL<=c`NiPU%lXw6+QYH-r6~aBk{q5+;ArzLZxHC$UR>FpH$z9C znP@Wg3A*oLOa#>CoDfa=1|l2joKe}H?z!m}9jl}Pd4^4j1O`={uqlYj;SNlr%jb>3 zN4=C7e>5=&<3L>m;VmRDzG+Ar=*Q+3K{H66rk2XGg;ryXkSET)lR-`yHNmDBPT^6* z6lFHjo`iUbdFHielJxS_xs;& z_aENhKbRdf=!dA~1eq|z;6A^+S**`rAb_?LX)J;{maEWe6`Fc!+9KRRbEE?WCucZBR?7nA8ZaSw zaCu&_Yxmx=n0={TCZaoijcJ9}WI59s2W(vMri6@vq|rvW=JL9nEz84;Npu47?OK@7 zs=ul;H^m_H9U*Yd2tRfMMH~*G9w4LPN4kbicXNG;nhtbIhqI@LLvy8YdU=*})I;!g zk;E1#`*yYdkv~xz;;4JfU$;6#-kD-YM_E~d)@aGqC>y7v6}TEssWa&uzmyNyUNbvu z-XEmC2tc$(Ua~}s-$qsgZgWglQ}Ii{$#HCrZSZMxea-t)LZ1G>;Q7j~*$p+xE4fv;(hFqa*I==TpSq3J7Ib^FDFX-+NVxaE+ph%7ZULE*D?@qzxn6d%!A z90Xwfp)u)M`82{2)UIMsc5RCjk@-hBQT+?^M`M(VJ>5OiY?Bzu#}+B~EQ`nv8bLjPj>6$s=#iYt49MW8N>Wmpncn)&e8K{OB!H zW7475!Ol#Elut)1%`1!pobZZ$BNH{Jdy?KHIC88?@G*qKO>Z!Gf|CqnkX+r&Dv(}J zy(B(H&N@=KcQC;(pZ{I@K7V}wiTE{yOXZi|PYh2nyo8u8UDZ%4l0I;O!jd#>R(I)4 z;6~qFx=66FPG`v{jm$DFY3_or(4OX|CyCDKH6i&23qqy{Y^s=SOS4|QP*6C`7U0mo zJnZ4>%H1(=>FT<}{=7n;Id$eVbV7ppzC7+AN4U`-^z@zzFGeoXm~*n%?Fg!MB4{-N@19wtD^)Q z7$Mpxp?i{_EA%-$v-UbyKplCOYG zs~y*73d>YkOY3sFCfT5@2ohI6e|&J<>`&Bhr&w;_m_e#z(&SMt%rtC;jd4h5up9@>$O&@;?8AKkNVhFByO< z3Ln}d*K|3W;>@p>d)^@Z8&k5s2l!<81Toaj>|Kjb9o&+@lGz&2tyDr8 zlg%d>%W(X_FqfF%<;E0R1Njj`*UQwfV+lB-j%GL4o`8^QwCY5PRt<{!l}5g!N&r+p zh$=aO+mJ>?bO{;#2Rp(Xa$ripjfJG_d6P6UVWzUgnZT}M5)ldxuAeYdO0$g~4~*HoSNgL==GLA~b-E_-1Gt`dR|NJd5J7BJt;%);v6KiZp_=!OcJW=plk zl!D^gbE6Y4|3c{21GiD_?GJTjCcq<16f2EgQ@ZX#0;Qw+wOm}REtVF%AFtMgvRo*N z$JVXHI!M@$A}W5n8l83`==BO~5g~^CsT3oLZ^mP_&#K}TfloK)sX~VD0U)bw$)q|qHk3Rv>JDkJ(@cK8sNuQ(*pxZC-Z zdS+zKQExo)v!@;ts`I01+WtUR1?dqQ7n$`ng{^@fi;AA|k*PS_w!oWjS3gA3LX8Y@ zo(Su)NSCa5pyp^*YaoJN$}`QMcl(-~5}G`;8`eq$GTQXn%QYi^xmQw(0npl{R{#zq zAVhpX>;bG{lyk@#4$~*6BPpyX@St%1YfZ=X>~lnSgZ5gP&8L_RrLcljcm~xxAnxAO zDiafXq|4*k!ttw&>UXMNQ=cR|c6u6VxuDDl-4l6ziTrbNFH;He1i`(8-(9trCn(4C z8Ir?=+!o;=VlhG6&n?o&C+f{2Z^M__3cJ4Bk0d#AI|~cUI@3;;!HuK*Od?J|gyd>R z$WgXPsZ6l1U%dov(9eovuY>}`UES?WVp;U*cBY|}rqv`nR}SgdxLGi3f}92su{F1A zH?4s}yD7&tOsOZ@DxipqKDbA(t~VnsUZTf(-i(5!71xgqp7+QN0u$$9={4Uq$K%n- zXgKaq2N2uG%otMdYa{KlTvUf$lcRes}=#CZf+zwDclMF^jhn|~Q z3KDL5-*KxfZVS~O$QPLfk`{JmdUma-{{q+x?dffobk%THSgko-2r-&9&wh6!IRwk*1*0-oNERxUp zJ|!z`iwoR`#|9l^)^k><(R^F`muymU67uFyTp zz9f935RnT6ARii}g>L{)fU?~(c*KosV!RpGQ((sqk|+X;c?v8%kM3b;pnal|EW{j_ z!phJRco3Mgba6_n0U?AoZV1MYHXV4BI`yw#@2;WL1YwliG@YSjVg}2U&oYw8*Qlnm zNj^7Puc~8^PC=3o7!KQ#=|5jTX_kBst>G|F+N-?z@cEwE4{yGHxqtuL-4QP`YxK(< z+;KnOp@kfquvBN!(m_(x_uY^Ojvmg<`W|@_kA-sNE(de7zHclyOJq?jk#zmqU@WFq zUWD@gX|}533w~sG8|q3>)ySpLdjm8Ultn%DP*0qfni63O@XHXju-a~|VL6*waZc5# zkUBsJgcax%X@U>}bY*=S@#+V59*mCuGD}Mk$s7iub;}!|M8=?rG3P*mECa=qpyl}~ z5EDHC03wlxuYw{ebiP;w#@+=gyw`NJad{bK$^f_1=?4xK*m44o!9l<&aE+f{twiD1 z#YIl0+DTfRz&U4qP>)5%ll0TVAsu;uUDquHpFqm;{{82l?j`t!EGf9Dp=V#P2nu&w z)6s-M;x%d*GD7dgX2v3*!^D(Os6}d%W!LN4VfsmVg{KCwVKnP{g)%sddtrleB!G;t z{xSLD2Kn9d{pR^gKOj#>}JsBDgz%M)@ADCZ2CHz=19;xJIIxo~KVJzpW(VY)MA zWHe4gI0Rn&v#Yh1w}E$A%wQ8Pkqrxxii)g#ys>N!Ct{1j1z^E9sOF549gqhbS^VKm zaOutl9V$tCAXiCRt*ArY9R2a^pHF*#^#1af z-ak!=Jr4Fjjpi4U(cmXpl=t&3egu))9;#4HWfC_wz8%`bWcK{XU$H%qzh`T_T^C9Z z-?34}imE772;-uG&j1DudlO+c;T+*ItX5;2p=TyFH$=mbFv+6!%4mo#N0ciPvxZxh zq$~4;ti_c8a+F&X;h_SvTa3!PU?Ivo$ZgxI#H*WACDEXSq5kFuHdGq^;#2r#Io2{c zC@`&v3H@(8VWzmKeWCF-_&d<#R=yJPFgt@Wn}c;mWDvaFKkoee(TYa`B(NF!h>+aR z$52^%_ytU*m7kBn=d2&DZpqFWCkJLgCLf$}=pKmQ5%rkLA817A?#05Ksa}u79f_FI zZySFt)O`raL)tzv-h> zl(Le!56Xj}QWdXhg84Y6r`isXXA*Q#l4u!*VPrD`0UEq!<-@KKKL*utJqw~ljf2+? zG5uF?ZQ3KH)Mu7ZXR%5ZjKIfO;~td@JmxqA6k1-DVYa!xL3kI6ka(Cnhy39y6Xem| z^f85kid9-Kw>GS20yaZ{S#{;>42Fjjb}%Q}u}JshUPp(ri*03bZtk|G$*Z`^B)Q#5 zfmUIR=T~sqlHEI?eL~KH{|IQ`?;n2o`tc{=4Y^lZo5-3`1MDeHsfClEP>FTZOjGJ4 z^@-_qD^CF=ILYkh#n}p~>gr;(C8+dAJxy(jAiF_y|1`035}swbF*ZlnKQn@M7XV0! zJ?gqPfi2X%m_f;(_Ad`mT3gqP$d#-U=v!%4{<_=`|=)7R=k0w?#a;A>FJnZ$pR( z3HU?Jzvpk3e;+((gOFz@Ob`Cb02;`+;egH!X^GL(;6nMqB+jl_wn`=GFrfRu6@qRF zWtG)f3VsUrpb)q^5UfnD{A4$FfaYg1g@}L%Cg}|W(fD$!E#V!Snu`*Us8EdondgKYq zIiwDpkgL}l_yvx>1!7L)jUI>{wcI6PYmNdQ8D^Q zEcNX91y&q|lbG3}H9_b5kSDa<&9AP}#zMEXNFwsVbYqJtva!tcGzVDLAyhiE3HylO z%yOi`wldSV9F?1T0u+%hf&#)-l>H3bO}0PZ!|`9m$;VM8d(d7Q_1YsflCRvl7+PjtaV$ui}Hfax)o+I~@qcII$5V|btHSb1`BZo1;khrc2_}2yF1vU-u z^;j|w1|RiVVf@i#9*j#ekBfLSr>#qfKbZlrSI=n{P?OoIZyZ zDBKpoFo;}Y;!?@0{o3PD`FnVukUIb{x0DtAr%vxP1Scp3{L?x~@KyvDc)Ly#z#Zgj;=T?PP0w|}#bGr8 z7IShouzoozHxW-5pg<1cv541biXs_FZ3^^EV+<|#d{!ptJ?X~Sv>YiRa4LBi3}dQi z(^s2I5!HTjYH3+FThF!^fz-MtRH&|VDj!l#9Tci&aJI+JqnbTjfT&>PzE1cOu~i5Q zK^u{>bV7Ydj^#r_*I_Dt(7KaqIRSDoSV*xPLEmWMLS`phM<^>5pe;6`rSJD_{ypdw z$oxISn)eR?65w%#NHkBVAR?-Mk}Z@daAz~bRjr4oJv!GnnGve}<(eUj$if||{sSEFuU|?bSf-=xY6*XuBfbd!HF*frG zM1zXp>)GWCwVM@zLZaeIN#LCI|& z{-n;YPetE8m&P?Rm2&O1$;aj1#zF_~O>cUm5#o@D<_JxP6w*L9F$okNE?+{G#ECD1 zTIL9qx5vVh;MSWZ{rb+Yo?k8%^#^c;Gj9+NtG|p8${@|!H>*m$+bn5*>`&cuk+WNI z#%N8jlu$_W`jnR_@iP= z4z*=k!DU00QNl!&TaU~v2=_?P5q&Ks@WTyCR>Ph5>T0_LPZnPi1VmC)JxDNkZyiO& zg=sas#@D*0s^f$wZ06uQ)yq!3l|c%6Wo}Ixtpb7h^NmAZH{8~Sm_x(PE=flBXNQop zWy4{tO%Pf)9inj+N!$)(?l}+vSDl zgl4>c%!MvfCWogSV~TpL#hJLConNHU+E5W=aw<)=tO5wtgZVDOB2GubK0Nq>5;l~h za;TNT@VJ#efRS`~Zl@{gb*GBdO?sje`igChu@w(pwji* z&&VIZAIQ;o_zjqyH@*LbKkvhze~W{tO_+^-;@|%lV5|K;s$2Gc|Kt&THGKb$4i(RPxgF&2~hg_$N!(PH(_ogNzz31Q?NB=W7M{)3<-b)xbwRA z;i8BxUIma8yT|6iD2Y;WTi5WYQt!_G_xF8%#Fdd`RkuVcBaq09@bL3<_vHOMX6QP& ze{$S{{EL5b>~5yqKRF&c(zLKN=u2MD;p~p;j787PT(JrI<>cm`dxqbcdKO%_$5Fr+ z+9l}Lw5!-&1bBWGF$(x$m(7l{2RanLpUz_o^JEG(sKJFzb=Y^gbCT?H>wO4(ka}`_ zf>0+9&H%XRp~H`*kK|rJvV@Q-7t0g42k-gcfqv1K=qQPD=MS-d@@$iJ;`X z$Q%H&P$6XEj4_|YWJn~@NNff@EVAhM>vZd>eY8EGSw#d7>kgnTVQO9yA(b(QI!x@QXfQi$_ciRW_{rZ4bNAG(u*)FV!Ki^<;>CjT*hvDb$wo3lnzNCT*nixezW9189}(ARC;c3=Q}o zGIbyk(yZ`WpgcsEn1z>99*}~xal+$PM=M^g`aCWb16x+I0TO^=t^QSW*6jZ5KXWIn zS0^}H9u!X4bi_AFY-PMDWB5KU`@@r$U_Yjt228gN{*a!IcESg{t$G?fdZc3%o&BHls#%YuS z&IuIO5b0O{EUn<^UV!#M7ZJtQN`Ps@_D zPh5MBl5emm8)+ZR+NaT=Ot0mOFinOMw<7MQ7<2ex5kC(aGc^yxttS(7*8p=o1n~Ld zM0=?*NkuFGnV-9_=X300XtS`nn4Pfsm4=m3gyJXHvbui8mJCjA{=6Q`Bbo5nxs7?S zd9zxaABLr_j8*tWZI&*#okr9-u4MS3dSW%hZx}KIw%gM)7@jQV!exq^*F>9dKg7tI z>}t7z>7X)7JLafNO1m0MzXp>^4tYA@=e9j8=hnGSyO@-49aNIi_s_Y`5XtDGYmln~ zZg>C=MOc=hc9#ov2zjb6`lsR7pzo-*BTS%}A&<1Zez^I5`ye90k<81PcckHa7_p&W z)1{OtBIb;zIHBGw>jG8fE52#zVw)_Ba7~grYVf+;tF`SJ8K*LAwc9}&Um zy23o;Oa>8W2G?riwZLB7$R0Ntb1it*D{=8xZALp z8+d3U15U3M&zB6neDVA3m-k7i~- zpqG?n%>k*1jRcY81?^q@O})7%_Xm|B}!dpZwrxF`z{LaC`IV z{VSKtJ3Ehv7(7TehF(K6zsVctQJF-MyB!h_#30_7{++Rv4b@@hKeECL4VmryofV>}$ zI-@P+u#ktbWJ5k9xTq>43|cIQvnVr_!-Lz3P%oM^u5${}aDNNK8)Q(#dT3X+E~QUu zteDYvc}PD>{0bl;*^%?jo8>Yb_hyPOagiX4lQ?Grc)a4ciQ$v#r{&9;s%#ALGx`nd z!er8FChY~n>cnkrCIXuTPevpObtpz!gW7giI->L`y#iY&>nTQc1xOi=b&dqV+zELS z6`SFNrS4Px_}mt|&y-us)ohx_gQuh(}7zyI{pPt4tvl+EieU%sNV_sx#Zu_-c!J}{S4RzThe z=AwmXptVomM|(hDjyjYhedsfE)+(NlbfBV`m!Fk8W&wxTRwdjwS?)Mmxp{pa*D64f zgYTQ6(hKUB<{uqIAIAVb4w97{-SgGSWh&6J>$W5~`YB%slpQ%CI2&^agSOEh1dAc6 z8`;G|-$=(2#&?K#G(ZLh<{}XCXmi-t4o~Ry1fVy1Jw?5N>}IvNB1eFeX$l1*=ndtN z(_d|Z5TG-=q+B2f7cDmcmp4_dHRLy~Optqmw!}rm@IedbFD!@M3g>9FYCoXXS6lBK z9v&ZW5Hxa)Kk3S&L;fU{3Ho-*Ct?DYt?~F^h;~i;Txoe8H+2qZ3K#KdBsH z@>0WPfi#6c5;^?DEM0War*zkXHZB=nKWf!)Hr(PRsbczL4+#lWmxsU%>gHSnN<3`EI==nC$gAtM& zk*9HbwnJ@Vx;gHlOXl^1fFgeQ^7Y#fTufKGj4AF<@C394kX5ltAY4pemokB9Q-e6P z7alrT%xRLqQMMY~hmZjp!Abg>wLQB2_Lh|=P=5m5O5r!Y|A*QIE}6rbi9&V+djmo? zXf6hztRx=A5hYJ$b%E#*mJ$B5Swgc87~`P@^x{Tkv*geVUzhrxu(LLZuL-w_tsCGM zfvgrff)=ZpkYua%X>v;uz{sCx><$r>`7F?9YotP-?Kw)HdSZtm$&=-ES?_{EVF91_ zVx{E~(8N!;$9#@9UUxz^dsaSkRmwP`@v&mflIjaRw2EIU}7%3pTumAth=tzC{&$6 zM{4y)`u_J->f*@)Et)AK3|tcU{Px0o_zt2HEg-e<9W0gS6JpU$&?4O5GLFjOlDBm@ zrGF3+W~NPv=h;JZfxXZ;(Kl)=NSCNf@_bA%3{bDpWZ;&zzUP1<0+@E=?3p}5>K%-8 z+O1}p?PlSY-QEbSEp;Q{s}tc+jOac^uC(X%cuIB!O~U>0U2vs6NDP9I)yNDOii346 zActNLcm-J&n6~N(b=5fmVG9KfSy+;wbp#tI zPz$XS`+*s1G36=OKZ~ zq=Wc!iTSkDa=98+@(g4$2$3Mu>?F(}o$C1)5X3k;3$;@m47s#ZLpWW&BhcU-d&JY+tlb z&Jhxz5@>z_&D&j`Hpns}hu@Vwa~elz3xx@JT^lP!kEm)SapN!{k$!^lyIizOmjLy0r!j#^ zD*A^8Kb?IPW*BlwVm&#-HXZlx~PY}h1eHZEC*W}iS9_V)Kv zFRcZ^CfZA(|DFC-P|}2!jdfm@-&rq}XSm2z&XSq?->J`23QdN+iPs0|@1-(#kEMH^ zv3f-SHVCSOwPP*mJlZ=5-6cuBPWMW`LCtE9=rTEj<{69URl%ed-YE+;d+KPbf^*^N zD#sD|(XT_XJ$PN7uBPYw*K|mj3`@vBua?EM-1#tewiYfeA>+&FJT1;T%FI=cS7nkO zFg*P53Vye)TmlRt;kdh=55||zj2|+EfOr~kPR0$%Km%(iu2&wtoT1O{vMH2g3@V_4 zqg-a?gu9En{xZ>%z9(#<)AjDm3P%vS;q#}870|ok`HSn7=PzgI^OKdRG9nzzxVbuL zm<&Nw!Y7OfAy0{NlK`a4(<7vc9W8erP|6SiUun_7-(NxpXVe$% zIwb7tt_vP?Xsy`sI|w9IM51YjGLbOFu8xs_wq3F^*7S0XlA5%KR`BXBiS1qNOwYf6 zzWI1PS?jW5}Mq^R`9PtliAe@Mdss5k13TRY?0S0bF)JSl$8;2{B4s+{XdI5Ig#(N~E>D0GPEJF?K4n-R zFN8~)#*5EDeah-Omjk6RDaLiR^-LCVR~YT6K#fxyjh|s+6vxVt!TLd~30glw{N<;s~WCg`E#+fOegq}>(DhgAbPFeSH)LO>$=aYQMew1*N%$P=uTK!V=M z|3Gq_#)mP910H&I3cUgmYn3DLG)YjQRg+k}IQ(VEqa5{3gH1!* zcHh1-1GWv2<`RgXHhnAYHiQaoB&AXt8=ATExpWf&+R1aF07HWc4EiNSEpGXm*fwI? z-~T zbsh!lB$>V<6+EWGS^$VKWrPHp9rtI9BZW=lS)L&;Obc=NW2;r!F-aaJIYknAJv_aL z&XL;SlX67kvb=}vcY?bbq@ZIb;Ot^S!0A|epT@O)sU`&(?}gFIf%1OExH1riLZcN1 z#+&NfgBsu(6e~XHi-S!enUWEu_S!aEsXl-{V3bXcUEh#f=D9;gIXq-(U_Ck)x~jWOz=ZTOL3+?r&UCp@O3e2B=lYa$G} z3@tBo=4tU{2fUoqX6rse)+R%B@kG3O!b@Vf4O%LS8*YQ)vk}k%@rn{*b=a7=67cQJ z)mIRkfp^r4dXR5#*A!i8Zhq`Qd#D&Oc9$Rnm8idR@gT-VQnAN8z~j(+APS2ny;z)$ zbSwrHjY)^EfD4H4t9~~;{_-RcL2G+J zTS_JSVNxN6+yek6pC=DRq2YME1@U;WJxsI0J7FIbu|QR#unjR9aurzw%M0J`HLO+3 z?QoA+2*ji2j~N$F1mZ2wCiUJ75Cc6d=_s`YsvEY#V94fQAHLk1(Wv}7C1XB=MuIkP zfC}f4L%WhlTT_6%mJ(tVMcH1JD`^;BegKf2B(J1c1MN28GJK=8B>=65ug_PGw<8{y ztrioN)%b##-eRBfugvM+Iiqsyy619}3lUH;nN_DTh>wC$`oXhj5|~YzxT3TZiIv)w z7zHw5`Y7JS|3DDrS`fA59isoy64D(vcxz>XkRc6>j1x4S#aO#s1knG*b6;~GG}>tc z{ILFMYQE-X46iM2#vv=UXw0(R8r9hY5kcDz-@e{&AA%7n1z(>ms$l|H`Ri8oFZ%ItSJkelX>yn*9Y~3V99Wq$)@s{0IbaPvhcm}jHaXVl?dFW zWdV?yeDU)O*t~lSg~spf>|D^k{#W_u%YSeFBgz}y=Jn~R)C+1Zr8AH7g@Z>vwEJkQ zQ{@3%=TfCweSdPk(TmN@YB_iy#vr}Qfyy~*P2rcdOX8l-fjUCvA2r0Ag;KsNhLB~x z`g%VR7rd&=zTLk4`t{TH;qLKfD{bPo$S(<1Q<@jcFV}BB-E2R8`ug_z6JhB$cXyB9 z9)K5p_w8|ed-tE)8=0{Ks!MvLCoq^ns~Cc7JgC%RnaQ+GYZa&m;Hq?no4gK?WUK^= z9|apjEljR)!4eap!O_5v;dB#&M^vVvl(rz$C73)(meNT2`$Qc`*023F_i$OGlQc6h z%sWl|{!1+Hjca>AB*;1yb9m1rf8BcK#?qCQxV0bF!>Iu?>0ivRh<<=xn}tyNQD9NB0)w$9BAJ&Mf=yU%gd5Qw?35}d3xn!rRKnbsm2@uvx1kf zg

    Qlu6D3-GMZPla7?B1ib~J!_i>RP4MUb{(c`-siH_d-U=}5a3eqXF}RudvOEl3 z5d!Eau;t696C?V`lAWBiS|R&RPGURc&YhgFR(zHUM50N|yY`@RAgr)6D_g6E8Oon; zKEL~n`XSe!2l&&|fBHgX0gE?qFs9#=+CgSGaEg8#Xl3V-tt=9$z&Mde1+Js-5Ntf! z>47E0v`)Apr&u`y59QU&T?#`8r<4P6&OSyn07YI;UGwrb6CN7pvxct=j!&R23C1Oj zYZNS{_ViVQRh=d{8sX@A$qey@qRsg={s}M_VmeL6o$-A46xA)L5x4&M0dH?C=ZU@@t z_RHhvSN!?y?)K|T=;Cyje!987J43gm+btA-zVPXBtmo3`?zqF6M{5PGtxp_Fl z2k&kl{#l#$iv4l**7gBacRTO;grvoLM@ljM5kB)>`~j&@Yz_wF-T?h(H~+jpzeTDO zZ6-)q__R>t!dwwTi6|f*_=xnSa&Mo~5>^Z*J)A{{Q+%WTd~JX~Tv|psob&4t`XX}x z@1fiUY{nE#RFRZi1p_;+QYZ9k2>5DxDE^MNk=7sXs8uSwelP>{&Q#N;K?G zB#VnIwWK{qVaPD`N8CtS&YZ;qj=-sUncE3~JidOO|7`75@-cr*S1a(!(By&pI>?1SS7RVmKN<6_`&zLA3h-6 z`q$ozH+S2&w+|Av*!d)i#w+F{zVeIE9CgaQ55tJXcrSr6#ktUE-aY(pJULoDtoI6zSewNvjSN)HUMt(;64*vE0#*?>?bz zIb?=;BC>czX7!KI;Nb+&DKw%*C2m;Skig+W?;bTYZr=&zjho&Wx-nFQ4Bp`uOw^-c z{h{@taxM6U5y-<~ksc=juzv=9-JlN{v?VB8uBw4aKp*9wwkq46quWmP3QyT=|B4j( z#XOcNEC(w0Y)!FSQcGZeTKM3??j0QLABg=r{~4-muwt9cGKZ~{dHIS_r4EHVAia_8 z^#BTJN_=6er39sMFpt$V+MQZ08rvGk&53C_9=(7On+eB^GIE-rZdMC|!}Q3Y44OSi z5wC@eQnpHe9F~(I{ub>T)!X;bd4t_GM+~am&!xt}8i%ZU*^fjyC?|qP&&%4%_iNIG z-&|sn$W{{9x)$0F-)!{;XUlWc4oaJd1RgCS8o~^oZAHl_1j$0v9MByObVW$@$t>1$ zEC-&VN&Bqa5%DhbZ& zS0_N;D1k8R$F{ss1^`&GLO-RNVAKV=tb9^-kWSTyuiLjbfWM-}4t<95TQG)zw_x~4 zw{S2HN73?=Md9$&dlV8d1wl}kfo)-Bgg9l5%x7fe4XoG`Jx_!LI74^Z`Jtc+4CNcx zT5YhhyP*FCcry_{?add|FhTc{SW+0%Pqb8&qtJogt_wW@$UZix0@Y) z7@y)O9y?Vy7@n6d&F-tE&nGb(OZAJ|7de8j$^lVp?hj;rwz*%WsWIexSx(Vgi@!nKcuj)FMQ5nY=fHlr>IZaI*yZX~ z8?@wz0*Q>S-a+3Hv8B^~(0|@(k2w*703hO}=+@$#SN4gr5KxR5tVw(ZLM1%P=WC!p z0|5nUjWHaVP$8qvsY*kzXRx&^%ZQ(&KKczZlxc9ty5N{87MI zKbyD;+S7*%MQey&(COl@TNX$+p+@IeDiM#*f}jz3iZ$9`1Ci~46fNpELu(e^+unX= z%RkyufqR85C+WKjG-cmfY5?5kIPWo_Zfy}-%EUOqj-phs2JSe`(#)DhlG}JmX_OnK zaK;a^J{8=2N1RENtFgi_hu~oIql*O*++OhtsbOUbM>(X=qni}o)ctDEmiZsP%78Y5 zrd9bu(jJ?7+G7*a9^Jue0-h(Ry9Pvil0GH()U`mWn0=m*<1^}*)d45L_OMW(`@pI3 z8{bB@v@M0#z#3{C50$gEe#x*O;KX23_BpoB4CflFs7hu~V4Ar|1K2i*3i{RNV1ec> zTIqmw#wuyhCw(= zK2%{Tl}XA~Ompt1Yp`VS1`ahXvK0VN`;3??U@yr3<+->T1RWz922~7xna4Nq6M`#| zg6%=2qcl{t-K^E{)#?UrB&leIw|+WD$KndqTh0pgRGX9B*^ezV65S)J^d(=M4htO` z%g-v_Rl8x9GxR&TtSTvxjtTrduZnVjy~5&12`2sxb`&)p9*NdyWi#vZbU!&3unf{V zNtBrKvOc9BwsDYLw7~4xjlt=Sl4s2*ts2n43b7DdYaplO)(8D42lwv9W)1f3XjXvM zHDfLH4}jS%K~+(m8EV;8BFWmy3{ZJiVaOtVS&<j(hg|b!!@8uBIe9RZ6GDFs+ z5PPYQ8`KfRVPW5ZK!loM&C#L@XIx~ZL(8%m@@2M0)~-!^mW_aJQMu9|rex0qJUtu4 z!AWNW8elM4-#{ya_DPs3*Bn$IBDg3%3GLvPHSEpz8m z^9T+>?4*j%fwt=mEs90JYE<(3Y?aYqkHz3vO#{*)|lA`mG3o~(e6 zxZlnD+jkFwecQSD4hKL)YvG@0{;(sLU$ImrmSM16oUiD9VB0X%nSiU@e%tmf{3h2? ze!@-apu*ad;NR#j1bAbJ@^sGdH?>zP=OqWDNhJefS(yg{9~V|<;?#nCWEZ?K%>bW~ z(kC|UN%GtqO;KY5HAbGSi!hjQ7W5*iUCp(W(hX9Fr3b~2q~);eKv~KQKwnCdW=bI| zib;%#_XCGmwZ$jwNzo?%kU5#meKvk?Dj` zo`aV{%mAkF>g-UV8@-8V+Xa5^u2LWvX~tc^xE~;8J{Ud0d|h9iz^|3)P@Qx1DA}<3 zaU?S&a5D5WF((G=3L<#F-u`{LK4oPb*3&>cRsMt%OI{THRR7g4Z0|q(d;dSsQZ-Ka z`uI@JX!02ViIy`4$&4>IzyAdP+0B;+{6MfDC8NIcmQDPcY|3cz6AFXgyvKuJ78J*m z#b^S;MUDj8xcZaTKhm^5^6a+^S|1ra@hzXx-ztBw;|uhvf9k>HBiVz=N1l2x`AGI) z@{y+=?E3xtWVN7(q2sM_?O~J3OjH^}g(;e0=2bd_+01?wv%j4~TVU7%>i(F@Pv;O9%(CR7Eee9lzg&=onk4OYSIq8b>B~p zoB})chrNB2?(z!k0xg73Ga}CWK%7IS%B#@wf$o!>>S9FCvIYpsP66&lW^_um7_g3^ zf|<=F1ZHGd&%`CPWCIOoLr1IEEN+9yLVh& z30PvLRw+qR#fc=Q0Z~+65Y-1iB?xVG->Fnqhg=t{PT+?6qa?4iI*WM9_6uXQLOS(% zN-=(N0%$Y7l&p^$(oH`YHHrP7UMx)L^aJX_%2JkyTE^n1ogFtQPraINfL~e8&j}A) zonQ`~!GjBKls!mPN~1ZSXsWx6+N5CLbPNvyZdv4Rp&>B7329YbL%vIu39?82Y}5YO zno%v_h&1p(dM$slbb18Zj9bQ-=1tb&NaFxU5+f6-kLRzC%4C(`noBZ`SX5nrg3ulV zOX?V}5LDMpC8>hkP-5oFgQ#Os&XuW3z*$3ZKrhB9Qo91B*`tF$P=X2-sH~1s5I0>R zttW!~ekf45*lSFnPOF=o9iu6yL36btHwFZc3XZDv0M;kkPjFzxx)6i8)FY`G`i&O` zWv&gh0xxy7bKyz>N?&Qh0i-%@KY!~z46fZ=B6drNHN78D3GRo8}HCel7Y@VBf zmN$Q3%ConDf9JW|UFC*vAtLnWU(LsZODFNyU*fNSoyFfzlHY%s zi9FLtgVph}ssqCNq?}o;1e^*4!pHFhNFb9Z!B2k@{OV7FU;Rlq!M~j|lHcV?5cjF2 z_u=p`>Qf!^m8(sVmGdP{_^|E$oTdu7q`tm;5GM>lRoH^iCM((CKXs|pCdqg5rdBxx z550i-Rq1w}4QR`IG zO-_OS3M{WSSmAlqX(WgkQk(WCZc>VXoa(GTYs3@0y@B)t#1KeBM;M|S=Xb@e1x~*v%F7R9;xsNM z$n%j@l!~&wWG8wfC@Mn0(!pZUcK~a**bTJ+J?F4djkuc7Mz|m@Hbo#i2oGU}>NF)7 zW+I1-jXz5}K6DPOrNJh-}A3~gC_>IaNzM~O8jzW>&P zQk}rOXa;8i)$mx^)$*~@K^)i!GOK(WyDoK@9;hv8U@TTltexr9Jdh(zzp0&fNfHXC z_@HT()KX-XV;r;0pg|L=e%gYmVx6le{XYADr6PzN1%VqPRSUeSkLan8^UI7k^sUq% z^uzHZm63Rmq(LX}Xl(fgnlu!O6=6x^_DRqlQacISJBjmL6`vC#k9K=!?da#tc3AD; zC3tezEA`99IPtBMG!f+?TvYk@IFpBwUYpWNwM0r5kS_xI7=i*9#@HXsf)Dz1Mg zQaLK29dl)2lS6QLHiIezF|91F7}4E^D!(48DvzI@w~1eox5>vx76OFN`Qe`YP3V!L z^#S*K`{^I|xBvSlDp-cHmDJb7F@rAPB`D4-IrRHMKj^IF(1#AE>oiCmhXV*PFfrrk z(fcUXr4l~xk_>B>Ue&jcN|zoup6c1p4U~;!-MS~~P0Yhs!kzGZHd2Xh1!LIxdZCA+Ml_(gEKW^ys?^-oL#!R$wBzN@ z&ik*AsHO9=`DwgA-rFCdT=8UYFl=_mH-8%TC&;p+c=GDvc%ZYRC3_<{uO#y}_s?-;LW zZe25Zm~-RB6|^HJy$M@JJtWmX1uvlDNCx}?t+3@OO1QKUl_JMfT*$CebC7-KGvQm%Vtf2uQcj~;>I!Tu zX5v6%VED7(bu>O3KYLlpSq(!ey z8xU5nruP|$MiM79nv9xAs1n?$nc(@d(s7z=9Gm4PO#!8ThtZ)JQK1JZc1-uCL8{pM znAt4hd`WCZ+LI34@AUMlw;06xUCQ=GjrzWYb+3s~6F8gt4eMSxgG(dtwECD0Sy&zRn zz`|c~w1Z!Ib1^Ksoyy@}qH?S%kVqNBnPR9&=L-HKsCn{)=xod7? z6+`Cy)OKf(1A+GV$$U`n=sX8OFSt%o26Vk7QsXso`rTtr46PYL45lb%Kx}D3pvt&t z0{l8FVw%Gcvs>B>xvT5j6Uv}AEsHc6qe0Y|Me!vo^X>*!VeW5sBnh*(1-k6v`VP>& z%BWk)YWM~EijpRz&4#oAZY>fExQyU-%)9vI0$`ehzdhHJO7cu&+G*aIim#u9SaoyJ zz9FG4sm2<0ZMgp|mnsoD;n1fpcYuAQi*xJ)Uk39awb}tdiZZHdV5H@I+Zb9XVw#Nj z3l}7s3xo-Mv&zxLYXK>p(yP< z77!}3(3R}o#>`?sz{Bh`Z~(xeK7n-bH*ioDd{j1$qA-G!irRhb$IzdM3L-HsX9nWn z^@nJJ>l|*&)OFs#>`J&=YiT^V9u>DP?HnOW(U;3uP8*J8X#;uI6&$Gv2N8hGFhR)J zIw#kiV%nb)nu$0Z0>V`tu_B4B&f&^?wU4UD2?Gj zkqT$?)|A{B8b96@KJ1Z$j+`nY_ zvH8ypD@%|MqqPe=Fskl;GP)Qw+SO+{SC8;`zek2bH3rY4Jr=NVm~6ap=tMsBqmlHmmb{!ary{ zGX)U~2U3^=5DPm!{0i}yqj+q%*+*hjcYj-A9tQpAr!Hys}gfB3(RG#m=L&5Ogzx+$@;s_p7@@vY!l=kR0ED)au zKw@`6K5fi7bLG>@oh8mJ#}4xwCju4B` z!;b9%C~MBBK&B@!<)=TU&~3R(B}iybNyoJ6uG2y2uq`P^kHt!t$^k$vUSFF~dniwspnu7ypu%W7cafNf(R6Oct`IXPby5q(sv&2jo zg%D82nJr2D>U3SO>|{XKvrt}2m5JJmFr~z1^6VAyQkcPs{iaRRHA`rP%M+vA{$Ryb zeX8W>gwlG!z3Phk?(kVZ`d}BkM-m9q26*4|G#1hx)F*(5f@=2W{exzCU=g5uif6)z z73M4!>lai!zStZt7h&@eO+x;$ITFV1vGvLemuoNKg6*|!+zpU&tcjRY9qXIvzDa@q zdBbyFb0N3$74A`d~#D6^Hrb!SekQ6V=KBzW}|>cAq-1C4&$FB*+ZFRq5Y zKlOn5Fdo}vIv-FYBLX55wSsGy8Q;2Ow7o}Sd%J)ZHRS;InECW*6C zoTA{!t_HU4u33YpHhakMZ6i~0+1W>XP#(c_Iei7Feqm?Ify$n=rs!^{n*#U{I4xei zd-eX+&8rWuKEC?()$ObQ^|E&j{p~IOP>*|$KR5XE0e?Q?&#(A%i$DJhi-CSBhg0Hl z)i;9EaCMOzVov2{6#^rHMhwFy~J;;;kf`p=<+JyrWrw~3%81A8)Fm)wLMrz7l zs|)V>LkRxPAz!%K1bGzLP{R{;lk2juIQnGTAt#9GL>6Kxq7Kppi%|R$>VjEYg&8Wn zdQXMkXgIJiF3W$c7~Dr?uX9x1`ae)!7c(Mm4i4Y}_&)E?!G-`B{l6)zLY3P9Y(zNK zk6Oaa${D(CM(@CM;{T5D(Y4QpWQm+%37B2vS?XA)cCBYFL%Z%a(DA#+d|zraNrD?0N9w0$YlzDH}2nV2v2EE-s;Ty%+$p&K~`1y9(OwO83We z3EBS`Uo$^HU2mH!vEs}4r0U6LgL?QOs$Pt=-+$025ieyJvK)3b1aR;MFiG)NVh)A{ zj*PrNZ_*~;-seE0$lB*16x&b2d{nF!>$H%7Ssv{~>J=dTt7p!wO$7 zT8o2kD5MmtUk>S0M23`VVh%;^7}bxu7Gq%%FHg!r6m~U41lO5MgA@yG!-5zj&E6z7 zsT+&Ti)a=~Ilo0vhI$m}8awGg<0#_57S zP-Lhr$oYJ^@=QYw#5?keoS+*fTUR5jCVWsz&HJz{Xyr*flF~B$k|&7)JS7G>>LfuoLD-dME*0k;UTN=XrRaQ(^1km$ zojScQ^bv`K>;^Dx(2_|X?O}Q8yp2@HM83XJ@>(n4o~$ojA>n6YTtRybw>vi~^FbW_ zlsqZ(5$Yq9PwCj zmyW23w1_OtkSD|!0NNB^wQfdu+1lmAMZo9Jv*yH>@_n|f)=W9Y1gD_RX@QgichNob zrl!sg8fl8^=f0RDHvv0ow&5 z;X|U#7H_8O1HACTYCGK=V~feTVOb&ik1EA0+xTemo1P%AghpHm%lG#wX6PwNG*I^q zvT@TaEzQ+AM{m)#;oZRRf1lCI?}|naj3@)7+=Ei7s2A}G$JVT?*d0%%4?q>1hu z^g~R@qVBvi@S*MqPvh+faUq`J_Cw29BVWK0==TnGnKD3KNwQSPDZrIn=#@$XKROx` zL_(sf^su<#;YQJaTj~M7h{x>obVgY|*amK46#+_S5Yyy4CY0QwHBAn>#PLpJFPA|_ z=|;Wo_iTSkZU$n4lKC2km}F(rUNq3lH0PNu}nW1oSA);Js>=F{le^-T#ouHF-sPQ#>8gb_ zfvbeg8Iu=J*0!9b^vj3x@aClj03{2~zx9|05d4lg3quI-;029MG*camTrBxg`1sDy zTMJ2=cEq60gP{NW&YQ9s#fYrUOi#-c5$Zw4Bs$#7>&h`S9w5D%!-nu1TceeIsn65x zfw09&puigZ3>z@mzQhdE^+C%KQ=f~gx|HGO2lPVoulDnWp{E`$PSPh!x|J|Jd<{~R zElB{8VGD*xPu4aUid=Tn>A-hRIg-r@p4nI~28xs9a2%dqz-fCNg+ejlj5|>slh5Ip zJ4S&e$IYY7{4lQ4^6Y$jGFwB2x|Xkp*88D`b*m3o)~;ist{)srtPaj>Vi(h?Zx1p~~9yf9Q4Z_unKLA+8M3(%Hmj{aN;!t!eD%h&Cy8_orV=(gBw0OLyDa>byoAz!kKxiyx3faJmhK)*clT`gM*2l~bL zGZar0m83V=zoWFL3+^|DO9gpJ6%XM;*wG&AIu4xR;S*60R)kyNI0QIi7cPnRWU zTvcYcFzC3G5D{f&EeCVDb~HEPcQl>Q<_pZq+k6d!G^mVKL_uwq%7HvkV&K$TN+qlQ zMuO#o%V{Dn?Mjepjro$aJ{=Y#Gm^tga(*^adBye|eL-N7ScBzZG|02+guEL(O6r)4c8hwM)imW_I!wnNH{6LV9moK z)CMc-P@SerQQFr-vC56giPi#mA|P#DX&m=wv+*8lp1|?;^~;yXPoJ0()ob~Ne2@+y zYR}XxBzPnhquiKbg4T;Ia^x10I7IY<8TR3LS=NY_Jc&>;L;CDjp-%gwIyP%RK%LCb zlc@H<=eJiR9{XLqvZ+vFdTFX<=y9qM(R4)jkTP3MUZ7V<-EA>BnurgFInR>9|87w+dd$v@%DZ1zl*oV-2O{1+C#1tuIZN< zuja9b@1^KRC7a;wWR;u{i?nQ4G&u#@>KrdWy8yBspb_@4VFv>Q9k>tTzefNa=MiUxoe7%44#1?KdX_b zV&u$Ej5=fx!V~of<(*d2fmsS&pnn|-?fTt6 zYVGUwy0ow7&REvd;S{ClHZJ}IY95@>djU_2D6>^aZqkdn;xkRh3^O`k&*z6f!%Z0} z3%QY^>n71l*@Ccd7T_m~orsr!j~h4!Aw#4=w(m3`JDn_)KZ}iKf^`hI#A^-9IpFax zg0Kp$(8N~LC6JF*d(KgR23^>=7D~C)Af?T&BTX}eCN4WZF_D+@x?2a^?*4Z)5N(mD1Ioa(^MlbGv{d)J%qu$NkchmuX=Ji?HgL-OB zHmIjkg+t834^kLRL1e_!ZST@4diP8eUtC#Lx3t>2`4@qUuGcL}gEDEs9R;I#xF#L( z?XG-Mc3Kx#7$N>bjMp}f5goZYD?4r>4x)T>Fz~RoB&qa!$ORF`Pfco`;%<_wx)My| z|MGFGC6XXr_^+P+%k>OhKIDuD7sz{=LSjjqqxF?NEwxa-p^7y`*b@oID&`Uo8f2AZ z_Ph&947udOill44L!=oD6B&Z9w;C>NFBYLok@pRE<#B@ah~hTsuS}V+#tQ~*u+XsZ z>SPExKA}B_+lX*our8=EL|k&~x^95Ud;zbU&k*(N;Au()TyO~o;H=KkTZoX=gMh*+ zcnU0rdkH+CmSF4@Usq008gxlCh?yPG$xISu7Yj+0j>S$U?rf>}sNpB7_^9`!J)spT z-DmV}B+)zfZ?b%SqDCVFfm@x>o- z3Qh`j#=?P2=>BDLlGuet5{&_k%3&c0vS%uA5=bJr7*z=7({m&t|C9I-{Z}LZG;cIK zQGXC$+>Xr;s*rrkMF+vPKOqn4GSZB4VMyv?kM>Qrgn=7Kk1_`jJvBua*@=rPD80G| z#NxQB;K0@DBzey3_wUeg>*MtUn%8e{zW`e!CQzXRc``IRZ~f!NAvz{AN{J44QVp*= zRH-vi?u+q8vfx;@O%S9b0931&Q^8bxMjo3o9j-@k-hEmG=*qdA&Q`Djr2Z6g<>9vk zFczXEIZ>*;g%Mpp->Am@FED_Xr*6G;jOs^|2u)z&2-mA(E9`M#!AI}5BU)nw3^5a{ z->^lub3Up|+tbrD%v}!Yck>Y7NxeR#{2F)N_q{et){laMjDuf?q|j5|H1x@7S&5A0 zFr%SvVv2$c{))p&GPib4s`H%%@l_wL$gqxyd~Etr-yAH_h!1-Xkk4;lKY?&R-Sl>T z`2EAp4{mK3tZPXe3QY!N9mGS&B@w06eRsBM<_&KR%W}El?NK7KpXteb39FOj%ll2U z3}&%ygaj;9BvXRX5a8jcU`+qHkTY7ZF=bcwERyu#>^>hqUastVF+q1b;eN7ZTLeNofL3u_ zpCglsu~Wvune#^5@9ufJ@hG06{(AcEvEB6}fUSG#gs7DO4oGkR-{q_U2&{)b_~x}P z{`^3y4U;YZe*5M9*WVqKPz4NF6@2FKov)761BYUh2((h1=x+$W1@>PAspLr-eGc0l z=TtI;;#Y-z4h$0DDn!yQ52mPJUo$528P3T&?G53Od0NzAw72R6W_W?(V z%s?1sG2*mT8y7MP7CM=`TIepjYC}pqa-{Kq&YxI=KkQ{~u(Gh#X}ZA5+k4>b5EZan zrtd9v9nyaUiev@B)P8_!?w!`493_TDGT;kQ8}`tx3#Pg&RJKr_`fM!?@cLU+z1}>1xRK=&SR0icN#f?4ns`caDC;08)+QmA5{|)B!MWxZMLW(iXI#_!Wr$9T3|$a z2PHrglOrk!6cGil1V1B9VOgIrsHs}xUH`_5z?V!8$QO`nUJJgU4xHNINOJnD9ET8G zN2!iDX4|=fs-5Qbg2KFV)2OAyg^?KQA~V?$GJL6Zx#b_|NLD(3Xwo|WM4GOJc4Le3vUAsr{aQ8)GW>PR4in0W}>ja-62Gh{6n;Zb1f-@{-@Hh8zIjAOI= zd>u#3(F`N@1mGX%0fK>1i7R5!hGpHi)052#By)sXN4=oUQ#OVzMY5%t#Vj&ca9!|Q zSKC->bbETTSRSL{c4AXk$930n(2N|Il9zlYAMnYLba-~QJHP>)&jO$Fw+bT_2GyS9 zoQbXhmS&*ffOSJmIdgH_WaC&O{*+dU=#}W^p6Vh5fy~d=07urZyHIYA%4Xa@Tt7VC zL!Ezr6K-tGjG@Zh)FR>0xK9Jft z#td`khey1fRTiWAHRP2+y_4KY#W_O6LW8s5tDP0FcjUzHJui--*;r z|3l$6FL(?7y?}#d+uIE#|9zdGY|}<45ncfxZ{|BND#;&>74sXShP#&a8*WD7w@LVI z0dA<7?G)2VMLArhtHE0pFsot#xpZ}Pg_BQ-&Fuc!#X-sH#4^Mq91R-GMfI7k>_m7VHAx{AsY-)_{u{Rxf$c-&0il&ZWYy6M zh1KUNc{vM6oO*2;;fKYb%-mQxYhVR(NJP;~S;2&?!0i*HT3*1NwwR@*&f0@UvoUAI zO2WQbpObwi;>Fn`IA1GVWDGC@d#YtT649b#T&L1fxH~w;j;>tqX z`=YwDeOwjbmJU?*g|<*OMYn`Y142C$CNH^n@?dj=2uHPQ9H{Ax2FqxFa0SWKG2q`*9hNUD z{XMt{Q^c1(HVi;H%DNg*PKg^7B%Xy};U`oR2P%NRTaeVjBRv>NjePn~=pp{xy>QT7?hlFh{8I(^V%BntybD{bEvee8h`c8xqwAt=O+ zOx@pZ?(T0UJCEo&y|Z&MdAXBZM%PZcgh6T6G8i#d5`5Pv(&z4L*pGujgGzHq4Zu?q zI+vJT_D@<|6+7?=48XCUl@tSD%L6|&JcH115sW!S6;}d%UkDt@OpmPSSI$M$HpwQ) zp-BitTq|kzpt<6onxaR99-t6NM&4z}ph5$p#r9zRiwJ@cWkZ~c0XH6ic2)dQiLCVl z91&Oq;2ix7QE&iu@#L_RMCT+~0~2J;UYd%`jB4}0LXZixX0>Rdy@&D*>H~4~Q$?Hy zqkv!$>>2*zOG^`OJ9~R@o})k6ig~Jj?2>EciHk$-rD(_K?1XqxhBA7GTgnN_$7La0 zNijTHHP)^MPzmxG0PqkA-BF-&gMg=?h9w&!MNCovu>?9!plgTnCUk*VPXrrOO0)0K zdrCA)enm7&{-LW&i9=);yf@S6(a)|{SYs?l@E(cYa%OVXp3D@gASwjP zYAw!2FSftGyLy2@@w4-Gn`Jycmnq7L-t8#{+rg|NU{&yyTcpo9es;0-6 z;|5M^{Ux+7$HmaT9KW-DxzYo0w|a2fll z8=?k&A7Gb}R0K~Qx?EhX&{32w?&ji%k)+zVEVep}rG`>)XaQJEosK6?JfYh5O93od zc~z~dDhaRCEa5d9a8~R*W?wE#|<3wJ+gNd+OdoNRJ&sr$JJ=qjJLGt$6`w$I};R- z=T}fl_u!pA)yCx<%fma;Kyhs0`_(WZ32DD5 z9`1_)t0n7d&sg?G82##W+#A7zpDm&UB_tYwbwphY-*7Q$6@wO)vE-sZb9=r#vBeIW zh#}Y~hQQ>!#SMheW2-P{Ar*HjEGn&HghReU6>gYR;3}tQ0!UcRu*gT)Ew}njZ5IEo z3or^%=LTI)OO3jfOhNjC@}tnv;Tb+-jMV+NAv%ZUr(-jT&d~~ogAe!A3Ux7M$48V! zh20P~O%EJ6)cU-KR2~=4!iiQ9KT%w-$dPge_aq{GD}E@bsTG&>h<>OT2d0gCigH2; z*KH5#TalDiQnYc@MCojl_Z(mUTD%kD5@Mc zL7YQ;g9GNcMF|NJxg{Tz@&_C07_2&nB-j2K8U!F#v_MVtlg0Gp9O{^X*i>&?pgsX( zsAM)W0KcGBIPWaaIg$Ei@gq_c-R;G&Eiu6!QMD<#)bH2dfW&!ZHvuHf0ql&Fd^8VA zf0se@CWT4-U)dxXfaU;mI0YsOp{aSG-M(AdvFV_%x1ZY-%BxHu25=h5*_Mfks z(DcE;GjrASfQ4vW01lcC1f(o-R`)n;ogIfwsi&?!LZK#m+nD#YwMvG7B6ct@$6t zl;STgk=am4sW70+n!MOZUXL&43bC1O^*OpLF)BeFay>nHy+kwNz{)l8!>>EpIls+J7Ocg@%Tk2w{&&A#-$6D&N2IMz`(}A{1}k*0 z8SOeWYQM$zsFLqTo|mcQ`(szh{kdu>gbsD2LhSKkkh5$VlSS0g3>P^9h8I|i9)5xH z(&`U}LDV_xZVra=CB3;GZl3k`Q%@QcX!UHhuIJvZa@cFT()x@tH%R>G57dmz+~4Um zQO=U>QW>1Poi#9_x*@Emi(?J2^v7TZ3bAxf09(R}U+lkl_w8|ed-tE)+xzX!m+QBm zZr%e^k5b`mk_*2E)frqsgFf^jCEW*1trX@1rwQdlsOpLjOa8K#@$`d49gcI1^61|< zg#bEVFIEsl=O8TYnP>b@9-CTBTjo?|=rJbqOBroU@$yCJfF^_{EW^DXTB~Fpg!3!I zI&c5JT%XFp0*DB-DGQ^nCq#%edD}|JPA{eHgv9A&SNMFej=`BhRp$`^3SKM#P~Iyz znx&y_?p7(rI=E^%i%T8#A3JMVj=P&=Q&kqt%4Aak^CAlY3{yxSf(Lu%C4-U(Z=V+6 zjG3@R{+-WytVtI6BAcl#PnwQ6t&iF^Mh7$xQA;QD+xvJY3$qd-!?>VV7Sp`+AC){5G15F(}*sZ$Qd&Z&>bYWX*!)T`lTtM!`P4 zinLlI4+B}JRSz(({!g3-@g*%zFJ?!qv=WyvQTAa=#MX36d^qS~Ks@sZ0Q9h$;s!x7 zcTK2}QRh^prQ_x6DZmulixY;*rkCqUi}Oy;KO>(^nt=V+(=)V+xn}nw)5r5=6_WCM&jy3weu$@L z0PH)CbU!^eNHQ4XVs@4c9t*dN_&x!e#kNlm)5nyDpEY~dW)z)bP{rh8K4cp-t_<)m zrR zb*|QijTebNjiyxUdkqf~;yIfi=7ah{MDXWlXw-AESWQoQpKm_D`}R*cA?8Or zzt{*(BtAW6l}!3$5QToLxZO+?H3v+r#*^n6khQWLu6T3Nv>xUg9GKcwr;GNO(1mN) z(8f>pG1sfJF|R8+8}=RbVPz#;Q5k}FxD56q2+OJyHPf1-EAC7rG*Ey}wLA@` zpxJ^Qv*d*{-=x<g2f6T6`rj1rLC4D={pZT>7a1W9P*fHSW-5w5K&g1V+kEpThaZr|*khegI zlQ>p}BS=zxlW2}VD>s`YH+$nttc!Q)0G zpA@Q(CnwsSmc@Cv;4jgY(J{fd*-v0=n(TJ^ilHh97iF-|YGOE&u}16)ZulQ-bz^_* zH5SA)v_V)G+(K6P%S%~lv>^QAVkw|Gyg*%Nop3xvn4>I#E2d%X`D%`K+zJ7jWCt)2 z9r+nd27HauW9Y*aD6|oT0T7I>c~dY`P5~G?zgVB-TEh;E^)FxVZXO=do`LoEq70q? zEYJaC`Alcve_;DsCtL_vDz3FLIxB zg-|})_;KZ6y{J7oZ8FCSLq-NsLIn_~7&UP6BJ>Hm}uXT%1{`zcnl?#_%rkrsm7d2Jr$W5Q*joi6+QR{~269PGIQ*@af$@fN}hEbB$IccQ@}I@9t5MWQUjOnFgU>-_bes)V%zx>uxh-s?Y?h z(G)yc%mZ)*Q~)E`2Qm~%5D>4LByVxMC;c*l!K>r_z}GV;=Xl?bh7^;A24Wo#BIiv) zE%c&8$aRmUbgl1{d|H>!}b`$R2P-^sXDpJ8m{D9W;J7wD(4fp*tz6rZ|jj z&dBvOi|5cW1qxmCVGHQbx%sPcX`z4_gA-a{ilq&cr*oZqjrgvLjNQH9&z=sl2H~JG zLu!`S3%$D-c0rKjBy=TP6aX~1TbxtQ={dE zyhKumYv~v8&7hox$`y@r)zwB9-yH#eDswdHG-FDk2*P?BQ3;J6C1pPCRY9X_)Ni6D zxF29RaO>`Gfi;d{+2Bl*UtRER0u-Af1E&EX;^Tm>?=F zSvhz25J@+Y))Jg8_ar_~3abXwB_MX-1Q4(na`UF=r^g748_wUlG9Z?>gI(iQFl<>) zco_p(kC+Qx>D0#u7HFTdp3tc;YM_A_&esRimARYbD0uc1dTT5RoDR6;d_cE2uF25K zXc>WqmyI#)W+Yu1;zzvlmdKCrf#9`+8A5C}S9{m{bB|NvzyH+R z!SwL&e@mtV>ygiw3+V@V;oo|2yzLX69yI$;+4rPm_;N|00xV*3rrb;^whVWMmY|wS$bnJ9fGoVu$-V`L!$B_EvZQz z`8>TA|I;MTgckB4KYO(aLMv`(8V}jhLvaL5u+R+Pmv3Qt2pbNJ6<-h-hs7OmX24em z;2h*MVK+niJH1Ho1XrMjQ+j0E@^A(u?s}eAR()cYQc=RSF6H?rEwC~m#yMCyaz-ic zWQg?esF5);Ae}ua_aLPIB}AS)W;R8ik#b@@?v3|eAhx!eMgN3$nbBfrLv>8})?690tKCD;vBbqbOGE{-}!l5V>NnH$mTGEK`xVHbTBe(2XZycbAT*IXwj%zD$vmF`$1GU|`c#%HIpS%mQ7EWy&r!4A?1;>BBUIt8dqmM`5ax1 znjExKW>p_+GvrxhA>9+iZb?A|w?--gxIKqAjR>4PsN^<4MU?0%fITI`B(+3w_II1< zE?BgB(q{c}&cFO{K)(CoV^6Fi8f_fJs<2480vqqIyH771?zC-z{ZVeC!kpnt#eUe+iAqo5YGL&^&CirX(C4oH>AKEna3bz zX{I((a#iWvO)wR|-bN8V8Mw%fS0}5@Ikeqa`8H(|^nOa109ycmj+uW=)|!~}!|R-1 zU93}gX+EIOld!1;6g_xS7cr@$Hdr}A)oFT)SVjZ=T5@!raXUT$y=mqz@C2$oPoOes zk7||aX#tYFfyV%wyoBi6tX`kMZ-U}5JLqb|tONe|@h_~w_Y+*uH(wrp+TP!O{Br#X zoqIkMs3XJ_vdj^8b8&N+&ZRMt$O~%7*OVTtLG8EOFP|U3Z}DUM{+4iDlp#QM5w<;F zzrlmQ-ocap@TX5d^ze&FIMH9ZR##iNDtaRohh<)7OlGCpl#a@w^n44C;Phj))Ogr- zbN`a)_Mm=9R0{w#f)n;k2oH{g^XLQ5=K-*Vs2}@KZxm?OwBD#;uF}FSLSKAS3)}Nm z-P3TQ-kF!?kMmc`Xb?g2b3B*S0Ui{mRtm|7tWaO+HS$=G#;?Y4Nm?4rn0$1yamtqdb^J1NDg z2!{qidy=!{+N73sg0)c7v)nKoTC~grZqhbuhRq6~qCrqx1P%nd(PJFtKpEsH#xs-G z8(+|!0VC-b1=)wBhrJd-(AkCZj!q0&Ja9L}0}D+D+P8PfmhFMkgXgdK4)*BCCGT=q zC7j)~Xq+WB`-iN?!7@d`HX_+Y8XgQhj9)Te1iHgxcW&MQoPK))2j<6{FYo?og)@hu zEih#i#RNx3!CK7HS#smn4a#haP_%OqKv|K@AvFQD=m=7pM4*LQ+>)i5)ZyNK{qO<3 z+l9FFY)i#`>${UGlF|6;&!upuNtCQNimT78UhNN6=vQ2Os2W&tcgYp(76gjj>TAHO z$;?hS&deRjW5!u(uMO#w(RR`m$?}q1ckv*V(dwn;oS@Y&^=iYb;Y-O3&re^!K0}< zdSo<6VR7ZQ+(umW^)AjuSC$Y4QLK*v+IU==VXM-Bv;1NI+2hg>ikR-K`5K^&b@&=& z#NV~@NqN_^GbWp}yJY|SQth|?BV-G`SgpK;24EF#e+D5z8K~6-+$S9a{s66E`Fipn z^PC^gm#0Cx6xS=VrJTXrX%rUc2H3&G=QIsmguXy#IYj-$TTud~$gmYuTg`7WkCBE~ z6DI+`HXBp&?K%_`{g%Tcyfy$us2i8Jbq@i@?7WJIpVHHZ?U+Nc3q^s<_6tM$0dXes z+?#W7LL~%NBk&E!uv#vl+iOqU?E+R|W=)_5IPbxHg5+)VOd^F{y--@vf#Z2PJP$jp z%8Hno(?u=J7M0+)pw&$fF=8L$uO*6H>?qqzE;-?p141{+6S`QXdCc5bkA-JybHI)V z3QLvhFj}Fr`aGpJ5`(7&r6je!xxcyl?dCng|FHXIl~)Ud`|?*g-FgQZZjyfnC>s0p zZukwWZr4!lqgDz|_AOTV6_>a2u7qM-P9~!%m6eJltIJhXMW~o4dtz#OWn8u=E}B=r zN^6IUwsv}QfFQEgv(h>`z?sRcOz1N7Ei@R2D+CqL<%U@blpHQ@aP)H|L3>bNnM%q2 zesAAOH+lIlLVW>04n!i0T?|%eq&m$>#QB?+9s`-k;%T;-m||i&^aY5doH$FzNaFJW zg5a-fhkVWmKz1T9!Td#3HUE$R7o}7eN8KF)@t+^6qb_Z|ok+AvD?sZKZSn_-k57>P zhMQ5%Z7GtX`v#$ytG;f|nfFiBoHtUKGjPXpNM9`2BXs|=4Pvn}?Qx-I9&@2VvKZQ; z3;{)S9S%;s>?fo38M%|`Ke{)n8-;`o)ta&)ed}G zL|q%K5OsB$615}j(EoLLa6Vo9HQ_R?v6QBqaEY3CmC#GPh`z`H{lNC_`pf$fz`ml1 zAjXW+E~Z-d$4S9)SFPch=IRzh?e^SF=2TCtDn`v`w;$O;)|s>#GolM`lelGe z*}u2;8TsB+=`%w>7lb7p0yoikp~g-(2RCIr6hGY(mt4xsxlVPOdgUm`vtfDQ(5amzxjKUh zr0-_12WK=o!%9o<7pYvPtP#~;*0^yecg$;n{7+KJlA|j$1lH{}fTkDcrxF$CW+i!f zJVhwl&C1;f9ZatR2MYRQIitdcy618!)cu2X0yE{!N|s34LWg#$pj?gJT~tidQiGgr zJ))?Thi7xfos3nUsy0iXhi6Xj%|Ks8z$AmRnQg&M>6C%o$^(SniU90#wUM8goPJ9Y z7i@$K_kCA2bb+G@=K@NWjS+?ywIgU9LJ4Y2;I?o?lnyhMs4UFcHR4oTj>}te!JId1 zh8H#@OS%yK2mlG}lWCXj&&aK0CnqD6mqTv=mzrENb2cc817eGU6Zg;xOO(@cbUJB&DPIi)A@_E&}8El@r=}meB~>jmG88e7E1T3kzIte z?r!cMKRuXNQK}l_uk2(=EeZLHKD@lmG`BmB^ZTNI5+VNA%bDZtWM)W`HK<+6+k%VBy2w-yZF>%+DlKZ>e!qPvdvdy}lHNf}1O(F(NL_IO zdEf!owA4sX=tkJj(a&TuG`2$tb+Bu}Hlqw$Qi=i~;WXb6VeGUrQj}uD=YwfO2Ggn$ z2_!J6lbr=EcqXYLDle!U$S09-q8)d*v(rW}F06{}r&c5CWWVu{4YW-*k4BY6vqYLf zD>Nja(}4#na?t4@^kq}sXjU$;>!*lRr0SS@?*h@ahblx@nWRKVYE)VaHFXk_sEjHH z!Eyci>5)YOWLx9~fT{w$g3D({C=rUI2?GX90y!n)+g>5uPGs9-b|jE(17|IypNAop&bZH~&2M zid@;mAl2^W%44;y>$)&1!T_$cEQYWz(c}Z>i=o<3l)a#NYCsL$=bL}tpWh-MPFMpl z96#fp{E}XyWl7ATXQdQ^JP{-R+hMhQ=qU9CJwKCa)LKAdclaC=SW^=5GWA>iED;7b(g zKO?K*1M3HOMFBH*6&^fpZZnq*1THl9t=iS5J*fL&3=I+Of~kwcB}P{>=gM9PmixhW z(%Z6z`{`+x=98xKPy@3m`u%*a@Zp$y9v?SGdPs&ypEr}#KrCs6rdXS9P3@lMcS|Zxq zj(?yk0*fN>`~?L&gV{sFti&*u4zmwDQk+#19d$oeaz8Hzv_`cA^JXc94N8o0LNataK0Y@`w&>x{$gH=wi9tXGGC z2k42PF@b~9`89#l0c6<=K$e)_G(}3#DyyUwQlE54cc)_fN0M=LUTu<4Om<+Ud{kU= z_(!R1i_1_!kBkhD;K~e=TLU(X9F{CYA~vFvxd^4;2d)npD|+fsksicVk!Ud^^!&r_-rLpb(d+x1*GE*=%LA5fy(%(JmLJFrQ!jCR zP*=&?Im%eARH*w;{8QkhBBg1VLNLhZz!c%M2E_x*&bs_4@0-D^E2HwzrXdb?Bb0rB zj4lEzK)^>(eb}dC3i4i_yl7*rzoD8Si?HB4G`(?*(+6fRX@m2hwQ@RSHyJ(;ML3%{ zEXt!-C(H>7oC@JAuzrZ@r26Y%t_(sMbodVBzl$=$CL|tn(`X}tZk`cP8PNquO6r2> zj;3q$rcTHeRo4riZ`2B)?Q_Js2jSwIruBNfxdE`O9Rq%@D#LQ7QqB@f?H;cFZrq2k z>U0jcm=Fj;CEM+<*Y|+p-+p?y{URM*0K6h6iv}=UsrVL#5MdIbQ&e`V^DB5rkO_sq z@)WRSz#l(-1RIvekD3mZ3M7gcM$6fVr^omH)PvhC)N@pg8EJj!f=-eZ4Pe%cgV{q$ z5uGN#m@9PISlk*fzJ)YgYuGJ|Fixq7YE3P+s|rsH?ottQ)LzoQDC)AbqN~^|A?G-1 z#EIJIixE%YX)Xt-eGi{=jH}v(!z6LpEeAMI~-oXd` zr;;9SgPtc0e1Zl>Xf(Xjg%ZS|R;$HCe5h4x9OJX6YK>!DN~BW9IYBW7vJCu`sxDYQ z)s!xfdI_W0rq>1|xac!#Ceks4B7y2xZX+owFDl1t(pgQ4 z%2gE5#>OzpJsS=*h0qv3X@)z0Hs4J)dIRxM_Q4@11!9gX~^2u4R$`#z9 zo%8A(c{?QQP3Q9qV8Rh-fiD~f9z4O(+xST|GFx0T=w^FFq?QN`I3W|K5GF66RF1qQ znn83wRcwQv>AnrMZ`o$N736ASDluwvMsv1Qtk^8Vby8%T>+XL@*$DbxNq+&qR!vx~V*$v$AtYH}+CK9hYCAGTfA9g;>7I8SVej3VZYI4pnM zTO7PNzkk@iy?wY>ofHi{?orZhXZ=3C2*ra}|C=-%a2oKFmQ<4%wUL<+-AcS7Lh%b`FtH2P`V<(|rGiSg`WzT_xs8$X~vFLkzg-5Wo7!XsD=H6SZd_eMerur4M53+%u6_V~cQ z9n^;S>(2LAJv5^G`iNMsudCR&epFF^1LQ)sOvYsa4*&XM$7!O2mG3G+9$O1#nGXm&viR`LnVGC>+lW%pD==J zy&ro2!tve@ulOr2_I~((@rzDR%b*1#1@cmkQCe*QE;O#LdIy3`J3)J71%*@w{QOlu zr&J*Yjs3u);(d9BamnwIpJ7AWiz@>Tx&^A323t41Sg~@mVLnDX!3VTZN%h9&;S?|K zA4AS1{YG@`WF+bohRPLfqEISMrYK$bkyNmtH3$OFs9*ObsgCNx(xp1fDs7L(+`pkC z{Rqx%5$fXfER?v0y~X^W`W*QZ|N6uN%-KO@f_zX(tJ%j<6-bk~IpOn7feoXUOVR@d z(JR^IOBo{P4PprGau>^!(>2m4upC?Lo%%6ej(*x7_4ju7M!SvY}6piHvqdj4WMkEEF0EdWCmE8^q+ZJhe`lJoN3u}w# zGO>|8Fj8Jt8dXIVIhb^bc+4dTpwWDyU;}oOpSP>7-t2e|5luuZ5|!Do1q{gm#z1 zVNj;f(G_${qzNO;eLRm49*Q8cofV~GX(M}H_lsJk1k{!r`Xn#UAQ5#f zkOy=ks9wd%`RSqP{O*G~vuird_+6*Y9Xvz|qV`+M6@Eb)Bx^&RVg70g{4k^s~W#qYXvC!z%83!DQ zgaWbID<$jM;d;{Uzgj{sP(pCSKO2+hEFYe(fkPC2uU~)kl@S6b4KomgVutQ#YNa&8 zA@s57bPxLuiTw^$jqea=W0XxhouG>)EBdt`K3@NNKlqpb9Q+@YQ^Q)H|2O|S-PiUX zs+quzcyx)zG-!9b*luyhpk|`$iZN1IkEm*P1`2hv9M{Yo)BQlCaJ3#9*|H_rXQ&tN zc5Z%ry?gQS;P%uXsmZ{PIEW z_58))0srxS1KiMs612Od>IiTLn(D@dyhy60-h4+jj`mvmreKK7Cl;pz&maCk-D~+D zATz7+5}d2IgfB)}%u^c;!GbB>JMw)5@t&H3Ryj{ifg^;OrinVJT4B^-qM~T}B1t-T z*LS|ny@EF5XCBG~Gbd#uFVDiQ>%$dAsb`}8h(``x{AE%Ra>-rDS*T(+RErnpZguLd%Ybtj8T<5z_yUusvI;Vdr zE$2KH&z~?EtpQ|wE`&bp zlxGfd_UFwRzTG2ebx^)QwGq-)8^lM&F?u&AlMxGQLtvtx++ukMT+;bg3K#+fshSU7 z*mNcVV>qkuUJdZvqN9s8B}IFNs9jJAiEXA3W)L)MrlTaodPa09F?VNsa|a7MXt;Ez z(b#iRn>bBotPhTu8mZ#4lUmQut66|x*5}(VZ|^C~{+!4;Kh@kVZ^I-T1*{EpWpWfJ z0x3G=o+8FOnfVyv??x$=N&5Q!b~AsC{Ov-?ll&mf(9TUJ`a{t9A?Jw%cX4HT>glLb z>Dkfg!pRgl)6k`Cqs=cRmOw_`g6iWRQ7UL&Oal6oIbDHSzmL4Psy_NmFh&U6k>=O7 z#&iO6-vYcr-c5K#;@ve132s9oC<>jNnJW{i;KCz>hF%xHAk7LrQjXK@>5S>F=$!>r zOr<#7I-Vk6iZ?h#$wTVPporwb8np>m*Et;LiKlj(NNcqm~37SaJ= z4c-vQh0X5pXHe1rA3OS}4L5s_MjUyE(eUXh4W?xD1O5)&!sd*08m*d8P!^^bTq-{e z|1?EF-Tul92pl7TI5wvV^I=HI%nKh?`bGr*;mhZbJFWB|e|!J;>#q>`_*WfBH?w0& zj46D;G}YIHYUTc0bvZB8nvULL$XjI?!UL6|1_W#3P>#;8a-y69+fcDlHH{5g8iaA# zY7s#)arPo(@!f9AmNRrLON8891azYCzk{jv`47r2+4kon<9jDba?R# z{LwtEv2bfNq=)Mu_u-c~VJBF5LTz!Oi{Oz3#>2z!ClS@jh+ZB3f|%=prRTZ()p6U| zSD&tb40hOD{>umbyM1_0&mt7z1Hy$-pc1&ulf!m#e!k%Qm|=T>5jS}$OVA;@Meq=x z2JwMRT7>f1@`7oqw2>RY?C9Rd{Xs24TXZSXnX%I#>qqmEgj3LwTH22&FEyF8ro?UA zt1VO&gOX}@N$^9U8Elr~4xY7$x}avG1Y&j&je)fcm`9C+sP3>NGDG zuC@g;bCVzIo1(u+zwm5tFtbu2nVIPciCqKKk`jHL8|PGzFG-oB`fz0}dnW2q2H#ET zGV~~6dA;!56vJjxG7j6RAtT0S9MKh`gH2X|5VtG%oG0iz;JVi$WS{c9M1E#x?NYjy zy1Gg$!Oj??g(lMGWk}BwE8v^O*%7BGl8e-Q#h;a6OMUtD_98Gfh%m~MBatIw2>9}P zzJ7~hxPVFnrjSuv<`k4cT}9u;MJGAYAEPr+JV!ZR*As~9PHM3!G)rZU;!-qG5vMPB zOcgiiz0M4<3(qUUJNP3Cu9({6oyhJcXgVw{c zTvlPO%Dw`bL!OBMqHe03m$cN8iuFeE%_0S{^n3wtug>py(LnMgnL;KHB+py0 zXu-whz=jM$1ogx~wAEqXquxofS3w}>B_klF6t}fk0=iz@I;0EXwK}Bh#o$&qLq&}A z71eb{D3PmnIYQVA?&$=nE?H817&DxrxQ3Ja^n4!?j)bc}K2f))eD4AQKC`&>a8LWB zAFo~wnf!sH3jKLJ9E_uK5afS^%)ohI?tD`ly#jb7H@(wvWC?;`W72F2^bjehBxYJZ zD%(gm$!jL1KcQ@QydyXS@DwwS!+SekFluJun{-Bl?~)j+8K*z9}XNa?Cx^v@VFdBl;CM zF=4449lio^WqS-rxBKmk@!_A66=cx9%BbFlI~>D1UC{^+ht~qetLX|JGb|s{_0!Ag z>v(xM|J%-3M&Lf?e+$vMzNLJ1e=BU2&q+BizlP%#o-{h9&@Pd=m~G4O=jU%8Th~JZU_59)GFl#s*F_i#_6r5N$NS?pwGOo$` za^_NvrR4FVu*|8jxQOyaksNE}9PrT)c~=;(s%qi=kwD_j-30f`>D2twpo-@!Wxm|eIOY?H&>T)8D3NuCGp&gwbC|A!K%+5#*Qb&Z z=VzU73Ss$j2^H#j_3?+bM1`PWVz5I=U^s4f@M49KvSDR1 zZTktvJDnE;3J3?h;38=vMOs96#;zWatmLQ?6j8EGJ9NWwaulN3{C>O z99J+#og``5r%^qa8ta%u(ohRKbLJ%CK-BU$St}XT3s^QQX)p;2GDJ}`?E_>rlcy0` zm=gn7KoC*k&J+^_(Ol!G4m%0;P7+dT+tXvD<$c69Azh6+lW7EMENxEH{NZv)NlV0v zJRgeRI-Da3DLLn=wIXsCnOI>mLGMW!2D4G_T4*8A4DmncBHR{I5u{mQLb`QeEO73V z-N)Sk#K59VAv|OIgeK#GT>9{Fn3L^>6fx*~u%JFPX|G#1aI=er0+EiHyzaoc!r83r zP?b~KGf~g03n>T0z)Qg{M)0LO;h-oy1twh&tdlP%)w-n{a75xV?SQ2n3&+2DK3vMy z2X)60jNCtg!*b{I^{1N`U+=y=04lTduLJ%QfNcJo67kFDhikMdy8{&F$BX+HpD^bi z16;j-2vj@>V40nrdlCdZh?w`UZTo{QLVrB}56oC^*y;Ch{})bqG1w_?K_EE3%CG!{ z*;*(czX`<6r{~ZA&i{tI?@Z^z51Bai8G;qojkp`Kfk%m}x^z|7?J_IPU>$;j9 zxk23E1lSI>%E(ml0+ZD-99ayem`tI)fH&JH%7eH?z$tv;yuNE|5(kx5h;w44R z>kjC0xmHPj1GDE1Tz#O9PUf>+ch1`Vmax=d@~Dytqe%RucvWtcbHjpp;Hf3KYcxjH zCgj+NhM3p31y~QMMYe-1e&=ey88{^hidx=ZLKyW4`pS_rjyt-JF-Bx(J0_`*FOGq- zFl|;?IQJF?OY#hrQF{OdfbIoYDBfHv?}w0a@O?C%+l)1Yr@EP<`_wd4^YoTg6Kh#D z&HG%PU!kIp!ahctbzGL20CWL{W2gFrCJTW@cLq +t8*P^C)Gh`gno5@D8>2ru;t zKNy8HgXMw>Ner&UFEj~oB}Sow;)1H-bb+X3W-$)k9P}+rcBV3_JHu1r&m!rcLF9Bs zD$=GqM+HrMc(frHf%C{>)h!^r@66u!Vl_kf4*fNOkWNt4(OMeM8&<``8*UF;qznQ& zeYSXsroxlqp0+t)g3KCqLm=8VvA2&HLFq{4UAlAhU5bNc>bw(a$akqG;7I;*h^Y2Y zaV}#PcW0vVn#9Q!l41#hR;KC22=N|_mlDgFxr`b1AMVluqkSOCSU7WS@Hdhw5M<;A zhG|kz2uN(y7(gf@T6VTC;)WZJabsU9g;Q&Z-at>a!OAvNr|C|Z!PazpT?vXU)CJ27 zwq_PkaB>LF&LypQ*g}ED=V5rh>S*Nw>+|v_oY%omb4Ly3PdE?YC$J@|xQB}qG<`uT zR5PPtMT-in@BKfSi|dW0ttBWgv9)x2f^HFc#VwMxw{%!vAWh0$8s^jJj0>%aPGRH` zEJsvkVabOH+ZCU@1~no_@ZshYTRA*HaVjr)q#BU)4EfJsh9~Wp1G$de`6Ae&xF3Xs zO?0FjkIpU<@Gy9m$cPmYwnZ91D#iV(pS|vwtb}XEOo1j)2;R_NemOo~uMZB6kGGz6 z#>_yc+$>AUtHU@%Dm`~{uHduKl27d6s~&}-I5*(?s<=1PkQh51;A(O}Qy^cU=$`Qk zP4~@Is;)R%#aV8Qr;-(EhJ!Wt5LBZ1F~MkThxBC|B)EtA9hU1O9PD_7GVyz&CxU{> z(r5A~)+_o*NxYrW%KO#l>D!Uj$uAlq)!3%M9polLP9oS7=~|3L$?CMpwxH(k){;w3 zRa*Qn{A30Nqg0o+&FzQl`v<7j(Ip~nqRc|qvYWc%d(`v+Y5MacrV59jFjY9^2~$O; zbU;zA^`C7vG2xKJl?S*qWwYz6?3<|@6^K-nE3I<3IYUS@ur$rd>z^kF8s2cWF+EZ{XvCZ|f%W3UqulY4E7#q=`*teDgcA7UAdzc z=~zi8d;PNzol@^08J6t}{(c&)rvPiC&J%A3r7E%si4GfD!wMTB_&P#rqw6?(oyygs zH0|;fC_Pm(S!hT- zajd2Q_bAkZZ~&8PGUBXDrpV%O1uT`~)n=o18jCqj1Kb8|A5rMM4IZ=L!fwK>4wZH| zP}$AS6#jO{Lb`w(1a4N@85)|SVT00=F>wsZ-D$oe3NX4?uQQNqEuAkGxKTZhBoBOI z-%MXV%PCH{px1y)C(AB<^~?HTYpR)*z% zaz>ZZ!vgQgauBNuDqoi$8%zLg5jGdzceT6NZdI*hU6wU$i)aprFzDXWSjdaT@dIg}EwGkpou`O|Cp z!AYm9tI0VTIx@kB=H~<+P2#;$R%a8EcQvPp%RcbouH;cWJDH*ckrJMuyib1FT);z_ z+@NoY-au#{DoMNTmzH9s%4EOpA4akQB%9?cezIn&=UXT~|6?9og;CS%Erc$|dB zj6{LscDNkHu)KL%u{?FKsUpYl*<6xJ%-jme(9k}-ZGfsQg#%jZyQ6nGA`dI_Kkp|q z%ovm5NwbUjpLvb9AGvWh7x;*AEYOw{O2a+_ayrKO$a?^YP4E zN@{gzk}2H#P=WN%ku~S(UUy06#O>dbA5{i99(qM+*jbA;su3PN+h0QhBZT!r^3|EB z{^32!ETV+d|JwQd{NGOY*X#}Y|7zZPb3~4{gMq>S7}yZg*O`$G!@xW`@n3Z2`1_#z zoA!bV=elD!sHFCzTOIbd?42a9OGRi3yfX|*Rp4Wpo+zczgbB`{R70Fds;n^r%69SG z@3Lw)p;6ldHbL9IM!ND5c_R2(DYPn6!UHu!I0*!M2zj`^IHZ5lD(eeKQC$m~t4uxx zUdz42|H&-5y4zP$nTjM9+CRWWD&ufDuW~$1HX=`&XO`>DlWz-i3<@TB>Jt5~P&5gV zEmsN5-KM6?K|V(*Ef#XkKpsg6R|j}pzkk;F{ zU%%bmz;74NQoDoV1H20I<52}*BZ4?Y0}3^A-<*RZFawI~4b-UXM=`_$u&e7W8M;B- zXx)gIndL7bX^DpxKattx|AIOY4|mKBNiFkVZypfcM@5CZJ3Or0sp4M?74RjJ9gW-6 zN*ywgkeI+M98ULPY%;Cwt?b1{^B^p6KLeS5hkKdd|JhrwA-CMb_YC0rk=lZucGsRkwIpFEKD(&Vo!Mj@Sd z#L9vjh9^PC1XJaTt9m!vLREdGPju~urY*!eJ`D=_AOn@G&yhja_3jXgb@j4KobI6T zJK>^am0Y9PTokC#A7_vjxm{zI?2EgpyMTr4$C|@$t_4#dgUf)wln?bV^#UQ( zAIT}4CwM}_V%3K$3)V9+TQrIN5^pD=WtW9se=6lBaZ-3sFPFN)hgMP{`1a*hr?SqN z+=pAw#EUU%dvBM`o1JfHmjXR3l+MRLpZ~8BO6R+RyBtmfN`Va{+-l9P<|=OfmB_^f zve`LZRLMaV+470&GXY%ju~kuB9j;(`GngB&%lUww>g+I~K0HFN;*uccx!D>j~IMrA|35B2ZLX3+n_LZE^QMOA8Xx}kH~-W~)S9uxnOE1sXS z56NJ6mj>C(DShER_810VHhWS{sDH-KPtE{xic{@8O|`MO}HBg)&vO?BTbYuu6!)FR|T2qtSuVA zuq}<)qC7bwo0d#55)Tl7Qt%j0V7{X#=;c;4N7T$X+^ofdhPlRy(if&@xU`jkC(b&Gy5IgE@nWq z8xUSK9w!9aR@eu7Rc+fIuz~r2G6pfk_84TH_pWUo7gtPNvg%-V-CYqX#i0Y3kf=ZK zr@lj~GXUh`8B2Y3_%?Jvh9{84HQ4DL^0QK(AP?ftXX|oyew?Vi-9cR%lAw8SR|h41I3vTIfLy+7^A|2BIpB&{4`lz|8!$e_HD>~TlI_)_8o2U!UEjV2Q5?&uz7 z#Co!QXFS^k%{VzTg1ap-XmBz+EDJLKaJYmwSP@79@ zRS@wW1LCW^mxscjAR^BsDbUrXMKjdYr!7-TJyMokEM6=fgYN_n7WC0e*sdXNnr5WR zNy{=L^2nxoSCCAkq5#F%|FSu^!WJ$!f-6tX8(evCwdz@14CnR^5;D>#1FZ5?rGX%z z zg>D<~;YMNVJ^_UN*nPrki$SEI&S)Z|fl(rdTy8Gj06mczeEE+W~uIl(1?9QnCl zT5$Ang^e>t!^f09nIYmP3gq2BdA$3yINrs%L0!#-_eGdlhQ4@6HBCqfE-=)nK>*#l zs5(wkaBy(zSjS-CrpgeeoYHYxHv(zAEQXtxj&LviC=`K34myj#`ible6sNKrx7rz+ z@h^Q?MphOvQG=4}zW?1(-T%_7oGyc$-cHDPZtRB<50?mwOK9Ls%|b0QQOoAT8O*9@ z?Ja7l{L0AJC+2ND9g8|M2B$pUhFogu3N#ZB~GdO}!!(7qPJ$oZ&zg?wYYN5_Yi zbrmEiR07M-`S|7YuY+%&?{9zoeDhwib&|Ck7i+iR+6kJ4G4RFFn+3|^rHO`}F}^bl zUDf{@I1IzbELjAy1MN97bs=keh6prYWCRo-{GWjtiQ$a+!o~S1dML0_p{AI{GEVjh zO>FR(+|lZg6JyT=d56q#>=LLv$b>kfI-76tQB`@f^JeBt!O9pdHezBWjLO@qroofj%fzA^u&?YM|5_p zjs*Fb=;+eR4O%}ypCSBZ9I$UzIEhM+ny2e&DY8K^8o?BqxunQY$B1!a1xBoX@%3|K zrDvA>r1M05t^+i)2@ekv3kzA8E#!;+74kEmFf}odbLfrW^@P30AgFpT0Pcbb7x<-)|B3Fnb za9Xr`!8sxt3v(ox!*TO)c6a;b?)Krgmv>*jA*}rCz;ijeLn87|DDZpTJs5oyATz-P zY4S(*YEkM$&Mb9ZQZZ%sHtd*t~Tv{h46SCFD03urK>rMO7$?+DCx`D*A0*;!9fE!Xxml9{nQP-9tt3!1feIN?Ju+J3xZvAOB! z+5NY-U%ozE-=$&wF~QVuhYCehPA+OF)6&RHO&~*oqB8%a7H=XT3|t1R6aTM1F(q&| zOOGv>2F*tx2T}OP5EXb+ldgK)(riDRjPR7nd0L4Y)lr3+6eJOj%7`ik)IpU`Td_`i zc#}b$VR>pYfBaw5N8$@hcv)pvIYYiykp~oJLO@X07RN^;m{j`r&z4AyOE2TcjXLi% zR+FHRkif}b>|S@?S&qu{kRVl!OBJ%r8{>L{JY(WGgqn7GbSZk1qG6&lCsZwB)OmYB z4k>F|#gfXfJWSWLOO6k%wB-2c@jN--oE>SyVz;ICQMaC|+Uoq5vy0QRlz_IJ+0zA| zKBGeeA)#|b?$6h-PYz$605;G;u0ZzD+Cgux37vytvkD||P$z}Ut>sPY5MuRf*T;OzDUXX8ve3SzFo)o{B?`h!kKGKI zKBaFrIt8>az|VW%34mqG!a;wi8T zsvN2+k}hqA@urEaIF!GmkzWejS+QP{tLA8=_y~rz7}XaS(P}u0J{yJ$E`)+D#<2iz z%2H`z4o)V*$NCgnDI4R0*P9!=TY@@?E4ST>q>18EOw#AiJ$mlLJv{Mh0j&BQmxl*1 zuO-6@lR|mJ9}7FI3Yt>MLN1`PE(xJmatV#VI`J^%xIRfP z4SK6G?1vsgIQ?J&I5Ch#IHr`fmx@cFQ7Dy5Dy6>YS;1vnL3Ouy)#v0rsWOPQ9P2?_ zv8)vMCZ~|PMD$pMR$WI&i?n8=AK`2~u9^Or#CFuIgnH7qzf;>Z=6R!%&pBM=D0qEw zf!HY6H&cdz5g(1w`qQ0&sCmzL4~u1if*q=xUM$=c=@%TCJZ?gLE7a~h(iZV(o_;`# z7oAO8sVmMClfEpCR)cRWGKh(8T)S&qwtaKMm9 z1dOsz);V6XdO5vW@*TNPIHdd);P}n}=|Hpsnc=SHsm9YkPi{l;{$}xN15bqhwK?&Q zB3v@MQl*KIHr*Cq|2n0w z5lxOauw0C|!3^jf0)Iwq1JWPOU=71E%Y0XY@g-DT-xlff8uR>RUuKfZ$O1Qx_y zPZ*gnQlh9+n7^>-@tFMsblg%44baTeYg!3VoBcW5N(eBUBl~Udn1=6I5jMbrEFp~i z&Z~u@X3v|v#C>3#!JnTTW0WHTJAjK8X<*@n7RRem^GG0wqs{cuZt^l^_Vkq9r>D#@ zg&KRt{u9Aaj6@DDhU6LD)S0WFYaNDUnNz!rHGDSUj{&*S9n@bis@x6X;qd_JSuv{B zjQa|mHRI{iVs#8=%OgS!k=+4>V@FRLPRDqEEm^>Le}+ASLM32(RFO5KE$SP_QGHxr z1IirgwZMWxJFyKbT4)8XA2_L1ICC?7C7BTjA0(L(`Y(Dk&TzoLzQ0B5-hab~KlGqV zsOa>;N`evu2`I`$CuLSP4JO9@{mtFOJ^J>&`}pnt_WR8a+%D|vCq)XcKY#wh%=3YuP#__6e&M&0A9p09$A4s-&u}~lboR)zNKRsIdmKRrbqeZt~S$4zb z^{J+ttINeG!-|yKak0QQZQ7F<+~ ztYH2nGN3zXX9^31D(Ao#?)laaL8|8VGQ$Y!#&|G>#ifPT#t|FYu+kb?L(n}`Gt>ux zT_jd^$9=t;f>n?{$qw4HmmB^hap~DLeL^x&EnOKLgLDHaoyrs8kJEN3D=someY}9@ zh^mUeq438BP0*IYbS*4g>Rf+Eze(Zm083?iyp?J?FuvRs$vrr zW}CA zLRt^UDsLXHu8(;)U~|0qd7Lnfl2hx=P_(#b;86}wc9`bL$cBkJZ-GxX#n3m|Fs z5;dtEia7fCiAd(@Ledrw)}W=B$x@D;;6i+pI}AKjB2W@XVz>up=(B4BZgT-|4@jJH zD)xaa)lvgNMg^um+}$5)#3$t$Jf;XTSw_TeCdN;ea)`s4q{rLFDl1Z(WrUTeG8tQL z$Qvk{3<(k)DMml6;h`cU$-N~Aho=+gmqKF={Q)FFprc!J7Q`z?`7nVi#)h-#qLwVb zQ%5$gi|?xB<6$Oab+c+EpxGptcj{4<2EaRmS*QeL+n*%*5p}eG*6zn-uSe!o_T{nJ zx+x7ba|7af)D;22np5&7e+QB~M{KXt$wW3HYnyf2kQk17NPzcX*JkywYc%U&8 zd{1T{M7a8sIied!7!tekv~Q534A=NP~=X8zqB$l>x^b^lTixT|;1Ju8QHt6iH6L^7Ss$ilv5_qAXS3qOd zTty-sK0PE7G;=ACpD||7jfCA&zh33n{8o0}l2ogt03**g)Vzl;f33C2M67FbbqK^A znkf$cf&8ZgqrhgY$e5p&kN%W3NQoT4SYLog&%os{6PMWaKMRfln zAxgzPKs^HGA-YFC1Rly5jXNQG=E@Eyeyw?LJB8if(N19(1qaMYE17Zk#Wu3a7*QB5St}tvjd02c8ZViv z0vcHZo9dps!K84KHDv{`y}f^bi%zMx4|>`>hT=dRnrW~dpy!Dm3v{1sLF7`!psW|S z*8C9xRRiBU8fslkNfGaPcTQ1Ss$4P4!drS zyQa8a+8+6ElDEo8PJ~&xqb@MkV%T;z=1}3=LRsme^?cctK&Okyv$e)hYP$B$F)EPw zb~6i}tO*iyj-ukJ#Qu7X1lQc03IleZ5M!n!;>K!Db?x|rEbIHjBrQT3O$qCMH?`Gc zPg4m{%5MU_M*_4oZtOF2Vqc;XlmaCQr{a>T;iY8+g3eP8RP>(C6p9RW(FWGI-nWPh zN;Js|A%wGO-0Xfq<)Aw;Hy@dTq~0gzpvM>H zJb!j@yg;e7D|l`9QDSaC_WURZDtptJqI&~`JtANS3*-)NPEG-r*W#N&HDlo;@+m5+ zor}KG<&Z408znQrR5c3BGOGlUoQK;_H+SzoecgHZ{`JK-{D;p{tp@)_`Ll=b2w}V% z{3jY)VK7{HKKOsYh{BX_oP#eWlX&U*;NR0(-ASAiy1zE>vZI1a2>ZP~cIN zZzlP3TfD`^si|wD%%`dfrNZ0=H>?6+I9KQ`vakhp%h(*EaR}=tDi6hNl0ZL(RulE1 z&Y%-aZHla}_=P<8?ale(ELqpin67BFJy7g{Sp!&hGT(|29mP_<2T}E|f73)m0>D5W*o0>KH?%{zr0HGyJkfva2t0FukZVrPU>8 zXgvp%gYsiKdJb72>=6GM2{gojf)_ws>NXWb1de2ax-(En>T(?SOmq-9rjxZVM&eO0 zznW@Yun2&13f>}pb0ppX`Yu`-$Mvg(<7-)pSR60BqP!svoA7jhSZ0}5x>L26Fn4^}+Ba_CXr*FhLg19Q2Rfv`{Dpv8qazvLYv1BQ=Jsr~n zgQ09+(C#HLICO_n9vB?L%O?{pa^6V6>WSL>mZO%0siA+z<|86Yi@}PJsZQgDxsPhu zq=krGpKgGVr^e%n0YSwZHqWG3Ls#E=BHK?l1*Xmll4Ag%O}2WNxi^**R|F+Ok1e99ll^I5PNysHSc( zt2C1OHX&OS=3-C^gAbu+VuePYxV0>%3FpE;1RF$;OV@QI8h;nH11FAusS?JsFS+$c zW z5iY)S{r>%(C^QNHynnd+_U>VDkHBWIH?c{%bDI%jZ1A?>$Zbx=AwURmpZiT*J_~h?j)he=z)I84t#-o# z^7_z&Q{jQ6l0I_1UP0e7xoy~r>J&MOVZ;m)bT`}ZPC= z=C)-s$Bxm{)QnMH6?7H7R0xa~ByQRixv(X*5P+cn!|Kb$${Xu{x^CJ(D$>UGJJ@ro=V>-Gxf z=}ex4U<3ulT-n_DRjSx#+Pv&Nxh3Z4rU=2#Slk^R?!=}FGBe}=01YSn3)~Q2g6NKD z=VtR$GhMHX6C&Ola%LSCgG0ZJOp#5SqXG1 zQ4AOib3;>u3dNxOymG`&U!V~c@K6&HWoUcO^l0?-p~f7o#?kD--rSppln~W2G)vAkME2=1I@}< zg*I-;B{NCbrC;P==S-NuDM zdaaNH$xzl10692yA&xZ7C#5*%Afkd0;(CPBhkE--RX;z~%#bvdNAkl9zt6Fq?!f3` zofo)$SS>;=t9>Z;P|>xT=-%Vtt~Ph(oqqZFT+)=>gNUgf%RRKIg&@ zI(^5Z_4qOGf=ohZ!>1>)yW#JcwfB2|5GLa9xYu|t&sFsIkUSQ|j9(p|nflGjAt`0= zZ{HlE<8HgWM6R68VZ2S08t1k+?;U-(GC?Ss>6fb3!zn;rNXtL()UW&T=#0v}D8)8! zgpqIFn8a;n1_Y@L_}yyXlN(Y3OE`1;W^v{~y8NQ44|od`)gayTi_Kx;9^=F2gZiZq zZIzDN?ZNR1p$9ZNNCUg0Nndk&R6Be6xJ^*$lLeWOkNyq$=7VkgEZTH19y3R3P#uO7 z@uP;5iKItaU9WeSykzejdsnHdcQ${bsPfqyb^{|IVweIwBR@yrs}~fDRw4Jn(uEx{ z0>%&Ra|wC`Z^41qZC_Y6#pc~`W$U0$w!1)ksN8=b`h{>X@r-l zpkHUSlU)sRdr?XVBW+H4$Fa~k_ZgxP^w5cZDve-<9ot}CpCQ+cZ^IC-8tPm$*iPos zZt4i|1`u%7F7myL2=Md`tz20GuNcmJokznE$&ZO;G&i`NF&)&8UvX7;m~`SXbZyJJ z_}ME~Fk~Y~2Vt1a_xs=O6Q(&FULF-zsf6APtIp5ijGD38MYL*b3c}z%53aqFkKIK_AtY`#=39Lo3op#YRfO1F!9+c`8y6erfy&)rRy- z$&)x=K<$K!L!3jnxq#Osr*QWvWVreye%H5Tqcoz6I&HlH;qhd+0juLgi7p>fKvgml z)NdHnHPMHWkbMF4v$1liyo%Tk83W7<`pyAxvHW6k{Pp2W_*kc7`7tFSQ4h3ebIFDU zwJZHN=z$tdrYPo@>VIf)QJz3ANwxQMk?&>?8O|nn;F$_Z4iM0kZo1CR)ZOHT;|hdq z2z{@X&zHAt)^?~k=`gWS;jbA?rOPz7bTkf?9d-vJG?i4+kGZb_-$O^D;d_#hV>avu zwD%qf0pL*()(dmB9O``hpByPMmO3|&e1>H7KLe-3u= z`KJL?=zrtKQsKNX(SejjB`_pIvkVDqhPcC9O1Nol z)<|xAAn^nv%a{HmgApJ$_U`Mq_TfwW@dm~v%AerBs!$2?p(a%t%R$p$=rmWF;%8DR ztmfI_2?G(3>LgCoD+%&y-c6~)$sG@B;(P;c1^ zINv9{Wh)db`S1UJbP@~+Op-7bs!cV!q&V%gK0zXrQb|_4ZH6NysxA&?6+=-94x(L@ zaGtdnhd2eax1@&88r_z$=K6($LrA8M#e{VAeGpN$YN`lboQLo*S~-9Cc>U|WSQ<&{ zE-(rUa;{he4dyO98+JYAN6EKjiH(#-Be!fbj6jURWJVJ%yTRMCXC4nJG?PF6@dy5E z+rWv$2q4dwR*gj{vna4JRU zcglSXS?_Rz`Vf+++aKIm}SPH&ng){A>IE<^!s% zyjK>X>tJ=E#r+d_MNm2|>e_yM`+@y_RWw3w9im1z({0NFhQfBTalWZ=qq`Qycp23E<+> znSPW>8q}>YQA4L%Eu=T^i3HB|i;xAiZ^<&r)c9UhWWwf5%8;mI@PUHz>qxjI!GbmR3#D1~y}qvt8FjvB~Eb`hICQ4BF3EZdOxO zfSH_B#(jBqcxnp(hn9%=#VGVV1iW_v*fuPIBV-k~7pJs;$xZQ}{uI4S2d}p1${Iga zT{O;iI2kln#>Z8_SWFNH zM9pYg+Ym2DfI%2PVf4=Z3*p6q{Qf18SJiP{cA*;6>|iL6VAN+JF9<&d_&r#!@d8yK z>9Ckct~FT**^=fCg=r?@sQcmnU>OOAmd=H{WquKM-#o`1Bho#}LCc|bQcV;O4n^I4 zGzwIWF8Gj=kVO*h60M&3LczJ8?N%8a({ zZGjv+$qw(V%~aN=3&GOsPJiW!N&^6OSvnP zv$v-5Yc1?_)o@paw?b1qz1la;AG7bCa$Lv|ofFbu$Cg@O?{2;`)BNV{?%UUg_MWwV z^Ct_i$>5J}P!QcvTig~qmd}_)7kDU%RV5^* ziyv~zb1$4ar#I`DAyz*8VPO2~6j>m?jRq*uk)4ncgs2lEgx+R0=MyHc!r=hhqyS@R z;hH5ZQEfj&;|?exjKo}y-UBv(0SEpB-GWT zC3k+MwupMo<)wJJFWY%{cdLxrNGuIO%4SMj22;V)a>9& zhRSzP6$1Mm?+5Y-bzt5s4yDX_qJ%h|bmr*MRT-&Hb!ng?GLi>6%`zCmJ%Hi^FJFT3 zn*T7-f3ia1^dIr-s`HVJT5gWK$po_D4Q_G(aj`nOT%#S67~+>e{Z9t+MTb?PmzMiE zdB60sK02r?O|FzT$-@H(6cMjBc^s-VF`QBtZKu)%K3xc5LWd#BtxSJ@g{Ar-mdscc zy}oPHq9jAbEtqXn@|WmN1Lsv~$LsAe{gkGgOJGavaBN#`NOvZ2Z)_y5 zcXLMPUO--0TaVsp@)qHEsM_)R9F@G)FQa`?&2IwKqxdZlOQv}7KKDsunDiQDj_*wf zwZbskoGS0{sW2t}Vf2v2>a9@Xkjhl2l2kUwx2QT(Hwl?L!##w?`_RA~m2|dTB}$}E zIFHeWhnJoCnE%W@U?zgd=1k}_nsE{3; zpPrneKXHs(ivLBLZm#6dR~xu~Di?kI%At(Dm8s_Wa8CQl*dxq3oyA-0Qbz&-Wt59d zKat`^On$P}tGgf(0p}8j1LNhf*cc91k>^8{qxtk>g}~*uK^3LaZM8exr{~+>NbmxA zz-hiq4BMPuUJE!i0jfPk03EK+kaZub39#{bxM?Cty)LCy5c@NJPhyJr02}j-p$p5) zzEPqrWrMO+@VuHv4C5s>geos98R4q3YA8wkfb0c_->CXjnIOO78x|s@a-v;$^o;6n z>Lx<1-iI-HvO(}E)Z!_J^b003%sCqJwAk>B6X5jFTuUhc!s8F6!|=uK+4P2=02v}z zfpetI)#VW@7eMz$dEhO2y3D(=LZtycPE>T9HfW~sz$JE$V@OdV3kWKC7e<`%Ur=k( ziBgk z;sc}THfTvk(CpE|;gBWkq+So3Q}g>kEI%~^xo&`(VSevDCXZ%0>(4wrltuPSREENMM{+JN)!SbxKN_8Ds1k< z5K$0=pmcPs1VCwuti*Ls;U|h352D_lo@?2;;V%@kmv;fZ3Eg?#DO+!tWF}&vcG}p* zx;Uogf#eiDu1>;cn`gQ#`sdhB3G&2!Y%dpQrkyfd1N~pZLx=>W)uR}$+Cvmm^dZc# z%okmPCBfioS4%aNdxw1EDidVevhZP-zww!sd5ND^bH)Zc)yw`<#i0C*Xb4tF1wIFI zR%M#96f(0hi#jTmWdpgDi9jV2N*= zNxF;`c(*Ph+gyF|y$N1gRHHh7-@d(lxL0N6#i#50zcDrA`}N0fH_zE=O0I|lQ5XS{ zKB<)wb@15NyJofFxPaE{r@@=1V~Oh%cwAakf^83%=pNF7r`uMB@K6PSg!c}HyQ4%- z(APkS1Sx132UuN_V1b53`)+cAys{T+Z@ocZ?Zpv-{;pKoCfjwVxbCQ)oS;)!odRiT zrg~+uF&dx~L#g-J6@g)9ad% zNBdNP3zr?kkp}@sp;J=(?65W_&1Z1u|mx%N=hGgpqSwDj)8i2-y-fy_bseGsvzN1TwGmhY!%VPVx2V2A{6iy zze>@3%|i8rXsy_#q#Gjg2tpE!LxX5P+G=6PfOZ5RG|aPs7fWz! zVt7PHjCf{|rsKK>4c$bj=dC7$X|9-_^-4++Do?eX@Xnx}nl#Lwy6I!^-3laTIs^5( z{Or_*m00O`C9{F-U@Lp`2}B1El5YeK2ZDeW345;4uDa!7xRWeUIM z5j<;rqit_=@lqtUzq71rHlTN;$nm6kaMmOb#)<5a8F~xnScw%K%4p(_LG&C zE9Ee;Aka18X8b9vm~ra_X(JzDvL$2=B2w;eSOpszlDfjN6cHyDc zHd^j)l7$74^`Er{44#_E*kw!uw_9~H(IU^x&ukY?|Z|-)WPu+hS zeE;(C`r-ED&CVbGF!=X>|M$TkKHYr!a`)RGAp1VOy^9?kYO)VX%&BspT}aA(6q1F& zLH&iz#lh(s5)+j$ao&kfJYHSGxn(Gk4OCyjlUPa!^kIS~;S#BGuz;S(==^YfC4F0< z8K`6<`b?Y}iWF<@FVccJ=zNQLc*}|reQfTBA&i$ti<4LF5}GFC8kNh=|2u>7!zF~QY3sGW^t#`x`z^Vd z5WyL0$&0s?b@qv*QXeBxRyay3%SXE*0UN4@2P@Nyg)~pUkh>7952h|ZvqczVm&A8M zb$VSse_vm?vSD9!yv=5VJfy>m3#jUwlQrx!MP!(! ziajQAd7@f!RgZ{qO$qCG$fhvWM9|oYff|NnuuJr5<=@F$iF!0f?zYC-CFgT6*?UIk zhtYx1H2wgpy95j4lAjr+nK)OLG=0j%*+Dx;i7r4b=VT%<4v z@vLwaMq+us$HHK2Rd_TI)5I+YbE=G<>LTgN$CA5OW8_1LXs2F0Jg~TSkeC>$NKPYo zp>HU`jLt#>Hn%!SUNp&YA9+aeawxveY{jDzWk`q9OH1q0be2oYy_b+{4rr1_cE<2JL$vFqUo+@ z;`K4O2@tgDVZ{n-+!u}T!UESP=sKr|D7esB;YyDYgE(bUn+ut4HXIe}Tnd%nH4)@W z-~!1jQ0T&;j1VkkPdX~6v8q)%+pZ7l8_FZNXPxyhc){&Y#$~~PbcIHP8B$n0tF}|0 z!IX<-XCPNFI^!B}X1HG}L*oNp2oYw}{MMS?izQ)k8Nj~{XBf6}H1Pc-QtUwzR36j~X8M@XhbqtEjIPj@D(w~d$Si#l(_{dMNJ@+% zw&`jcLS4bCCp)U zrvXC0_&HYnnh$6AgQe!8au{kKmb&3?6Bf3QbM8{ly*xpKH0&!{Tfk9s#?B6CYPzE4 zuD%*(5__Vve0JY~+Ka9-Mocg=cVwQ>5w}Xt-SLubBO*u62aHlKuc#5Gon%m;*-wP} zTI|%&kFWL6l6A5WId%fFi?$PHM)8Hz;8GAmO|5e<9&$hqaU)%yXsEEGptIZoO#b7+Vlyr8qkse2-d4IDK zrB{#i#rZF=VDYpuu8fBrQ(XY#1Q?(hf*<$Up&V^Y?Zf0M5`a_2su?~ug<21e8U4ve zi^Jng&);86QY;BrVp0E>s+Y+l8&q0w5gn^)$--C@^={{FRCYEIMT{eLM4{U^Ry|=< zdeGs$l^f0z{=lliJ>|QRA>ypJk3)V8A#w&#(GXzcpkj8$bkkFI5{$yp&}xn^#>>lob4dz{g9=UT(vz!irq!VCP*-&W{kR% zqadhast7>A&XtpkzG>Yd*)V?$Dn6|QNuXs^F8Xb{LvkCndzAC}I;383@Nnwe9+NZI zw_nvgvn8Y7K&t!2eFH{Ks;CNCh0CbmDJYjND-9|X2u(>*oa1;>C@pngYB);u;mVon znaJhPU>(IVX6Ch=7O*t1^sf_q>xy* zva*Z0UYUJCL?b|U5NI!_jUmfkPRHTXBz&4`F*Dnqq)cqW$P%SKnV=_wTOzLVWvi}o z5pc#K2@0SCi|#Q|bxOqp5s1lLWO?E#gF6xWRPy_sG5zVNnOLdmaQtxB#BtWZm=qYH z#iJ?@0UdxDc^+FwLS!K#CGU3Pk@!61J_%UL_6<=kcw=a`AZ9=o4?+G_W814p)0vR0 z?R3FPY=u6h%jZs3}HteU3K!7mM}J!c~mV*Th5`9HwFF`g;rxOPmV2Ax)96PF16G|ceRYP+*(!YoGK`wkM)3Y|5{wUSlDA@##Jf)k7=@I}#H z9GQ$m-t}XZGa^rXV}-p=FOIJQ508GVY&bG+ltL8Y>VIP-k%={YCPbKeY*?d|+lWW< z^q6qULqRqODzENr!975cF0vO+uJ^ofIzwN$E^L*|6g};31?o*&N?_L=U8V$p*0mpH z%&*h($`Y8BHb00=0gP=Sz#;uDx}Y%GRrD3D)1^n7t_~e~(LvD6JAN0B9?hfgrOyCp z=7=824{qNuNmvXJ{Yd&{@Xnou&D8B}Fc7J@=%iT=l=NAQo3l_X?TAWJKsD}j1U%?!el z#G__3w+e`J>@OS!q7%N3Ky3PEdD2xQADL*+(mbQ%445lAH7br035-!Yv;6HRr#LP3 zO;mdN^zGwAD$ey)j?Rt`*4tNs0umvRO{fq;?^LA+vBc4{)DN2YBH`GY!bzt7T)v~y z{^8PccvA?!1r_Bnx*U^5h_HUP!vfGEH09*{0g4*n0?dXK6)rmC(E zq&hZ)g>p!N*f@Hk8A%PH5%3ieJ&|3^`PCvFGl8mRHGvX|M&)`4uIjO)D}bb{fA9pP zwK{d}@e=*BcDx=xMp5jV+-49atTkx%|`Q4#URx^h5I zIHA0YNqV(v>e^2>g}>v_rIqHCZjr!`D2((5FFQxSIX}GENEhfA->*LcB>w%&?fd6D z_+QyST4q9vks16uwvHbBC%%Vo2h(NTDeXneWgK1qSxjXd>O=d^=NKYv3A-xq%PSI8= z&u#-TH$r$743YjzT$&m!{mwzi^DM z$+GUzGiwHcz`rUV2NY0Q(eEC`}22v?DnA=TzdG)rO3qj^$MRRvIWm7o_`DDjZ> zH=-V`q!G2bo(&C~`kwtY@Hf$q+aGFEDJaVZ{gaE@M`C+C+srF)m@L=A*f4pb(7#|{Yci#YQ=G2K0o1WEy(yxa)mASsF(Zy@tfij7>QXVCYu zHbu5l<&s4cB-~UX&#{(xE=4@NSz2kzoQ2)-KrNyRXI3F`Z={9VNPOzfxZF_$M7s~I zKtQQSe-Y`R+hC1h6itu`2_ojvFNf#s5)i4hbBMCD#gICadS(zQgSKaOFVsOw?n+ebahembQm@MYiUZ39>}}j$2t7 zA0}v};?6R=#{gZ0pG)*r^EyrYN-v@D?Nj0C2}&u^(<%1-;jiC5y;b$&{^9-YXZ74!!NRCKW~rBQ0GaVa zCpxykR2F4CVrx_m7O<17{!1N6aTv;C2vEQRFH6RF*+bL0S5P&6tbrr9+hNKj;Q{rplrwZG30_%)L4?d8?k4N($y4J`Mw}fpS6q!8 zU!ph({3)!35yp|C`dztS?{9^&;GQ#vH4<+J zn|d)up`2?31T8tS+uGuQ-kid_gxBzNOur>6S!ok5;HeTfGajf6qS=85OyJ3bjX5bz zyOe_#f~8A?DFJ(wq?$=kSt-_26Ffpo`Vr~A7>+}^b$HgC0p zcaD~5*ec(ppbKfbi#c&$v94%+4(UF17pDF9SfPTjHLQ5^?8Dv7&E2=ppKm|^DstT2 zSV>MrlAcsawty8yHeY;Cejo0;tXMFhwme~a0w%3v9s&UdgR_~7B-G_>#*P>kXTRk0 zsu~pwH3AgIFaR=r+r`6|Pq*)OE}jp}+wqUVMU;799f60>B2QDSCK1zyLQh253D?wYaLBL>~b7fbf$I zVn!Xg9O^@4xh$6_YbdH&G`>2nKV>&f(c7ro2lTd91TpP39OlibSD#9&3>njLv;-=~ z+fEf3)6G?x^`ICb&33`QaCeLE2*LBZLJ^%S;H={}jOV9z;DK>V4XhMe)Os7!0;@w& z2kmHJ@*o*7fa)X**W(ZDRPT`Avz#C|bo>IkaMbV%Glw-~ey(OVbE!wM1vF+8(U{Ht zCRFxM4Hy7zC>1wBSB;AoN>ciqiW>0PY`)2J1vh3@N`Tz9ntSDCUlTf<@){QrmdHD~9pW1g{zWnX>CY4G{MH{VxtEYNbRv`uLsfO0 zS(DZ@VY4utIVESQQxc^Q_zT)Gb{@^FFI%daJ)JIvIh`#O>*4q; zobF&3m|*i&T|yH7BSl`sp6TMGecK+$wQ*n$qC%7%p-NrfEe z9Su5V6C0-`gY|3Fe7kOt^F!1sQd5&>D)=1H=1Cawuw5)q(OFQZkhj3B@SwywRs}Bh z0BtIVbY1!CT%oR$Nev+Ay`p?|a`s2e+2^i^x~8ZA_YUYjBWjF(hp3ur&$l4#O*eWR zfK(S(Fp*5-iM^2E& z*Q4}>BMP)1tieN z&3(Dd@NjxCsqH*np3j~m_K;&6bt5LSpO%4biO7c1oZ{<}CCi#~(a<3?tc)1f!EZ4r zGIDPNqMJsRXv7ovT!mG0X`_B0Wi$$I_YV28)hEy#mj`vE_?z=3KEjn_jhi1C^gGw4 z$eO19L-c-u*h7;;+4yl%K~h<**4uISD@Lf3 zDD5#)O~*>r7X`~XIx=^SLe~C-^l?0ex2QT>K#NLxR5`5+j~32Kker8$QB|Jn%>rqu;^^$*k6lArW^Z(boYR;7#`M1l zr@37J*$Wk-&6XyF&KQ}aMvTT_ssdm+QL%HiEag8UHX~FUr-I_pFcegy>FTs*(xWph zht}isjy4mO;qpw5?L0M7!L`B$Bz7n+SC+h(po>gvVs}2+-7O?}IId`X!1YlvH!Ks|MoK>5HiaI0zhr7#4 zdl-raBfdqoSrJa%9$#eRNq?^_+%XA`ACXOBk)_m@a0a0oaeSkZwfrV@iKm1#*eG`aUDNy zRJb_o&RLm@P0E%qCS;`xXc>pUeZ9%bgahnCb^kA$bL78}6v!_qe3Ai|c9mhH+h7YT zVsamKAxsD`|G*`1Q1c2qKoXpA=#=@4b~8f{{_Rl2tQf!sOZ}ih{flass(zkf2arUo zvb{d$ugi5SXUO}SKaK~KE?YGxnxR2zDao75*5-RU{f%H>P;&{p#pO#zN)>^V6RDJNR}A)s7N3|nbnA&BG)6q zB<=_-lOEh8I4sZC#Vg~KX!ENkG{2&jAe^<6Qvx`N@Hg29X$Z7DTp$k5VvHGxp)x@h z)>LIyrG}Yxyg5ES|HaEv&2j#A9;-|>VHe29OH)neXHU+VKYg3|@3>7fd-^_@^YrtK zNAoiR-_*Bjnwi0CzkR+}fGx{;$)22@AMymCE8zLjYXFyE(FKd7K7o4{jZg=-us4M5 z8L_cc?;}%K9MKVO?IY6f@~3ksK!9A(hZI>jV%lw&Q$O=LyxUw(BfuTPZ=GClu@26zT-k)lIDUGW72SLZNynq?VGaj6x$LO z4?-I)piW#<$P@@Da?pF%hcEpsQ^iO$VfQVD zopQC{>5i#vnCkQ$%}#iF{ScVlQJ!M18YpJ*g=qrz_Y&0oO2G34%y?n>&IsZKWaS;qr7vo?-^w z6&suMq-xVKx{D<|v>a0IDQh@JMP+jinN!}x8BFiZx;+3MDbdl3F+Y-hGlaw{KGTce z=gX2bqEb?6$q+If#C^*6|%ZBMDAu9*%wYp4``0cK(eQnIm+&eL1Ddx3eDwtld$lY7)B=$8wjgk&~}8;%SA0WE$f>5jh;eLV0xLRJKL8x&xWr(K`aP3><^DUU-&`f#lca`) zJ4u4P47$mvC+OG=5s-s^9^4fcjFjuXQJvA+K4o4KM01L5%!mEXy_4h@NFZU2;8Vh9 zSAydf*CxrgVWnz#*t!(~$Kfif9<(WL4&kY4DoU|5>z!|`3_ zMqr&Dj3NkAExFu^eAZq_w=N0LyNonRo#}++Qcg>;s%@gcg2v+Ys^*%{;Jd41QJ>hI zH9e&chASy`(0;!8@sQyeSf_!#6T_ppX!Ifu9IQM~b(%gD4;?&mYq(66FcplB5L_xN zMj0R&aTza=S`L&lqZk)MZ3PQWPOX6b$ZJs+>^bVfN{I(yD%^RUTq}q^$-=Ox`5S_^_Tia1LuA|HJW!V4U5t0_ zXTo}R_!52}-gc#dW)do-4hJ}Vd|cc~NY}FLnKOffqVwgrB9{ae{R6j5uN5?t3@Ki^ z>`ymB@)zx#?scc)+NjX6?`J^GI;2%raQ5uin+H~4Xuo}CQ1|9NavXUn{#%mX;9>?8 znbLkq5~keT?0kK=V@pK-_0xYrUj6+~s8MqB`QcCS`Gu}bD)|`W_oWZIP>ffP=fgGF zl~RuQ*jhGZg?>OEcDl=nSAb8!iskYm6PNm9_tOR=iT3Sy5~6>4U4n!=$eecjHlbP- zJfh|*1Pz)F*=QoBDmsn_ID}g+0C7is@`Uh`dVq@ZAb^G%@uPE;JZaMbsxugkf}462 zN*HGEISQrNGdmua!+W}Xa}>4IPcat1H$eH%WF=(Fc0{w`OExu{47D`5;WR0f4)4#j-M=u zp2cAb_)zACpit0C($r0D+%Nm*W|M@pNG+xbzuKGxB_Y3DQ4xv>yjKSQO6poFKN2=7 zb!%Z!4QJ3dMS!7gh-Y^+rP0+)C<@iXuhpqx+RJ@H^($qd4jIPZ(!T>wL#SC0ml?%J z17lcRFLt09<|Z8ZF041;7RRy$ZtN+e64d@#QahzSTv^eciMp~eA~6(jRxhOwmD0`S z8?)&{3qfPQlB4xIBvC0oVJH;>FvM(8i5d<}1c${-4Wk-emu4q{lL+h>|mOM{)nV+VnvkP)x&Rd7n!k zB8@zcO-+s6sn~1&geJYCz4>G|8KX%A65DQmnoRe@o4Zqz?po9WFtxHfh0Ois8QYV72 z)6aiiX4$6Mt}d4#)W}+`T4O+Ea;LYK&nvju(E(dK->4vtW4s+XpMm0 zoL>-zsX~ZluTtDX2|+-p=;iCBfe_+G3o}wxJEI3#=5L?B-NWMj{(SKM3&1Q^xbgdQ z6f7A0{jcmeEC=lP49V2|He^kT+*V1?b!9J}a3G>t3t2CTtLg?LKZ`X&1Axj9R0%VS zlVr7ntx0uV4~7RuprYCK8zm3N4LNd)=Uu!!MkU`3lG5lF#`jJZ#~Wy*q*8xo7$%qJ zdNV^7j%I_=G;D-!y*E#1l_uY!a->c)@=bXO*Y%KQ>|(vFC0z~_Y_$BMc$346fQYm@6o=81=bARRs3T5QPZ~dw8+) z?&J0S{R_HNo)6%}zq$MDwJ};&-v8S`|7r(+{NsTC{*QrtW1zRVkZY(1`Y*+_G!(qs z@p5=5csF`F`s7Bliy(=-09gY<`UrB@0y*6&vX#mD>cO(Yl!?9FH^mtJYmCQ!=0X-@T8Apu-QeL{_Sp9oBq|sAOdv>n}QvEYQAOZ5|^3U~e*1PW+{pLpuZrCD)pbyg{|&!btPiIlo$- zr^Tj>GpQw)P15azG%(`pVoQAB>3&pm6j`JH8t^Cqum)Vg{t9ixN@-{8Zmu;&m~q0@ zgBq|Np#e9J;p*se4Pey(>j8yyb-X>?0+4jRm7<3bJLN@)qr(&RD4`$If8&UDW^6B) zfZF<_h?i1J1X>1KLjV1fbjhNw0VM$qn~`s-nnqJ98F5_MC~MGwGEh>;|8iFXRTTVB zT}2Yq$>+)U7a!qIZNGh`W${A%ZTv(p+s+RD`O~0z{v1tC|4;mvYWI<0=CV$shSXZL z`HTE68}u<&G?s#k!FvT2nRALRu#FpN`3v zD@>R=sp|+tYxqWK2l^p)igrNsGm?=ht8hGE$q_YFOkbeA+(Jyu#AY?Wm3Z<KQ`K^l2`IIr6^F z29L9Hr}1jVTE+V&ErGF!}CG-DCLn6 z#~!sm!z;O79RwP(GDcybvaFpcy0Q=j{7JxoLS8$68$Y{acryqADqy_GzhQr9DpuSi zH9rxmb%2#vcJyAUfnvp-0ER3Ee3d%ilNI7IO{3A+*t0i!vOAakPL=P7XU6!lnUkXF zq8A{31|ByTLO?Hx8P)Zb`;0084UFyaaeubnS@O1IBtoXb|59Bj+DW6yP~31ZXK4Gd zYi$q#^;}7=EG$6fZOED;dm|`SuKR;^M&*H^Fm>w#QgWXCG;WZ2?#6A?QLzOm8;T+3 z#%&VbCAE)Z?LD0j)9gX+MtwL*9j@<% zHBckL;fbMSTpXaJF})u?oJ*iJbM+f5Y5^xbaBLh3kRQBfgPotGNdfy3E1` zobtKq`S*nMVXtCjG}u*b##16ztc-EISs${&3-y@FfZw?@EH`!*z)}rH&31sLZWzC0 z^nPX?{uY|dxxd_^Xz$K_ zC`wAu(YwEi>^xyO%p#kh2nU$KBQTu1$sAZ5Gz|(FksdjlTHfPy z@0og?%!dE}8G94uIFckwke?D;E!zTiF{{Gkz%j?J1Ofp9nYc0nAeq%N;({bWCbvkC z4S;z}&+NC)dwQ9fyE%~6odD=&;o)wkrsq>tID;EzL4^bOFL{I}ExQ>) z%!qvhq`90kHkyTR(BP|&!neQle+pQ&%mAJ4LXjcWI?*4 zo9|z-Ki%W49*|e(=v@7Bg(Wzo&47GAW^gscsrEQ!Y`d#cQkPnvl<83%5U zR-%4}CDyXfiAnxytr^1eXONLFDNvA(Y!4&_CT~&i=qZ?b3+61jz|%`n0!m>ei0)W= z>;8<6K;;cIqu5*MmA&K1U{xes0Xu)NulaUS+FK7iAcPa$c=8;N3jzJm3s5$BRh-O4HFGrc*i}t*6Fh%SNGJCYZS7xg*Sf$wvZ(oU9;ap`0aGoxA9$2junr#;n!#LnJANjPOG$jsDCb>Ex0o z735O2K%X!`{SdkG*}I2)Lnbzome`jNl^~n%b!|wuj~g=E9nE%fi_Tn?ET16?Wvqst zo9uadGv$F5?s#(i1L87QK%gKLfLI6SLhN4@?n{f~LD-dbM7Y_I(`;N#aqSP_5XSCs zcbQ1*`@mc-)bok7i;Mkz#u0oECI6R_6+U15kI5ok;GXKxI78?t!WM?tZ{L1{B7$mB z?fv!Vub*!21O2t%?9h>ARdEeckzXs_Sow74<17$s9r{x2(t^m#^TitYBE=*rsF)kt z(*hYm8f^^@Ik8nIC@GhrA9%SvkJ%NIo*E7p>bx1VS~YK*N3>>Lt&|HaXAegZ70;}W zA!U@F$c|@eNe{%;w_Q&f_?dLD=ZbIzm%Dz;gXXzQUxk1z@;jucles@}r7}XPgZ+N*HIeU)*7oEsS92BiYzb zE;VzpNwDr{IiLrI8b{%N@vHs@y-&!~dz40&gw-41pFTT>OWvEit(UzazhmvQa#N4O zXXOk6isND9achYCrAV0zXcSx{QJQ8g4BA(sNsoU=x6WKZ#(`P`s=w^jMKwoJcb1+! ze1}QRz!Ae$%2JXGMCZl3B|%4MbWn@|3XxYQ#t1>Bal5}_c2ow(>YH`|%3Piglrazc z&nD?Jaa%ezkuuxN=xRio2_DzZlTh&Cd~A(=QIbM(o%H`Fx_&BZ!~$hWPkQdt>DfngR)+ zl#>%TJsz8)8%p*Ee}SA7{Ki3vHR}&mR;w~e*Gbk`PMDx@A`~B%S@K2#g_Cf>h@S{! zvf3L^WKoVB$j3MIxetvvkyXcq%J?|cGx?&_St^f#JTwNPTn`EJHx{HfQdy7w99@nG zELAmw1otiM+h*(rE;OGqHjcYeAPMs;g27;Z)Xl6|(<@hJifg*{xilLrE;9KO#YH9% zXru$>PRnxuqOw1e^FVE&;hC~gIiJ8$g^nc^EsY^~a(<4LhkNe?HHr9!y~|D*y+Qdd z9uLpwI!68&T%3tZrm9a}4mIm=sQ!4pP4?{3HrbK`q6?|@6SV_FJh@b+&t02hfBAxF z=c45?JuZMnC(kKAUk>W->w&Ni8E6b6{fc^#vguM%v#yp?+><}YETqUku;+5xdbJ)k za1I|7teURag1TM{j7>bdlK4K-hq;eL8{;O0gcWf_jRVeIsW5l{<=frcoA$%a?akfw z!;_gN!eZyIme4Psn&EU-ohP)W z?%zo(rxSakg;MNQ#$(2yCEDF3rfC=6=s-8u(z2=<=J*_M=O!L8N_z58%1!}-Of+MD z^#YYnAS(3t_uN_i^JV%IC2EyYm~KPf3413NGO=(3RS9+$ihvqQ)_fQHY=k2&-Fa2!hi zk)}?pi_x%zy&m#u`wW|bUPe^3LD~q(sAx%Uvv0b0uCrRE2Ym_JFfwD{g$}0-HO))B zT)*1=24X)GcK! zy)B4QhAVHZr42K>3ZpUYS}R#7@ukvXE*8SAS?*itv8H$!)Ad9s4stvcJu z&EoZ>2Z)?57~cYEVSPh{h0ZU}m**Nh-nhlV;785kXlC>leZ2kp?cu(C_|o3Ly}pgp zA@IERV)KtSLG8D}REx*Yo(B+dd7in1|HWoKxxj(Q-aH~xGN3OEPE1pMC#u=(u$+d= zF=rS^x@5(Rw1x(AE5`MPbY{cK%NiZB&!Kz`c$G^RNi~^_W=Q|@Avz1#5gyqaxN0cE z#t?{FacBnD_5XLLuIlyz-P`-r}lK% za7?hajlw&22=93D_QkswH!t45Kr08oJi2}{`uWA^Erj8V(G6NRycm6`S3W_nNR#}m zs#Y+;(Z1N{8_rgaPf^O

    $FyIMVG6dba!q+*JF3M3_Us1CGzpozA!^<1PRYNsJJ0nh}G~KnQIYZb)qL68S=r z`&V4{N2`5C9*$zbYrbJq2<}GlrF!=Z)O+wp5`Zfz3W%?8#mXc;Lzfr6 zK0W~Cixz@9E!}E3+@ukIuab-ExV#tYBHi5Gfv^OTg#t)=0q(&l`9T0Wdlew}0R)2u zPT4(h!xLBi|Qv&^y#h^YyIgV-$tY`dr6DAPc? zdY3EMfWK*XSe^<>_6GeSuwf|t1W}gnCITb=Zgxt$qjC$8xQi)_I~MzTdw++H;XmJF zxeyIDKXqLs)?`~BR8^bB?+rh#lq3-CpNT%P8Om4moNC#Em?Mv!5j3n=I~f|>Ih;j4L}qE@TG4gQBcOlVgR*;;$q8Y0QZ!vX2Rct4mM#^c5!wYS z#F2tObw=y~%?L{}lDWNRg|Q2cSz)Q%y%83~Yx^kg>WrdQ^ITgxRe$a3~ zGSwAAKgv;wV$G;CSh&{|rVk~1A%VptyrBc(%usLU9-W0(Z*c&ZiLFxu^&t6=(VDI6 z*7ndd8frXQ$zm*yspHepuU`NXLFWDF?U&p82N4KD7Tl{x^a2mTARSEUY54u{8sZcJ zalphxtQ4qsxQOILh(3THtJb9xZ6I!sQ@d-s3${4{O&1t5cnKPXRpb0n-_evfH)sO} zSzb=mntw*f&b3)sv$^96T7oLHz3Zh;+a1o-+653Fi?bgniaoWRWTC}7R0`p5h#~do z9myt80J%qooqn=Kc3i&%YGi@Y^;(XS-VA>ul7XL%{G9O4Bw{g{J31up%#*8UfUN*u z`4P<+VLmEr705<58xK{mK_@47my-2R6#-TSXLgi7e&-Ct_t_U_FWQ|c-)&NaKxjYR zwoc-=;@enU!i@=>quSL(3!P6WIbg+J1I;VxGSxVMlj+#`9@>`l?N(@KPJ)c}{r(;&=f9Hv0mlN~_+VxJb|GqIhK za%Ym>Oj|Z?-M;urjP6%d_I&^DzWw>*!~MS_L;UY&hX-(xZvMyUf1wOxbHas{T=D;V zWFz!E@ZIHPlWP<1?cI+nmvf3QKBET<8U2d}Y8S&2qAuqNow&x)W~Pn19-Xo;{l6ZY zGJpCm^QZ5!{q$Y7aTgp1&E!}ftx)1-a}2yCI#6C6YC6ZW_T|a>KCS@l_lEW0{oihE zKzIKA?4ujtCnj;ZM&}7qjR) z<9D^}zT@|hqkww&V};K1FGbPI#xp}wbmCpe%A}H{$Q&Hz0_} zYB5dsB{V(r-7{|3v81yR0AlDlhgDqg@-8&9?Xj(~Sku zka^)qC4zd;)@ z1r}^QIANrD3>Jx9&wqRGg zqe}GAj zTI$&{R>$+TY^2o*TxAj}KY0fGknP1`88W8E@`mU${NcZP6&$??7CQhBdnd+y5*C|YxKaqL`btpM*Y9oG6a>>rP+)=*^M)A=PD4|M| zR{shaF*KnfCI+YSo4^uqDl-<{OrVtq%yEGPF#{uD4;bNh|9Nkq<3R6@>lYP3;WI=B zKw~?0c<2`|nSdO@^&3El!%tD4prqXQRWx|snhO(dj7Bo+pFa!Nsylwnd!BtKH>oqK zUr1FHgUw^5PiIp4l!z(W2ZXLqCDCXiratro6xDFagqoZh(h|>#GJ^0!nOB16b2)CH z%eLV004S);Xn)ZfPCU*i;1ZvTycg79r7J^Rw)^8wPy|iM3O8vUu3$_o3??-bRJ%W1 z93yiec4lynu9XMHx&>U^Tx<|LHK}hdJvxC#$l}VZ3q74y;e_|K_6Ue{NCX(RshT($ zCR~lsJs6>>u$%ScESyr!c;arUg}t)bG{LUTCoEsQ_l(t{vz5{9;?fX>Ds#q^Px!RZ zF~yPdF-5wYc80@e!8atOP-V|DtkoAMH=9<}`CtcqO~1kjDwC82wC&f2_QSX9yLUjn z{QQS@>|}lA_WJhr3(*Gft(FtQxUgtJ&AKRt_zrH%;t()D6-NhR2hIc_3DE+K&IIIa zk@oBtHyBHzX~y~)zJr=FDB3haJ(fsoK0W|;8Q_r7R_~H?+j2l2C?hW4sMF24RuyX$ z^0;UOr!0yb+Rw7$5OiKCvLkLDLg!)WWJjSot_vVqqr^9tXi6hI2r*Q0m?F%@H;3hJ z+)gyq#DRW7p)(-~{h(LV5L#J7NgoGU@V@=w3TX^XF`6@^?}>w zGxUD0&G>>pS_NCqj?E}&$c`1_RIcX)|Ci5jKtGV}aoz+?o0ZE#7G)?rAS)R8K#G76 z<$d!aB^nSHcgw@)_Vc^zKQO0^-*6+hMaDumg-(c76d7n4GO(*)Z7 zCnsG6*F+}7T1SKR0Vnm^Fb|+gd!aSwl@e&xP+pVD8cj#Q=q5rI9>}Aq;d^;H$>|nT z9y#)roc&2eI!X(F7EQGRc@4JBq8mgMOw(zA{k*u4u20a>h_F=4k$6__g{>A4?Ah5X z2b2IZrv^TQc38*u{4H>3w_kK)LEwO(qf0!sT2UIDzWuV^EQg#(m1dPQkFQFz(5lfMP=!?kh7SC07$Rc6TR zsBtnzv{`5xR;|{;EQ9-?l$_!E}APC9JQXXiR>76Tdt6E%MVOoTb=w4XPl zdCCXL%L9bEmhCIf2P!96VU-M>QC#osr;f^AI?M!nP23y~ls2+9@uy*z{l(Qm%O4@# z>cbT=G%%6ht+_lpA%k0|9m?U>+#tmBy{2Wez4eWa7PTlPT$Cuam<@X&OC8`$DYeMo znUA;Wr@?vD4w-OI!M~buAU8ZfuzE$^id2ViqPhMX=k-~Tgz!cyoP)!2fU*G%%;4Hp z-@5*o?4FBrqN1s!_;*Phke^YUslq_@IOMIN%HWZw8x&Vd)3S^=xlRdqkf!%6kLXB* zn<_K>x`TRNm4!6n-vC1-Os|1|!&DPt9)-F#5Q|f+3EY= z>^{B!G@FFy=LJ#Xg!D!#hoe77&qby+g%Bvww_;hLa_R(?Q-e+Gv3AUF^|CKdXHbaA zWR6+>u#4P+?+@rE%HzY^A_1b(-A2$u@piA*`z#mZ1$&3b{0VC_N}7*e=d1&PMz^%w zAsRhVpf>C`ADkrL0OB-T9iT805?dl;G5mlOSGxx!A2i8tAuOv303e?{hFI@L<%W|# zN3#!fI#v#1L#Tp6)r|RA5JG5PdU5yh%iYI^Kj41uUP`{B@iaZTKlq}!SUz_#mgVQ} zlm2Mfv~W$u-<>cF$yH*$_1PJ5DXbFo1SDnKULLV4%!!1TxXkKeC_Dp5sLVnZ>R?Gu z8&<^bs_EboaRxh@I2i~Zy+jRGCh>{sAJE`5S^lpdZ$Dpu#m^7V z2+e9YS1>0xo34ouwtyg;xCN#=p*L9-0|Ks=hc9suDj{B}^P8SQpHPbTU!XqszY7x36b@< z4r~n3%nH6O`SXhW8OI69B`={UmxB^3L6s{DHruZ~xn&+BhZ5E?JzadxpO&6;7{nHi zp=}$ESfs&OsG*s7If4LH(c*0;Zt)hTEiQYNf=qm-GZkOZjI|(J9NhJra~56=3!@y+ z{mb+(0zITsZvUF^QD;Q9$P{4*D4{CKn_9uHa$LVWoGVIL^q|XLJXS+cH8QL$XtUBm zc9#`{3f)}E6M5O_%9+{pgLCxJ3fHx8L30Arl8b%p^$XQ~^bQf7eN4rJ=$3rFHcgpQ z88??V5BD6GYtT9=1}mID+mg74ycR7J<{k?N)LCYrxF=9}U<9q`9C@ivvu*{^kW1?PdS$aZpR|2)MUF}K?Mv2f;TNIfMph$F)YCZrcnCZi zwYkf;Z^Oa_K2S=+`u!MK))RqRw!j_jouU)l^(Vl(h?`9&#NH5~c<*UE@gCsB$)hC% zrnUM|vZ%<=;lpXdahi@&|7=>!ck9n?mJ_BYYmn;w_5B0w;MQ6QL6ua75|){Er1FyO z^+6@m_U`)j9Wr!(fAGV-SLM-r(+~hfJa{j7G~a}F1s6G4{0aVx8Xh$H&Gb;l_h>2= zg@{fNvdk(QpvHK+SAGM>U)5v~nzTZwS8Cagx+yJTv5gZ*YZT!+~W;KPa2 z2%Q*7*FoW(>lF&`&ft6CfdG5k8G|Jc;h#C$>^+t!z!|6?Z=S(gLW0a_r~*-RK>(@| z*lS6^U5kU*Qv^Y$v)P2(mJUdC9ts)mIzl258nat6{#>0d@{bpY_vit^!mzzJ5-9tm zjvBFE6~^Eca3SOLB;E`RK5BY1eL$QJ`;%#u*N3YOUSD^C+1BUDR&PJuT;IV_O$z|# zK=#jkpMShE(3-FoJgVpC^`s+^YzIGO(zQOp&Kh=}jUn~1&>AQ?=Y$P-- zMBj|B?V1t+E_q;}N<2bG$bERi$DB|5Q)E}y{lm@Y_QTsx|7?K~yls*G`~Kqx5$ae|oUPTmBFiV^Z5~Y5j(6(X@lIVk z-U;nk5_PN}x&O`bAoQ!OQI$F9yKG9lJ@cZ4^wBwaJIj~34vE++eGdaNL0!3%$hemL zMnKiTS-}y^z9mz&&>vE4sivVaQL3QNqC{S1r&E1L+AN{f(p&uZ4*%WYzxVj>!!!2f z(A=Wbp+nZ5f_~0NlSCN8piJdK{3U zfJ*i3Rt1apFP(nvteKZ{v>QKXOwbr)4DsA@97M1nD z1jlj5yYQfMVNiFfDj`K!OIHL)$3lwk_ z@D&RO!U7wM=AKH_D1=*vCVT4DBk&vv4f@NsU;KULh;F?IOAnzZWJo6whZ~_rce}%y z?kAh0)VK#;!qmBEjLtn$TS=;5pefk`Rp6}LQo2NbO8@27#b-4Yu`uj$$YWTteeu>S z!kSG~7VBda1Hr#-HRQdz$bv_J1srnfs(w}oOjm0yt>MP)dd-}&GwVt32&&0kPshQ9 zu;h%|q9#b_Y_-9PoUKk%>Bxyu^-LUZymm0V@$K2gDhRILQ(L?I29je#c_e z1}l_Xot73Qflfu&aWLW}=h3N@M#joPkGc+%33Ph#J&77L9-&O5>sXLD(dl?SSLD&DisSO~e2oV{iYc0G9--eNi=ATe zOxe(?y^$7^=)>QIp1Z!gnVF?>gv+ioa70Vpo#)RU?!MjNTe_!$v;yGn*-xxnKX`Vs zS-k@&Jjxz!AF6tKyfX6=mOQ>41{`_r$^HV>35XIM@xfvP)SOavn8~(SBJrQO3B_86 z!dLR3OamRrIs%$z$eIG({CoPTw52^dhtN%wEh66!O9jQKyNEubuPu@0RUtU7m}yIW2W zAvEyqyS@a`=tKH^;6$WuSGpCU%S~qig@*xy?|Qk~AOol4kW`QfN+Ea@TAyxcmOP{I zV|SRKfWuxKCKc;+mo(x?^23@Oz>4kV8bPZut+7{p(RE0uRw+Ptx?G)`t(Z6DJaw%r z1-;PwB#Df-cebxeqdmA2yX2^Gop;^paL>-r8wSlf%c>kpr=xBi9y@@;75 z@oEgEU$H~E%P8|ASgL)ccqBhnkFs0vbqS37`Iu!xnSW+V8m}#1SY;u%Tc&7u?J>^EDTc2U3jg zN7ALOc$4#LcDV?+%l>2%gn*4UoKA7ER6dFilumy!drjhqys2BHUT`jr`u+>_AzN9- zI;YjfYSV%Cpwk_XWP?+yfu+A!83!+~D+@P6v1p4uA5C%S$z6nF3>bW1fV1840hEad z%8(XUXL(>;bw<6cU&wY@AU1@>nu!ADMXk&f*usl^Na=ixK95>k6jdViNIKJH*>jsB zBwKps5g|)1aP>Pbh;)!{8yU`A3NfzEGmWD^sE@Bj0FD-$_Q$JZ#E;GvX97lQFD};y z$A^gUpx~PNx5m2??`e=xJQ?klQ1R8Pb4OehI*_neRi+E_wit^=^F0GC2WATFcSox8 zJ2h*kFum3x=4?nUd!8Tl5QUseku{jFbU%{goS$NQw>CF-Z@-v*3#Ae%Zerg;j)|7Q zz*^tWL{}1$-SFSax6?K=ACXinxerW`EKMgrLZTB{jM5_fS z)o0wWGv?n{nIT`uQ z?Ps*Wd_GEpDht1&A$&IO+h`htvmoSciUiSSHm1#X_E0S8Uabadx&@+Kn1%zeI-|aP z)j6_&nQkDoM1@!V0@>*tp5#o%T3|5(I9M)9dvTdl-4Q|&bd*$?kY7biIx=GHvwQA% zFFw4xSO4_xp7UyU>DnAEh~|b1%5s)G!NRJsPi4uZK6qFq2tQq&T(DYi!5qEut@Fn2 zG+AF|_|qn@vx(fiZ6wN3M(@o>d+?9qBxfme{4?z4%2-9ktIgu~yRhHSI8f5FI$}P> zPqu|PrJ)Ps0J9Nl6v5h=F5o#BigII6AbMS5A$MAx!#N}OoEJsB!mt3X(~8>3^MFw> zea9S;9S54GmQAM5mdK3Sdidk(&5K{JQL2#H2K*OH23tQIKMRqnB?HfmJcjnL@zZ$F zOOnb)l*Gw^l(V4x`_;)M9N5_bsf_B!xX0VDeDwfE`=a#TP?6NlJ(;D}5v~Zc@?->| zszl#xJ3zjKXl)14Q_|Fc_}>43RT=pB-quacA$WkH*-+C$?ZEgAio&S@A*`qK@87&Z z>}H42*< zP2KU%3BFtT6gkbR@i#%k#8{`obb@f}cPlh%$_j5xQ@Px3Iqu{7U#oWSxuhP>p#V01!9-cW-z4e>mmzTAG$ zAFTSv6OK8mr1P+N>|4EVWLt20y7yretF$3_cM{`=p{I6?igYAD*N)5x5@`CLWTz0l^ra9Mp1@Cc=`stVgLc z;!F+8(H)mv6(0@(Du-~K!o}QdzbQj4$_bHFOs{L=0rt%1niX!TbM%q3NWIkC551&2 zP*F;HQ~01cqH>}TNfWjeOQ_Mh9Hg%Xap0y2c;I+f+A@!&ew52gq4*-Z6%{h2V@v)nPF9u{!{?tNbTCWFBGz!AYq$m5ugM_kzis!W zVE|(dX|$_z32pY>F=Rd{0#SIv5#)|7><(16us21w(3yWAEKzL&8ZBv1$&3*pu(FKK zdSgmolr>=0SPg=fdf+B>ii504o7xO+YV#*H6>~E9X8^flK`1la9d*7)Krr;`PO=m%_0$BmvE&g{D3*5S*m?IlhYu z_tG!Tc4l-?3NNNk?~eeArThRn{(M6e^OyGR*Ke$na{t@)S0teP?ly>Iu#ugYz4HJe zZC@`S1&+@VO+^15{y?azFzx+YgGBO9t5UlMVg{V?>VoR@2GxI%@{TCKIE#2EWpjz) zh&+kACEp7;j=|{=$3(cPb3PeaN?acCT`){*y8zL^HDRTOghPig+Wgo?C2D|+#CkxW z5DcOVYq73#QbDE?43>G<*p+9=y4ibacqX<^PWRzn;}QkaZx zayzu$fznmAm~_U&fg#}lJ>6;RchELbToeK5LgBNHlGzzDxk4@c!tJ`Shfv)xUtWnM z%MbFh#5}Q&=9RWbS#o3GakNc?u}9cYD7u{yeT0f6m1=)g8Jy|>V!bM#lw;$zX2%l{ z{AFOg!gq&eDyPZ#;m%MrpaE)8vDz<2L;4x!gn>Chef5qeE-smw+nt3%JedW`F_GNN zTOD*st~<~rxz2d-pS<|T3;;wmm4(3ZqwCh}j>?u$ofGCkeJ!#Nkbi<|-c*yA%Zh+M z1Mp#!G`oXobG!4W7j<`D#dNn?QYWLz%v0i}cWhFUjTztCh#g+#SraM5Zk`T9OLx49 z(?h1H;FORU(3^RZ3e@z=V#v;;CWaD3x%1;~H-+{u1ZJp;#}h$&Wj^C=(c0*vACIeYc^dnMBN-QRy-xRf?{6cbnz?(Dqf(`R7*X=GVchf;WPe!j!vbNLw3Jc2(fR_Rr6e3XbBT z#5z;ak$TlQ2H5xut)T~q`0w}+l$eWr)i8ccj@m-$G^)|qZX*k-8oTClwMVZ_`Jz6c zCwYB-e%e3tcpZ;z4o#DFYR^`$84yL?hYjFJqrXi4HvilBFC9^I-Xf=@ls~xSigtHE zZtP+5#BQjrBY`C7ztXzbrc}kF&;c+yj0j+5#5*}U(9#y zNc4aDA<#5g+)G0bLS7hbRuL;V8!vTi^sR0X8 zBtiJu+jd6uMHvlWy%s(iZ>o^gO6LnaPr%fYDvSDcC7S?P-*=@uAa6wFv9KF?-w8+` z`knM{rE7QZZ+qqcR@2?t!9hpwQX_Kt(|*t+PeannV!T3-Lo@k|i4j00MZ3uJvxC(s z5U<&CW_C_C!N?~|X;WfVpNe7$q;h7g_HcOQjMoV*aTT* zzFjB)mfsq3us^8JZ3g%>*K>A8s3LrV7>si=P1SlsXLglyOvWrN@sMu~mZp>w( zmD_$B>53gg`+OJRiMoX!4y6Vd0?vKlJ({t+EpFX#}Hn~C+8-dtMZ8? z7mvqymrqo8yaIlhyQB4m1ZijAMYk3IOb`Gb_3Dhuc^sb0JqlM`GjUrTRrIBDITR*Z zTMZnIa;C+xRaAO{M{#Jx&rxk$Xyf7~d@~S!LYY+m{*8V?K5P)RYweo$?5MWKrs$fx z<|7VlgQWSbxEq+=X3d*ZaTj3O!;V4J-V)Hk%D_;7pk&N@(;!>?Qy`!cb6 z#I2QU?hd7prIF5FWT%nbY9MhM=6uzPyfF6QEky=q$tqJ-?&S7(+E#5SqgiKuIG4&S zrlK|2n>CDdq+^9lcZR{7lgKGgA!15Vt+e9Ioq34Nj9#8EP{3Nq9%X^k{j72eO|uHe z81IUALkfF^Q`o8I>_8GxkoBwN122ytzh3u{Ur~dAJCqEc_`-mh&vqNC0AY4Wpie4z zH+iB|XrpG5NH+?&vX5wVvNg|0Dm8d%9C(Gd@$n2d$0|kE>ksFyGa%ck3>AJ6hgIQF z^cm{7Veh6`Yb%SgP|&4u{!SuQM+xQ`Nm1Cm*|ePsjT3)2g13+sNDWScfVLjFeca6- zhBaRvZE{{tPsCZ8&Ols9jpip+hJ%+eFuB+h4BlQvS^#f8+A33CQXrQ>H zP+y+)yG=q)j~yqvy`T>P{SJ~3;*nXplL9ONNZ2_#p#<6!f-btO(oU72_o1b}TwDy4 zF||=4cpTm89kK(gWd`EthONOu9e80^4x#~#WLsN~=rbnw-uw!rX?y+l0S%F!q5i>J z{&*)x@eroe)p`YA0~!~dTpg?qMv8Qp?~R)IZsFAKy7#@zOTxHQi>j7+v37;C>1d{~ z3*X4L3Y}BjG={pTO5$&WC6rtvOOE)7+tuL~^@pB}pLWEfq7L>vkm8CiAt6u}i~Vo` zCl#q4#emBbDBf&ln*rNnrTTVtVRv^&&91gs!$xUm4Y;H5XJI{c#wwZ`AEG;pRO2NT z6GE+0%v_9+LTOg@=j8nLB{I1O73a<@+n+!cVOy1xIplp@kkE7ptcA?1r?+DYxR&+p zM6b=CzkCAQxPExJ`}p&>ha2?kL~^$@^=z>OCkJanl<2S*ab}K<>`~8b_vy!-0Zl@wtQ_2M@yK&lcY~?Rl?ih4(^K@zZy5<^6}X1Z z-5z4&8w5p`OJww-ku$nEBlFJ+;Ftwg8<$4_6yViPbM^>Glf%v4qXe;2Yef5& zL0OxFkN4L=L^svCygr9scNy9r^JyZh(}~~qhQWP~1 zy%n$wF1skgS{hr~b{`v%e2W8lM3!4yjJpFJrqvyH_k%c{;kY}2LwzUeGh|V1(i{D6 zrn(ZWNms9{UzM4{k=-InQC!#1kQUtRFj*#y*NG6cpsC>8fM<(Tky;8eF&LD@kOdbS zQICu`+yL%RQX08hP8ZN5*-I6+dzTH!D7vJ|34de?3W7g11tpiM#gaHcu#Eu=LKY>% zeI_2lkdHhjqKrxou`ja)B!_0n5+|i$)&l^U(lDDpX{yZT6)%D`xmB#_ZjOX7VhH`A zviqcy67yV{#OH_X?Dg`{YRrantu^~7Cb(KKbRStIC)A*!6uOFD4zm90igm1vJ~TLH zmaH|kddz;y#nqeX=&us>NQDeQ!Eua~PoqKl3Y9v9rcqrpvJ}}n9ObJ2_P4*^-@X0& zVsrTS`|Ho+zrVZt`0LHx{omod;eRbIw(r`z_80!^Z*OZF-fSfN-&2{+)~c(*{Fc_^ zli>YQwFvRJ6K9Xjw5M+hN1C`fQYgpGBr*fZl;MC73^61M{z?qOorwK8vLk+ES@aiW zPkU}ca0c-%76EGbCUUEZX*=Et+N(*qacvk7wcR8YtvjqCCh&IWgB+jRPm6pv-PYPMMu~RvVU{;eU+y&UK?r)EchBnd?y zp#jq+x$xx~?FGHJs0(#@jFM0pnRgA_?RNhh?L3r788M_mlm&?pWbIz@N9aGAe42!A~{>Mkm-l2EWIR{fz1D+JvPUHju+{r`O z3m8c!frI1o%#p&l5y?cB+*?Xu+pIN43eU|H>>Q7Ns_i9?Hqs#?SeWiodc$&>tVX8l zx&&vUdLA(vnxe}IYk~Yv_8(r?kg1*PLcFCw?atuyq~JWOxg{zCQXQ^8JXYI&xc&CF z{q?&2^zk0`{Y5g2x1m8xd0OPhohaL7=`=(kS#0tRmQeCxg&!9K`VPh6RcUv;kGgAk zA}DYgBSOnK)B&{w)>vWae^etYQ)G2fn#Eo3DAB?s_w$1_5)=_)3Z01Ghgd!Ce6DRn z*w#0}*a-=8Pc-oAe(Ko<(d-fm;1m_APtf;FW9CLNiLi^(^Q3X{DlSow?~MNb1 z1nM-OonLup34zbUl1e`Tz)_O)5M?)=6e8?ny87D9ekM)i?SR!HcBXF2(q3EiQ8xhF1p!8^oz3t~;3 z)xOx3zQI1A-xaDCjYLt(oO4x1^dp%gf@FtR1)S>L$6r6byD@ye+j2+~ma+u>{L(4C zbd)>6lcZ2G+o(a-muL&bCt)HoW?Q^IOz%rncBX2mV!dH|E>#`&$K%|I$X8OXiO^)J z5U~Jd<9cXqNZ&cJF)HjGrCo2`pCSZljGo?Y^D?2dN69~jrs!82nTVwYxImwPd_<=^ zN3}207B*jZ6HOb(?TpvxdSIr~wk>qiXuBG~H!~#-N^JLhqNE@kas5NB*PX}oKP8Kp z>X99v!41h0(yh7f^ss{`pPyVw)4c{I9h#zuCk&vmPEU< z|AQ1T*9avrTNKZg3G%I?J@lmkvbb}dNd!I zxMb;26=WgYK$;*aLO)= zl9Iy>tDM=JtL>)Scv%K;DCA zK!pN;7b(|I3AHmAFD_r9DI$p@XXd~zIXFM;z7r_}Bi?MFmP2Vo8U)R>0=daO@xxUg z_tzPmC6C!<7IR@@5U_Rz`{VMllg;a`Z`(6gt=hUmmW$NB$G@NdJ8R#AFRm|F$Ilk5 zHP^oT^4l$0=L=0c#9zRC{IY)&Td##Z2CUr#E!<0l^#@MxO*&`Em@7jmYUvIBJkB|Zf1rH7A0Fn&-o31Fd zC)FMX7Hy5HIb{+9=3dY;jT@1V8f`9^Hoj} zQkCoOy-UaWK-69(#)kWdUt!|eBS%y%q>doblE^8J%_Km6Tu4!+nf>E+ zd%7u?wFv`fx;m9F;~8z!rttGMBUpBk%%nL@08?2Zz$j2eO7I#}_$~SYe;~3jIOMBa zn;^?8D3RlXEa!Tck z*zdpGeZGExa|7Cpezt92jO?SM{Ij4q?mT=1h<@3x5Kg_3%69bU=x%V?59w%KTwR`b zLXN#LE^QwAoI5l$&Z%pMDaCvcgb4^B&#S3B5Vo(dHBnVGzpP(N|DoIG$-fr1xz#FD z+Z@ojjtIOUKLY>qio6V63t8=t_X_%GMl(cs(3fZuO%*4wAg4&#tXTW??)AB~&l&mx z;NT|!A`WwJjy8`Y17!+}^$z3pWXj_ufAxz5P0n~H1C>(?A6c0Q{S_3Jxd*U_T1cXY zU#Uz|8WTOsi>HIrFA=noGw%nu=N91bF7xG(ySJn}Tr!R9AKB5)d%DE2&M$V4bT+2s z=M|ZA+KX$tZ?w|003_=CAZBHf8IER9G2Q-Rx;gt98yLt_(g>zxUGvU+rwVJ*EhCoO z*QGOFIo3lnb>YP`gt{H!Y(u^hQ8!HfyCpUsHI%Tl<%JSdB5HHi50oY;k8R-xiU;qF zR35oMM;|s@#ms31qGP?lv#6^U4QW;#n==aqwc*IlIdJ|$1)I~x!UC?nBFN%yE% z0V#W1Idi=U`qI|07Xa^v>ko|LyuZ1-xqSEuT6=+P?#Aqr36d;Ooqg%-_D@rh(1HORHI$D4Q?s(89or*g8}Faed1=ke_2>bRix z?D_z$=QHeB(qnV7I6md;nztwO+VtolDjgcWCa0trdz_kZhSanY3qu%~e2vD^xW;bV zIV-cQ;SxnUJV`0b&k)-|~N0cQvac#>WyU z$e9Zg6?*BiE|5Q-b$wzh6}V4fbyk9)lDNtziu1>J#fHcUoQC>q(zBa~ofwEboDAzj zMA~le+>~S@7-Q&64);@GXGfKW8;)vm82CkK^(zAdr=ggl$HCc*Z7BY!M-?*rrl;p@FGMAyP!al&wSv=7 zJZG}$XfEaSz-2ai$k={uST|jiUD!0pSXSvl1jx}38&ok)fAI_@a1crezibcBQA79S z;9S1HL7qg!@W!q;3ps-VwP2qB2U1)v+Tt&$|W-fz4~rCJvfmds@#j45cdMCN)@hV zCo9>_mhRaRh6vS_e1ubhBOoYR;Rq|jB3OFgJPPT_5DK{XZhMB2^|P12e`WcUtMkFY z%zhSx0EPm$zJGu7<^9%IM$2HzK1a;#>+|papZ5Ky>ksz`g`vLS>iqEe=>PifqcKcU z8V}txv;rJV0-~;ELZ$msFxJp+O#-QrqVfvK$Um&0mMzpuK%7;G-W?_q-AMt8$zZ|n z2_V1j;uWq zB{HzzKJ*|hq95|>^n+rQYE?9vt3g@gJqy-|%mx$&dOZ3D717^>BkNqRoR#hjJto{N z0&pL#gfdx5C6r7h5`%8chs`uLjzeKBkpP*F!;X1;87OTNoEz>>GajLc6P`hJys<<} zigGofHd?hB5wYG)TzyC2iD){Bblq%4J+)@>%>UXKmCnt);572kXmx>37g~U;gp(G- zx@3tC2TSJviHi9wm~X<5?BVkGbOoR*Na*F+)e>xHJ4C(Lw)zR3)s;jgSSQ|5RDrMf zc_)o0b`=PBT=twPU2a6LyB+WvOZHdEF%IopGOEVx{s%8f6JCiFRFkVrcV7Hhj{LM=26h^JOtUOIoq?@KT*Fodhi%`v;6V2_6e<&vd4%da8dO|LEEF3T7_ zK{-DA?0C=qT2K9cQ0eU2(Za8-r?Z$h4`-pkDWsBKuG5$&u^6oI>FPA)JQX6MH3>EX z72rqcGLTL$$-A3#bBy*si0on&<$)>yIQbN$>m9!>IfqC`xyBtCxHR$A?0Z816?!)S2sl^Db?A=i%66exJKcPooUnu6D7aXY4a*`Hng5ZnXSj#7@d#9_thNm#Slixy z`FQ*3<1I?vKtD|j?>rWmQPmENc2rhO2v!_-`c zPD5fOfi}(KLG1a|(LpRAG(lCPCzbIj*rpMqbpAzlFkubTTES-;!;>NT&+`FQC39mXFFbD>*7yw^`Hl6 zM@<1|fPFldH^4)qN!Acxba)7544H6&a+9#$2(=ag|4MW(mGj;aXDpht64>T7;{0%3 zMa(Y0tP6ql(IbQ-v5wlVovS)EV}`I-9Xp|nt{f8v$AwB;XG)G#PH;v}W#9N#=cTcs zabj(T9$cs^rdG?r)QXT5GX^(M_uhJGpN9*DnOoUT)+ac9L_e(BPfaGm{e z*)v)O!b_+cu1F3=st7C7&7{qgA1fjK%5jV9x}4w{=6IP!W*2TAZs+VGIq+DD(J*(~BYDU-VyPaMI3t%G8C|MR!^xh<;BIAFb2tc~_+aeT7aKUtNL zaHq5blt?6bx*KfLFV3w*=U$94{Plcq98aPeU`>*=UFc=OktHh(CwXn8SL7^P0?5Fm zAU||n6ypfC(f$T$z+D2|8A}`>*H7$(Z*T-z^)EZ(kIYiS6gfZHfuMJ9&7v1hbk&(% zJhN@~MLoGVJ^P6%2mY@MQVtLey z59B(_Ua#tzsVr!o@RY`~XC*tE`8Ft58>P^z1WgvbQeAz@$wVy$Q6?260;*y@4y@S# zE5ac!_C$Y{ug<_+S)rhCE8(OiFDm@}bg{;!Dtj^{j#-?ACQc6w)9N%a3}My%oSf^7_d-je5tS`;e^8&my%(zI2?#$atF^%jEmo%~8Rlv%mmVJegX2y1 zT=fb1Y=MscYp+5DyiBO28e|kE8oy(|<*44m{o^z})jovkq)`WG?5eJHG&=+u0dZvX zG}~}f>^jC_<|1##k?o9@=5U9B%cEAWc%Je5dvo`)d~f>m$Mom-`{{3#2v&D8X8*Vk z;!=ZL(bYIyRH+=Ut+cBr5Co_t-7end}8EJ_*+p5heeX?Dd`3;}U-^NuxuAO84ya}NL=Y%C}b2OCr{ftq{* zhL5W1-7}!O^+tdnqCkK;7+tkp6c@E^BYbmI&*kAm+aB*YMghv$;vmIQptuPc8Q6_! zjSJc!Ohfq3*p4w0$9!wX1$tYrQ1|`}35RC~ zC^yKnX(3#s4&W$Rj*+0@0or((qb>V`dU)-e0(G|*|5D|kYGjbijjk7MNtS`kO3!R%{o?`e*5M2{l^b%mT-+`m-i`u1c$}kp_8fJb#s2$ zHa{HwL;ApzJcK*&WOkSs&1HL%3~8oMq|lPiBQ-1frPHY%Kb- zGH*T4s)iz(?ZCurkC?l`K))N~o5OX*8MFg!1`n0ay6ae?-I5w6LHoI=bA>tLBz_Ev zNwSe08RJl^LrAm1Ava_OCdhp~nZTmm%c!xPIUOGE08>kC3s>N)}zcY${Z4S_N=8`1C407A2q zSA@|@!91=@cH^`^kVvN@nO9o`=G6m&Sd5hU*az~F86y}|3yOgN)ji!PlYi7-Dsy@&Q47#0On2A=GMDeNTI1 z@)h>|s$+yT)GMO&*fd>kb?#{PL!Sv1pC>UcTGlF$)v#+S1S^& z9OvuC*76{FWF~tE+xUD`AHeewqfBHZItk=v?$%ZzY|tLhO?hX+9{K6|ZXbye;%J6K5tTi(nw;d)FZ|H{OcB%nQ zIALbAl)o?m@Ovxka;- z00Ctu8aP1f`U-5_+v`s^caf7p?vv$Yh@0o9b6*Gi9c!P{_w=0Olhx|t0RQ!bz2qFn zsC=lsmGF~m3Mjjabd}X9VvpRs$rRPT0%!#5%Zyv*SCz;? zGq68MBH%!d)9eyn+ML2ypITZ>)kt=3tWoihA9*q(3TaOGaj5NLK`rrK1Cg!vz~nKQ`(&9-I^R zcl@9p)V^({sy=;TDkoVkTzi3{@H%IzJE|YVcNJ@`VA|d6}+%B8l++s>P_DwAp)Oj zdf*r**?dso&nkHgCZcX0Mj4{xbRty!HIQzhKgryFdP-@kO%-5mq@}|AgEI2nVm_E_&y_d%aKs z4!O|CvCGurh)W|`fSlK}K*b5XhVw)bY?IwiC{w9EeH!M2yO$8LAauLccD>=cs1H}P zy@83ON%Qe|o#XcP`T}P>+jiN-&af`Aq{(H9fr$mmhIquJHe{ofC#=uY2dtlmT~7!g z^44_FrkQoIRpRhyyu3%DgEmcsladND8LCu{4uDU^1}2cTc0!0zzdGAoU2yqe*?_Ac z0E9Rg!(myr3{Ka@chkw$$q&hFfh7u2%;ItMWRrv}_?Rv`yXg!HlOZX3R}}E?d@IWl z-9^d-j~3E5DERJ_YJp*WCH?K_g^bT-9d9{RptW|QpO~c&kWCWxj7Bf`E&*oQvp+IR zZ$l-D0^jOORrKQ7c*2?oTr=Ax)^gyd5v3~0+*F%`!x;iPQXfQ89^qs?ze1`P9L%OB z<8E`>mlN?b5;lB78v_Rw;O`6A8C%~0Ka%TUG}FXZ^E6saoqLM5 z1-=3+z~k?bg7gEK_r_~EQDy7Q5dOq<42OlBgo#&*pevN5>9{%Tx*XD^GFFi#wORaT zmF<0cbI~ru+)0&+udWSNzPmb2zueB8P`Sn1eLEG!n2+do@*}x&J0DPP^|}j%{-QhEcSD^vuk+D} zC|M?^u&7w0jD0aiI~!GCx1gJo!M-AJllg8aN10po9`L`;wW?qZbjugoFNu1W#w}S9}(k9 zCW3QCISi5INpBJQh5J{NGUyP>UZOv!ZxGm@!2KZ0H!fy?AYA;aPC70W6gJcyIdeNk z7+-c-?@gz}9x?*=L@>*6LLk9JGT(`zFa(#Rb(lb6<(QBd8jPXEJFNJ;6XDnEy9XG0 z2$LbZ;~idN^e_K1;zj<35TY+X4dNEv3H?qo8Wb^-rK*zq3gZxsC*t3q?~H)$;wHl5 zz*0Un^OnoQ6G;l=xe-So5ibJ+&ep2KvKFQ~jp>xwk#ZU{;1CockkBnz*tyB1@s#e= z(?B}~!3EU3(FU4MoM~Ai5yKq%(3+jZJbM#tjz*j#jPtBLnvt$xNy86*8 zdb&EjWXJSOO@;2rv?9ZYOpzJ7!C49}*tuR46u2)^J^YjM)~cdGI~lvl9;#W;!elJ2 zbr*B0ismpgzMvp+50P$z9hk(HV898UZov<`f~eW#NhSm>Lv7R{%qg88AB@L zh}yp-Rnq>J2)g|pQ`U#^Cv44&)$;i8cy&Oe;UQoeM@VcvSe>0CjgjdpXv%2S+W42{ zA(NX2iK$ZJZr-FQtM5UfD6z)%;mT4EOys7kXqE4$*6ABem$n}1W*hLg6rJSFZ9{>lkWpn zLXxi!g=@Nx;QKInIopAv1$VnK}p4R?8!{%%TrUVX!zov z#`R!_XzceKv2V}N$}e_&KQvtY1Ln`Y*oU*LC3{z{o;VZ?n*11s2G)4hPd*E2AG>GC z<=~6i2eWt^ott~><}wKXt01fJmc_vt;R4o^fD z#6leZ3J<|4Ac4hqdDWn)(jBmQqG4VhLo?@BuvjLQjFTT^09_FdRws*}+LP}y?UvO# z4pJ`+a@K#9e?I^BZM4GZ^MusVLX`vdq|7M;odmEI|a=iI;OAXNJPC7<}36JyvEFGtev| zA<<`nX#lrgv0*JjfgthIho58hwxQe+ImsjAJyXru3eS0AJ#z}21hSp{4L5d1Yn4%@ zw4D4y=YT!GvrpK*3BP1Fh?N%FH0j6ag$J8@9P};ZxE>#D-9vOe+S&Hp7jS!;kbY=b+t$K{^IS6 zcQ0;UynpfG#V;>DJ|A7fw*49Zy~Tg;@ZSyodyoG<;J;t+-$!8wy&#;j1W;h<_{Tg1 zPO~Sf4eK)jB#XlgwCTb574giiAxW}eO{x8mTV;c?&dh>Wii3&@zleM0Ghd)SX_g5P z9PjF4y+K`8ur~Eh+s&avW`)SJZrdZq;1nt^SE!&fL1}8MQ9S8`xbOdewiY5Ql*G6m@>Bn96tXwS#CLX)23^+IIe@=EM6rst~Jvz|5O%KYi zb=072h&C{m8(yWqeELglKnbX5m{3}@0CA{AqZ}T$uZ+thx-{m}NE?q>=H5tUrTcSq zv4eM-$}I&K4i<1!7_uKRvG=!{9HN>OH5?Z7NR=Qv*DK%NouS_?SNDqV!S*@ypqiRUy;Ar!BK~kCWctKK`vh!%=Q$2uZus;h7fJEV_rSrp_a_;)qcApVbZm+->+C!)lFwo2y z7x{LQO~Q(ih(;Z!GbK8JT&j?BHmE15@6Uzt6~2|qs51E!_hK>9>=WgHPNqESwCLqP zruJ*n9CQoF-P41EqodQ)4Kg--6|2L(1C>d#4f3jp1{Y-oAO4$2sH3LI(H zkz;cT!@il$+^|n#ZuTU^S@WN$Cxlcrxoj=rU>FbucX?{yw|}jn-{45RhM(s1O$$sV z*pEpA)bu@U_g?}HQ*1SfT(o&0mvm3| z8xfT=sRb3WORqE_oAS%P{hj z+sK1rNl|%{We@o)QwUQywK7k>-(=zvkkOatK;^;OJ3T)OuL&yOcl;fyF!ZjMN<(+p zf_mm7INZEGK0pI@9xcrm@T4W^yXe3ZOek5eXvG5_B+?X==?_hW3}KKGFBVX3XH1FV z_`dz{>C4a8pO^%Cb9eXc>qC1ZO(@<0zWDa*xAx=Rf3zR((?$KJ=wSd|0Dmj9+l3DF z%k@2O_4d=ZcQ;$GYZ%PTF2P^Mi*9q6*cQ;mOx}6cOEy*J3Uy4hMfaruB5VUrL)~H7 zLUJuJ)?J0Bt0~ju<0uPF)HKoK$L>;-U4$BzYXFp-r%;h=1^AZ3RQ#LxKVb?kGi>M z69(RKC|@ai$rKp{J;gpQ*TrHQH|@;O#mshnCCyR8 zCk+RhTVvvtHd>MLM*gK&Cl^w89auW-fXFyNpC?uI)ECZ-!a?oReV2S0blG~PFgBce zutOS!S*HanV#Ye3Fl zkKs~s7k}K&EFq3|e{;~jULK(?@?|@c4enMZPyO_0*pYByM#BD}dywvb7=ChM1yXi| zTw9PK%#WqwLU*2BEvGDHJOZ`=!Oku|K}NS{NX~%n_{bD|%ae2Pq)*P7Hxo$&=`P9< zP@F9^F*RWu(sP$#89&3j42}5d?vBe+CtYU#NR94hDL!;{gJl~WjId*eaZ8v(9;Z(& z+LP5Qs(FC{k@UxOnkw+s&$^pNccFB^Rx)fXqh4COqcAoQQ0l|y^<8pn75@+#s zJzq<2rhF?ImOJ63FSeHHLsm&xz4&5vm$rgX%=q%q6y+uQz>`@xrO@?eRu*ek;A4m# zsn#5Zz%JaFg4!&v5VQ#z=>fPLzs2&}+-AI4E#O&XZE;Dz81d~_!9t14B-JoF@h2$fNpe8Qb0GId%A88C0u$}Z`1=}pk5YXCdb|> zI_M%LdNx`bz~FHNYaE}!8F_s9lfX4h-JUc(x{$2es9{vt1!xnHjHy>d)4{9UjH_|~ zgY$I`i7S>|wIj~Z6UNs9+km3Qk;== zhpikXW3f0kPx4sI8E`Ezv`)=SH3r036mqJ5CqX@=Sg~3D zQSxSe{PHEM-3$(;?k=&Pl+kPv438~9cF0JB|6R&}bQ^xM$3AV%>0(~*(ynRE_UWgl5+j$m{pHJVpRaHK*!um&AI$s*s`js2TYo(Nu6h3a@AwS`v~+8! z77;xg21fNksO76{IRSWLv8KrqBFN84eUeb00^%(M*K4l{SdDn7(tyVDC1wZsSGsPE zZ0#3tnBoZ7pA^7H6jGa=bnurQuZrqdU>7+XQMNDiH&%Ahi3!+o%W^T2))G+ziv*N- zC%Y+>X*UmPSt-m18JQ^v^)ey$rttZM`x@q{^_n^PfrWH45*nVQdspF7YUH7nO~rjG zkGh+gwd&21=LX4yxXR4hWkdVM%-7u>z?L@DZUWa9Hq`EpTd#m&P6l9@bHFe`yRmdc zCZ$MQXGC8*J6B+4B6Y9!fvO0W+4o@;(}Zf1)nOo<#};8Gi=gy`c&SuN8B1o8>#K;D z-v9FD?m>JL;72Oj+|=i-XjVMBfvQzEd|q zaH~*A`R&*ke7oWpicScK6y!AxT=|mwh>5zP&8z-_nY8g_((htCkK=(hBH-e3hNE(8 zet0__42$wKkIvKA7Qq7%vfO%$XezLwBM6PY9ubCPe@Mu!%%!bNBDFn#cK7WTu~#;Y zyM6cbAHmn-*pRRvK><>|vMKuXCo4QUbVer25Z$*pW3CMTQ@OWj#`*b1TR&D~rWkfc zMJWTHoa{F%RiIhP$?;3T9|aQwrR6n5`D*L>-MhONzg~aBy?_1k@g4iyZW*lkvX}{1 zi_G9}e&{)h3;p_h^xyG0d|I^ji7MUnbZb$nXrRX65ZcqDC{;SLs*OTAC!C>?3*!Erzae*=xpOIz}%uvrP1q8!2kfvhv{PsSKD z*5Zg&7X`h#n@L(NJelW@WSwnI2vZ5-pBe(&wi2U=L5$HO$_q7!OB!%F@dvRuQWDhq z9n2wS^OVBW9G;{k0gmR%i_nyU9{|QqJP}zG%n~jNcxSFg@qQ~y%uAyNC+QDWI7wxaa*|jtqc}0x zSjf9*%<#x8eNS1-a9p6!lNijIw5U(WK8z+w!mQ^d&S)MMdMD@7EfX9uKjmWyJ|@Ms zfp%%82#|d=M>nt68^HSlJXIUkXDT^Dcvb0DK0i~0PR2jdW==cxPD03KYOHE-;VC6I zK0bH@M{De9WvsHPwOP8Wh~HlxgB|-@Fon83Ie*EJQx4L(z4`s22HxRyNAQ?GuT!Q4 z{2xoJ^4GCkrPy1AZ;SkDghD_NDlpK?E5f+VtOt+tltL6^e=@amismAGnFv-!no2qa!Rz3Zki{v5 z30YKowBfHH)wEU0!fd=ku0u1GWYc?2rh|3TrhlSP+7u2ERZTXR8#EKZ-{>5m3{dMN zED%)Z&I2I81yO7iV?v?ulewtUUrrDb)|-28EF2G3A^^i{_KYJ)i-cgA?rxK?uY9c< zyMzNg7VAGaqp}0Tb9E0qsz$6nJXf$3A~<*m2%V*qo3#E6ksaaIKrA@ zVUs*X*8!}n>8^6*&ruAoOckq)>O)imNbFxlt4Ag1q6J7xe4zl1NuA^`cXu~$A3h?7 zSX&K%2YVg21|1Hql-qQSlwVStLGSiY7H29pQ^6BoF9;yD@y}mGB zz@qisHQ~B0C=ZaMb$ofuDmG@aVwSnJ7%;9t+~LHw%Oxsf93W`yDqEw#hiO&f>|ewh z<#daRYEbWn1EVpnlo5~RbuQJd7MGn@mygv6iM9m03oiBO#`c5QDqLduOPJ&&;0E>e+-p$WD17`T^gKUtD#c}0?54pd|0XnQ=G z?(+)A1w8VjM+Q01aD8L@(SVaAWohMLBSJM8?{;te7@vuZPHT`J}>XOghEwThQCPmd>=RETx!qHIvdR6f8M76CZTVh;>U9ZPE| z#Wp4&naKXwj_PP-SL*Y0bI9|sJ4vTjgiSLovCs$jWhe~P_; z7R1kjVvi|42+EPIL+lOCk{1Gha0a;Ryr9P#cb~=&p~n}KQm-z5OlE_`s}|!)w2Vi^ zyb}q&I<(3PU^*}=J62V~g1jI#7$*{$pf!*3srt>rB}u><_C-~*GU}TR z>5fzSYS;pGC-^BSJ9shuf@u_?hc++0#SGQa8z`d@i|%-)Wfy#_au~JRY5gQpX*4H_ z1d-E%HhaCSHQ#siD1B1!?IE6%67}{Ez|cTGtVt^h3P5c8(q5ycpNz*W7vf~-z>a5sCzoK?e{P<$gn`+z&>6pvvtkXXWg*mXB+OEC zE4n)l4Fy|4yD>BwUl75v@RJ%{e+{IVVjKN=*mHgKSLRwCVs)4m1VsscBZsTNivjP^ zK0vk_AQ;W2u%97i=_*jh8b?z-c~kY|Io3+NdwQ*NAhYS=*_n8HJ`@YE4^;pZYR?P< z)v+R*XOT(>gcPeNE~NM}!cv*Uogh11T%My=DX_^uV((9I+|uhc1-1?76@SO>K=(yE z<9e=TtEoI*ogo>&v_yICd*jL+nQ_A;V^-p`N)tQ_=2DQ|^&{?~-rjf|Zyvs0R4{ds zoNH~u8hx$^G!g1VdkBzBD+MrEF|a*CA`p3p33O$SLbGM}yV>sS5HuT#IY>=~e@Nt6 zCb0Lp9_)3{HT0kZc=1HOXx4)$6eN69G?Ig}J~~Etdoe-R+0lH9vomDEpuIRM`Esd_ z*5_yESIE)>^Q*LsT{8%7 z@8s8t#m;BRy_MCDCFvJ&yK`u)-GmnkY9?sOcTUWi;tnxy7EiXV%tq6Mz;ST@5n4}B zH#A9kz*c|ZjxPsn`Edq)S5$9ct-M@ukRA0Q{ZOVVO3exR!EYzWP-7eo+>ViGd$u28 zGmaFonyEhU-m}F8`{wD+SamwPBr8^R2=1e4=gWn=0h&EfXON-01W}mg@)#tAEDtnC zU|NXD%A@W@6+i&oSbG-$L5eNDh9D`)4hMoQQ9azz%OusKUPtV2 z|IWFOd{q{xv0H*F6NReGoA-Ush0Fi;{<)cg$%w9W!V+vtW6aVc z_SDYJ!Bi$txqqpJe~GLjq^u&Jut6GgmLUMyHf_dKGs3FiWmPDoctsW&wOm2tKCrwX zuArk~fe@n=6)m5L{Reab(aRA>)FBGRlFdjTos?e+(y-^RbO&^ufaA3~Jc2-lYY=s% zM^t;_Q8kViT756201QklsSf3#OWg8#3_*@qZan%4ufS}%lpQv~JlGqIw271MB0|Ot zJNsLa2}xR*U)Pt4XURNUi$wbRG4mmz?Na)7CXtvS7C~x`&AY}24@U3@)!mU9WFVBj z5$C?OaXC1WDV3iTs!3uN7M?_qaY>^nyzi2zG`fBxh`RIU3IX&gp!WRo`={IAZzf~R z@uJl(?6%E9)v8Q`b%h33$S>QC-tU8bZ3ddwKs9L>So1-)(F8WlxY?_!#?fBMJX5&r zsxkZ{$LztWe|sZtTspMOe0)58{%moD3XFe4N&-ZIoi(0UQZh=1uxn+?mybAkbv8Tq z6!d6sV&*15^HLQi?G1z)6n-=j5mHSUbPWV2xXYn+8+x3u?AW$B@%?J&wuAvnb!u$u z;0F<(3qUuBXWKU*6Q z7Knf~!)nG@PS{Hs2`h(qJCB)X(=(q|jj@X!mwl_R~eS(@1tsL*2(MnFERG0V9(R`Sm6E8nB`hnYwp1#fOi3bMR zVeuy0-%2M%VvTX5$@u9Xn~b0St=ZO}BG1HoZQW0qv;B#6%J_WSo9i5d1nb#R zoAV?0IoH~ktv8zez>W5P;6B@_Ss(i9>2;o5J-N=nNNO@k{F<$uhe;$PBUMLw~CNA4>U+f!d$bEB|Os9=$$!{2UMvZ%!@OMF}vL3bet znvOyceP);1ojOJBF8tQ@wAoVl%+c-j=$x^=9$jWgJ-SD#kftm#=d>6h4Jp^h-I39I z7x89D3d490zE`(mk?W`#WtDAGWEF0c1%*$RE5L3mb(fcQ&HU$4$m6E`{CJMq8?($U zU#xeUJSA_9ud7`da6dlY{r&dipPIs}>AD;AlfHew`3DdSH(JdG_dER`hbv7d7#8OV zzU!jO8;NbdBt-0h-^@Nf94gGDDp+qP0iv zu8_=+B{M%_R61sql{p9P@ac3c%!$!n3F+d;J@hN_T=hFX`-aU!Ld2sv4aij)M_KJ3 z5?k-$h)v-5FR)8pt^OH)1gi4_`GfjF{D)vEHy`hQ|4fhMi_a)H8eF{JK+HVs40vU* zgKK!s0i|N_BUKs}b;j%*h>M7c#H7fu-Z5m>jwb@fV@}+>XwdiDk4Z7AKLH80Lx;3HB()^m16(A-JZZ<)g?Ht4ce zhs+g%6N+AUoy^C`xQ~0N;G$X%k@GF`KJ}yygG;-Fbke%?+@sx{!}W_9qIS3e)Iov| zfiL&OrNc@D>BDMmg6uuA3w1RSvJmAA@#D&hwljfT((Oayi&R6hw*o_Gx_6!~FL#My zbSyHF_y+<&S|9V{7!}0mlozUr=>~KOnt-sp`g}~LgSQ3nK(M@1oUm(&jN&2shQc#+ zC&)dye4g;6?E@qYSmOni0l#1OdvZICjdrr6r5GlPG}$MJfUv!tO-!Uf`VinIA#qq+ zxKgruKE_|64%fK85)Jep{Nz9HNLo&s@Fy9{l>-vowg5%_-VJctCEuAK?bGZF33kDn z;Mv5(vEc|B0HV@S4;$ltfLs8e)9E4WN&o44$m8*<8I;3WsiuVK@GCC<2)3u8+4kA* zUCd%X@;u>~DU!DvuF)(wsaKU_?1zpy=B!%!ro1B)F-{QMPPUIywl+miC~E!r z>;pi*$U7ik@#uOL!!xKf{P3PC?qKuy=hxeZjsDBCgD0JAJAr~5)w7c(0h~Ju@@Cw* zg{PN|<87!J?uev+t{G?Yj~9r?gLy|iV);tr(4ELM2!XMC;+OM}L*L42DiRuIWX0r! zYm}jZE^S5R4#-a+Gzuh>{tc5tv7B&?P$p^UA)q`4-eJt6K1oQGN6kn`jv+*Ib_}yI z-N4O8VA>xy*1i^T*)%2E3Gz}?EVP zRaAhq=Bcr&Q>E3CCZSplm(3ii6?F#6QV?#0Ux*=1fjh;ls?O>*P0y&FB{>(?=YD;$ zL=|vy{$S;y{zKlwPHrJ(k1-VvJ-4Vx*q$HxoFtaB8#C zc&p{>C+nGzHP>GXtN_}ssUimLPsL<@{CfU&ZP*l3$)pbJfXotR@CFGH(k;12GN>CY z86n5OqbieuuqJ`eOR^9VM%I@wAg)>EsFi*Yy+51dWSiT{2u_x8p4tB z@w5eYC&f=Y`ZAsa7u3xV@ay!%H8^otR3R1=DahYZp(nyhib6tO(O={r4y8@e zg*FQP3lK@zvZZivM9_ROq3+?&is#%hU7)_v6BwCS-xU2tSqUN{AvA)lc9g3J7r?GA zvn#srN4l6KV@)sXt-MTJ*gtaPT?!1L+#B$Ok~Yg+&(W%W!2Er^&wTTmAJFn*fiJ!m z)4n<)I}FXU@=cLv@oi(~dRNDEseF2IJ>|o*f24~$^_)nGrK^Nci_@~LbcX%t>J?M2 zQAOq?z-qEmD$MAULXGV)%Fw|wkJ#DpC8%_He6`PxkR~$xbYAaUrg0PQ>bAlDXoT$& zEDzIKN8k8_{_B-_@}L#G7YK7~dC!2ac4b)ZM;{L?Khbi%EsB*;>ylL_*op~kzv6vJ z3aNn+^^h($GoPg-M^A%uGwDDORP4@3MZ@mS;j>YyD%eKsElM>e8pD}W9q!KDV<}Gc z6`kt*mYm}yy%tscbFmA@Xl?fl_=Ce9{J|;k2aT>%jge}jd(UzUxSSmsJSevB_84u%$SEKRo_2t=l4}%#-QcA0X<2a#k7DuNmar zcysV-q0yvq85c4)FVuzx|3|6eWrR%tsxHb1I|HQ|TznB(%aGQ4)4^Ue@nPD-(}9H3 z;cPe=wt}D$HRYjMZ7w280;AnFlSrx`_e6-|IC~Mc2nq1iH}JgezdfBx+0rq@H}vuh z={xk&0xkCpI3p;Bg|apflc-hLhp@hRe7wK=?c3umV1jnOq9OI|`xgTVxZJ+q0TOH) zX==I^c&;iXRd~Is(iHM3Q6`j_1+b7IVq~-uT9mE8cBcMo=fkZ)DkBunh>Qbt1g>exIuXPowc87Ur(cIwD;8ZR zp5U!7=%%jM51`!Hi<-02+N6HKUVu7r=mA2?LH>}wGK`fm3JNy?mKqCzg8rX}Z<=%1 zeaEljCrzrUyxp{>cFq^T`>Cp(GU5liPwk{eYz{%gdem4_e$DSv&Q_)XAJXz@A2A@< zb0-L7F7Q!aJ&K0bL<3z$6|sPAUqO{fSf1^9D834!eaoDRh~G9_`9yf7d9;Xtm*CDE z*MG!TRoIWDy*&2T+i<0zj5L9WF1vhbf2poMwHQOveA}S}+$1y*gUqz;j44Y>Ud_2$ zURy1MH*cHZVh0om@+?qNw`5y8Ema?WcWCe{abh$4k9?GC$cK=@5w7VE6U88aPu$;r zxV^vq{O%SBPoKWtetx(SWGL;#8B7y{D~$0}Ro>llsSZ2M^-dDvO9Yj~BX&JNPfxaM zzYb?|x_^F#wL&GJ>>F4@Pi6^g@-oFW>@y0QVgGfER8?H_S-M)f3yp9*1nCGLL9p3W z!xZ=Rrjo1CKS}RxzOY@#EDDJ)rZ{uAoG@0FhJ}Ry)psvO-aAU{lUN z!bpWVb>}Ec^5^e_LAkAM41s3Cs11=+ax|O;ZIz0EG(LvMs*F`0tTsy@%_Vgww8TbN zJ}52(DVt6!X1$wc;x4dE)9d}Ov+#t$myirr9YWb49|0_zWAOw-rU=mm^>&=)Ga1Br3<`Qn@g9CXT2nBKEA6y-aORJc|SLtM9wPjsv z;*jrl4>v0_X&~NbWbOeR)uac*t>mM~Fr{a+2AbWOVlSBzCB4Fn(P&*S$`;yArq~U1 z-{35BCvOq;l?#ZWwH}90W6Qyl}<zkj*EyM4$>Bu`pA*vCeJU+;W= z@s**UufX;H@cuy(bN?MFx&Qt2U>}6M{tx2xG{P5ln5p~!4Qzm(C56;Cr>)i;OZ0aM ze=Q1B5-~wyO^3>3mN=C=4k74omY6U-1f=X5&=9ZSYtsCfMU!64J%ia8j8n_E2nuW1 zmCi_IYr1oEe}d3fu@B*F0#rNyQu9IjW=)}Mf}WR$eA}rjbX+wW2ZUY|eJDI}XQcAP z-8uTiWW6Jx(Ct0bIwFsP>~EMLb-CQd0>42z*sg@lS4%_^*H??vvkQjKff@$$fB1_q zz-IOH-tPWE@Zm0oSrQtYo3=^i^n^f$Ll;}-i^e~h_K&iW4Mxc=z+BN-P!a4BP$;t+ zrX5gPo}Fo|fXJ+d>C6uaQae^lIDqLEPz>kMY?lUFXSwaDI-P@2v#-<`EB)y=GS6Ew z%*I^=+62Tca5cP1C{nm&Ot=;_4rjC$P-gTfPot~N(sQ~I0@!@Ky?JrY&<6Xz>?m`|i?Veck->ob?Z* zotqcGy+CUs|L5T5#o)IWgLfjIlKhFy1+qEDXj{*vh0!wn{{HqBvq+*ix|i=_b9C7B z63`-Ba-SP>>``zg8>2ZRG`lPe!e_-2qoFV=r(`xdI-^20YEYpQUv!DPH-;cm z*gg2$22(+px2Gc4Se=Cf0+E^xRAg9ZiXIKVsW^J)+UO^Q_ZG>sXYY5f3@h zQF>Sp17(66tZOxSRUo8BpUibTTN9ATh9_!a^7p)vgJ|f;D ze%PK-UDdECYV%&x7cZh5sERHW5@mwB52XjJ zr85*aQF?&Yg%)-wW~SKPU@a2TDMs|=vZA zI6&V;qry1nXKs`XzXOOq(hM*o)W~Xc5?QAj_kKvFv zfsW^;qJ-zsXdIv4T<&>zxuFlSvzhg26?AteV(^5MBm=A2Occ-d;twI$!@A zd>pO`P_h+#?`nMkz8bc!I$GJm`aIo8p}+YsD|#GBTRF=TaxJYJC+SHRk~m4~C8B0W zC*Y_J-hA)uhM<#F%651@Z6Hc^xO(Q-%KHS(FcPDJreCbc8xNtw#OzHEAW#;h0R$W= zK-zEVU&&Deyyp+&q0Wwx;RZKqGlIbmUH{<#d2Yt6mQvf>F{u-(D6rDpmf12xx!cup zbc|RVF~eXWmY$z0XynHzyEPP{>I_iPQ&W(2FH({``eT04m;XSgt?#_ufMq zT+bnw4gswT?&8P7j^*)cbbOd{d6>@hED{>4(5FaGVZ z2}Y-as92+Qk6W+#MH5EuL}~Ll7>>Q!=$(PWNH53TZs>#$`i_1GFLaHd;_!!=di*!i z`?jz}n#rpy6Nokot~uW;X3K(;rai%TpY_Z6UUi1Umz=$%M&QBx=vb}55oqgZ4V;qA zHHzqwMlfjh_VxlbYN}Wt^N4~1B9!jtEr1|H0R#buKnBnDevr-6{U96d2dOoGo%3ry z(rN||8I8zoa!I^NjCYEt_mG|U2w1-DiQ6R;DiWaYUP8dBI@3%$oq0TsZSn*C*Cq%t z#AUapj|_JPRVujJhf7JaF-A*Ej6P9@3^$vz!5?2fB5B~`ZB%YZAQ5*`I8X53L|t#a z-cL)JI=Udo_0+mYODiaxzbQqP*~nu0RHq0XC%+O@Ftj|gXQcMmjP_83G|L#9@TC>{ z2;^;=I;;y*4G~#K{cy)y>)NI`-dtBrZfT}kM7s7!toj#V#WlhNXqRiu6{4gQZ1lr9r|_{os0)7E1+0jEJa@7 zZ&)vHH~1Tc8>;tEMw=7L8Wo6fXjO^}c>Bb%#iqlVYxJu5DZC}TE~iABNX)L@Iri=> za6UPo!ExLlz~Y4EX2?WEp)Ga9Dv7PmP;|$~0m=i8w)uZXSUWM_sP^pB8G|u=Y|4RL zfOf{=5oH7xA@kJ|$UUq_APdZbJ!%hw-{?=YL;r0_VqyPb;Lm{c=mG?0HZ4OfXUqY2528B? zGyh?1Ad@+&$L^=55P@d_G9;Ly%~DfF!FOZamI)N*!$-22kRP}w*j`b&M^yHJe%2Y& z6Od`~cGE%=F(ootP6QJfa=P|J6ILK%gQbF7&8JT{8&+@OlTri(kGPRemO%q0p~TBQI!7{r z)S6{;uB;IeQ+bgg``2*iWI@U~m7m!*TYpo0b;9MqTOj9h)wCQ9rz!|rEhJ8OqrJMy zDIHasfaQ6yoI}j|Ih@inO!uR$pTyem3lJH_L37HW@3D8tSxjw$Jh!s4;a*IBL#f7b zSMAs^fz4@3>60#N7|cB8IJMm|xjzNyZadgz69&>ejt-6;LKOpwvb%SKyU!o*KHmn8 zz8H?Ku1114AAzj&ZoE_ZDyoObNL)cp zvr|Skfmj%e71RZEkw^T8G0KO3+LP`4NiO47w#mW7eZLUiZoN~8*i!X(J1 z!SR-9op@=)1sN>V))ihf6*zedh|45r$XG4vD%rt3IhFmB^l)-@ErVVA&gOieGb}5w zz&`)R^#ZbwtuWLQl!v~1y#J`y44qZPLqY3c3KKuZ^`hN+Fq zig6uX&q772=*k3O$XqT*=vN){rOP2*7j^uLm(5V(I^Zr6G+2mgrXe$M))ci>T!yAW z?q;FIT@=Y;P0dYd?Lz{|A=h8-w|`U-rQB_tChApbnq*L^lqTTgonRs~s*36xObc3E zxmIkpMbz4mAwp~v$vg%8#&OmX`avW@KezKkp5svK3!sO_7cwEld4v#A{1RhtY0Ah=* z+e|f050*3|uC9cPaFV#RMOhlnB#k{v=G$&P^KI94p*#g-H)Ou)9$FeZ64I+MA;@AU zvS;DyZM%Nq_DQ#}d3eLwK|xZe5zV0KtO7?Ip>Nig=f%0~dWtVNO9>P3x$nRH!}Y;t z>Wh$>k+DWMUz=&75JrZqP>Nu@NW4|!mr7I_a}P2DdX1T(sAtit7CnLSL>m%0H@~N3 zNZi!2Qel$5pZ0-P2pA+}8AMJ`6@2`~nEMGCj>xgF1RJJUk(9Ua3J`m+RaDeyGtj`} zI@k5F;x9oI!zx9`Joyo7Bfv18UtM|uMEH?|#Y`8`eNzs>!iR(KrmyehTzJ+?Ae-y$ z;PPkiZv5+;H}GBbomEbd_+7u}U8YR8rZUKs38qL^0+cv;mcpVo1%SG5A(~A};;c5~ zA#(*bc%cgvu5V62(D*kMq;MFPr>P2C4(TG}H^O|-jjo8?CN0QZzcwmm>`IHEHRcMB z5&)va2k(+yO7^7>s)yLo^X&D7t@aQph^LnqXUCh*Xql~U62hKHaAXUsMCjxRR>>LL zS*6SnR>{L8^+E^m#-pf$UK3IWj+Sf)Gf5!q35>QrqSL>B%oUE>3{ah_XoZ`{y#(ri zp-Eiv6Z!rGra_!PR>5#SH`A0mxvY~jcSShqnr0Ww&ux<`7TDJ5d_l-LwV;CaAl{rV zO(%B>&j!4)`I15+fK+Umr7ubN7@Uj!%~G4A>aUMQllA)s-63&7DiB1f7hb*r*=ZyN zkVXjubw?)+U&2={4ivtM7*gmP=Y7zFUff2gNjUdRFU~IJy23mi;~~DBZ!8;sf~e%H z)!WRwj7L~5L5DjJFsvW%zr6zzDk{j{{r%ae+fVPl{wY>Knie+dNek2KPO+r9{98(| z5|Z#(547OW=-R-2R87n#$QMbI#xxLHrlP(~Jp@?mx>dSJv)b4R+Nz z6%Ih&kb2bQ3>JJ zz@;l~s0}q+GQ-_)V~iufO$3Qj9j%Cx`aG2(skb8rTA=8FJH7_5fk~qkEJ-4HpeN~F zo9u8{=6r^3a_Tw^vCGBV%M=cu`T-mS^ymYp1b4YcyB1Nw%d5etR_T|PdB|tH9SmiS zK(8NcCqR}r=gUN2&v8t!0w!ka9I!pE}(P(5yUjbK}fF;?|F*7An=EUOA za?nKkQ2Dj#3(}W zWu8NCSzn8B&ZLae)ftDC8*IMbqm1aokWF27K74w-x&Qsehr9cS$3JfF@%ecG+0KyR zRDq8Gjnaf1S-xq*f@btw@#^7y)fKoh0&RwYK*uCdi-5EvUx9pKMh@VaFU%+a1B7!( zK1`!;eP#MqlSU?W6sG&jeZ;}hIVuYW6TW9JWw>Zh0)8u$&qV{~iT>qox~?0G5r9#w z9+Q0%B^5~ofs19k=%W=bR-dO_%)+270oj~F5NrvA-rszFkGzfVk0`l-_fK92^5XK1 z^f6~ajObyw$?E!2$Q>C;^OF(UAw`(rPz4&ly>F^O)B&P8Ml)Acc?37U!-p@&MMjLvr>bJOc0jdupS zfdP0zz3LAnFYt3mxB^cIu9Ynz7=|I}U#94>1)>vob(HYP&X}$TS!dkbm1Z|8M7(tv z+w8+v5-6SX(}4<+ccv(P(`C1MEgQ0WtzMUqygB^7fVip$R@j}ZZ^X@!%D8YsQ_5l* z-aPcmgD1%R<7#r!aqg0_JD`gtW=OlGXBj$gLml7h#gQr79X&FIWi7!x<_m~?pa@v6 z;e-t~Z}N)i41HjAOAjjn2X0bu^rH)w#|%2x^+r%#==~Ds4t_?K%;i)9F=gDiQEr_) zWx>slZAPR)OCjpQdRevCX~+QJ6Q;9eqfJTLb%oS?`iQJRpXXE2Rdu~Ojjx$q)#s=< zQoM|ivj1dtBJR!LF>DT&;+PD6L97gI*UPiR!>mJ+L_oY~ixCh!l**}=PO(hrn4b~( zYm=E!sDE$0d<6$R-hvN1Kx32A25%6mbzd6+rAl90IK$;Y7iqJ6$wlp? zYcT{j8&~WoId+mG2@5QWXud+AT8Jxrb`E4e6Gq*y(m|4WeK8kbJH%dO>dmyHb{JO& zqW6>aPnaY6CXyZ1UlS8#bzv^f&gfUhE_Pg)XnA>#jW`^L8&kLTszt{(!C|Dt?R67l za4RYX##dwtE;26RBT2+XMn+s&UC_;poh?bgbbj%_kl3ps9yKr1338-(&SD%heo3bn zqw)=7MX6F*c=9Abtr2lKvH0U`gE(jBQefM5XtoAsexmhRhzonTAY{9EFUiuU{&@+2&~ooILJsZf_&BWRmN}Ir-8NfSl{~@g z!sh$Gg8aizb%!dyP?@ARKHj{0yuJT)_g=8e#B62AdVPBjD8t~yLS#p>eGru^8aQ|~ z*Z)QXhxQ5`9KzlV$I_n;jb#D)=YHtyVzDRb7|2PXw%QO?HHS73E^wBLN3Rc&Jjin6E)=1|S~NV5Y+v6N>}e z6g~igUZ0^ZVjvEKpiW<+o6fZ*W+N{=<4UUyywaUZbq;1V9-b1xWMBLjXr#DaA&_#0 zQb!t@K(z{6oXFDdb|9$1w_9XNfObly#7F$W%W?ja=zr#?N9r7&y=(@_g-7cUWC8Cy zqT$+$oA>Wgeuv-#mY)}ZHsY6@2Q@)!ldK825t9t4Apa$KFqW1Wv_dmfY=sx^U);X< z@Z$Fue|vHF;{Q0(f{Y5%0^BVx2DdK;A6^W8e=+#mi^1KC!T;gwpghUS@Ws&ABrT1S z*JKyZXI7Hv36CDF*eAcs$O8h-c%h?wQq6*Ou~p zG&AM@aP#D8H6?p{T2nqJ;bX$>ts7HM&Dunzr|<%(1%w_vplKj1L0&n8f5Fw< z=;cym*Yyd4fM~J?rk=o;UMvJe`UTRoRNOw^|GBw&Cq=nCj?#U9OAjS_2G?hB1MR`I zw)*`1HItS*7oBr;hRC_hP1DpNhZ`@7Ge#*k48h(lkp_dY*fe`Dd7>C z4A>YN2nl|PECDeg?ywOOyph#IftrE9pPe>96RttndsV892F{#)34@mD( zalP`A3qkYe2{ufOVC0AUJQB4`lS?tb+v}3rJSDa8pK{ zURFMw8rQn3R%w93A^s}ZhY+ooDd2CQA(Wy#AJsbt1(>zAc%jBpcaa=y2iBZt?wa9M z3e=hYWq$^*f;$LmleoaC#*u*FjAhw^FAX+7;2y$WVnvIg%63*J>5kgsDSOe?OVi4H z7m1$@M5Z@X1iD2S{9Zak-bH9d1au==L=4;pYKa*{!=)&8McQicg;7c*{7rso6M|ZA zA#$QMUFzk!eS0>eNg0!~3@8J=AjbedVWuF*D=S(uAD`K~td}SO3Y%70c8=G6N0!j~ zF6aiyO#L0#CiBv5_vQACDsgwtGW>7dv%JT%Oe4x&3J2@gM~Hh6Y0R~ECUiNW6vCcP zkyu7m8FWrx`@WEow;9KJ!+}VU6Z*XNk>v@^yf$6%~b;kr6aw@(XPLLeFk}gBvC*WLO$One$M<$ z$`Lk)=m1yiNssH{XS&QnRvGb|{T5`SfB$N+Ph2{TA6M2&YBJa(|8pN`A%_x2CYn|_ z8Xh^ga=#Yt4i(MA-N9)3EG$`28r*~tU$+q~RE2rqrERN=&)44^l9oyu9*d~w& zhU-^e_LUJ4kqyeikg%AZ$diYn3p*f@eT~LLHjO>G`A&0NU_@`85B_zq^V@T_k0cW4 z|B!!l{0*cdL8TiOXd>PH$K$rGHS7Z^xICc@u;3%Npa! z%mgE1=B4*k(Lklb$%z>m4`Vr`yRDFsv(n4)d~h@Pr+@kl|GmS1@A2O){`-Lce#d`* zb9Wqw&na@(-7R2M!1)(WWJ=svR5@_qw+k10*6FTqmJm&M0t>daRQiWCRWUk zFW=hUP%OoULYA;P(m=CE8Lk%rIng;&f^T2~8Sx)l8I=k0P`0aW036NCop$7DNST?3 z%f79V#qOFk$|QPBb!=3kJ4ct&ShTBNzdTbqZc}1cf$lJFB=feKHW4Ie>d*^06(I@el*ln!pdkR1u$tsRf=bl`!6F!jiDW%}ovU4MS^zUXF~J#6?=<-p^_T&> zo1Ao#XB;ss!muNqW>8MUfewD$Hg*oSP>nA4;psee7bmY8$L)}T&Br8&Yq+klLx93% z{?+{GVu_gh+qFml^#0W&lB8gDXXwfaPlYzkUn_lmaP$d+tETv;nbbohF#%yW#z<9( z+6{h6fd1mq$m8@i@LXht(t{xVnnFUeE(1Nc!~v92Wb7)W;KqLN!KHb;lEv!Gl(!4) zFF>HXFS0VCs|@X2St4pW@Nla@?Bzg3KkQ85Lm)jOi3I9uWEQwBhs4-5(kxy>jf;B9 zm+4wGqC*B7*rqzT@{5JDCH;cP6A$;H?M)$5!bp@WeK|!9k#q~g%Y387RVQ9`O!0u9 zfZRyCf`!ejAbP3{xkjTGtsJJ%jhjAEnsVV(MFxk4+zjOFcEU7VA$j-gVm+98W4zHY zbpQdHWWw%ve?DB^0a*_U%FzO(3_CtQFqLs3C}*T|n*0uLC@zLnvo6P+y;Q#~_jSet zh$gYnUm`_%16ivFOwA>zh4W?${vt=4?F}h(%GO+cnX-$tp8hH@o$~Zuwx<}$U08i! z2u?#Zni`Ji#9kAz5Ed@7Q*!$@9}62Ih_tA)IfqB@Q64I9zTuWU=Kg&$3@g9SP@^$xHFbkLl5&m$<(1v*$H15OJ!8)MGwH7PYf|P$5ZpkgFlUt*0b}= zqZ6X0zdSm8iO0?wRebsSc=ze<|K9HW^zak=R7B1Y9+pvv+%E5*qz^?mKTrz}+_Y?( zdd#J%$6T7J8zE?o4#+S~_#OQ+27w`selkIii*`1f-2P=WJ4n|z(a>-bjzholt-74f zRQ99JH_6))WfdH|j=I;GlD#;h)Z`&q+J)wO|KsBJ_izrU!xi%`^d&E_cc2>BLxHe} zX+snz5?P7@gmfgTD*9(o9(_}?(TWCHpU3y-qbyI>sB$}ma05w013BCQMB+~$e;VL# zi`ost0ip&TgZ#+1XhJ^>W~~1ga@OHvkpI2V;!pW3kqY%E5gNpq7$qt#V7aF2N$vY! zePV3QKJl>-3sD5{zES5XJ$I1prsCNm(@XmgE6YbBA%SR}_=8}KE}&*Czzed&iN9N) zG0czZ<4`fqGw#Fy11~r@F}ZhLxJUx!!q2nx!fgRjA9-8bSp zUK>wOw?3b2FhkgYsNgTcx2wVpzQ2$o5oJx>PAG504B+I{HjLowNGyTXlzR>#q;{J* ztq2+%q4t^X*JikX)t?E=VJO_d64#$}LB#|L^5yb}c)qkqEAE{(x=MseIixGz*}l2d zf12|LrXphkrhCze75RweBp?N3bw)p1>Xyp8JBg_otyK@p^ry5HGxSM$Zky2rm{q$$ za)ZDoo)d8DD?FZqxs0CvwL?2!W2Dh527~g86VqBW4@MS$4mJWGTXI-607n{Bj z-_QEE?5Yvba8GKI*`%7OW9qg7%D0@7Jy^VZV(~&dglkr`#09lPBSc4m(j28-^*9EX z5516jt>f_tL&}&EgC=s#V8q}wXp#W8TwG6Z&_rKOa#1(XT~VkK=kGv;m)c9qwaPB$ z50-sFeZNvqe!%q(^(t`as9o4euk%trF%i0>`gG9Aju2eAJUf9eO)IK*e$Fon&-i(O z;1`8N259CWE+Y&7Gr2?R_0(gv2PF(!2Mu2D>}>{}i5U_e8Dp-L*C*I|8o#mh67~DB zNs&@ZivoA<41N@DUE&-L0*e|4>VoX``KXbcpQG^A3JuH$Y?p*MNw-=e!Y~~HZt}22 zcU-=fh}6IwIvfPtBdn_WB@klzRVW$)A?Wbly;kbME6gq%-^%UsnS4+jFD6EL08tw% z03hND5JG(;ex$qO^6J!EOpZf_x@ zQ737PfxuBr7|Q~IqhVsKq4Wf`jpyql(2xkt=KSYo_d=rAOd6rT`{FX}1y<1fN`m3l z4eJyWbmeu2TaU`amxpL?!*ip?3)5qO0fFqZfqG$}C(&8b6-9XGq>M=lmRQFG$`fJ| z)cE;6tnwoO8!WC4M44sEFi>LP-i>YDr3Pf}IV0$5fTBjZ@1~wlP$G|B0>k$Al-^)61RbeaHuPd9Vt}3NWe<=Wk>nwmkdV=E z$ZgG-wV$ZTyje1$&vbwL;r9Oa^E>JIsyeua%qZY#yYX8*ho^Q~zs9Q)gWw-@V4R-6 zhP10*jy$ROjy!K&r%j#qDK)4U-~>9$5Z`T6UFtw2vCP6z8~S zhLW8dh>J$RdB&-Im|ZJmK{DOFEagH(mW^!Dc4?T>p!<^Ui1xb_U$9!@i$=Nu^I3R| z)wF7`Z1IlfUCuJ8q5v36YP6G(ukLn-mWV5#qH9CmktWhiHSkmEZ_9v?Q*QTLLbf8x zGayCfegHL3+EF#^1MS2mNw%5|(+dq0hAZr#ejj-^iCLEE@1O;zEQW+*`3pL|*RxMx z;XKv(bp+F0P3X=>S7T@`)$%;t z*4opko2VJP3>d>6B6_*KT^D`VHxHb^oiA7Kr6qM-BlJ0(45L2AxG5kj20X#oCN7=jn9OQ`z z`vO6J7v6K+hhJmDctZCKFWB!v?%*eW!-A{%E!wmTG)95yTE`EGBeic z+Ia-d+u7uG^b++>|{b8TUN~ z|52X!tTIA_)@CUov?ITvVBhgfJ$yT>x&^h-m0n~zZmozk|pQ#&`5GA{wh_noZoI1|+F30+04;s2TKrg@zoyYi7a1mM9ek zGR(0s%pgny25+W0VWRvmNC$fjDYp;GO!l-|iQjcyS0?eL%o;F9zT}&Qr&UXU4=@{1 z3RG?(mDj~$>J!A8Y;d*8H)Tal?Q3QoP%vp&GV^rptSF?J1uRktO(B9BLQ|vJXn$YW z#!Kz;^b$a$Mmsz)%965fY?aYtPw4P188nrQ}m0Wh&w*$SvnzOtGOXCPm z^Zc^k&AjF|D7S&bn@(T|zfgKwYjzI+}OX>(rz9DfTWm79-f!g%|Br(y0Kj|Y+< z_+Oxv-YBMEj!Ll4R1( zPqrpxGZAI<19QflliR%RYymn@R&fdg+OmwfN^~otdJ55T{j;6R9*G2cCP7I+L|m~4 zt~6D7|K;0nz%+s8FS3FP3rv8p?#1=W+Ltr*bjq@({wxS5q_EnN>kc>_)xKv$Nozc$ z>&b)ApKBb<{jKQ~`Cc?*n=YcNS5YLWs`Sm&AfuaID(!&5G8`HL#jzoXQT8Sx}7t$m}*-Llz<}GC6}dN+pyeY!>X+fw zMIb3Ur1+q$hCD#9B^*nqxpG3~eD+S&gG%Z&g8@JV$sXhbx)!3S!fAtC+RYKuZ%&{T zqvtd;RQG2}waie3IyK_I5?85V|AE{A4MitlP>EgdC}!v{@+o5ARZFbkm0o_l-~4v> z_^|WwkDHI*ZeM)5dH6f4i1nY(2mkF~2LBIED_l%j=+KhzG9@skB%-w?BS)laH3zgK zA!v+>Wnj8V3hS_8;;}d%=FK?xw}yje90ALBT^qys$_UoHlOCe(i;O*mn_ug6Z8&yq4^dOgfdpM4XA3~3mSI@p&YYMbk66K&jL+|(H z89=9P&?REzRyT_^9)mf&I9O^_Cm=B#faK^UyuUNQ{Ql|o_nXOhfmTr1&ux>McE(vD zhM_3|fw?-LR?VBJwj^Xc7aK>ZFC~z0@RhfoP?jVSUS1q3qZG;I-wmaJC`gaSvU&9- z?ts9Vd{5nE@;zQhx<_)@d7jfDJ>}fN;CMtTotfTRyRYu!c9c5GS=^(?uDo7|!D2Wp z9(Aek92aj?G!!+|C(ve@%m6-@xA=s33L{P)qBz1CL9xPdp$cUnieWlF!NoX=Md2sQ(}r+S977yzlVvcAOLts%D+T8u^$J?8S+s*y$yKnaocYoaO@XPp?K+jP@ zgxay;oW~j5&hKwOph-9&R{hB6nWg+8coh)A_{p-F8VF4FAldn+s_@7kn1MbpQQ`I}fkKx|9n3IW=OsunNI94a_uv63siG`5f2b8Awkk`T= zXB)Sl7!9h58ZaUhVe}69v8zwOi8eL0EIy-nR0Xy>;`gkK3xPx(rZJrd$FoO^LGYDY zjOg4};K@ANHxkT1&?A3tagMid>|`;-Q9;foajhYid6E}y*1_<8c)iYsi45@hGUmS1jhj`L>Jqfw!~S;G1qFt zx@358I;hcJZTV`0PBLE~QE>Lm+EvxitHoI=jb#(@oG3ZbquS{{yZQF@>+StURBv~H zH%WL4zER#tf;DDa#GG;TUd{NMNFwA$LgptA(l;g2NBD&z9E79TX(+r4PaR48=-`0A z6HRiIR?W{ZuP#>5$bgN7U;}B@0EzP4u1OI{~MolegNkuw@ShJ zi|m9Z*oI{W0^s*LI4|8fd_ORMP+mmr!X3xbW<64&5f+7?Cu(K#c9FDQT zB9$;JV|-BVQ8!7(m+Ihl#+GNtX{~e53|$TzbeG~B)y}}tggw0jv(nI5cjJ1n)OT#<|hUSL1`F zDwTNJuFt=BBQqz2q2&^im{S$FG*SeTN0K=f``l9KD+tDdhHYS2XQoZb4r8dYF zk)x2L$x!`rUuSw*7ot4YyF_p^`eq4xIo@s-XTSmMq%`Suf41(oEG#}f1b9TDF zIzhLzgyQ-q>4FO-Blm%7B>Z>bQ+^`C(u)3(ki|H@5Jh zHWPQE(mzfjq2v=Sp(GBdcjMWx0XJmlnothx6&w^E`$ws(u^7=k>;j1==G~K!;^o}b z&s5?l1ga?2EW=3)SPOY>!nWB?8&kaLQBlnWK z2Mhu$W1N?0IPPsJujh19e$AbY&Fm#ICy)qp4$mm?m{Z9l23XJzjexkFy&8SH6pcPx z6^aYz>2!T1c~W$epa^5n7-wZf$$^dd|2)3!VbH|U$9H;@CWB^U0Ci#1{DtZD)b zJB%x7aLams9f(;XOf13x57|D5+(b!n(b-*cnruEvBkJfoTaG`kJQ|9gv=(KCJ&3Di+-mWvaUUT-EkSfJST5co84Qd|2+(@dE*!qw z>njb5DPW#%#0WLFiTO+_R;s*sc!~qm!APR6(+NXaJR}Fe;A3q+t5H*Y>&}>NbEZ** zqjm9Sagl-pZkeUGLEWq9DN^DyqR&*rn*>n9N2>_c0H^ASof-0e_CryTD?3crC+I_z zl6~a9C~Vxy@M8rvn!j2w4-31lJgeQ2#Y=c?Sa6qJ3IXIf5NRs=i1MP^?Jid z4PKF|JRCF{{+AmYfGa@VrG{A>3W1Bn$nLby&ozD8%{7IW%k^h$$>A9&^6V8XmspgT zVHfa}$7HM{TD`81rI&Sm^AI4BJV7wcwRCxN@;&&*?{AwaYtG+|fiPs`kzsqEgJCG* z1RFUSdq*%bxoq!b5AV50e%(*&F_6#T83JiUJos|fPcIj^fTMHkh2EmxDWZ2q~ z2}tH*JFXNGy&GfgOyg@xSuu)z<%Qt#WEv4x7~a@IclH5)o!T((r&@8E^F?mJcFQUq zXo`?mh*GD-8GvbaQ!F2z7O4ofD5jSOg9c&pE#Mc0#fH)n`Sce^%;CO5vPDi3I5e~m z**_%XdFf_IuWrmGycgso8lPlx64}(ID|ZHRIobJQl)Wfy$?ge)as9g-DDGK)(NQ#^ zfU|-Sya7oN7l(tffkhWI(}nRiVsh&cKUbqQA&#JZqeA>WiXzZ z>Z0)@>RcvfN7Uj?Fo?@B=tj66SvXumrNh*dbB0e>nKVO~=jjn%R*p)-xi3)>YFnNn z9T>yHNk;{BPmN?Thl}BA?l8kIm5};2woaxO^GfqJpRR~6hQm;F3%$?CCA(OVN;(!v z57`MJf|IIz$;JFW*%#=ax`qai1J*$1a3MQcX6iZZTy|Dben*y#J7!ULIi^$_PS$6p zPYBhhWgzNV@)6&Lg@Zgcl-|D>{PN2$Qc^c-2eU@;T80e}N+AV4+2l(GhKZMZYOa#jXZ*>IUTq>IyL9h z=*`^SP(EpV>{nLyA$Y3%TVoH8!guA9m*@7$TN7MQy=(jR)b9YtrOjGXFA|>6L2th?37Y*0HBjV$nj+=8R}p3uk11N zk>n9O&##&l@$AioEc%i7iLryZyZGJLZ=1XO|J=O0zk9rUhhiQius^~9zIpfM+h=sw zjFLt;AFNQEJ)x^0g2I44lM$?I^8xwIltoj^`)0|ZiafO)cG8nQ{yQ9nKvZx1cQ}ep zvK$8`ZTaD9Vll&BC(+|n*c+Vou{5+YT3`^d|DgGXn7gqJV3&D1RpSA-%-z^F6L&Y# zmI++Ok{h;VH;8;t&%7UU)C2_zj7jFpA;YK13j~!z(S5lTgU}pab&i4=h}&2q&hxa% z-C(R`lCpG3vd7D_V{J_W7Xj8T+3_wwsP8zI&5IFxcf3LAO7b4TY^U4OOTSC}!Igrc zOoUr^!@$sSDEun}E*a{>Ldpf5Yn+FH8F>1U0#6y()QZhjgLy{YUJd`v!WP|wMxBJ@-Vzwe&Azx|jiIAfN zv6=vEP4ZLufc^xftfd++j_0SZHWx=!k*k+|aXN#tE|<$Go?%7}&*~n92q~di&vvl_ zn(E;ptaR1rd4zSHkhLJ7f1kf-%#ZQT$H)7--@ZNGBB;27bVMIQ9*(I_@c3l4X2Zc4 zJ|DRu@F4~h*tr7G0$80V09r7^09xDxC`}&qfX-myAMY=atF;2AJc4bKeLMbT4~=Pd zC*$22u*Jud-SI8j8siiur^5TpxPp5?f1^gGfSTs70q#Nnp2zrD2JM_Oum{-RfC+j! zQ%Wc44x>lJOyrRFZy>N0vMK2niRv+oiF76n_KErFPMbMnIL#Iu;pi z>28sL)m>a>{nDA13ST<_B5HZaoM7GanPl`!M&-fz2LE4Gmx~+#ohd6G6{x10tnY3J ziOg^6jbhL@U~$NY3(po`0mdA4X{aO-9Nm-Y(O5hcQo;{f zLuZAxzlY#aY}h+-MEqbR_%==^PjBM{*dSnNPMay)ikt;{8NJ)A9tfo@l~F#YS`onj zfhHf`J(v-V6`$br^9(rpeE4lZtvbgD39Cb@9%dIIEvR&$Ni8ZeeQRkL<0K8^nsv;w zBLH+j$T3rPg0@ny2xktSrFniaU&BL{Wh*gF6P+Wmqo!;T+i~^g9C{!-T#Bw6Bm zZ^q10-7vh_=wQV+t5tX5JO>@BXS||K_01#!nVt-=c*5*cW%q{3NSuQ!oC~+>?gn)V zlibwI-8A@?;<9sVBZfvmjEwoUb{6q|yUmJS4Wk-O3dx=IaL21mq9WiTQeOjYfiBK~ zB*K0fe7JEUN*uyZV&!4=BZmTlAEDtb1a|=ZYtP$<1M)-hKNc(|Gd)6(%)D{{qLC-D zNbntN!f!Iu&YPv~ug_&|4bJ7-T}WK-a7_<%Enf=-I`u3s47k*W5bE_%L^vDZZ47jy8+VZ=JC(30FS)+o#3OJzu$d+|AHUx z-hY4LBm4)lg?+EBVu&7xYSe@SOsSBaZ6kQRSr)+!isWmlX%H)|X;pXG}M!zP^yCrQ{c=SOJH8&nmGOP zaw{M_?!J=pcoUaEwvc9QFypU)G3?fWDP2z2#VkE{#BT}xf`Yt3B9htksMb#tW};2s zz&}c|v_zaWtGwDCj5xjStJ(dQJc`I0!V#cC7QD91JEqk-6A(kqSbNyqet-Azn^Yko z1VVj`*=n1c&!4|M-aOv!gkimoiYM_-gF>%SS9)={76(k~0lR#I!o)dr&u}`cqZQ#* zpU2lyIjWk`?zWcZ)&Q07p9G_s=jq$2rgCM1ET6cD!2h>a5fKkgiiir+R9k&%Ob`&* zua__97g;Rcyjy!@#6&Hk$_W=09_BUrT!Dn5)nWBW`n1^$dCtHSXx$RxC|m4s_&L(E z(D@u)WO4_TY(dcPJzK0;6n}=Kg)8rbL>2Xwv#v^8( zTihaqof{21YfR7~ZC(F0%^YD~xJ;x5ut2eCV?Kl2zW<66?iNDzCr)59*w6h$pa*7FiXANr*dmFpKcF3R$O9vt`ug*f= zrNTvJV2JJ{Z14(o-CHveSu#NJzjcnPCqfNW`(QS3XJ!473ya2YWk~_qiXmpIH(l-I zKx50xHJBV<3wY}fS0DG@jO97lcn5S>pM3)8si8{*tII)pMkH--21 zs;2OspY|+7*zC<*FQT!xJlaPp`2o7YBZ>Muh+}Dr06OJ>Pn0+ z>~Wy>;aD2rn%*w>cLaMwu){G|++H%~rWy z$n}!ecB0w~bCjGlslI}wP|ip;-JzG`^OKkR?D#9G={k|hD_I`}1rSu`npOp#7uo8l zFYr7XJ-4kCFq$+2y za0}6IES_V|v8^CJWq9b~dgWou8Tyc7{WN=U4}~Cr3MyqbLePv1!wf+ej1U^$GRL>g zI9F$;>>iah0Po15K~mWM>UjPZ5ol+hjC)FM)V?G~A@Mdjt|Z>RJN_!>qk2Dp;!Z-ToyYKs>@GcgV@0L7+9$hvO2J- z+N{d)-*!`Zb8sx0dh|B;`WM!-?e2p${SPX&CDVbxax-YRY3KSREU^^9Z4QApz&e8% zQcS_tT+2!+3LfR|ZDI1!e+-KXIjgj&1mx)g8CCXSE?If@j|;?;$PV33bA23ks* zFvB$g9V)JlI4oe*gacL?)gzNEB{P8Co+>ZvWKa59K~+V0qd6Fn>S-4m^*F{0ni5Sx zR0F#LjFw4I)nz>?=I=p*08+PKTL5xQh2y`%pmvcl!U%pO~ znZQN$n)5QgpFuash`wI%5R$my5;!K^rtC4j?zf6;F^tOH^i)V*3bWddP9Xt~d-7zx z;!uQIC(l%z=h^8-Bcij^po`^1XE=PcL(yXV_Wm8vh3}KK{YX)4{(C z??ylN-w@piWwCYWC>lF6RCp-U>+ zdzwi0KqK5vk8(|{ymk3@k!g~Z@rp?4xsxoa{!XxE=-#C>K+cLAI1&NiL}$bb6?}eP z*47J8qS~i14%s#&Iz%yQ8u8-Q&=VP`J}_!yZ7`47H4;_(0C9nRvV!Fn+E~s3@3If1 z=?%;oyAQ4DQ>iKggP$KG*tYK~7!YBrM)1gO<4m(`MnMPT!zMa4hycHrXth)a5&Qv` z9hFVQ^{NNVcpZ&OG6HlNMw4M7v!XVIC7DI9$FkB1+fns5v-&FZ=J1l@URZW!-~Z-i=mlx3S}hDKk@K? zzONIsG?MeDi_cU!C;~{#cW|SL$2r;nm0YSdU?Sw#t^iB2oJ-$eyhd#a;ahsL;#aI& z4=cTE)5qci6#qJsJ(C*G36+hDmAu}}UoS8dz3fDuzx6C+H$){v8%Tn-PhzXS>S%?5 z)aQ`^pNF`&9Z8%X9t;?%X1yz#Ce-;vL+Okuf5#e_+Qk%S@y3eU1x3YkyUKyWgaIg4 z_C;554PFOo6fm#DCIrtEnz2(Cl~aaXZ!s9~_X5$x5W$Z%rGh&51|Fdzvj>&SkTLL- zSnwc{1VFe*5)U`PIl2Wr-sj&R|E9lo)wbK(LO>i0zAChKcuui0Gz*|8tfms z6Q52?vMH+_@|CY70`|=k-a$q7fC}nsI3q7k<`)3YQn5%VKK@TbIF)(wefo6$9K@py zd`u2NZfZeg(2|Ghz(r~EBF>%!G^~(=YFX^T4Nw0=@MmnD<=RV0u69RxXbLuW6`x*Q zd!bW#SqU;@M&hv&kLw26ePBr1QFDHQk{eUpb=4$V?P4K1mCICuV6c=vl7k^y=~8e= zER@D8@#sPy!1A&_s`#vqSBTmz`Df4)itClbUC!V#@!VW{4lx50LnIv9f!4BV`1(&l zkT^{B>tQcy-;$jG*RAb&Xhf1l<(*3N&4J@%^l;~%~5h=(K>*Mc?wbk&*dO16B1#VR7!;-N2)PWeA zw7|85MF^2&JfdiNr`PZbAKpHm-`{;fP1&D^_g}t!z5Dz-k}Y?bY-R^LbyY6W9!yrh z-Ahcgw(Eg26RmBd7p~7l!yNq9TeuXFA8j&;75B)sLMJ2Y!MaP4wMDoYvwg)MYrAeq z*^wjg+mhv|M>n!7k1@%&GX^MxFBZP=o#pZT=!C=^9^qiF$?9e`sRF(_i@_mLFy_tF z1*BAHAc}9T=)?lxA1tWe2bvf(Y9zGBver#^&`%?&F8jVvGx})bx313VHbSZe+PDL2 zj(|uP+q|gZ6|b4Ok_c{ssI_p*YGjqXb`@ z6YFJLxf~a(7?i~dCQ^WD2_KA#TpDkg8SdmZhLnNlRLHMq!}29V+EiUwg&VkfJiFW|PI+&jv9UB1lNNgUQqCpGh8y?v7l*KD;@=etvVHDe@={Zq04O6&3 ze|jeQ(~OS^yN~bCJbRE1D<)L)wnFeas8B5~`<8VEWz9ONb3niBWZMA})9=!mPnSk` zYaGvNKnd(ON$-SkVh%C-B!ooJt7nTt1PoTEon)-Bb$ci4Pm{Ftx<6KTRNk(_Y7?%6 zbC;=QDM#*3^PlRwr~Dw(&5>F$2+{`aH4ZlRZgSp$dm`B*=1};Qo#8YN6sINd%2$Dlw1dXB`sHldW zDcmWw=8I$}=z-+j$AjAe9jkA>}V(z38j9b_1X`WSi`8DK4(uZ{Cq(5=RJGW-?vnu+)#xt)>K{2i=GC zS7-q`PK4samgVg@NpHkS2>Ap zB5w^@s4CWQ*YjjR5A*$IfTr%zD?IJ1-IzXIyD>$8Eu18C|7VR4QtyA-Q4f??eoxK= zBDXJ*{_7%TirRu_Xe+K|t8lvPT%nx#pP&CXDPw*9@1y@vc9H{Mx_+W0N@B>z<(Dpj zKEyr*h|VX4+nAj2*?d5^D=wUSy3_$ip}3xJEY}R0qfwjq2SO#U1TVNZVDbrP_M>C_ z6S;FS(BaVecwfThI7_&6D8LBxB8!rrp192WoJQ(`W#tZCu-J;G6_@a zALP9!&u-qo+uS_>lKb;JSW=;gim>f#`j?OCkA6#gKg`gRL5^CgxEnGWH$nDEHQ`>zB-{SMzwy3Ewj}7i#>lVlx39BeP9*npKjWI0~aqWmUE!YRjs$2VLS&n8P-j6{Y5lsBuqYs$K^W&~ZNusPx;cSb5(+?}Hv z%*-q#^~2t$*A*CLOX*#JLDYm@*@6bYs?{_=q9^R7Gpdx&UleX7;XU#4x@K4#(l5~< zO&BCw*au=W=RXSxfr|!yD0+&*<5n{^Oh?i&yT2I$u5?*-4_{YZC4s+&eMdktJ>+4+ zNeZ3PGedv0q9`Dm6XkF-^ayGQMS1qaaQ1Ze!w?N=)Qr;#>Ei5>!qmuXGfY5khAJ4X z<^*L0(r{y0bk%sHM{Xi(p)b7{tPozxm;D~HESd!0h4C3DlG9>Wd#Q0cVq>`v_g}u= zeg-3a_vOpq?`~ggHXlAeAD|v7zdYde7lR$9&F}p4^PT6C3|=y^svENL0*b z*WkQ3^ePyTpdDH}!J!P@fQ{V~eZD*FoUC^ePa_FBwvR=XIQRaXylyNGpJyDg!{O$7pxMyi-dD6 zmchoK;hfPK8afbT=Ul(TtS!pvA#b&p1mJJrOA zuq6d>rYV4u5GqxmiEm9iic;&(PBVBP{-1!PCN+|Nt>eKsSghh0!9# zCKZg+IHDXYVZmW(R%cj(MDyvLqqoq_0{9Jrt=2>6==A8)FjZ>V z)V{A{wi_V|(mhCGpFRNo$&S%enpUldzoXN!P0WNgP%93OeDx>=PaG1i{ z+rN1iR3!8jz`iAiXTJRF)e*6kah?v4iblYw2Hq(_GuZiggnBT4O*!21hms)IUW@L7 z-LT29(CPaC8>2&CoQI3moYek`rCy1vP3*$HaLXs)*@)dB6 zVcD-=!6lu9zg39KZ{d&C=D=7EOT|?EKzj`MdryGrHVNyix+s|xOBj3w)2rp`#xZ%n z!stYd(z!=vL}}mFBX0}PQf#{-GED`#NF38da=1XMf9TbLKa}jNyI_W^1%j(nOPbgL7uL8kb zTLo{K;5YBNkNiePA>u+Y1~NqA5f}vJZ4t*#jj?jbh}Z-%)>QpJO3Oo}?yB(}P) z(a3X8P5201(iN&4Z`YJ>+%Uyg}#xncU}Ee zHjd<*28~0?yos*vxO~mhE8uhK%S2|sZ*Z-x{k}N|8kPW6Y*Ijb@V6|7 z9LB_%B@ga>c6@co&X#G_xvDseVH-WZiJXo zuso7%Cdw!h8x`t>{AjH&Uv^7RPwJg5zrfYZvzBdNmrLvwWU$NjYaTlL0pmF3sIKDt zADcbl;qM^hn)E86J!n4 z8L%`b!qS`wh}>HH?Cyx)wDL_|)l!#IM^g>=-U-iqQG%Nx#mI<#w;>WPB^9GVi%@u1 zaGauLsXP(-*YL!KNS2l?B&g;f7G$d1T$+;VKpRf8i2G=OoJKY4u=5Fcm9!*LUfH&T zU6xnFf06y5u}rp-RW?E89wq@fl(<^4%0nkiQ?hC^WEu4!t0$2*fJY)a*Nr1|Uc8T~ zG2q$huHZFiBEHx`rw)xB1)HGbglWn3$URl@{%Ej`3w z_Aqt(o{;1k`_*AH3^E4JhTb8k%K8L4YB*An6^KvpnY^f6{exZqUuWF7=OGF)SM&YN zg2I01{lnvnhx>OgP^IyF@agu`yRU!l@Zxj$;&GjSafq6wfMhXxzQGfSgIIP@@Ev3D zJLq+>9t0&q1h_D@PzmJX4ZIbq7)m3`&3U(9(s;~<2+>xhiwoaMBt&J@h|7+&VHAcO zD$pLHbr8F=J+N{s^pH$bb1BVwv3G}#MJQ=%mtfg3Z9AQshXzTTEt>-l4 z$qQ|$cVhtucr8JEfN6`|CbYEqYrmZ2XtTFXOmap$d~${;?KHkoH-2=MuCR9w;4W(g zXnmEt^CC5|Ov84ea;|{wyaGj$?2=upC9c4wTuEG+GjW9#ziUd*K9z!_PrxexW{-+M zDazCaH?_v3M}3Y^ZmLsKi6;+7yW`-)O(2wl3pcq|dT`@V%r9+R<0=R+pq~@%PgpK? zt+L{1M$0urHkQMG9VtTuTr&;GysO><-K7ub=Xr4tjsr_%Y%Bey;eXXGb3FA zWm=N*UcFpn&- zaf9UT4CK_-`Jyd08oH&6%`_6&sa+q0MyY!Se61?OvRGn+bPxx;XhXLaB_t7lIR|_g zq5cjVOGVWgzUAtgcvb{zv%`^40`*GDN=XXkRe#pbm^>*+K_6qjdCd>NJwG~KAEBY9 zI;X|N*7i4P3dWwpBXv?R06Os0{x*AncZZ(9Q_VL7j$Sa?^UI3KiXD?ijVb+9*BHr<^*p&4!--J+ zI;c_Vx?-(d8nZD53KXDt%>EJZr{DVRnXLm zM8Hq1$*M=GHc{@77!H;y;*c|y5q(upF7oygLQ?+d;u;g*BHy4sResoq%G|2J@%koI zcwX;R{!%cG_My+h@c~BFY~Vmdz8myF$&-Vi4G!CprZO~8UK_Brs4C4o)47xv)2EAJ zr>KRc5(rLubcW!fR9uRLO*0c90?9g~)KWiSET{`>Qw~(tq%%d=f~-l&eU6TNI3akH z$O9{*y5}K{;P@3!IbgT|tY;LWxwqT{R_7y{D=vnb;{ss9y`BrSPc|2Ezw5#^s(IU^ zQFRA$jjA)QswZV?mI_1Pwxs5o2W;;p;jQp`L3f)s$+hA~k|CAruK#LMS+d?dmib`L zO(H5Mcw^Xo=L^|rg#_yJlnw+Dh(OFc?BDR>kDGgxhs)>Z2-|%_ek2+RZXTcS2tqR& z^xVBiUK1YS9tTR|_EWSGm?|8F=xd;-`MIPL!v)05CZ%IyWh?h_>t98mx9DXq6dZ^w zJ;tZUPpGqiqv#o}9%LWDUYi5PNDM0!u*a(x^TU(*&exlFe@BX^9%CQ|BJO&0a(=u* z|FugbJ^U9{8vEaiQI3$}V23^L4plb1GD&y4w2zVAxIA8?FdskL zPD4VB>6XW6ymF3~CRY8Fwh7H%K&M|OFzl+ZOepvm#HrZ2KyjgWA)aLIDUG~+;+A<1 zReG^FTx~M;{8>^7K%(5;rAJ!y9h5&><4L8yOh|@ht&COHwKhu^)+J9BtuJN>SBIV7 z_1=R&;mIsB2}|lSApqcdnuR+m3ytQ4ty$KA!^nmu?1wsgKB(*m_CPEPONt=)eSkTf zqa6>iZqx(E{LRGaZdBdV(h8-cqRJ9HTwYI^J=9@Z{)iErKy4DL48FULnI?5d(L~GA z1!AjSh$G+vHErxjBPcTZLwxDn=NmUclyg3fP15IdPhtm@TApSU495IvLh?eS_W%G6 zZ6E`dEeA@vFUDcax!vm-&N&b249j}E!V~UhQ6-O81BN_&G4u$NUNg1=ZPO`T;1aZ< zYjEaE#bwXm=(+-errB{47_cErRe+*_a^vf>Q;Fr0G{#rLy|H@G_O*NGd3K<)k)Gq6 z&*9QoLgpf6zy5?>#_CV_=fmY4`lXoNu{u3T5HqO@qb-2y7}on~1q~vA1BPo#V=k|y ztXArnVbxVGI^*aL$rdZO5^0-yfklQ2Ou0xxh-Eryk44^%k7H-}rCPVBF9X*CM#_2} z7T3cNcD=H+(HIxpO@H5rFIaUvkWDwkoEVdd#E1)~e4KnT z0#VY3&0c5%=QTNDASYVcsx3h6(`IfOZgek@bhAyXtu>6DZZAj|E)_f~(u=rv!pV5k zxLulgkKG!NTSmp4sh2h;>jT(4Fbe3t8NO`2cao*cl7c%G1C>!d?`cNcB_o&Npmjzn zN3A=D&k{3!vOIgEdxrbDc1l_nW9`FKTneV50w{mDd3Zzvy%axLtv`5fRiJC3Ap9i# zb%NqTG$*9^X=1)2_-A-|lBn|2Y`n4reKU0-GCqi-y*>c~l3 z9_}?bu?aaCh+auJ1Xm|3GSQ&>Kw$*$K!eZ7+(Zuz*Dy_${$S_k_#z9*1o&9hs0@7;#2vrKvp-cLc7v>*f z^RlazEz8GsqbMGR=f_t_RS_}aT}MuUjf@&FT&)bihLF0SPGSxlDE1Edd9F;5lMHGA zH@}QEJRkw7;qi@fr&o7N;tUfbu0SU2vv-c}xSEX@XJ~p!(k2Q)^yX<6TxE(pxaxKD zrU~hb(WS-SGB!S>Mx%FvORBO88Z+t{cxv@j=8`4_Y%x5%{$M$33+~1ueR8=5i^Jnf z=?Qx-ijByD!>1YJv$vL%IsOJ|Z3c|J=QKoE=wnE?SZ?w!t=LonuBfB21d2(w z2rrrzOO1<>4MNh~*e_jb$yX$F4Mw2jH(4P0Z;&T}b=zYOCe$%U%Q#Y2nTgzv!~+w~ z7^)bn$JEvC^XlJ-yIl3Zs%zJR@)K7Rr~LRO1ol>0fXO}@c!OXK(cpB8P-o^6I+fhO zkmM9uB^z8gqVV+z8hjPG(olvULFz(N3I2YXC zbNRnh#8dd8Lbp^=#0Ec*7F(OeF_@WCFz~%s)%T9m(2i#Z+xb@WVMXmdIC?x>UtHrA zYuOx-kgMr9akg+|*?=Jm?cyaI4cwOVsm2I6uH1T~)9al$asmgx589U0c{7qsf7$?y zCo_R7J8*7oukD>0TkLqSG-7Eb{Sqv1t77DY8)MJBB*VzDpPU<5Ph)N9X{@Xu#_W*2 zOGq5t!zLIB1C#!7&63%iLh%x#87Wjmr_3g{i`890^3Aom8OsETbAlwAUChYHx-JW= z+1;?o0>2CyA;RvQPTzPi3?_4nYr7%R;xBwFyfh+7OwZ2lN7$a6mxu8Q136YP1hR^o zT0~a;M{47l8r)h(aI5XCv6tg%+sfkohxmQYICNFF*f0Vmb4%=*xpEoy1GhpuCW;gn zrKyCRrLV|DD-Fj)W$|@e^d$3~3cZl_%CEbjP+F?PAa^zlMCX&}Hv3mspq&-d8+gTs zg*K*xW3R-eD(#vy?AWUW2I>SBYA>x>(t?Fm=&%&aL|Buc2ksjZ`2Yu}*_q(QYwiPs z+WKi36Y^FEk-F~?6N(W>$k z_*7GPq*bdyA|lOZFavp9tra}|y>cUrL%AhFzeYw`);*P`C6jjI*!)_-=qJl?euIe9 zg_RjV*F?YKxBbdkyj-#_1{I`lEht+!hYqo&eM?xYB=u&FNjmER)AS#ZeNoQ*MS-WR ziVhw51ebn3`|jq*u83O5x+%Qc`4EX7n~5#zrc%i^@RKWD`5JzbaT??etyq^4xO;_6 zX%Q=PQ=qJt@r`iRv1lu7Fu*~zH*O6hG~k@w2Zz!lrWrn5o8*jgQYkMq4vJN$8B5HmtPA=@(u!a!Z~`NnOrf{X5Gt~)t;{a3 zmG)<`hBbyNLl~i0him#TCc>3&aJvw(@=E%pP6W%`04XO9qMb9(X{C@-Axl6JUJvZh zK{1^ZSY_mWG3sj#bYafPLwz$fytt{?>t0UvzB0J79gouSD-B?(uTs7;- z_6@zQqer@SJhs;&ToZ81noFPL#;$FR$*oFF$~>9I=mMQ2IFyZQ4J3!wyU8|Mz&QrF za&5jk+1b(E(c96#WTG>1!3ACXuZREj{afZl(KVcU+m7SCqO6Sys=m~5p>cI|h6 zNm$|!XM4alRN^{<_?K675fG1jzA6>?>RyZCYu`LVqu-+F;Ylx!ntzS zdz(?yMZqWH`L0Z0hd{_}85$%DsD+8wquqO_F&+=e-stJoY(SxzBKZy%dc**7cfzm? zs+=6>G>g#O(a6FG#>#OB^yZpr&5VXI^JD%{dpU_i!VO|Oxz$SrZknvEEZ~rG`zGDf zKoLv=n&xqOw}}dPNb$P$i)jlp;XX{SF=THj56=gMUMxdpAbMt!< zQLYvUkqq>$NBoU@Vpt@Rb46Mb0iANp1q2LOQ3^xPUQ1S;LQbt2oRo)+Pm9z0CfeEG zr8_9aqv#Ijl)*PD#pt$`(#x*lMhmswvX;Td2C@F>2*k_!#>rHeN>evQX1CDCH-_0j za~D6UGUe$?I6;wu)JgZ)2)DQ@AmXFb05SY7n3TV@{dT%kJAp?$IYX zF3b&=ve+ywnPJ0r9JuL%Ik}XRRE0C-i)2bu75CGPD??sQ*@mEP1G zOW#$I&;t2+#&#U=EyfvGDAR{%{-9WMyBf3X#G=o{YE$l!d-P`t0=jzGp);Ib)gt}zH+hau#v&N89aGd&; z^SNV35fZ<`FORXLlGcQ)q$PWnb~#~DL@Ym3R#(S(qVqK}HetE$T3Air z+Lkz)h=j11{&W>THEtI=3QwAk2IVKU7@%DDs2A1>pW-Qq6Y$sFh#t91zr#uU1@lhU zTrqYQJF!UQA<4#o|9OSKq)eN!xCOPWmi&5N4zE%UAAeL>%{@W=Mu3-Y>xRsgo;N%H-PZ7K`xnIO#9Jd1UlB+5>ZUuwfSj1=uEOK1J zkbqr>=N~?NzRFRBpeH%l)f{^w)`{<|y|@GuY(XJZXS}yV#ze zEFsxu%#vgw_?;5fL{>jFD(gdhetNHwnwj#DnPFsE*j#@Sc%5vi*|l#!gg$Q5gnz+z zR!d?yQVrqo0j#-eVJBrp>LSs&2gIZV4idZUq9lr*yt)sE7hYZnenz0EXXNC2`lY(9 z)H6x#Y!O^tGNI89b?i8ce)MXYbfI%Wj;1}(7e~$m`<~*9H+>uj)IbC%>|R`0n_OJE z0?BPAWyDR&ekqunv0cjlp~WyI;&4&KvZlxbJ@Md)rlf;rdOrl3oix244jMn%IpdlF zuwGq0Wu-JZ^@C97H+s;ralUDm;~`KC0y<-WP=v=BRdBXEitM$ znWUYCui1=VIkfD3vl=0UXSgvBlw?$u_RPG?gi&7PmYK49b)_lr8J%RJ?kT5n7O9g} zF?mJQB9RZ&AzwV?A|2;Gjgea8lu<@09H^#9&8Q^{CN`{sUZ4+)>}|}$2QwUGV=xr| zQF~>BJojA`uJSf+0}hgyIAPGaa&zf0=r|YQ76(m3x2FsV@j9=A#S%1-iPs3vLYDER zg*MV0?SdCul=IA&iKDdra1hDbrHv^IO@^;pLX*w$hDb zi%Nu+l1e7C|+=w!rWo>osGHDCs!pK^y z;E9*X2*#16U!+49vQSbKkr5_T2(F`Qtb(MALn;?DbuzjZwBvygD}nSb`f7IMQJ`P$ zvGQW5z7^Y~<*h(*V*;tjZJ)Ap zx8%aOu%7EV9)+U|N6WSSl@AFk*O?f0*4iabycWJi3UBtq` zF=zG#oPsVos7#^KGHHM7P;A{n%z%FrEDN^WXd~dC`8M{2k6d!YEG*E+W@B#!7He1s z@Gst`^s;+USm+c!XJ62WHCv@~!$523ZJ}c(4FikZkLe5%J%4U>Z3%vb^6TL% z`n&AMvM>l4-ExGZYxvO;Yxa!YFk`0PxUc|#@_|TCcVPSUsdSE03g&5Y$|}E!;(~N> zF3GP8_eOu1pnwyw@*iZXyPhb3)rb5OPJEMZbU`Yu;#zr3MQv-fU+RN!l1ehWY9>85 zD~#hKVkkEIrD&d68X?T0_<)E!Q1dmPi)OF5Y0x>YhJv{yhM{0oD3FCrXHnLfB6Xvi zu_0XM5Z||8Kb(Vc7ll5tdVY_x6Ks_c6m~BwH}%RoywhdMdXl)jHU*s*mz@vAxL0O2 zh8J5luERU%x=xHTl55G%)^OJ7d?u?Bo}r(MRE&8CN5(b+6Gza)t9!6eX$Mzs3=)j! z20un^iO=1On0a34!ZomaT%C+sBS>uIt&G61l3O<7G;M?1R8|KCChBFQf+IrU$v+hM4E9G4&Be z(acCLPP{NO@pmi+OnF}YMk-T z3A`sCkq@&`ek5}=KNQ9B&puX_RU|Z-wh#zMOxK!#ZpDwzaTQ92=Gdw>F5M`UWLF}< z!~0S}G7#OxXgtCk*2t-#E*Olf;y(Lafg27b_vvuRzlsTD!k^_BtBR<^;Fgk-2kCfL zuqe)6X-C0LD&SDR!I1$x+Z(-`AUJ@vIaKoiDklzQ<{}uMnxr)X7TCD zvgQ!sZ(jJO8+2HfWH%^;JGkSaMb2Z8dLsx2=4c(alvwVe!jLHH`I#6!x3+5!k~8F< zUf_Wv740WOW%EtQWvHJr|T<1U*KJJ}^!i9^0GGi*~ z14IY`C*_xgGC9PLYE^ALCQERu0L~4R1KG9taf+{tNt1koxuz>CwzuB1I#Zd`Bk3pL zJ!#mV`Jut-EL-s9Pm@3DkyyHsp)x~F zm>dDS=^BPQj|)kIQE1Dz<70>yPa0L(b%D?^O|+R)Xz+wE-`L!Y?SGMcW3!c6J!u7= zNHa_(-uI4|7a=N9HL%Qsjcf}hI7rThPywlzDIiW?69xk>ZQ+b4%`L3~&ABY=U?a;r z(*#+kFINUEDQ9Mvkd4g$VQfm@eI~}?j?g4l=5=kZI2TWm<5tQYC>8HOaU6GMI*Xxt*JcD)_7Uy%9tFqEL0q60-kx1H@k>fwXiaOg^pEk zXn^BY*_}Vl2wNrbw{Z#Vq%q6FOr$zVj}HwN8SqeZ+rN&g!2e`gwV1-ybU2I==WNPMC zFMn`WUU;T&hoD<*u%L4|IdkbtGRK+hh}9l%v>@_en;$JFKIB{cLLwxpbm^i_{VWyc zD5Jxcez&zcw@i!{8gHZA={PEkx2p87*lfs+DwI>lIHi~H?u~B%7Zd_hnX`9NB#{2#9+$^;p#7!5kQB;VU-hNOVv(Dlh zT(#!O%{aBW*jVO92O7CfTE`yaNAwKN4w~L~x%i)v3pQ_}$X$06aO5s+@U|XFyR zk)5&*c64jpnBz%i(l+yFc8Fmi2ZPv}Mj5y}B1UpKNR5;6@R7RGW^^P%pRqJv!34$J zaXiE3kDM!wS4t8!C^H{lL#5l8}rAyWl$4ymB&X)Xnh@aqy%{UZCwEXye0FL1N-^=PzJVAh z9(N_-Aacmn#HDu167I(7O*ej2X$3KcD-V=SM3o8McY%@XR#j6eG|V6~StGZE z*apUj=Ry0BP-}WJ)l}z4Q2t`cpeYpFILUKaWNAetsr-{g$4Q&3q9=jhZT(036z9Ri z04UaQ<7bGo#!+?99wj!7$!a8i1Y7zKH5QnuMly}eWD%oklZn;h?5+OJvFaGQ4ilVz zty!F5BN-R+De0U~enykjkTaUlBa-Y0Cy2S|3VjdwGpoqC0p2kS9Ssg+9DuM_7HU++ zM@&%wBE<7M(`Gt1r*co`JebCxGb5=)Y-Nj`tQ(8@QdopnVlpuzx0@tiDqR&yIvE!) z2tc|SP0ZK~S!?$PL#W^V+#GfBr3-7g@t0ilI{{Vf{(hPca63K%{gFevaE2Bh&F7>@PZw* zgnhlz&f+F}0_Zs%N(vjhEP$R+XdPmU`*ZFV}z zj+2^5FshtOUrw-rc|ufBgpN56&zMqc6H)5I;|#rLK?V}VCd<~3SSlz5h-F7u8V)&F zok_;T&&G(K^*VcuXg0GyE&2WCGlh$Rww^Du9rw11tRBSC1KJth_5xm(b6&!XwUjqx zaX6Gzvag42MqUiuMwm?;BV=kE1CY{KA`q!nOT4*CW?0!|yQ{1|Zh1qq3BQ$WOR9Z0 zWv_dQ@|sq4+nt{gSZX;eW$DYwnqz9%N9Yj5>*V(`6vgba8am-hW`ulGmYUVclRR&N zHvXb4s|>nWK_6~vbw1R{ncj3UqHDwCSKm11JQXfz=@$GTssx)$Xlcj!$@##!#DaAs zR!9AhVR0c`4UD&nz!`LASzX=WH@HuaMmn z%`G9bFrZN{$ci@D!U{Un90wBpK20uf zA6!{e@myX7=BP}(Oi!^5yQF^{02{tTcAq7iUxT9pMZzjM>Om-hHwy+u<-Nj)7n(l9zZRW!ls z;@if@$t0F`JFhADUBJbKth9>$4CA^PBjNI2d2fhpf6EI4_CcN#jhNkxaaE(0*=ab$ z<)pOsL8zX&05!OCERnEqd-+0$TYi*;McEj!mvA{N$K)!gzypM_qLV5Y0idV42F<4{ zWmT-h1<_YG7pZ11e|dg?k~$>}|75kL(d`ZK>6V*S#3BE7*}zXMGYMKyBu8oZ_QD?7 z9&BNXG%=18Td!(>Jyvooln`!%GkV7O%w(N%+uBVSF6?VJv1DaY-lQUl5y3d=aIb5u zxqP;~<=P?Gd8TomoqJUd`1~Nu>gfAABS6ub>YKm3xTNLBRAi&Wu4cJ2^))r*TASVv zKPT}sXRfPZqfnXd>eBudh#{hbRhxTpEF$F;q(hp9aDqN{aXe~8^Is4al)U>$6?<#E zw1~;@zqD5nu3%z3&M)-6Maef1#xQT?ftju5fO9T|m$Nx09mIr>;VJ-xvm|L!sQ=e7|VXg&{LP9tZ0)@dMV@=x1A8k`v zs8kvYb&>FMot2DB7?dPg$_epFl;z1roty}57Rv)GupOaQ;D&U$XA8=O1xn5Co`<1y zdKFPS$XGM8f58H#+z`)e-`E|X9q&_G`#7c#eh1xBcAx7Szl7+i%Q~yT2K?$%+y?=v zDv~f&ne+IHWjjE|vcdXWc4Mp9$R0aQRZ^Ko`>UAX&K?ep2&08I2wndo%VAR1vkTI* zQc2#TVSUh1N0B!AuyM}xDP zw& z{aIUanN!iSMa}BrRGcQVn85RcROPejw0IPOdV(Zymh>DE`@zL>YjWoFo`cg@t|H5p zbd1j|B7wZtdfrPXXGZ22B43Dtmpf3>#+Pw8-3SJWp|C7U?jXb#VjyNqUtD()Tp??V z>!(&XQ3v{qc@mlFSO+;8z0%g*W5Fw6Wlkz}nG4P?p>shLG!bcwVZh_6*o;$gSoYpf zBo;3erUV3$K#DH*`zg+VawBl;GdK_%`2_=$aw2W`PdWf+Pn(C@XmChekXqEJEqbzV zn{4{y2nlH%?cl}=Aamc~{&W-fW+Ocre77Y>;u^qdqUQAYR7 zjZ~8cu|3%^)aV8SX&@6L3~baKAVgrsI<&qLos0F4#_okw61JAg-M>t&BdUW*e<= z$N$Y!>Zg8+WxN%B@75tQerJEq$$wer%s813pDQU9c@LsQowy!XF>oOxR~r&I+HMbz z9zW9A!ciqxPY#brQaka<7eamWb>K^N)i9idlY*g+_o7dyb)R^mJitS0te!l;V>(5E z$8S*Ol#hYS|5T4b6+FhNuel?mB~OGbYT$j*<~2u;Z%sTTmmHHCrZkBd*QI+T&Tu(O|n4u9Xu9^fK}eyM#BD1aYd6whrhn2k!4E zTr8UZr}JetlxyikEzu8zTnA|bhLF85?NabiOQQR>*~_!&IrB8_xm?*xz`nFWX{kqd zKzzr)=pX2CRI1Wg_flg4Rkw;UvlI)ht_t`)VgG0l9wUt}9z8;!Xrn8spd%tw8XHK^ zwuYeI^6PGVjh5=eC=JH(h%T)GBb0AxxTrcWGU?USYl3!NrQykm zhR=fJ9&Fp!c4H5vcD(86V3;$PFR!^J8HxU&17Cj>$K|Xa9!yN0-@WeG*2MW2T;Dl- zVgTvMokOek&P8!F(Ar7)wX}lvQ}HcA(@%4++fX56($ec<=Ym8ja(*`&b7Bhe(=4s{ zAOQ#|oMwfK!8FU4jU?Th-z8aR#dYFcshNYTXkEpC$?EE-=>$3`R!Xsh$Qwc(a4l*^ zfv~hhM=pJej+#p>f`jHR@QDJ(Qlsxp^__iDeurbQ|{ERq$>5oXO2gCYb5F z-M*&NyN+uEK5gGVXzTNGWQT7{n?8lIl9nv1B^`wq5^EKm3W&r*NTvUbHtR2fOmCcljNgUmzfS@%tw zsR+5!lIAV1$Bx5KFYe3jY2kj27)cA{waUv}gOt}08=P=|`CXSuec#;jlJKNL9`L zaz0}+0jsLCb8)^qL$IoE_`U^=21B1hfE%rCr-&7wU>QcFu1zm(z+7)YwTG)*l8NN| z5sgD_6sz;va;`B?Qp?&^bcqB9m?k*k$S2a0F(G-h9BbiJw?ny#i8H+QgoXB07uRDW zLeeDT5f<5_p^@-B=T8R9lt>=;D~h|HQKh9NGpf9v%_tG4z9gW=1jYx{9?K;RK+cxuk+VSw~3}^4GJ!^ z?Ns8++wB8`jsDT&Z3;xQ+7b}9)dd30(V18qw6X57wHogR30s76jS0@OVIXp8dJc)S z;BU;0U=G7<_bf!AlNP%kJXt0EK5!$QLgE;qnyAb^RrN=w^E5VCEo(w($W6LC*V}W# zBKNZ;74+BgD=U6h%=Gh1u$7lzcTX!R)u(As(4mX77s-V+cOZ6LovhS7Ijp0PEskvr z@%AS2<#UYdz@-a9jkMSu+upZ?e~Vs-VwwBB(!2FXh4D!4SQ|2OOm*=}yPXBj!pr48 zR<;IWxVY6|q##%k!g4j$iguB>+!zI&1Aa^AeJbc2Ny7!9Nk{wfGop%$#38b#d2Sot zcifOgBwJMV0b)^<;A9_*1^VIQGN*L=&Dod|1q<=cL z#B!oi*Ct|on=}jOtZpzrpkIZ^Xt?MWM1q5#Zb{Sj<1rBNW!ZBQ7c6u*QB%y#KHgfK z2u^}2X7y7&7BVQ=EG@k%xlF4W+_Y4+3HxRYolbe~S*&Dh8p}-^_7!ABu`NdKuxzmV z$<@K}-q9nO(9^U`A!cd8gBGFb;oD{qoM@g$vcTyPyI)-0Q?T#4BRYLg=8eCuu%r)5 zt6A?!H&GH+zl(^>lI(n!L}j0(&`syjDms^SmKWShd&AT2olrLu7tUCa{GQynK2lJe z$|E;=0guKoM8UcYj<#-LmYINu0_#_GHe!INlJA3>u$@M~PyOSgo&`Q89C8{Hp)oJH zMnUNABA62srTVtk%k~PgJ}s>7eja(hfk+`v*2wm{4(;Y;Vxlc*!To|%7(o)xsb;; zk#j&?e~gS?7ZX%-<5Ap=V!%)>A72LtTE3l(i%?XYGd|}ooKHge`0&E(dix@OkOh4KRR*q#MQD-X>k1biDO$V>0_TAjv^a8d;-Bt zduJvukw5GvxnJm~Ox$pdn#&M`qM}3Q8!U;dH!m5wV-Mm9<;$^6#}Wg_nN2I_-@rpN zT~ZUs@oXl@W_}Fke0j}SQuZQgq~#5Bdyirhu8SKl<`!2qXxOHd%qVRv3p_>xNKF@ypI`n?#Kt}O8g}3Nib6)@-;9X&F+>on(`}IqE2r- zVxbKM{Omz2l`9Bm%djqw6T4k^!o%oYHe$p+Gaa{z114^XQyqb|h@Q?4g%z_WP8kBYgWbf_BF$%Z z2GsU!g>5HHc2+})v59!qHWB-s-}`r8u3GXm2I5|xM=6}JXgKvpae);g_!cI|^6e1N z*&UJxsd-_ygnBkkf{}8pjvB-?Mu3qjI8j^kWSEvzY5D~Bh8u@V-2@f?(AX= zPD(;6v&$|VsARg^1hd`O8eXfZ@n?J+D&x>I9V~Iah!3M7oVh#o6SAcoE61+3+nJiP zU%0j{lxLq`+_Wd*^|^cfz;$z`Efwr$*RaKt)2QSY=A|_)lmjv6Yp1yr=BJaAO(@9c zG9qTAu-`-e7>RDO>*K@>&I1aze^?*RMQbA zowAy_?WR|4hrtaigR4!PhdH@Xaa*V<#;`+b4^rxR6rrn49U{R=_)nV@UYZX!gL)zap$bzOq>q#AOVVr79!Dfy-_bVsN1 zh}Q!WOdBVOPwa3XnfNrOUiDF*OT|g{1tkmT!8q@Vs>HK2f;k%*WbJgJAu&?2ph>@q z6e#98Mix@|^DG2e<%*HH4)tvg-R>4J#&n)!i3@6Elg902 z)@^8rMeqiEM*RLBeMt;X|{rKkA^_}A<4Xej!%kFNskpWNR@PWCz(YCt4sK+C-YKt;Z zCf!wb)GMW**g z^-)H@i-99E5l@jf`o;)FRHi(gq*xKO(^Qp-5di}mmk8J}aqPxp5NV3^SXAY+1FaxD zlw5T0J6fboAS@%NF=r?J`F6C0du%;@3Y>X}KwVl{#5l)XaBQo1f}+bc$eQR!%%w6Rypv_r9JR* zDD#n| zn7}mdqF=@>j;NE#?$qtglV*&U8Ayvm2)fhsENsl^HAF``DItH1qEmLo=w05lkV?mM z2eUq&vRk~b3^UKYj9EK_LrCByfnf#hoVT7<5%uIF1#&L>5x(I*CP}&XnG&n;N%g}> zY;Rs(%@w#|Us|lAo{{b{EzQa*t(ps7!~C5_Jo>2r6J}a?vktD{2o&$(LVW#%gws1N zWu|8{P?8hTy--%eW+5^|i!%bpBDLFxkM=r;2kj%>cJIV-9MO5>r1huFT$S1bc>+^m zw3$oez^f;V1J|u4nbz%6b4}w{i^sj>n71V4unIOq!uJT{B}dEk^If~BjTpN+7Bl0) zXiC(vO4t=;_?fs>#xUz-dXjbuBNyyShXD+d7@&Hu3x}#8pI3x5%rl6PyaGvi#j&x0 z1F^T(uOGlMe(Z$hsCS%2S1vv7C%69OSFfyseS@w|2&I+DhDo3OB_H6zQY-ZdocxIJ z$3Y(_v>+;9bZL_qk8WJuK0X)@j^n_k!Q|#mM|N}$uXi@_$0ZYk8xdKtf$_g}(=k1t zR4)FYv;0JYh~k3v&x+yn#+qqolwyX0dEqv zl&W3`{%TTJ9A{<+q^F17Vb{L>6SJ+>M13lqf)G}T8*$R;?c5!}=EmmEO+4Z7;8G-Aa*}G878#LT)+Uw0 zS+-JaVT#M@)1_m{gN#Qf)a&G^$|%xy4z`n^*Ki5 z+sGxiJO%~$E~m6(sKF-)*G#!j5PJs;KEaIbdBz4?r1I%3U(T3H`m1@xlyai(kwqRB z4YiNx;1>V7=E^UeX(^5w%ykRgf$ZcQ>D(eVw@Z2r&Rl4`?fE&lgH41~FKe)^#^zcr zYRgg~=kMh``fioUT(<}J=WWWR<($zbA?31Ai7y!Q+%f`6QX=S+-b_|3ut#L$4XH_C z$Q2gs2U`|EF{`N>@BofSn28WhQhauyh%abXr&J6|rZmakUJ=7-%d_JT#8%Dba}u~5 zW20jc>nv2`(N{_<8a8RsGr1njr0F;wQ9dY5%f8)HNz4T1mEwfGN~r;Tl`yCR%cCvTLL~r7P3nnlF%tn}`rpbv5Ccb?4WBec# z>0jQ~^A*jYh%hTZRZ2>2M7!CjHU=KYhEIe*Bz(_5a8#C-!{wyo-58-03}@rYgCXU} zOw<>bRUsVkvKzdro0YQh?Zcu%WwDx`a&%YG0-{GE;V+zX&h{PaZu}agfOw(`3ya9r zZnG$mFABD5eP=i?lUvM6BiF6PZ1ad7CSJ(G=33IR4Qd81d<|ir;G(k8QGNL;GUicbhdaE-`w0YqvRs9#r_Lcs33r4iClK!+zLaq}CQNwC0{4@(1hOc39pJ>e3-=1NF#+8hvTWV4&>WOqloEbUv}4>e6Pkrb2T;Vxv2<}hSJ zkOGgEV>a!DWM7}Wuj@QHsIVfd!)P?FUd}V{;ywE5GHkHhcu{*>wH;9nAcdUlZD6`M zX|emwv6W8t)3&L$fYFq2}65ku0#s9)!h7bjANvZ@tZNv zw#irWHa`1Xl8m^K)iav7tYHNa8VB$=5n5C@=S`Z$AAaQw3rZHvkt)&$o)L*erfZ5= zd)E$ObE03NXf6(GSQ{v7#|fNCG>f#SJSVEXw$$D;kK80TB7NPB*_!N3@FRxKY!RZ? zpS=47y^t$VZku@9#oStvB&KETrRhJFB=C7 zR(rDr6r=)G_1v~l$>1gzu(7KAx|^XRr8+acsX+Bcm7=_o=6&$)w+frkFl=(6$Rkzs z>B7Cl0fqBt56I3YS<(yFIK7I8viV+3Qn&F5!^Y(U$XyHiGFP4Mq3NWsv!^LLdtg4R z&MrfMwu9D}u?Z1YXvY9twTMCm?LZS*nq|Nx>z;LeKKBg^vJT<1Q+11TidGxT?b{(;*mLI)y3*{zRc!i-J2`SUC zxuwIoI`sRpq_`GNDG8rsV=g@WuyT)N__RO+J!ivb2@_^7pDdXp|e#s z1TY-za-H>uQrXs9o`!d5A41IZ&LF**#mvbgVIK@_>kV)0w~&`yH|yb~egamQ#sxAG z(ywJ1BUN+CGDgPS_2T=qU{ zdpk`Fk+0brmwD4+okcHbzqv>>oLv%^RBhmBTU5=h#kzr#{Z_#V#_~V0uZyK-CeB6Q zR1u6T9&A&kFvVtPB~}!T4xS;qH);*+-nJazvf)AJ5T<(AAQ(0X!ji-=^0fTVb0dYi zhQ(Db#zvUd`5S(3$%IedBy1rs?~fdP>122Etz6fSR@JGKb*g=4ds!maxZSC$z7iR< z9IrTO3_|#rGhxI#Ig+c}OIo8vC9+mYC3#EJgyUu%0n)WhJ-0BAyamv$J5Junj6Vni z&-C&H`gcBsaK7Z!@rXEQ#0LOnHUgK~$u9`155st%hYMw%?HO4NgxBp~h9wWKCt1PW z(8(1zmN!zY-A0awv)sZDQAw#%z8|aN_haQ7N|fIbO;>iBU{>Vo30Dj&Qm0ZKaN2yQ zGC`V!L3(ISW-5;8UerycRBIdVprHI94XWz5iq}IIV9Dw@+m`gUu!QRjOyKlD-bfA` znbeT{aw}u8K<1Uon9gNCnjFxVoI27ik*K^v?<~$8l8#jtFs7iiS9zbloqcF=pRL=N zUs`4#B|qm@Ev)u#_gHw@DkGigo{BL2$?+LYwSt{eC;E*JW|!kJA1cn=U3&Cw(I}a5 zmXSIoGyi0@rD5ERgPFk=N3-lSlvld2i0LHu`z&NWngJt$L0jCqvIgl!%aub*D^5xq zEwDC%T#KXn=Jt=@q#(t}La|>ONftGo!?rz1-HdLS+e?(yunq^~pOfuq(|Z^9T2`y-)_<%Qvfo+DX zEHsZWK|%wA3Cr6M-doDD{L|(K&b2IBnCxe>gW?N&_FURQi;uNl6P}r!KFY-nRv+zB z7HVtC%VtAK{k1k;P)VhO5i5403$v;KTz*YE%yAKu{tKlxNZ``mJaJg_DN;%Z`J=GW zia4AoUeqv6HHr*D7t?bHLZ^2!Gx)eq@~xb0{XXg;8`D5QKY1lB{@U7S|zA~>XYI7tc+AcevZo%>dNyS;7 zY-Wi(f&-Go917uoI!ky^UR?6dV=CHf=j0ch05V3hNt>tiIV36eOBIGt=c#y>6D*(d(uLjb1mq>*)2m z&fn4N*eV8ww>DchhaikEc~5K>)sQwds=-NfF2rkT-`xCOv9@3sdFdSj>Yg@EU2%us zsi0tjR7sJWuq73Awq`>!##W#5p&7U0Qw~kk35KSv<>Y3w!ee!%Qtn!l)SBEc;Tfs- zmB+S)+D+|IFP%%jk`p zjIKuR1Z;Pd6biGu-PS!MvqpAi>|#KYhE+S3&MmVLD|AoYgt%CRDIu?lhPWHZqamk= zkrU#wA&i}Nz5=dc2Wqr7*u=R4hu5#(kacLs25k2aT*IaA`qt*;gj|}K#KoNx@q}(y z^#{Ucr=w+TEq7#ERqVSt>pF}RJ|!M@)RZ_P_4ot1tF+A4WyisJ0=G8?p#ih`gB4Jg z{ldAD+4MmU0*E2#Yy?1w>ry;*TgPt_W9DXiaAOZqVF){%oSK@FGZy4>yR)%zbgQ#9 znDoW&j<$;mgJfd7&u%`)PZeB2iFSvE>^C!)x9fMB3&^4^1?}8&n;FdS?^C$S>}~V zfy#j(?&*myrV>|c6IC7r?ayTMeO#F>zNZ(BMS8_~H&^J3WUh$9ON>_52|h+Ju}n~| z376KEs}m2Iz^a}X?BsY8B#cIg)~?+yeVZB`l!t4ulUmE(RE0@#pEY7F@fx@{s8EXP>e6tZjF>-QBj`ecL@xyVtgR zZ@bU7-;x&z{>xvwUG(E$=ZjB^jV0Y0sZEHeoH{VHK5-f(C-N7cLwyk1NuDy{l0+ytAPGs zKz}HpKOE2>4d{;r^d|!PlL7syfc{KCe>R{$AJBgv(Ek|FUkvCk1@u<}`fCCGje!1E zKz}=+zZ1~k3+V3$^bZ31hXMWLfd03D{*QqEuYmqpK>s43e-+RL_Kx|wEtt=z1@!3w zeMUf^70`DK=yL-4P62)AfWB)$-#wu38PN9*==%or{R8>|0sX*$z96743h0Xi`jUWt zNI>rl=!XV$9MEY%*8;i`(5--ectBqo(2ok}>42UM==p%&70`!ouWq< z=<}iz1^Uj>TMG1DqJJ*Xca82Pf{*|2ZqagqzI*hP0)3C@%?0|N(H9H!y`nQu_YcD# z_m1iX`aV&=K;JidS%JP^^x*=1|L8vp^lwEM-ah~Q2Sh6cdLnvefqr20=LP!w=xYV~ zg6P~c^3S_4dPIS~C^}N09~9kIpf8U8u0T&lKQGXiM3ZOcpa0FD=jqqmLBmL(xwP^p(+tch0}>W1<5E`l{%;1^ThkUl!=cMc*yZkB{zim;CeE z(Paht3DHvubSHXSf$m1%DA2v=&Uei}uOB_SKo6p01$r30ra)gEeYQYf6K&5MAENcB zR-mtqju+_bqW@W-4@X}u&_|*>-97()8_}Z*^wE4Fn70{0k=;?r-3Fz5?o(t&tfL;jbT>-s2pce!B zvVgukp!Wpy-hkd0&`SZm9MD$;^h!Xl2J~7$?+@q$0evu_4+Zp<0sWYOzAB)_5}o~b zTJ*S#z+b0Dj}Pc}KtCa%I|1Dd=w3kg19}k9!+^dzpsxw&^?<%Mpsx$)!vTFHpf>{g zXtV(qEvuZ2%)3OJ(X&YlC%Fsk+kZe>TJ|o{iRjNkyZ>ahcuzq81M7>e|1Pj+e=R8g zMLeg$(G5qc zQTm`=U{64qNpm4kNACI|#aH_4`^4={fp?;nX!#X=|$0>eebrJV;PA z?)AHIJ?=G|twE)c)a&&|sy84N$JVCQZ%$Qf^L1fr#412Xo+=I_uK43WL zY@I+To%~ih)vV*m-9e?B3~G4AdQu(sdX0X4*h%Al((Bl7;Zr&Yz0;gAa${VZN~(=P zt=C7+lR>YOR;x*`JFMXpj<|xCY^6235u3a>PaKmwDpS4QAZZK-{U*-w?p2zdG#%Ek z-#V@K(n>$+@(wf{ZsHwyn@-xn3-%sSZJYD%j$r-rRwr!(vfduiJ1_d7$}*%+d`>S*mj+Nkn(jw6rC zlkARGZP2OK<0ihh)vGlIjb^vs>NLCE;UKNns$IR~$nn83^bYQ*#Z#Snr_)Sp_5N^B zA9P!3r8h)e%b?!D0a@K9{EhN0xC7a%H~?hm^csy$rPELPoqoSnAEJrl20kI_)%wY> z?i!%qJ$m#oekN&6RT}-?px(lGZZw-{(`vQb7&f~7xK(Z9^V!CoBmE@4Hg0z0Yid)2 zeq2ct43?zVYE)~Dw4b)Rty-^BZ8R&xj(vac$maTHZhWT6RJC7gqRT7j|G3jkS{;n? zq+S^`(E_z$(`FbF-VEA3%XfpHtJkNx^1X4Z+v~>Y&R%U8C%vk4Zf%%!IyHMo`?;%o zJ^8Ns)L>BS4l&yL!?=%r8gvE~jP*u8?IgotGO)Q2{1mq3Of@mWFs%E%2Htd#;N7}u zH%^8XjA@KKn-CrO5wt_xO;VZD)q20#NbB7}bI`|l>DLBb%(trbk?;_I8udfabnkM`ZOhbiVuubR~Q)#k8|I`t}kt&cYDR-2dsRvV!j z_V8h=6(`+Jvxg5KbSguP`a#m|fnl`bw9@KX_01Dj8}kJ3SgQ}~J-l=}h^-}Cl{f_p z=`^(VV4csdR(id7*hgUpJ&>e0mr`W#=~wp-UuV@(c~BWtVXePBmf}xrA@V{oK6UY53C*Fpo9JROU^*Z%_wO{X5 z(qSD9i(D-IR$Rx6ba1I*W9^vlsYVZTCFysQR&Usg!96h$2K7oq#(1O7<=}`ty56tV z`n_SAfDu%B^+wzpp!+c%z#YKhty_=5i*41@{;&!Lh8f>XG2-L6)$8FkTGgb|z!GL;@9a1bfvQYJ`{ra8@)WOWL(lxPGKJm4Xa1$s5kYsUrZkVSe!{CKb4s#n2I zJB^k|L224eE1kMAq#PF*Hqt7%ZCp*NRq!snL}LI^BS!c|z1kmI8)jUfHK;Z*D+Veh z;PKrS1~~>mtJ11f>alhHX4lw&4X%D%9W=lqlWsj}#nnzNMf-H>t+d|i;3aK2@mwIm zjA&r!C4)-Z1hcF`!s=i_Nz*!`~Yl~a} zKcCdAm~%+;TB~=_nT^^2GEt{7sB~~dwXiX8fr`C++^xpF3L2>kev#H|{Z@iehw%n- zfU)4J$5y?OVp&eB9gO$7E=P@q$eeh^W)k=7##8b1#HwTL)$ozMey2I?LA1dMU+Hit z3lo?DMx{NSOlCN!4XfQj9lQhISZ_2c5NROB$cV1j5^MU5$+WO6wJ>rpC1v63RFPLM z?m)=xVWEioHf%hT>GUy+`e1SpJX;XW25Af9yakp}O}q6z1q@{}Y#FRC-5wea-zl#o zS@i}Gp0U(dWYw`5Vr+?x17Wh?s@4&B4PH|PJLp&HgAN!CM9oG$wt--GuzmWy7I;Lr zn_$aot6Cjm6~w31AXirFy~K%@`c|p6XbqiM>(m=q<*QZf4XHOcX ztX3i&bmQ)@3yz(j87paDxO{KW8e;S}z(*0ItkS6dM$PU3Zvx-Nq(MhRE*zl0V*F&% ztyICa8Wi91{I!O$-0P>ZUJfC)){}axUmNy^vRq*ZTU%}Q_zmb-JR2)hztzJDm5sQM zZmY`q5op#lakcsW=(Z|^r6wNV!%Q4>yS*yJj0B9c0ddFrd8@~Fub_WgP5f}9UV|3Y zfuPd>^AyGfMQLcPXRBA|mkz;A@E{b_6Rd2*ZnazQq=Rm+o-}$x>#?m~!)jwyOuF%) zn~IE$CQbXuO*q6--vm#qa`0ne-~fUGGpHmrh_nzHn_bNLA;x?Sf@TLg0S73mbM&Oc zF1|g6d=9BJO$W%x2o_pJYj!b2yS99zI)_d}gsV!mlVGrSTCE{;yR-qF4jM`~jVsnk ze%31PURBJtqzStPJUw5#4K@bKbX=1!Ix&z3O@+CuXlPcs` zF0ch@Jcc?_N6sq9o2_9r?SmOWJsDsD#o({C5-f{0&>}yS-TGkpJ z+~30j(1&Jc9AYznQ>~gzH6Wx7D-DP+y;>FW8diPuUkmzbX9yN!Bgj-!bX{`_awX)t z9;OMT(?K0Ve%fut7*t@mA|vao+41eDkI$IGxTrzA?AE#ith`M~-WWnq<0^gdMl4c# z2SS`T*X4$Esx<_M8=^PjR*G?gztcMAGnjA%vJ5pVQ4){JSc<0_y$YB~4}7{>twBM8 zI@XP2EUQ@BAdnklM}1S@(XUgGxgd$8X$m2yhB4H~V$;I9+{MZS)@^TuMjMK_S#3=9 z(2f{-wP8o}+s?4rg64%~0|}{ZpPV<0dxs&(7IyY6rw{@y5=uN}M z0L{~Yl8D8%*@*`!_Dh)TQ~2as3Wfk#Up{-#M162FOwt-8;Q4ffMzkjUzo3FyIE2igL}W=LI-K{3U& zzWa!3WojT(9n7`|H46h|7-QLnSO9?$-C3j9gd>6b>m4zMprbL6@BuItKw3@Fo#!k?GoAn`DwbR5@jv?j7_%*Z`R_wtr(Pl-yTcqR0 z4b3TN>lK({(CpILXe4N3P{gEpTLW~S*7rY9t55a8hhT9v zEwecH{uoY&K8~MgRHj4*g*??l^K@ZQ!~K}xb*M@(o{8S7zX3B8&!7euY;Rnza&V(D zRjbz^-$V4Oz^Ve)TkGMwF!KgI?O9tEbH4|x99lWWItoFx)`tX-6258S zM*rvy?ISS0>9ym7t4|!p@vWWp4LQekV`FeQIx;}w4zq;1h2!JD^5%Ysr* zQ0fVy-eU#n>fC;Iq+VG;@vaKu{}si4Ewp$o1;zU)C|*Rt38HxQgck3d;0~gA%Y+uM zm!NpB1jP#__-LYdg@hLGj^G}mcw2#!Y*PlQXuY%Cx9S}TBJVx9i-bj2h z@fPAUiO(gzfcRqK%ZRTezKZxq#MctvK>SnU+lYTb{7d5diGNM}2=U{@za#!H;_U_R z$9{o}RlLsIf#NC<6ff|0xN60ly8VGsBwo?&6Hz4ILn#-pp5QETnYf?WC5pF2>S=oc zZzBC{;;lq&2bAANTE8Fk+eyER_(|fIi2sN9W1>Wl%J1ES_#omAVnS>ZA4!xbMY&I+ z1qCGvP*9@#1SP6nP@)wCH;Fe9pGJHZ@mAtXh_4{Nn)oNgHxl1Ud?)dJ#19caM*I}< z^TaO_zefBv@%zLd6MsrPW4pco*~I4(f1mg!;+Kg3M!cQO@AIRmM%+t$Jn==u*Au@$ z6rYsbx9xU@cOhOttPM_`itXB>p?` z_Lvazo)0JPCtgjwiFhmVuZjOe{8!?C6VE%to;Ocy6Q4+Y2J!cZe@pyl;=Rwb`qML?;!pS@w3FQ6Msm&^=$k7KOz2a z;=dB_kFXsb-^3yDsl>MuKSum1@jR?~a^E!ZO5(M|n~5(VzMA-F#19gGL_7=OLGrwv z#77aYAohqSh;Jf(g!m7{?-GAbJo`L*?r#w*!~yYX#Fr5Ng!oS4$B17fexLX&;+?R7 z$a_DKm=foS2Z`&%n~5(Z-bVZ|@$ZS>A^wawg>WeOo!vxqe%HK z;+4b~5kErw7V#&>Ch{uV~Aij+FI^w&CA0vK&_&ws!iFZVpm^}Y}#J$7;@p|HOh_58R zk@#NXCy8Gs{($)3#5*DUOnzsA7!zlRtHfs#UqyTi@dL!q5Wi0RF>(97?D=;kUP!DF z7l;Rm*AQBVI*3OneIQcZjzU-$wip@h8Od z5Pm1Wb3U<3Tp%7IUQ2v3@$JM<62C(HA#oeR_~f~FC0;~q5EqG85noJv6Y>4TPZPgR z{0Z@PkS*o;_aIIZA4j}__&nkVh+iQ73-Oo4a}ic3&zm47#H)!7m3h^w+%5wjGiOa-miMJ8oL;NK1E5siXw@uh{ zE+RIFhl$T7zLNMU;&+HYBi`|W_MAr(A45D$d@AuB#IF(WhHsGXm?A!!*e703d@k`b z#P1P*K|JRId(Ikhlla@jmk|Gm_*UWvh@T>UnfQI;&xvPUXwSbF@nT|~I8R(7_K3%c zPba>ZcpLH0h<`==JK~p!|3ds3@yv_(9>fcYHR8jG3&bmk1L85_%ZPtWd^_>|#E%g_ zNBk=BXT&oeWbbiz;x6JUu|qscdQBzKZ&P5*naPB#0!WCahkYHd;;+p@fPBX ziEkv%J;a{7One;iF!5&MD~PWpzJvHT#P1V-PCRReJ@;P3i-~pOJaLWKBOWI{o%j;s zYlv?reu(&4;x~vtCT`nlzkfOLF~pY=ZzH~+c;=MVzX$O`VoZEA@iO88LC8c`k-l0G zYyKALn~Ap&Urc;C@m0h>Ccc6AXT-lCzL)sd#E%g_Py8nF--tgW{+f8lhuU}Dm-s;9 z#l$J%BZ+gw%ZOJHA5T0&d@}J?;vW*uZW)_exCS6;@61ZCjKk&m&ENAd;hbD z=MnEo{4L@|#2v&cagKNyahZ64_*i0>cnxubc!KyW;;qD&5MM!jHSvwa_Yglw{5bKm z#D5}wi}(ZLuZX9`{BGiTL?kg%KKCHv4kBW`^m>!{Na7svGU77v0P(TJF7X=T262n{ zB;qZ^XA@sQ{9WQJiT{K6TH>3CZzH~&_;KQ=iGNT0GVxo)e#4hoP#9N3jBK{BJTZr!`evaKa%te@euK`#DO5*V}p1D@fPCm5?>(*+5EMn-$HyB@q>c+ z{SOPG-sgG!3#9*r^bZA5@85`L)r~%fcz;2>&jqBB*Inx`3f>j#5$U!de&;$t)IUc0 zw@E)o5WjOP@ioNP5#K3@``$@iBA>8@4T4w%ZRTPMEy6Cehcw~y#5i=A18j5_)X$Z1abdQN#CIf8t?a8 zg801(=_+wf5cL;H?%U9-<;4HV>u)CgR^ngs`iDt> zjQEed{$4y<}f{>%H6Fe)5juJNo(GE{x z`EyCXkn}4_zgiIYy@vQ!UjIwd?-#`Q_&BeBiuBh=|FaGo(LH{3fq| zhxGS}|IX{bCVko??03!;#CzYB^gW3ayncxw+PflnI{dA~Cd+47zD(>AH;6YApG$lh z@sEk`C4PbUZQ@Uecf8bo|4zhv6Yo#FkT^+9h;?Fw|72d=j)*^%K96`W;{AwF=d~Pa zpdyB+A|9tWN8C+ZBCZl2N9+)f5x0mp6Q4$W9`S|5ml0n{d=v4{i0>r6hxpgTj}Sji z{2cKc#BUS-i}(wo`bp6!l1)e2=>fzGhz}u3wizj}6CXyLAubU25m$(kRYmTT>?wlk zHw8YCwE9g!KZW$OiO(m#l&F4F)Vqze`b|N*F)n5wwXQY2cJbk-;$63Vlh<77iNSq`-l$a79Mtmf3p14R{Caw{$BDRS`;m>cyHqUi5C(li4EeziRvH6{fnemi3f>~ zCw7T95}!x8kN8pICy1XX{v+|L#BUORO8h19_EZfct7F<@u9?&I4cNwXcuvbxJtZ=*d}Tm0_tB!`pLvwh|eKvd;;pdn)GXk zZy^3D@dL!aA^t7#)5Nb3zd`&F@!yFW=YZc4nMJocnbXeD$;*M{Bz>Fh#w_>g7^jEmx<%fvO}6Nr7{HN?Zj8wK(HPbS_Xi0^nN@wtNdo)-{b zEQt4W@($Re#+T`L;ic~;^!d=+hz}CHD2iYT$UgauuqQ<2`NL9J&kx_&Y&1n0hqDbS=9vww55_&p{eqV3~`i0jf-8s@6ok;cR}h2jpx}PQ*$Ey%e+i;9RjxS{MNbp@$|!oC;A5ib zrGi(1zX?7z(p+@gA$-4G=*PpZA&5cnQNbrf(PsoZk>;q|-i@Mf3EhLdCx}7)UxFAc zDu)cC=#ChW+pk6(q~JA>iv`yaA0>Eg6sg>SL3OFnhrz!Ek3^Bm8ym3G2z?aePw*JN zNAQUle}c!O=t+W`QS@xV^U*)VR|sxFzb5@A;@bpIK)w~cK8oHicmuwV<)0G7Bvg6i zCX7Q~|389H!grGXPvRN3!*%@bc|?^%ZpL>CjY+KX2PVms&`*WlCkUnGQo&oI=rTdv zzasc_*mnefJBn29!1e1`z9smKD0(XC=MY~g_)PSp;Il9;1)q&^DTw>uMpXF&w8sBE z2j45#pNsxv`4Wb4uOiiURDWfixkn6iuhE; z-y{AZ@ioNP6W>C72k||`4-!90{3P+S#D64yh4?Mv_lQ3v{s-|F#BJN`z0M$>L%b_d z`6#|y^;qCVyzcmEOu9k5lsHRN{)+pSNdNye4*0+LeagS_y{B!rc2&I=^qolGov8dA z*B?mwV&YDs>bEFY{tkQ;ud99w`f}1&5S8EK`r}CVi0j0oMCJeIV?87NbfW6Dxc)-Y zx*mXb@yoaI`s;{qChGctdaBO?|BBZ?OjLaq*LA%B{yndMiTDlTcZj-voR9U8^v{UD zCZ2wpwX3ctsCQ@5_av%*i|ZGV*7XJSLrJ?h>xch;?A;06Rnz`B{-=`CplDQvCX}d* zWhg}ym7#$mnl)&iqZEadR1~FXkOrg>q9`dEDWNh(l88zo6#sS3dGFiv>-#^)<6d|8 ze(!T$*L}D4`8=Pq_uBjHz4qE`@1tCU@#9U1i^g9!Fue(zF@F3Baq;6%u$$?9IDmtB z52NGJSmg(eY`O@;b)Pm&5#gth|NWxs!YOE2Ht_(eW#9Y`-4z z6kz-~7RvGCSnx#C%ky+rX8d>-;x1N>pI3+SmCB8HJzKCXJFy4*aUk#HaE|6fjGuRh z&x?+GvrM1E=NUi$4sow3zsWUR&rST4Tlo!l^Cuo)Hpi>z_?S<*5aY+m@cAW`PiAzy zJX1LuhaVj`M#?Svlo^8tCau4JE0O9@Lm9ro2`_H3TgvYTo%Q4%Fc@IZ&EGIDDj}Y?AP@c`_ z_!1X!8CP)~H!$A65b|wN-p-xe%U_u#N1{G+Fy7A){=R_nF+71MvOMGc4P-Bwa+h7 zzKr42H~#*$45y~?avO$I$9OrM3dRkmYH@GpP)6uJ_~p8DYI#r`&?=m~8$0z2{Mx>nX9F z{nhKKi}lr;12}}kc|YU*QDMDLR-VD98QllDQ2BMnuj_@sTc^B{@qVf>{Y&Ne^}JC2 zLHSo^&6-&L=zJ%B9WTTcG5vVP`>(?EQfY9i5Mbc^u={^}_N*=OJg9elDxC z7O!A*{?Syq6+5sSp_L;Kra^?tm+E&Tmz<>)-) z1Le>76?gJSM&}oYX%FXS)c#kJu&2Isob7j8J!p0rhF&w;lado@&Fyq$Dtd+pHnz@c$R`@3UUf+w>Aqkh+LX(j$S7c*S? zh?lQsV@Cb0Hz{{w=*o}B-^x39=zJYz`l0h*+VrSjxRP>ZhD$y1{Fg9Xiiwy1Z2te0 z{^q~BeY-_{MD5x?TQ5<)|JC*LkIu(Ama8gjvJUGrx*pJ6xh=ybs`z%&i{X+_ygZoU z(n-8Ln&UW$(;4;u&r@E&C0xO^+`vz`l{>hHzcA|Wk6$kh`lWMZ z?HtPZ_=B)LKdAf&r}0TX%a^#AZ!$iPGvtYnO9;k(!!FjJvxQ%CH>2m>{H}a>) z#>Z`jzmKkOl`_2?E3q=;<2Fl$aVN@k*?`xv1=}&Yp4D4<0EcimgNdNXLP;lc;yorABS1Wc!=P6rbpMQ z;?E5W)2}rB8s5OxjIK|`$7P22ex?uN-Mo*{b*YKUk1-lA@r?3(M%Sa>P+r4#`61)u zHpAyf*P(Wq{u6&=cIP*F7+rrVrd*QoaTFn6Mdfo?l{Hz1@$nQPzKL>kM%SA@eGh+OmayZG zeJ^9bu^2PDo>W*lKHf7-FQZ(ZXYgEBXDwdAMr_LH`cVhvXgo$=<$=75(RHKPZ#u^2 zZvv-s7Uwd$UKAe}8se9kzMAX#0i)|gUn%e8k37J`oY&;$(L9DFcrq*SY+k^Nc{#6U zV@B75Zc^^Vp1hTJFuD#jO8Fr^%EuWWM;huQy8iRB>927)-{$)aiyyD|KRSOaE$*My z4nMRVli4mvte2{emw#2epr3qE`#C-?F>E(cfADDUKg6hg@R)Mcj-I0&^$RahevMH( zVU2Rsp5COqncMgs_i{h8WlhvWE*{0AJb@?iG@ixtc@ZyT{Qizo#ybXYG`$TwvIlQr zH11`n@<_(-<0xeuWN@14(Ri0~AEXuL{R$HOBSjkheKd^}I&sXUYCu?B1NN?yYo*qS%9JEL(agOu-P^nAs! z$`culM|nc|8P4Y-zQJf5%Dc)R@^fzIE=J=|epAlwc$kL;Sd1lEmK7O|)2ymolXVy$ zuNl^76XoV?%g&6(ne?gP3E>mxD(#8s~AWa%rBz(-}R7G#cM=sp<9Dkk_*%qj4QwmHY5E z-pS~>rqOtghfSZtnViEH7>(mts=SKta1%Fk8^7aT?q{|m9RGO~i}D1X#M5{d&*w$F zj92km-pDrW$R50fw{s{*@S1>$3@)vn@Nb7yENC zhjBE=aT2F3?zmMqi&dkauwe$8Z9tau(+@TE4J(#@9;$7Gp`4Wpq6!^SWiCzW%RY zxBN^$%2(XUA9;X>W%d2$(L9DFcrq*SY+k^Nc{#6UV>aVW?8KhDm3QzSj^aanl+k@f z@#kce3jLp^zs%RToYDP6?<;@IFZd0=XLKJ?n*M|wJd%ZYEKBngp3W+~ke9L^8}fR# zWP5gHAKu10c`qN}!;J0|nyDP^FaBshUfTYm+#&moXgp3d&bo&8Yq1_1FdFv|jmv3c z`pt~4TmQZNy;J2mbieqb>92AbS93i-;Ai}bJNY9I@G#$(+&r4cumn$L1)j|d81?5~ zu6#8cvl(w%rxJd96XYR zcq~iv6rRp1ypWf&9vkv{wq$#DWgp(gJ9#f3;KQ84nViEH7~P+^RCyKO;U;e8Hh#yw z+|O*jkGXghi}D1X#M5{d&*w$Fj92km-pDrW$R50fw{s{*@+|7Obormj}%*%o-&QdJLO03Lkyo7bxfY-4F+p!CKa{z~MIPd3p zPUZ|g&FKEYh03pUCD$>2AAP9L=)S=(P5+iZ@K^av+I}4!vZYE zk}S)LJcm_TlXY01P1u}m*_plApJBE#bUsyD+<*FUc#8R)!P$I{(S1;hl$UW8*Kq?s z<`!;ebX?x6{429K{`|L&Pt0uRC%#Xa?fgVN%yImDfv<2WSMeQg;%08+cihYU%;xx$ zi$}32PvA*Bjc4(EUc}3I6|d!uY{QQ1!CQDchjJtzHJ5^H_tmc_pvm4Q$Pu*`573h0yy-F3q}jPA29rd*O`S&`>3y1zdDoWIb%tYdn8Hequ{ z_tke+?#2Eb%wde~ryr+0iPJfo^BCPnzeG9y+`lkiYn3uUzo-9!kmom zn~y&aFyuYX^fD~ZGZ@`3UtPHtuV5oKWptl>2jy<;%YnR$(f#pblqYa1XK^mW*CVri z7}ndLZ6EGZZ$I%jX4gK$_zAai2lwz7X33h^-f}V@3-dUZVR>e5r-^#|v)kz(oyX*`Uh=Xa zi}RoLe1|Ub_vQc&VKh$ke&z9;%o%)|(fH7X%CB=J*Ks31W#)4O65G`p-?Ml5AwTDK z?qcS10}}Q2iSv}L+`-J}1|;g|1Lr57@hk4+k37J`wAXXpX1AXjm!Bq-{;5tg5U6a{>(J(^c*~rg?KD8pBs?a z{&H!zAH||PfhX}ap2hQd5u@jX#h+JRDvakh{YJK7NA}# zxr2N73$x@-Y)3hnkA-<0%dkAp;JK{MTD*dd*p#i?5 z{h0SEKfs4Mg)=#aFYpyEFC@ zm;Y`1<&2K&*l54|DZk{m{DIMUj;!`SM=(E&@OYlcQ+X!OV-42kmAr;Gur+UHclP5T z-p%_smJ|6HpWri`&qaKLYxpid8IFZd0==g&;j&zFNo zvJj7DX`aHovIlSB?HtOHe2|ZD8lU8|e2I(sCg0+F z{D@olHFt9#f9K))`SP+Li?bBVu@Wn@8ZTj8HsEz^!T9esmI}W!uH2ggIE2G_KgV-2 zXYgr8zl*R?`E{=3I&S2r{F2}D2mZ?V?>dJ0Jwkt9eiq^JJdvj|`u&6RlxwgyujDnn zfvtHnyR#n$@owJ7v7E@q_ynWhIhe1!h;MKW-{pt=oZGpJKk+wa*Uy)S1z3zFS(X)f z4y&>z>##nXusPeZGkdW=2Xh!la~vmeI%jhp7jOwza4k3R6K>@W?qS#+{a5vmWV9Xr zXUA9XSC84WH*@hQ7Uc;%iKp=_p3jST8L#5Cype6#kv(_|Z|6{s3O;dvgGXa5(ShcuwXF zKF#O3kgszk*Ks31<(K@HKk!#()!saU`B{X=^F*G?GkG3sur{ycHN1hXc{97S9|!Sn z-p8?=$jA5upW%Ei;u~DUcljYd=XUPmPyCJ9wL9~$0E@9C%d#TRVO7>-9oAVU=HJGj^iXw=WNd70xsbSuH^=P!mZrFJ^Y1Pv^R4y9}Dw1mSK6G!E;%iwRi;^ zu_;@z1G}*=2l6hC;22KeRLP+&Y{d@j#=acLyEuYlIDu0+i*xxRU*$5c=6Zg> z&-fL0@<$%vVcHqFc{Gn<37*UfJewErVqVUxnfV-x#P|9_?UaAlb1eS1-{1D{+CTog zKKGyX{EsP)Gc!1w8NKiL9r2sEncMgsqx+3B&xaG=|IG8@L_K7l4=3s&^L#i_51Hq~ ziF(L9A5PRm=J{}<9{$_Uhfi}}d=}5=MZAnx@mk)$s7h@=JcpANVV?IzK;x`B{XS+vA_x z9=F@>{-{4Hhx(7U%L2;BFuE=njnj+zrB3&L=JuIbFUQ+XPvogQljpGpYx7E8!yDL| zH?uqYaS-q3eH_b)e2h=<8P4Y-zQN4S3nqcXRjxU*S@&;yc{L&D_TCxR?8x&GoO$`;`;*o_W7=q8|QJ?^phJ zwR8VnpZlMBT_N*)FY!J3cb)HLwx<*IpI!Sh4-2puOR_90@*GxWP1a$3HeqwNWoPzc ze-7p_j^;Q{;&jetW_voZJ|}1oPvtDm<%@ikneFLBz5QQ({?Gr_>#-rPXG^wc zSN7p;yp#9x0Y1zroXI(Sfv<2WSMeQg;%08+cihYU%%&eX7ms35p1_lM8qebSyoi_a zDqhPQ*@hk2gSYT@4&_Kb$VWJhPx4v5#KnA*Z}B~T#4Y@qySb0Q^Kk9rye!D#EX8uH z#LBG3OIVi;cpY1?9lNkM2XF|7^L~!!WX|Bze4Y#WI#+TXGoLq-*qW ze$MUO#h>^avxgmi)>3I{d02qOSdwK~k>{`~YqAdOvk9BCEjzOp`*SddaWuzq5~p)E z=Wzj-a0SXH5RYYPp2E{v zg%|Qt)?-6n&z5Y@uI$6xnE89}iR~xz_udorkokM>iF(NVz4t^t{HuQNJsfT`?-va1 zu&6)lpMBn0%=uPHmSshr!>X*wI;_toY|ggq%wFuz!5qfX9LGtV&e@#D1zf@vT+0po zgj>0Td-w~pIG@VNd@Ri4Scc_!2G3=6*5Vax#HMV;4(!Ih9LT#kf@3&=Q#p%s`66HC zGOp%&e!$Q86?gJS9^hfl!*cUz9>WqmnH6|8FW|+zoL93koAD-gVo%=6J9rOA@gY9S z$N3bW%rxgyId~)s@mQATDLkE3cp)!kJvQX^Y{~ZQ%09e} zck*67z=t`7GdYJZ@D(oQD!#)_+{|tKj(fSE*_>D9;!!Nh6L=C&<5@hP7x6M)#cO#Z z+pr^h@D|?Ap&ZEv`3R@+Nj}S$xR`J9ExyN(xP@PHH}~;(9`5`uFAK6bOR*d)u`;Xi z64qq{UdI+}$1d#60UW~Nyr1JanKSq_pXWlp&Xrupjr^2f@>~AEUzyc;)e+3kB0Qca z@>HJ5^H_tmc_pvm4Q$Pu*`573h)Dd+*_C~G8}H=3 ze1H#g3TJW-U*IcT%2j-ao4A?V_#O9hKePG0gj_s|MR@{G;%PjK=kp?7#;bTOZ)6*G zWDnlL+c}gY`5+(RG(O2^`4SiNO}@qV_z}18YwqSg{?5bw&O=@nWO0^aIaXq2R^uhC z%LcrTE!d7-*qZ}5gu{71$8$1g@M%8Jg?ybWxsDt8DZk{m{DHqR>ye4?+Y!vqB0Qca z@>HJ5^H_tmc_pvm4Q$Pu*`573ha4{p*oaNpiXGUEeL0YKaRkF@L1zD0spS1*pQxX$ z+`&Ekg<16b~AEUzt_E&k@YeB0Qca@>HJ5^H_tmc_pvm4Q$Pu*`573hT~-o+6d z!wH(z_WP)FXrXEnvL0vH?b3Y z@>br#dpL>@@lig`r}!LS=4)KexA{Il<`?{i-}7gt>G#ROBUy;YvNTWO>8!#Fc`56$ zA+Kjkwr5xN;cdK=_woTg%qg77IedYya4A>u9d6=gZsT{{%l*uz-zOK3Vo{#JlXx1> z;`zLYm+>lI%NyB-9od7o@OBR6NIu9%IE_#8S-!-@e3NhSJ$}S3{F=MDkH7P9{XThF zki}Vw=iJU+{E5FYyMEC;EWlzc$+E1-b6Ax%S%>x6 zgw5HOo!N{1IheyZn&UW$(>a^-xPVKzf@`^fpKvR8a1VcB7X7?AnU95e9Lumg&)~VN z&RV>Jjo6f}*n!>HmjihhM{o=$a4KhUE??xUT*lR0&ky(+zv52*$OAmgc){E}n#ZsN zPi6(4%?o%jFXz>4%x1iao!FDN@($j^QGAGx@^L=J=lC*T<8r>u_xUlu;5Yo9KQqlZ z!5lo2g?KDW^Aw)WD!h=FvK|}qdbVVHc4Z&l#yfd0AK=5B!kL`I7x)U7auwgL12l)u6@ku_*m$;a3 z@-4o{kGO?jb2s<#cOGuMVqO+xah75^R$^sV<0Y)i2E2|f*p6M;n*%t6!+Af)b24Y} zX+F<|e4Q(~jvM(YzvQ?4fxj~AQHl2E5zNmbJf0`=RG!K6ScA2BC9mNPY|We5o&7k7 zck@1uA&*lZZn3wZvHfA&4#7^wVTX_fX;V3@DNBKCP;&XhNuW>ow=KK7ZU+^1#&!3rA zC{aH-cq9w)SeE7~Je^f|AunY;Hstke$@c8ZKD>>0@?Ji`hdG5aIfpOs6)xo}zQax2 z%x(ORd%2(43Mc9*7ms35p1_lM8qebSyoi_aDqhPQ*@hk2gSYT@4&_Kb$VWJhPx4v5 z#KnA*Z}B~T#4Y@qySb0Q^Y9{xddka!EY4Ca$4acsYP^JX*?`xv1>3O;dvgGXa5(Sh zcuwXFKF#O3kgszk*Ks31<(K@HKk!#(Et;sGBbc8>csx(!sXUYCu?B1NN?yYo*qS%9 zJNt1E@8*3R%ZYr9Pw*Me=OVtrHGG#J@^fzIF8;*dnEjYUJ>_8m7Gp`4WksIDs;tR6 ztj{KF&bI8#UhL1o9LCWc$4Q*d*__7(T*4Jx%MJX5Te*XK_zSZXOVm$J=3`+V$1*I> zGk7kmvlg#lBQ|9#c3?O5+|7Obork;sDK87M zI7_h{E3q=G@ef+3;k=*YIhix~G@s`}zRs0g$Bq1yU-Dc2z+aiw z_3I;;pG9~)PvogQljpGpYx7E8!yDL|H?uqYaS-q3eH_b)e2h=<8P4Y-zQHwomml(T zZs#uk#NU|Rb?iJWz+x=PvaHB+Sd}$dhxOTn&DoZn*^B)-n8P@l<2Z@aIh*sifJ?Z7 zYq^1+a4UCk4}W16*ROLj9}Dw1mSK6G!E;%iwRi;^u_;@z1G}*=2l6hC;22KeRLfbW}55QId~)s@mQATDLkE3cp)!kJvQX^Y{~ZQ z%09e}ck*67z=t`7GdYJZ@D(oQD!#)_+{|tKj(fSE*<8oY#iLl1C-5Ym#C72G{Uie#pp3RIg4}oB46b) zuI74vz|Z&9e~uV!O5<4x?up1hTJ@E(rhLwuBv z^C>>Zm-!l(^KHJ*kNE|^;rINRX|7-A;E^oEV_BM~@N`z;g}jvY*pSz=CEK$r`|vj2 z$$R+#ALbO!?O?&W@Fa~(Suk77}tz>|0y&*J$E-3giZ%a=<2 ze6{~A_scicPu+?g*o}QTkauwe$8Z9tau(Uz?&Oa=z{B(-=Vs<} z*Am~$f7Wx?{?otr|LOBLz%2L5k3{wI50PAA9L=sx=BI(yU(xYPT? z8T~HNL(0+p^wIV9s68;p`_FR$U*nt1yzZVjj{mn@cmLnAKl$G>pBZgmOtHWEv&YMS zbicRX=VtSL&&8uylqc{cp2o9yJ}=^B{HvZ5^53?;|F13Y|Fz|r@(177KU&^@_WQ?~ zztSwniaeX=vj%JNuR34&qviZhna}_9{q(QaOBVapfA#YmGuLD4al4uIle&KX(etn) zZTE$EEK4)9{xj=8Yq$>Z@9w|)N897c_VbzBe`5RoqyEFp`cKr;zpMW?v;H&dKeitZ z!zJR^rNix`aWmWbiF(+gKDKiw_cGc3Kd*L90Ur7s*Ua`$qJIBq|C3oyne`OgKV|fK zWajpi*k1n8c30;2F7tc$SAOsQS;x`nKI!tA{R;7R+cNEvKiUue+4YgRKgihr;E(Dx zs>g6!Nql`3V0er~yj+rH8TJ34qg<6WS%>u*jrVA-+?Ji$i~Tv6!#JAbIEm949f#*B zFW?fc;95rGIx>GZH?e**$8RR;;i;?%pX1AXjm!Bq-{;5tg5U6a{#_l_V+*!p7xv}=4&iX#&+(khXk5qB%FlBl zU*}4$<3@hUFZnHhVAOAr`8=4!_K^8Jm_$ANUwIzPU%elx;d}E}k9Qa>?>!vJv7Epu zjP?srJLn%h&)y>MU){fW=y}oUSrXenm0#D*;Jd5Y^B3{O;cr9;a8+K$5-oo2Clq2~d zAK^4U$!GZz7xPWN#rOCTxA1H3=05(;!?mOGvLK7I6w9#^E3+CeVO=)hb!@?Q?84q0 zz#$yY`#GMIIfGC0c`oGZT*-CZ$WQqtzvU17m081qA=a;S1oN{9kLQUzm1pui)?jU3 z$!mB6Tk~diXFm?&-Mo)uIgyX?2|mO5T*NoHhVSx2e$MUO#h>^avwNOW9u{CRmSkC0 zUz?&Oa= zz{5OGDL0SiF)YE8S%GKs0$$9^c{Lle8E;}I_T;U+gZFS0AL65YoKNvNzRcISoNx1e ze#|fU4Zr8lOgkd69p&JWEW~43ny2t|R^f%bl=aw<*Rv(tvn%`XHr~m5`2Zj06wc%v zzQ9+wl&km-H*qt!@jLG2erEGLrCdCUMR@{G;%PjK=kp?7#;bTOZ)6*GWDnlL+c}gY z`5+(RG(O2^`4SiNO}@qV_z}18YwqSg{?5Zg$4Tt?mX`%toTXTfl~|e8cnRyW0k2~V zwqqCe<^T@iaNf`HoXi<~n$L3~U*}4$<3@hUFZnHh;IGW;c}hnxKa22qp2$;qCeLFH z*5;MGhBvS^Z)SJ);~?J6`#6>p`52$zGn~&we1mKFE=rD_IM|oI) z#aNPMS&`?kDr>S1>$3@)vn@Nb7yENChjBE=aT2F){=zJt zr<9ZVSeVDL49oKjp3Ca2#Vgo|P1%Ya*o}QTkauwe$8Z9tau(Uz z?&Oa=z{Bz-wxirUn#ZsNPi6(4%?o%jFXz>4%x1iao!FDN@($j^QGAGx@^L=J=lC*T z<8r>u_xUlu;5Yo9KQqnqlydM$7UHoi%~N)Dd+*_C~G8}H=3e1H#g z3TJW-U*IcT%2j-ao4A?V_#O9hKeL4k0kQp2E*{0AJb@?iG@ixtc@Zz;RlJrrvJE@3 z2XEo+9LkY=kdJU0pX9TAiHrFr-{O1xh+FtIcXJ$h27bb=+`&Ek zg;@$EwxgWP$HF|0WmukP@LX1BEndM!Y|2*bz;5izfxL?&IEE8Am9sdPFY;9`<7%$w z2mFj*aVLM|0UqY}l5+EC9>WqmnH6|8FW|+zoL93koAD-gVo%=6J9rOA@gY9S$N3bW z%(O7zJ+?o}!6R9S$Fej};pwcx3wbH)u_3Q#OSWfM_Tg>3 zllSrgKFlec$vJ$1uW%_>@f~jBW^Utm+{^vU=J%3v@hBGM2|S6X@hqOti+CBY;$q-e1y~ZB%kF=T+BE57T@DX+`_N9oBQ}X4?iZcJ>_LV7H27zV$s7h@=JcpANVV?`n{whn4d*> zJWu4QJd@|K25a+5Uc(#Mnm4mM`*9HO=6xK?iF}Mt@EOkMBEG>je3u{cb8hD@{>0yy zJq(bEpZ~J}i?JljvLer6Rn}x3)@KtoXIpk=FZSnP4&!K!<0MY!Y|i5XF5wEUO7Upp*!}2_X=dwC$@d`F#Q?_CUc4J=-PTt#e5{eiBR$CIxqs7?pX9TAiHjLM@9!<;_xKUF@M}inpY|#L&cm}N z_5*oYki}VwHCUU6?k^54|Fiek(dUKBP4VME=yr-5nxAq1-u>30 z$E`og6V1mT9jD5eukt*D=dwDZHmjihhM{o?I{n=FIS)9uk`6`!j zHP`b4e#Wo3lRxqR5A%J^&7&FJS6)K-WLDtWynxYo&&!psW@9$vO^n8Q_Ef%=cks~l zcWC+0?f8%0|Et<1fA!}an(xr^q09Mam*cO_SHpG_eIKIsT0_&XXG^wcSN7p;yp#9x z0Y1zr{Ckh@$(BFa^ddg*&(?FYdWqVx|7iPgnE4vbam>7rE^%Cmeg`4hdi}H058V!; z<3zGNhsKw-exmcAjGljGG=DTd$&OopbbKuJcg|n5JtsRq>>_V(4&V?D=lvYd$(+Hb z`8*f$b*|((Ze-^DQHk%v8;+xEnE89ziF(QWz3fCi{8hh~9qq58_Wq&e0=}2g^h4X@ z$@WvVW8)sfAH{zUG)G$6(pdS3@Lxy}8|xSE?+T5DaCsyicWTT~j_+qfd~M~rF~i@* z+aKZmp0RRlW-IjQ*QsZVmcgFg6Sj-~)w50Co@o_Yx9Hg-twPHlJ<=+~CRAwAx>d8b zZF)9q)ur=I?b|kM-R7niy*l?fEzU_y0GlYxg$2o3)Qk@7}9x&t^S(w&-cjTDNK0t8IAe zrY>P&K;-a4C&aubNI+a zc&OvF3az?y>eQyQWlc=Kse6k~A*e?EdKFsq?Ag72%U(TWpVPK`mtL_|m*Lm+u)mA7 znWNWP;U77}f1ShhF~P(9cWB%N>EdF2Ov&PEri*(pMOzFPscJ7%hF7|ziK6he@xc=$l9!U{5BwgI2DdO%;7Z+>e zCY!(5I*sOUa*DXvyhm|UQp8P57Z*DhPxiS_rHgwkMclk}ak1RV@-0jk_jro9rRn0P zr-)mfE-u!lO7^+$ri+`IBJQJfakEmyeUUEii4<`=(#1WQBJPKDaj`m2wjBG@#m!C; z7whkcwu4w3Gg-bo>EdF2%E{siri+`KA};ov;^=dqNfB2jUEH%N;!aH$_gsp&*zYn# zpBvj2lPyQAA18{7wYihU)l3%`>tjzAS2tbUiz(t7ri+`OBCct=xR+AIwN4lJa*DW) z>Eafoi0hdyF7_Qww!Hn*#l4auZb-VgSYKYUeD|h{Ta+ShOuD$mDdHxki+e3a+_ZFY zOH#x=l`bxJPL^yr=B0~Unj&sty0~R2;+Ce1dm}~M>U42$rigntUEJ~%aUZ3NTahB} zi*#|ZbJS$@vLjvGsuXcQq>GE~)05@fpDu1qin!SCGe+O@w^GFANf-Bain!QyohaYh z6mhZp-J`hJIeW6@D3dNOc8xAs+^Ol})~AR&D_vadSeGo{1?l46OA%KyUEKRA;$qjU zqUGI?BCcV&xL6-XviWP8E^bqbxYp_7K1dPQFF6+*tN4{pIb0p+^!UH$EJ(hog%JGy14IC#Ko=$NBfsODdJ+! z$%x`&ZRupoaY4Gcy(!{qri=SAMO@uvVCyq=@U7 zF7DS9aXr(;#m+I3)nET~aR*Yw4M`XGTZ*`Q)5ZOsB5q8&xU|p)NH%{H)5XPpJ1AM) zv~+Q?XNW~{#lt*=Vp^=fF7|pV#HD|QuV4ICGW>rmE_(aWxY&EK*U90(*n0n?xKl&S zp`Uwcm=?XF&%HcMNFVooNF4jy=oQ7a3lq}El?V&iGztpFmN)il9sUd5hH2Hqf3es6 zin-!_jj?{DUZEU|i_QPA@L&3T{lgo(qJ0eNUYrwy<%~(SF8^xeZI6xxzc8aKaAz;7v78V#m;fl=c^w6=&CR+dPVtS=W^-S z$EXk&y%&p({vB%r92ytPAHAY~$F`m5-?8^%`Kncoq7J?n`wpaEj+v+DO51#FVmWRL z??uaT~dOtwB2hkRE@$%4u9l?V^EXdd2=<$EBQEZGQ#5KNY@NyyjxZ`qPBZ{v_JTEDSIu^57-$R_T#a9&!os#d{kK8*oN+v zZ2rcD2lbT5mB{y8h)uSN{D!*v=yM?33t~EMM~{ za;5dnm&o^GihRvyrlqZleVA9Wd>g}qhsI@3Ocjo(UGck}Yq}ZMo9EGJkKT$k%IQ#_Dg^?t}HWB1OLSAz$p7fYB@2 z{I&Z&r&*~`+Hj2%nhkPd_mUlylP4<18Um;6c^@35h65VpAO%8Ff zd>^OCH$UWy#YL}V^|v_WTN{F6`NCHqY5g^>l_jlilq{Gm->B}n)0&6(WBEP{CR-oV zLcW#Z{pgh}->#4^XLvJ~Z%YVHmalW|Eb(zUf0S=ukKAdCLr^T=))e`&h6heJj*c*_oG*`eEIu_d?6^7FYMxyuHQ$) zgTE(7$%4u9rG*CzM%(l56#1%!1X7fcXr+d@=%0sf zf6GI@<>Ad({T)b=@1zD<(mo3BN3Uf0M%^BkSH5saOuGGL4JX89qa-0N`sbnZH}{U* zX@lg8`p09o*P8#MA@zSwWY zCfoiN-k7m`Ge;fFS13ikN5hSN8L7XuqYvgQk|N)r=2_Azh4-UZ^v^@r@4oN=+EL-n z*!qawyO?Zw=eEd_c6gK|B#r)gXuhFia;L@aU5w=`9{x*~?}e6G(qh*cqgS$go5$u( zt1aJgDe}#2l_f1-?8Cg0ClJWx1i>BRiSeuE_0`grS}EU_-=zrB*> zD;gd=+&4tUmiOco`OY7fvG(MskguzJLo4@iY@+}Yf zCT1XCm5^__`8zE|zShIDWT^i+ZCUQW^*_h1ktJInw}gBdS>B={UlsFrdWw8=LcZ8< zrA4n08h;)7{k=29eEaXqlA-GXl~&~b+x39hz0b*(H_yn7Z7|77{rhJ3ZOJm5PP7n{GTDe`?8^4%TYk6zI~4_)3WA>ZQgW-Q-@;lE_-qtob&t>1mC4zAxC zDe?^n`7+YJ&$;^G^2Y9sPd0z!L%#aa!UjWV{B`K^mJRvZg?D1hdr69X--LYC6Di{V zpDf>~kT2Tb*GiGE{QViLzs(_Ee)AVjnUgN>`5|9M=5JTJ`Kyy6->o5Etp6x_C0pL= zYeHvscr#Xi;gmV){MCOTWBb4AZyntKU6~?ZyO3{qct3hY|2%a4HV*kVhBssLcXjwL z+4iz$OvdJ~(%OUb*C0i{BgSSdU+r}H!YNSF`8y%xD;F(nFoecmhc0jPkgrmBC$_xd zlqG4t+96*?u6K_L`I^fYPBD_^8z1sji_cNw|B}t$u8=R<|6QLV--eLy(+nJss)Qdl zi2bHgY*hI!@ z-hH5X#LQ2hKr#>5q{V68SD<;4M7Zw(l6k2}=7HEi1P6Sx7#SvF86OAv2{bL@09E+| zXr2O)4e~FrNeg#hA&?D3;Is)+I)51>gKQKBhzSNDIS{TvQ@4kKiy;c41VtUNIf~*w z2}Z~|0GLuxJ_mtTpgLstNnGOwyA|ZVY#@e}2cUDEfXNNibO3`5K=X`X93Typ1_Kby z1Z9J$CqQ)vfaBx&K#39{2AKycuR(SqC0~Xml3WbJND&M(PY_LA1kinJVUj>O7!v>* CA7okp literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.d new file mode 100644 index 0000000..2b6b8cc --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.d @@ -0,0 +1,365 @@ +src/ASF/sam0/drivers/dma/dma.d src/ASF/sam0/drivers/dma/dma.o: \ + ../src/ASF/sam0/drivers/dma/dma.c \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\string.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\xlocale.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\string.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/ASF/sam0/utils/compiler.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/config/conf_dma.h ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\string.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\xlocale.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\string.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/ASF/sam0/utils/compiler.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/dma/dma.o new file mode 100644 index 0000000000000000000000000000000000000000..399a4f817f213431997bb5ef42b85f4c17932fa6 GIT binary patch literal 787812 zcmcG%bzoCT_cuN>_ueE;nOISS5GaDjqM!|CmUQqCof>#v0rr-?)|5fm|f_D|Xui!%kA1nA& z!RHFTRPeQeZxwv6;70{NEBIBx?+X4@kSY!TGfhE5L92pZ3VJK(P|&HMpMw4hCRfm{ zU`hp3DVRpVvO+rV+ETk*j&Mu3bt0Tt%B_p z>*xC)RwsaM+$6jq% ztV~dXZ-L>lLH&68pngT;Yd0<$KOLW-e)-FQ(jhOj^OmX8;wti2$Hp^&7UO*ysXX`A z84;h(M1r3Op8!v#TP#DC_)0B!$bx9^*eVVDd+|d4ow9=WGvfK_hz`z>sU=fKhgQgu zds@kFReA0&bw#@2v0tk44Bx7zhE$dwF(v(@<4bO@fI8uvD`*iXC4 z)NYZk2M-w$9Ta0d;{ZLa$F;*7(C~bDXhYto#a5zx=l1Uu0-r3M5kIY>20rsVp&{r$ z{yM;RN@d%2dO^!N2{YEQEY>s0L34XL?C@81qr;Mw32uvNp^5_FO(}Q<> zRJtlvS-R+uGgZAn4MAJC#h(qVoM4SRhPHk#sBuFb9$hr_|BtpvwyaXinLa4mQYI>0 z?W(of^h;k^N1JOxCGnqn9neB!dt1;)I_%JT@C-AfskAf8ygJKArC(pQQ=5JvGj#vh z&P^!B^{qmpXQKVt<8!hRGHsmZ1zF}#XOe}q?sEO1f#;R~>L<={#$Ii-_s|k}SgvTE zVFB8S)~`$tJwXdE6kUf|(oc*{9jo^n1xk_V=PWQ}W^4rTqtGZAolK-C8-kXm_4Dqj zC*+QvA^hV5qDj6S@W|-r!c``9G|3O|sU_r!PNq4O{IQ-^l!2B|S-YlR^N|*Y#r8Ya zBDR5d%YhBbwA|O=)sCOve*XOV6`zmzy!`nyrneDdWcEHiuq8u#yV`olIR9EnoDL7^Kh+jU`@ zZap>2z_ewlf6>bHlDz~ovXq0I#%-M@T9_t`0bK>tli$^tQJ@(ygw@L*4Bg07$-?wT zu?90L^d*LFjUUUIW;3RFz_(eWihw_Ai;jYx1HPftqQvqkHD_EOHQLIo5p6-xXe&YYCi zR}RpbR|?9VEAE+KfnM3Sll2w15KT@>!n$Am*32uH=}LDDePtwJHplDs&{sSk$N9Fu zFqdEb9?UEEUTGr$g+nu%y)qtW@sYWLQ)g|#GI-^yhiY~FxN2cu1roEA_Jd$v1rxnm z&U5Bf$i!@pZ8zYju!;FN4rSDsR}m9)Ir8tZGOwa07U1YN1iUB{3v_Io1rO0CmfA77 zHzbRhn1>}xDRgGzUh#`47JF0h%21MucX4)LWeL+l`fRKF_I~J}fq89G(thrcBkG1+fBVdM~Y(bzmEzv1}Qv9GfDs2*3JW8!@kwriUEB5c4TB=KR1A^l8&yVMpIoHs*B>H4P8Zj*Ytz z=lPWqi}kDD239VaR*E}*M!?@yQ?IPU{}!-o%Vqv5I__P9-c58XSgGomlz{$lht`fF zq^4t<6;a=#ZYVo@~ zrQxpsFN&#Lb#&hmUa&~g_tXeDpI$syYzY#OjRXZ(cR<*gsTZE3lyn)W&(ojAv7sm z(@mYktGA$zp@ke4?h|jp{!37s*Fug9_mr;4M1Ro~;#$ac!#(>2A{io%py;%aTZa2~ z703@29VP!6hHRbMwpkk(#(%F3iK^P5WxAo~fjsHu*~k z`U60a12(lY*)uT=l!N4sL>y!Pz`7{+v^*Z2ByeM63-j>`;X10k8Jmu%8C-NhF|xREfsQ49Co zP&jI=&<^egUEXRa>FoM&bLpdjXctUTu~AI+|HOM#SeJ&R5)ue zmnRMO_&Ge&S2GsvnNoqViY%@FXraW*Z6NW(PGs406&1ogwbEIb@D$vDHJPXXSS<5A zx!zcr#q-A(4aTajYES-O8al>yz#t#*)6C^?s1__+W0}p3}>9WRPXmndZrs2g^LqobOn)dT#H-s?*cF zD;9d5E+`M1rw5iQUY?pQQQ)4uw>k6n`1l#j$I}9*Tn^6_Ncwti|A{rAXGB&i>1sF~ z^Yh$9Hj{bMrKZBkc3wx}yeMiz;XGQdp>RHC(or~-Xp!joh*e)o536XPh<4@IP(%mQ zpcS$79?emj$N8X{_i^gX5zlKOP0f7lH7j$J;eSX}cLyE>x2)vK?)=SVVUBW=t%VHY zZe9fZ@`-%mo=)l&68XZtV5wk^iiv#9y^#2o68V~Y72%b6JGg^=JtVFob5!A@O%$ba z0yROQ$##vlNFm#)L6U|f2Ag=FL_Eq=A`_BtWx>R=u0Rzys&cBz40B3gm7R^uaBlwx z^}an(8f|_B(!oTK56Qlk$QI0bj5tJ)56S+L$hI=)6XFm-J|sJ(LxqBR^g=qA2tLA@ za{*vz^@lK;YaL<{+=&_pofQu=xlMvE5lX$WFlQc<;2?tQIxOWi305MwiIC4EScniz zg#0GKAVMe+3YY{P1oB^a2l@ZrQ)AAelA}wGO*nHr1ShOf%P!1WD1?&Tnl@(6Xo@$i zQ`6?mS&ZbFUgiU=#*mp{(tyoBa$~3ot$PU(*OxYh%))eZVP^xA;6w5Fl?I`aBv=H+ z1F!WeL)Y}$Ft-H8LlH^ z!6XLDds>j?8&?qe-d~o<(C(p0hW3}Iqzv(ZY3N8W3g;l{29p>JojV5@dKi|e?6dy$i zBFaP3awtklqO>O&UquNdihT%VoQmQmN;0Cj6eR^wyq=(H{S+lRQ3`EEl_XP?08l80 zI2y;OAAY4Dq*ItYAIS^Sod(YaUPf730bHOYGRjUAC`%=yEV`6ZhgsLWfPo-sESR)l zI+|Z0)7R^xa~3mA>#`UYgd;<-DiE2Wbf+LwT*;98@D(IeQpvc8l8IzWDH-a3;TuUN zPRZDal9OcOl?*k4@SP-6R>{cDg^WeCc9$V>$pelSU<6wY>h zLNdlO#T94H_FVRPUB<9FEm~bilOnqcDiF$@6op!5c=Kq)&{GokW0S*hFBxkvNunAi@+JPL zhO<6!Fi91JY{OTGGFee%8@@%9DT*T7@I#_ZRTNoyFNiWtQDhr_Pn7A3BHQpcqRdbf z*@iU-C^HqsY{NvEr6{ru`w?ZfqR2M9c^8Ucj-tpmyo;kK=PF8K8(zToQx3o4M8mnz zxbtl%P#Sq=5bUYv9{U{5FoxzmEE} zD~c?^ktE}-D6#}UlZ=m|$Pzrh9c~Zs3_Em_o!; zY05SjBum9C!BkR*S+7Ufm@~CB<|M7)0eGMUV}!pO_B&QY6OC2AWgF~eLwv;*MV4TH zqLfe+S%L{fDXAz_f^r-yZ4wNB8f}{6ou)HhiOBJGVmm06F)1!N{veEUB0Gf%FzfMN$VX*q%t>0oE%AW;4q^=1w_r)` z>}c9Imqf7NUaU>B-$`_)v>f|7E1O#iqMdY+27*Zv<_3tHWNrfvW}O57%UpkKE)v5X zB=#nm8?4N=CA{$ zDBo#PKbRz8ZLt`IM7Uf$FEP!TGl&E4kDW^uMXt8sV3Amza?D!b8mi}r z3^te~Q8wP%HVR$Nu0EP9>fdHphobzNU40dWW><#NvTHYzT)MPBDJ`qRPs-ulh!66c zOgafBNw^HrvIQl&%oFOpnlQZ5~M0p!dqodlC4To%&i zCAloD(T?3*Zkua}B8u`WmqisNF_#6keMv5(wT6_Ixh$q!W{yRhh>=c$NfIurXaT8^ zOS!nHs&YUpd~*R(O;Myvxk#z5D2XmBYk8q>=CY=CKFMV*<+5c3)Mahy#7UCDF?eA8 z)LMH$ekX24A+*ut0!nTb!w)<}t7>af1Z@q&pFBsaYNsgPM5%NSln#m_V;jh6fKYbi zlB(!NjYXMKLD<} z%kYCq5}DblMIsR{N61~KIdg;z63!i3jU;oswUm^W=JqIahwv`LxmOwpCQ0$7etvGmQYj~?!C@nMlPMLd9 z4wdp=8VDxIlog#^PnH%(HZR7(oY3E3kBpje2Lz*LG`$|Sks?I6<&Id_7fDoi%YCh( zzm%xW9YxDaiyntP8jhFUK{b$3t3F<$4tJVRpf>%xM19=_9$@tI()ZvvLxecp$8v&e z*9&4*FG5`IK-$B5>sG7_>FveZn#jJ7{!;8xvKF-v7U36m+QqG;P7YZkheoCU{ z-3NxjRIpwOT|$IZVDca+Jq*b+DasDg!9=jWo&!5+^=eebE@uXP6o~FuPf>cIdH|Xc zRk7?XVR~bU3b$>TcOuGwK``=&WD1woH0OiTW^W?LF|9S^Wm-{uK`27*W;wNsGM2k`2lGcc6rq4 zfGhe^lA&Uzp-~qt%oRhr!6XJl)e8cKrpMIjsv!-@DT)kLtCeJ^mWPtmD_pfrL#L0! za~;wRCNUUlF%U3x?F=|*DGh0IxP_tS^GTa_;I7s!DJc!LF%6x1Y-O&tq#I0PFf`&g zVCaoxa4_;e4Gn)v+S1VIb(EBb#+Zf{`{H1BEa?W57!0jSo(_hp%+#4{^?w>#nFk!x z(Auv_hSr&ehD5^ideRLhF&H}Y2O09ph^(FcPeZ5wN-}i5I3;C7@&JeFQ_(P87fCmm z#C&wuJ4bpL>RUrF*ZUnw2Qi^1>wxgVB+%+N)KVXWk0yZ@JE8d|!_+5}Kx>`QXNN)f zY!Yax6Z#&nFkN3v0_`0__4O!^uO@+(J~)tby^Vl>GvD7wC58KggqV)2CCv4cA`B+6 zl)5XB??)n>;VOa4JR>nrjxtp&SD(3p)c#AZ*N;Zqm@Ac{$o1LKC{R)>id>(St_TNd z6h-cMhEc|Y6-DlN{4=4D(<+J#J4koA`2)luM`%|%UYOFdoYPA=X06i(H5MX+4JJv1 zUYPeqmc#$KgwTs9b8@R8OR}h<$k1g`Mk$I6{o7Ks$!JB9q07=$=RaJAE{iut$;i;- za5K@Bcp{V-dLcd!ahRc(;2)DhH&2C_^+zs*UP=ZVOp*w_0WTej(6{5Nj;o<^AVaqg z0;Q3n$j~p&L)&hwC^Gba{syIqqR7w_mVwe#QDo?=SAo(@QDo?;*MrhrQRF(g?q*P0 zD2m)?PKrdKv{V$i&n#a6lvau&_nDsygVI`2j~R#(>#Qhp zUG^R4sje=HA`idprO-~hDvDgG9UqD|*iBLF)OFAX>+@Eq6|*9H@O4zFvJLi>a?Bd` z4FUF&RToT>DY??mVxN zWbQIgNog6~6=m*A6O`grX&{&+VeTQniA1J~6)H2=qu-(nAGR7T{IR0Qb#L1(pgd6& znj|uns9BY{o+%2gax>K^4$5;yk%x90uCrJkT;E}Sh%O;9sR0}wlmpF_05C+{nOQ31mMJmh)B80p9*Fv1$qKQO>T!guN zL}e(75Y4@{5z4?JR!XkT{jLXueZ>cfdbw-=gej+p!XPU`?C$Cl5xh$zNYq;{(OiB) zmy0kTx2-cwB@_8DI?w{{T`Hjd;*CTD-SOR^8z5F9$G8vV?wA~wlZ$SsV_XQ5>p52n zu}WqkgS%H*aNXj7Sa5G(S>9Q#EZJ~+iD+mHe_3AM#jDd1Bxw>fU7w1pV46+0Su|#+wbx-VmFqP8 zG$yE0e2cVfr>^wiH*SeF1}+Wqi;^1=GZnAz%fX3Wjt6JL%izTaEO>6mlY7qKDLfDG z)L2CH$wbLoyf#T*vkN@4@1~c>J8(Nhc&1`~ta%b_aNrqJ9=BvXsUG3wF^kwaSG|YV z+w+;E8x(|`c?#pnJ%8XSJah2WJWs+&HwRuDQgod@ET< zaSf&DsgHX(oJ9=EpJ;z9ze(IXc$3dOMjjjNkDrcQu!yWB@ETv{bSAfD26w{`k~x_R z7Ce`50bh7BZo~^+7O@Q1Fipu7JQqn$zKS~t9(Q*XfTy8BWm2g(a>^pyIOjJdxA5vD z`4P3uJhRu4%-nRy5sMi6SzRj7_w#-v(`P=(#GfUZSr$%5V% zg+-=*l~aSD-nJOSzJ5anPQ7gu?iA_&%DmFzAC*p@r5)(GRRLS611 zuOa+Fp#dyV8-_as`WN1ga!}(f>hhaxRg{CZAwYkqC^!pzw?W7K5FxgZ>~@@T;$-ce z%I&yt8`CXkc4G{Z*8~RZ^Q}c^>Cclmc zD7OKeLvI2l1i_~jbSp%J z__-IoLb&O~4jEs7yELxP=^>&P%nDpJwo{e}ksT6OMda=%VjPrYW6^@dKyW>eJk-^G z;K@A!biD+xpFvM+Yy_ncV)rjcmp9W*{9>Uy95#kK9K+Y?xWmy53!y&g#%*G_!{Nwi zz=~0wx?vHl;Dl$;YP!Smqbf4wX@WO&hG$G9btPLty2G(3RKp#PJnwNm%42P1;0{MP zp5C5Pc=~v1Q3(V3^&tRIXJ@fJO^UTDZyJVi0__l|?=K<0I9)Sxn$vwe% zrtrjU;<&?6_=%1?9J{Or?r`MBLL|tuB|omYFlPet=1QF%UU=pi$d|)~cg{Qu4Qps0 zjvuAaAtGqhL-{+5S_b1;%?4m&S?fN8XpSb(;^q*TjRrhkL=BK9%0Rjp4R|KOPSt%4 z(rQS|(&#*l7e5AyQl}A-moky;{7p5KUR!~C6)1K5p`kJH7vm+f;r9299uRFS$Rz#c zq}t}~WNq_Gxte0|7a+?~Z4bJmi z%EK7WF<1(+kWoB`pGZoVh{PlBNpLCP9K_8gA_-O|4q_0SK*P-r!iX-vHPj|41}0;` z1s~G~#ztnthZ~|2WFjg3%SSM<^u%?DNP>wz8pb2{SGn|27WBnP@fS%xuF5Wa2*g~L zGbqpNa*%loJl}2~?to4O5iZBrW)6Y^~2r|ENnukg=5c)XVV0=BVMO;ctUy|zqmVvk{d3WMc z+T?D8Zbo3JAI7)vN#GXP3mVU8a5-o^(h_5GIN=w~>b&;x%S}VjW^JvEM^Po!T zC_R5=iUc}RrWWK(%v4XAsd4`!Q+>D#GQVXi42Escr}E+bc{Xqhx_@$31qn&XxGTHY?{T9C{5h)Jd&W)L;i~7 zLjFR3<*zha#7oLw``n573zhk!Ti7{d7YmcTQosw-@hi_Lm%Fk;ePG!|ULv?pE<{La z*>v-GBcD{E>4}@0X9l-GO3*sP49jy1u@Z;I37!X|0JuLu!_3MGyg_0ni4_@uR6G*& z$4m2r5GzXQs0m=Kfk@PBB;HVBDH1N7v1sbGq*I=BYR;#qC@G^VM$N1hFp7+~j%)`& z1rs@1B>-fDAx0;Bcv*grEKu4k`GT;p2ACb?#vAaeD76BWK`RX31xg`N0g0GIiKu#@ zG)fGMs2j-Yr~*)nq@)Zhmcp{YpftK9l7LxXW?iNL9!UP0^GJdw&(LX=P@LB+h((&k z90;iVY22L+`{wv)k(I|QvJ(8-pD?i+`eqbe5f-QHlysulxx9rm3AB=Oyk1Ac^$MV+ zT6XS)E=e=V1>(xlWCIP7KM0kB$woqPh0!d1v?hj$neojYSdXOSuWVKYR+E^oBMF#U zDJ>RZNE*sHwd*+Pe<=BnOWPQd3Dh*>*Z0P_K9U@<()q%W?!`H^kGL|=Ak&NDV`Va@ zz))9NwogvOLcAQ)s}i$pm$n@kuSN#q#~*-xby^y-((9XG_56)%R0nZ?oWZdDU4G-E zT)AslTCU|H7h4&9lFb1!89k0Z$>vt! z{6k+0qYvYLJk;0UTk}ojTg~E!9J?&jVn7!en74OPZ_S2vxJvrMb_%BX7W6LU9p{hv z+X)d$So}dPj2>)NY=fvaH~01?Sq=pE7Jn()Igj%vs&_gT=bzmhXN>;8$vS??=C|Xb zCAq=6F$}Wknf_e!{bkn=vNkgyl1(PLMxs~$3 zCM$tSJ|s7#3Qi(HDpwLo^-B*E4tXJKY4VtPg|#RjDwg0#=@j)%p~v|rhUdu$!PLlG zI+<`_B!er8URn=Pc~enkP-bDkG#jeCgW&D$@b>Yh*u#{PteEg$@%@s>_{%H~K7Jv; zBr>BKsZeD#X8Gk-z<$JUnUGOt{l$;+A&G2xSSguBLb7M$Q9iQf4XOe^o{UG^r^Lu| z%7Jg|QDcG|ffk3CLrEw!bNwns;^zL{kThdaX7Z%rIi=y6-nC>zk;4YyE*yxMz<%dp z!Sb6;xXAyzO%+_CRqS|k%CHK;8afGCD>NL2g?(HMbPNA7h3SRdROZzzi6IpA27%Oqg=tcW%ZfI|E5LSA zL&7EU#QMds_Dk3lt(=Wfs~#HdYlg>ImER~B28Sv5BTV8Pvr848gaS8vo zzW}5K>kvqg7Dt>_AxRpkk;F6Uk7gOc{=&!HB_K^oNq@idTYw5a&5lWbXbt3?fSIgD z0R3JCNU7xbK!yOB;H(;+@()v=RI{_39oR%}`x%sBPNK%|G$jt3ro3P|q+DU(lqOgl zAAC2%72b)ZoPR+MG_exkX|OM5+F&l7LZ8# z``uPqQ2#f!@`BA-RRSdWpSH4rLLUA%^_2kjf7i!vp~}q$t4hFwk7=G#qzAuv%D;?w zk~hKf&M_(G^Y@(8mHhvkQpo_xUu~20ese{oYBrN3?-3y7!AGzzc>enP-8-ovqk#lz zrH!=bmn5b~AgCV#IA=hz8Ud30&oU()Q?}AC+3LT`R!S-XTH8`B(V;wk^Ib&yTux2`~~rZ7I7 zRU+L6W|DOKnMpk5A6XSLrJDpiDI@gfGJ$L;l%(3BzXTJ=PDx4XhV<9M1oAY6l9?4w zARZs85vmQUfyBR7NDzHEKEKn6|NkNm9DI^#8V1mGxfU8=*q&^|D%zghaGV^81++7T zVVi`LZOzv$rRdw4=qQ>i&=Bjc(N6-TGz!Pzri-o_LBC5VId3n+JO48)(;Ufl&DW-= zPOA~n5TZc8wqKd!c-!2xDi$`z{a#384{(l zWHfoYRv?p+(X|#HGb4_O%t|Fs79%WX52&T_twQ{154pqeWGW6LQTWax**EE(vl>Bv z9Yi*AWHZT$9v*XFBkbKks*raa-_a)(?LE(-QXhCumHNn?D)ouud_u~7=DAhs3&+>_ zB<(B5YhjuC#`CJwcb-qBe(?M%^^@aev6Sm4aK%-o`pa*&QUn7;Aw?S~@CsRS2ZRRX>me#-9(u%h+9B1(wP)pg?2ycKN zZ_u@%cBX`us=X1C`V#s;SO@&V3%ybLUDdSxl6N%xZG%Yf74M{5-tn>1(c6vK6DQGW zD&TD^c`a zd0J&P(fAJ0-BdL8i7LzQFY;Zhnuud;XGDQip^C%B- zN8me!2J6^{(l?zHN$T7rpSBlCw)svrSE9@e_61*^Hdw2y^lXoFEQ96VO_D{=lCPW^ zQNo`SW#k=7mp@7thUKL+_a&|7+sM}+II1*Qx|L)ztCM8%b|T7zUL<)miqakNO*(^} zZ%H!agGlRfO`?RHr1Y|X$jcMFg)-RZLiF5=IoM#^&ry2QCwd-FPj<3CrSw{iDu|&g zr612Bn+H0PubyiteRU9}vtv{+Six}eG74vi1{?B{C^P8G*=+q6_ijOP*%ry$w#f(XO(So!QliUOSTLIsTD;yO4!U zv+3!xiM#}3Y&2NdCwe})Op^R0(fe&7d0*V0KuNYC?&DrWzarbfUv_*=>;z&zgj#b`v;~*abL(!>-6Oe=@S5LX zuLh80-_>NN%qXJxxG9oict?uX@|e<=*<^F#E_#mJM3QSAM6cbAbT^zQFN-QujdaJn zYp`=xl3e?UtgYEccC=QM9^j&9pDIN8_7Ca$9;auq>O@&_gPyg1lFVLQ!7*6XD`c(G z5qc)SLy;GkJt7j@9fQ@ML4Hg9N%7puMU>0;No#ElilkT;iec(y(jDB3(pT^*3pGBE zp2r)L-$zY}J{%Vj4A!zRd9VJ3tX&Er3ju{l<}0pZpbg-#9`(3S#&ee_J?@bAJk9A@ z4nJC8uqmxc_uM3+3>!)EGlo+XPGl(u9g-PFY?{YsAf zBk+5X2K#Y~C~x8@{Sv?TVz81=NbCJEve{9#ft%xr`|lEpp_DA2r*A0T2YW8`^pE6s zm>FkQU@OehJC9&O#PCJs^5({MZ>rqynV18!v*ePR<|3_{viCK|O#;lJIz6-2CHm<;6#3Dnq`N$fv^K65c;T`TBb$Z2%3{H@$8378 zJVcbpWgO4P*GZ-{)}0o%8>6TNuRZb0XzWBCiuQS0ilM#_**}ICA_nsxM-k>&PQE@4 zBkv>gk$mHalz#V^=nad}b3t}`UJRgkIv*yP9|O@Q*wbo)D!#l{6u=Kc7yv=Hpi!_Y!4gLy6ktRcJs#%s$^{swQ3j07Htcb}FS zeD6Rg&*X#CK;#Xl8)U{OUVkgFe!@GBBt`Wm5E&~Rvw%$$gHIsG(}kA@V43J}5(-KZu8+?5cYj;&^Y#vkL(TX6aS1aIT%0rV0@L*7%Wa>#+6F$g85M< z5f?7NK-L|7Ad{`cE11u9vjQl&3#Ws4o>PO7j?D2H^t|OpAf4|N^`!ir4VW$vh$|vS zbY_|diXEr9B_=o)d5Fai6r%Lr0E2k!168Bp-ahb>G3ps?W}b!jcE&J%4VPw&;rviFfDzm`7V0DUTkJTDQ5;{+ zXU1smh2tJ$3_tS$3S)U^oD&%1_#7*Wd>%g=h058+3m%2ub{;+&G3?+G)j{0Jr_kKI zi+`I1Y&U=AhR9L=Vj_rV`RujmC@*+FoMIUx#E1(NwYZG$aTue-t$1LgMXls8Hb%5uNKuQy z_zfsyoZv6P8!sX*BeDtN8E(F!6%@pkJ!6vinNjU+giIN`p zTO_WajR0@RTvuhy-rH6lm|0d6;q3~K| zp>c8@zkp4*u|@oO5!gTC^;odBioP@>ZWEL9g1BA0t_iIjBEb&cP7#Yep>b6Bqxl=h zM58c>92dLKLE)Xg8y{N&+iHyULqvy-4LDCTju=m0fOpiGH5FEl8Bw%uKW_L9 zf#eCp?Fa0nQQ`m!^0dL)LGp|-b0$omHGJE`(K$m<)tonW41mG~qdRW68yAgKLtyNZ zQJ=tN<1B@H#mG(GuNr+|$hc-~ISA9&jSo*Da>MwuJ*?a`LTPpMuQ3&6WZW_~lmqX! z;oA~!?-+I6Al@}r;0C{O&nS8Z3ipjCG+;b1{<#F=L*p7v>rai6w4QitOsB#5tMOzV zh$AdTX~QyW?5#5)OTh zLVw|wg;DrWDaaR@LcJ$?XMac*drr%UnE5v#Q+C)RJS+4n1DR@Z{gJMlrYahCy_bt1 z(=sGKytIn+hnIE%5zuYl;T_T)y48YY=OG3t-S*4?<*&I7Am3*wUZom+gV#fAAa0@p z4Cg79gEpG)r@hb^-gydQ8qY)Vg$ZL4@307VCUa*_C{N-2X}X%mb4R00r}LUIh+`&C zOFN_4e8@XUuI6oMHL->_=?k!yhtgcTj*rEyX=6Q)rrpg(p5qkMH}R*`JT~*)S%AId z^`c<<8~4czz3-gvq!~Z>u6MwG@`Fp@p`X}~pS&{q3!j(J8zA!SfyiLNmV-B3XtaTu zE5_~xaj`f?Wwu7#rLlFB$aD?ZIWe84tcRi^E#^Lmn}q%Ko=?7n{H6L^pHH*{q1T<0_azt;7WP=BvKrg7|>K8J?9@A@$e#>Nl5G1Pzhk*+bx7)UeMWTX2EfF;J!lu%e(JdV=0HE)7bzKVL#KUIKr=0h}>hzW}ireDgkFb9vSB5Lw1=zJUB1oM`a>^4v_ArDjIgDGu?b>ZJgm$SgCByoP<%fR;wrJ3 z+Vy6!;|$#H5rgQk;;87?2*it`9<_qIB5h%S2V&2C=sgxEM?&P8cts=7OVMTvz#Fj@ zXN$&1QMf8>4%0dT$LU8_0?gD$r$9(|^_4W}Jk|%( z$;L;$=PFXr8`Oq}fyNjog=8#T4Blv?BTeU14UXUMH)a`si~}~`&{o0p3S%H0)}fj1 z263~|vK$n47&~Za+-H2s3o9p$)8F9sobll()NdNWcn^iCqDufIpBf)Vg816-cthl) z(L5W1_-2g8$*s}f@)2L8HHKJ*9|9O*nK&5=lPnwX!p)dx8B_uO)>)k2f$gxg`~>x* zmhz!6cFoe1rq}zHlO+M(Sr$(N@rz~8F<`^3@iYKVww7-Py_r@k4y27G))5V0Y=w2C z2C&gu@(XyotP}qPcEDP$2(aVUfwLib*4j7)tX#K#PJ#U0vW8Rwcw+sB7G^K3S8;{W z_-GZhqWNxpmkiBxpsm5burkb+5tYXWM>K^ImNG8*6-H zwQW#)c-UmKmjJfYR)?m71Gf47pnlobx*>=+ZTId2+_S}u0`aBI@g6om**32Q*59ku zes~|_wWkNHO!a!W6(KG5imCyy(yKFGkQx7Y9Vh{}yS;Y5gvcSU;j|<>=T!hd$Y@;k zTH78Xx4p_=hTG>}6Vt%-TdxqB1Achjp-tu>dw-gSN88iWq0M-E0F9=T?NhUXH`U(Z zGQdo`Zx1NUwb!F*b-w-YX}}iQzovz;Wp-^I+^(?K9f~;D+GA2fWV3zBCt$nmA7~Y^ z&%PF4dNvN(v*dw?BleOlp>V?fsW(D8V{ft#ymR*YG@)Fw&$dJFntjm#c(`F-Ms4Y~ zeRyv0?%Ox)2Y6_&H3fQ4?Jtgj_tJiaCY0Cqkzug;-oA+z`Je4;=^Xc~ee4l<|7joI z0@y(BM(==)@GcY!y)oW7@ihr!f_KSmP@nFd`wqZt??(GzWr6o?+G;QLKG7eNo4g}K zAi3T9M=^lC-j3x6;;{GbXh@#)wv|Dg=e_sQj_;OtTk8Gyy?fI%_{94e9gDs6&ej}O z-g!@Ce0RpU?DJ?Cz%`%XeeieFXBWP# zW8C%`KOQ#k`5Ybu@X%-8Vu(EPDS8Yd&wT>-!`LgId0m0M^%?jCybnIRa3W!R_W6qj zh;KfP=~VBhPsg?Z0~`&BIM~rY0(uD!L1(!m9H;jnmeCGR6nNttb^n6fiH@QHFgDq7 zBt5`1M-e);nCY044%i$==hRT2@7Rv-$QcV9bKb(rLdWb|z!p0S6odLw$CSsgx!f_E z)}X5$8|e6Mjl+-5+SWQc(O9s-5t0@Pn;li?XnU)p`ZN%?IX=?J->7bJH%{Qp8$ z_Bw`mK-}l(_Xge%I=<0{|ByrP4ZWj|T?3$Z#*y2iHbC~WhY)~kSQhM4GGD<9MN1ai ztXLxGC*UnvYrep1jBNBf`IhWK)j-ct1TSffoS6&2cCKktVJ!D>e1Ff#bL1nQkzt(? zNZ!q~%+BXcE5hg#v`Q`&wjc5pE>qTP*QevPrrD1|x81>~2(D`hUr_qwpM(ep^D0@v z7|ZXjfsKj$`2`5f;f>A#EaOFYfViHoD2_eUMlQqMBsW)<&0Ge&h0BQl;WFf{oT9bt z;uJcq6%6|uIx9+E$Bk#oOR4cpwTg}-(xsxQAapdXO~PHYC(8Qm8=g4_cfd2Z7o9;C zn3M_JBA;n{67`ba6cz9F3hB6NQ^74?V+P``aumB?>B*hxDYBd<<7Dtc^5TuNktw|o zo{_5-qClc%yoK+$CDfj)yh#APAFqD^pg-@$pf`Y5z%RubQ@NHNa?|*P!*D&FpALtQ z`TXrws4d_w8qF5+y9~rt{H6_ht9eTfD{HtI4&D}?X9ukO!*`Viww3QI3oHA%a|~=A z;6G?~KgdhaS<-p_&<7$Hc>4+vxyS=)K6t>xS|fiC`4;Lak9bpjLDcxbd+&hyM?P#V z+@mkue1%hl-#Rz!F5)Yv4^56OJO5DPjSQvr|O@eACZZBwFE01y<#T6>gV^ zv&EpdNnFhjh0Wr|5}4j1u5SW3DE3m04vB7I0H=hF-T+<_^=S`vLs+kYcvEbp*9UjR z51b5Qy)uH9CQrrrPVn$SeD(wArO3_~5k@+aL4$tW9-@q-_eizZxaz+ikg{l)CnKc&zt{UVZ$L5r?cs{g!c{H290!Lqq@akZ*LJc7ctX*}-jc ziH`W1I+lXotVsjVo3jpxp+(`xptSrz2Q;n9J0RKmbPjlFGww3HwAE;F+s^qEk+eUu z6!tqj_lH);abuv>sWa_oI>*y-UzhHuKZhYd|=|DvNr?$>FVLusNEy z_=+IM@GckNZ!E9i1@&=!axfIe^FMI5YE0mQUVTmE9ch^~i8r4P;$%L-8|qVdPy%dD z7XIe)=d^y9$9vF{ zW|bCj`TWudX%(+D8j`DdKY!@0;Zr9;WGzoiujSV9+0~Jw^}HjU2yEaFX^z;) zzefPu#DA;+wwW)bmtRWmA7GT?W&@qIxofo1t!VW(3B_wz9n{6Pn zi#K@>-fn*WIf#4s6%MeMV=sp}lsjnf*w6P@hLr>Sn-%^J@vjZonlGleD`$A~6aZ&=7kZO) zj(f%eJJ09M0d|46@<+K`5vIydDQJ&UOi^Q#yz!r;#6vPs-H5N9PinX+&T_)bsLSngiPvhwd z{JRWryHecuz}PA=nU0lKih>%{lWaJyd2EC|UBVkqur8XHBq z3^2V(+`a?eW-c0qlY z@GJn>EmqMkXOHMlMU0_ir7Xs6w_ zY)g1)XWt4+`>fQHJ9OIvO2>gt`0doVJt&=N*vs&+ zlb7lV;x4>_0&zEw3Wwev-jkM3d-?R#u(^-N(SX07Pe~8&2YBz=Fm{k%r&G;C{A6u- zILw2WgLs6Gp&iRnK7JjD$N0Y*Y#!(J>6*w1K8)Vvp5!fQ@H)kJJ%r8E_z5;(XZV() zP&msE(@y^!e(M-+&+~0`_2>eB5CF-Gc-IAEm-w6VuyUD?qch(td}Tfaah1302yl(Z zUI+0yukaRnH+T=4sc-Uww0``Tcg5>bOrU)!h}%5NY#6)4vn7M%U0(4b^zLy-MG)`v z(x;&JfCpDZWDogdx+U|7=O2#99`nxMA@YPT?FPN4{QW10JmWoDz{7JMME6%-@WZP? ze8~%@0r3?t^c?XlwM9=jaRKH@+n|JbdTXJ_Gx~ue68WPyXi}i1ZUrX?xdSIJfM_!V z#DSvj2S^SQJtjkMuqaG-p@xXdbn#%QI8S{gK{TWjY=i?T!DVTtJ92gIdfcpgYD z6Qi0ya=FMxy<>$KLrb2OqAG0)R*9k)p|Dy^pdIcSF>nGD)`}+hvOOly?v#~HBA`2n z2gS)|AfeK5u6s_gt$pP=cM>q6WA&7hOUyG7M;^W z?~EAM0zsS=pEJYQIq|YTM9zyda}oRn@qo@TFADz;z%GeCS0Hj(bfPux6%n5W{;rDQ zRDah*0)8*XxGuKR&6XSD>kNRKqUcKS{uO^r1a?b|w7}7AvFIVNJ0jap@a~E^v9Nhh z_|*gNzUWNv+#ZN*sQ?~|g}veZk*IqXyvL&bcMzY5Lp0Mp74_Z$dnP#L;kl?8k4(Q1 zx#-2wOHniy*eh{A1;A@DiAKIR;wJ917;lA-3&Fn=?P!jCFDkl0{2+Ka#PU&GuLIsE zaU%!ZeinV{K=F%Ml^5WvaMN<=n|QPoyzk;mFcf}>+dqN*6pI3Z_0ulB2i9LJ+YZc8qqk3EBoL0vx8*S_*8q7UBRHp?#$O zK2rPM4A>~`%s^nHwHEZAa*THTJFu~uKi$(Ar>&d@FkVYY3*H1RC=NNAs3i{sHc4wY zAJ}A#(+#jG+H*Q$nyOWy0e70#gj(`+ttq`aouReF7l4hKT9w=|HcP{7jHa(`iU2l8 z8-+6gW3JW-cbbfOTC3W?=4(d^04&fdT>@CBeSZVq-`eCH$k-yS2%YvW*3M)EwnWQQ z5ZF@f-3B;XrWK-TaJhE38+a?UgvrRtO3juP*<7Wy)gZE3^Y4dn*Jxu4!t`3L@I9Db zr;RNGh4orC8fG?VdFcJ^Mr~CkC~VT6PlC0JY|+*=g2+Ewn{X&>)lTmOZ<`j8 z9SYmEAvvM2L#s3Z9(HQk=yJ<0t>^%CYCNMArM7fd3!&}uIjzdl+(b zS$j<909UjQ!{OnoR%9F$u4yG7LH)XBqm!^3+Gg@_Q@cZlCI4z(bD(fbi=tO=x3z0D z&EL_|H3RRiw)Pfy_p~tFcr)&6M@GQI1FcO0u!mZu|Bt4(0MF`Z-iMi;ee$$Wpp-%j zrN!H#rA3OnySuvyLXaR)A`m6SiIYH(;Fds)goF@W10nF;^LxMlb#a|JGduUp zp7WfuJ3F%{IKsmt9w)1ya(*cj`(u9i67UKxk+A6r8)^dZl)p&y{fwKg0pK~WmyqrS zyDbCYCC~o_@K=0#2^_uV2upBpxP}D%Z+Uw;;O{ua6>aa?*AzA@`C~tDA2_WVRz7l# z0kB!c8~p+J#G!{_vzoscpz@i05}@*h8?FN})lFIhZl<%W;lW&w!(Rh7Sm+fM@L;KX z?ghX~kCPmgwRRc^p^Yx^g)v)wc>;b-JN>d7RP6Px)o63j*+y`V+NumHPWs!8@ZhYw zOT6!*58J|nt8V86e{Q<|2XK4zb;*zH)ySUU{nK_|0kBW6=?ZSYuJtWc4(R#G036hv z{sZTs+ek+8kgj(P{toM1MSvgClkxyKs#}x;?x|5v)F^w&x8-~zO(4BLTvh{Uu(+Akj-j_Z-u@OMIYEC4)MXFLWxL`U@o zE>zc*z%xwy^@T88ADj98bth|+)AqAglyN_-ZhOD)kB ztJ~VZL!1uY2%GUbL{irYdffp4PV3te$S3N;2H=u(`bxAVYxA}crsyrQl|EIEZ3JAJ zuAK&zbbTigHqYoI62WKaBzXoib;b_(JF8ntitwE7A}O#ey{_;7zd7*dT*;y|kM03T zi?2+e+A>P!_b$Ef{Qs%WmP^ZUg+rc6d^gndAUbiM2K)(79@Ui&=F{K104|_diR%if zuRKIW6o%DX4H*)f4S$Ja%ZB2()r%pp-TGTgG)UXP=?<_xD8DnLgP+NhIc)3>coNrcKYokhWj^630J^C3yNP;3wd_4wXf6_b&3%^~xLwN#AUF69$}%!3`Pg zmXGt%i&29xOuqaIc4m9|z{ldrGDfd%Cn?6Y0S$oNuyiL{V@>|FLUWu+u~ahSO-MEd zWSF#)bj1ae%&y?Bn{3#Pwj7fjS;Jg1W=dx8wXs1S{ja;bKLwyUgt9&Z6GkJJ!gV+` z9SZA_6e`d1DRS!rzft5V>z6`ul#){sxz>edF^w_@yo5eD<5Ehgo$N3zqp4EEDW}w9 z5I&|il3lK%jBE%$k!J|3>{aHnMs&xY#{$`}cG>`UK>0|n-9tTD0q&6My$@YFqDILd z@;a)1l%=|t8Y)R!ZxuNo#8+8M9>Pzpl4Mr28WaW181=X0pW+nlL|eRCwh+R%YOSmi z-l-QZ>h;gP&B+Ca8Q?M@E2rQG4P+%y`6Dz|HxWBOq41>^>Y> zvza%DEqlnK&5jEu!HNUlksN~~Uz7L4nRnlW(1mM^1I~>bNG5I%x7r1vJDbTq?0wvM zBQy{2l&84bgZ!x*gooIo5xB$5c-k>V`$#nG$(`%qPWUoHOPP(G#sC+{Eo3zs#DjN1 zGZ2 ze0&ldo#v=c5GHX8DGn#|V^3(N@%w+^D4qY%u$jRTyP%oLHWCD6aSz$va-RE0z+A)~ zYyf}2!ICL1;SYsSdB`^_!IiO7B{U!LD|rkbbA(jFD%c5s5g9YfM=2FP=SRcg;T4~e zTlboOmXydlPLKk_duFNsf8Y~8fcwb)`=RoQ=e2{)YOZAoo3Hf(JlcjT-B4CYwg$qT z#1@YmpWw*ea8DAP4oDV2)5$PZR@BZ0Eir_Pp<41!(S|YG0EjVY4PmU|n+?Fl8K(T- zXlGdbJ5&-3Hj*klZRj=+B+>AGCafeIc1h@&VhDVMo~0VbjewQ&hKZ9QykKZ6Il^p1 z-e9y{GuRw~&FhBRk{h~V2(AZm*YNi#XyzF5Bx8_k@Q~`=eZy#sNZ69N5F_^gjV&#g zL_yvzT-M_4^ED8?Eo-5leX=5O-p`>W&ig;Gf$IUi>OgbgMG1ihy*L1)gZVO0Lncao zdT6NRvxhyAbp7z&Q-GQ|asl*bt?CN>IbKg7pF74Ll6iL}IGH~+2EWnL~kXecBYcn@a#gbrCR1nX``XyMu#OH*+WW7WqZke zH%zcnr@+HUdXWQM75UT!_la6cuB@64c>?g6hAaa4 zLYA_z(o_wS<(ZkPErF}Knkh8|3soYy0ZUaO!PG(3I~yJJP?leTJFG5CZvKdB_#IS^ zDzhgbo~q71*z{8UWy7?$S~CvbeUy?|+E>MN1i(+ZNYUt+nkD0{zp9qqodK$31Kb9x z+~Lp+QiBGA99Q>e0)9gM*&TpjRbUR4WOYYYI4P>Ggk5QBmK5XCRh4{-ct#Ct2F(oB zc>vlnRlO+yoK-f0JEtmc!cmr*nE?2C<@_g1Ur<+FAk0^OKcd$KYOj>;i_~B8+&@rG zwLywiU^56y)C*ZSK2+1gAS_i)zXrTa^;it(X z@bFail(F%ddMTSjpQ~A~(9ajj^e4bys=;kQzNlo0o=w@Y5N+nX^d_#zf(Lwt6-)M$ z+N%|t$@5~(4ss1P9DN;aw)|6FaCW@i7o0su`2gp@H**1SbK?1H`|@rpRKCG!|}a4DQ4Z%!&F z7U81PIQBX;)49W7aA!ErfKFs^6R8Df@|WK5aF!SN0e6lgcEW8I50|yqdERXfM;ExK zBn&QcRR92&I6-nB**xzgG%s@_`LN&$zsZBYt9-Qvj9udy7tnT{EhJTQgC_+7ev|Xt zg52WNwNSauuk4_5ho}Do++9wWtz0>5aR?rAIaNl6d(0V7xzB0xJLGZGEijhPEp6F0$1G54)T=S!F}pz@H{CP1Z>4^D=#jLZIm@DcZ353Zbh z$W!;2ZL{E^g42h?^b`In8RRMNkllLEI7=?&Ik(;pl^49V6dqpk8@Z9M_~<-vui1Am z+TO7HS&+A!nSi!;+)-}pdv=kGVkM7~iY%5($q-uWzh#`T)urEpv(ry&!;!rXdWn8w zorD6z|0bYYvIuXt{xPh!&yqxMuTRTirS~qG1p8`J9Q*xS3QPTqheLC~8%Fh%+ErJUAcLHY}C&=0@x5Xx)5Q z$}(HV_JVw?)hO8f_hLs#{u_1)zP5QxMBldCKgIcuXJ5h2&bP;a-Box7$KC%*xyF<} z{|K-dt&~v7oFcQ(WI;c5g)K{>S}9iEU@fk|d@JSxdCxN~`t(?naK|Va$`7^hBE%)#(6ZK4c#V&X?>~ z0Ov=M+n{ocPDtPsOTjXl#L=!(fG40b404(bk}yuB1^qyh$VBSI$>bp4#-&iHloV2_ zwj|`zXmb((=~P!9-!rsKg5nI?d>X<`8W#p~mKL>!+jBHZvdCGqR|2l{|EAlE7L7*uYMdJNo6GIIm& z7Ogsup53Ow61Lr;1HYl|E_Lt&AO|G{sN~Y~gCO_lem$t5x*d*d$fN1BSg$e@f?NkI^$qhy>sTc|U^YOFDG}!dJBI5(xhCwInLv(6|jCZ)u>URNhhR zmgviS@{;Wum2_4zG9Sn@7vv+oH;1r_j<15sC%V=QxM~_KPl1E#^F34?)q!gC#aXqH zj({K?$ne5ZtrVs>3aCiK<$*qa>-9QtV4sA0&g2qCQCZ zG*yMmvM^1xlcHU^IyVVzXH?DUz-1^K8LKnZ*<&DQ)f!36oKyE@c+FA`Fuq`_-u4OH z1=VH}xQi-y1*}|Bc4NS0t9K(oE~}M`;r5EM-G;WSs`Md**VNNkTK3=+a#^G65tiDgbbg>LWm+v(^JLLhq5{kye5h7ffRw6a*)3P5n%sev zM`}qlgyrgSDd3M)WPRW&REKs@d7}2q$naFrZy?W9WE*&YuDafW<_k5cHMp0mpasY) zWp0h`zE-bfJbk17kSyd|b+j39@6^nt;NGkF=4h)__v7I2gG!Kjs}=tPmCtIW40m5tqZ;5$u{OnJnz6scHRk+AR?QY{-xtJ^T^>Vd#cg*2Zq0$N zxCR?ek)p9JyCVC7z+|wL*bebPQ&>67e@n6BDF59A#FL$6;Phf!sZM(H0|#jOaLE8% zkuP8U9V&iYlnCc;+?W~yUmdj zX5QguW)R+G&-U<;!wpg)%;g*Z!R9?4E@htzKJoxop720l2%m9UD{#-*z6^bN!6`C& zzvLvD_g`_Uq>*0p<55s~!+s0Fy=B`$F#V3FNJ88~2S^dfQs=gTX>0Ad1vnf1`#Wgb z>T*}$-1VhmaQpPz$>0v?y3Wu%sMoZD&_kbD1Hd62*%`tBeQ!0o8>llp@{< zUAz$GO@`>>?chC5S4$Kgujk48ep+{z*g8>H6akQ=ZNI^9o2=)^sDDw{vL&RYXqk)9;g&}dcGc80FB=a=jR|Ad%?}VUrkZnUa8vOkkNTy~CM2Duir$RELbUQ4}OuCHY ztc>@N%wDHpVNN~yG3Pqk!REa5XE>i<(I359(D*Az7XB!Y-J%~Ha9ms!hvSl%C|FqP zB87`({bUo+@-@=K6+Pxcf8|{ns8>~{z`|+^`MtJ1vVdg!HA|fD99jUsyOL9JzS~_& zSEdvz%YHNZconu?>Brg-y3vrv;Pz6m#MbTBbLubQjdI3}-$VqZT*hdfmcq-ku zL0cO6$`o>jre}i7po80?l1XbFVfrk!m-5Cr`bVbLEE;?Oxbw74g3jAiYbkJdC`U&9 z9C{%u)?C^tQRO`KflkH8K6N^%6llcdih zc8mjdmaAHKo)hWh7lvo@AbgJe_NOX$PqS=VvgvULD@we^)`3;taq`*r@C>J6LQ z7RWoXJ$NpTJ08ogwzI_#IPN+rA;@lL2?$NeUvkW5w8#gx&8d`O%7R+dM9*C4Km(|_ zl8b!Bu!lV5GsC?!U0!N;vU&$c`>0hqtozViiK2X|>u7Mt=tl`v{mCmIegbIDZNLNR z)ePXGX~Y!ZVyMMY0OBZbF52ShHwOR`=%qZvr|A!gw-V{@ZD^jS7E91}fevQ@eu;`D zV9KVpzG%Bl10=VRM~7s<&Zp=(Aca&YnY$tya{_&NK+#j7SxirV2PvUpJwP5(8%bQ0 z(sEflmeJ@@z&)Z=1+JVLN__X226hEkK|B9~<`ddo0PZO@`URTLsC`>_cuqrBq3s17 zmVUmZ_8WkEMGfV}e@&r55Wb;NOCfwqJ6qw_y(4qUwZ5m?@~%~qgVZcPQ1|9g`AA>O z3ZRM#YeMrA?eYXyO(R}F^E3Uh2;>V*&4f);Wt3>hOzo4{{itdpW4fohzY<;YR_2l` z@=@V)pyI23YzHfT>Vg$ij;Vvk;m=>WN`)^#_55F&rsxpbf>fjt#*VA&yU=z*&6Ni> zSlu*%Fhn&Cgq2V=)D^-owYvn4!j*qIa1m;YjN2#Gf3v_vsu;OTr&QQqAW^E3B;#}Ij$ee>w7|vF#$YS8zuk{qGw3d5vq5~n;NF4cLO|J z&#nOyp~K{1KdHyh10Yg2Tmrx;{bwGyDE&_%NVKk(09=eNxd_v-`i*>#5vM1f1Q)OC zOVTt!TgO6pT9-*GG*MrE36i9}UP5?F@9Y5Yx3!rEH1F!&5+&y7K6353dgT&$xQDC~ zjNR80WW|xEw_Ly#?e&xN`m66jmPVd6Lzw&~HDW?Y-_Rp+}|eeG$TsdRt$>tMn4t zWbjG<))B&Ltp-EW&X6u&joBNX_W|c<*eQEVoDBUEq3LXJehu#~h9|ON<%l7$9Kxf9 zo03fMGSqU0(A)5zWEgx5S+dmkH3Ud_8DSVLj!qiJNrK{(p`nCGQHD7(s74z)v;!Ao zI64h(V+~hpg1clGE?LiPLxn`TR}4GXp@UZqOJ(oPHN&0zXuFO-7Y1WD44J2)a?{`@ z72aEh`m$-`w&8CNkUNHE1ajA~E(P9m3^QfLm}^+G0=RpI)I$K=H#kXPmuHwZ48nZF z6?s<*3_AmWD>TfJN=A{P(H00F7&bUSv)F+227U*_$UErLLxaP1;7Scw{{x`RaPc5i z9vL!6LZ#erwF2L;k zRvCKA)`(ArBY%ObHY}Cg8V`0*22D)Tv z(tjv4txWp#gK29M3)#!R&m^Z3+t$)fJ?;A!F@+g?IV78F1jW)dYqUxZ1ghk&0nX?y{WB2Df|RCvlHNdE9(lu6nq zaM30ehtU>elD!4+OcQ6xHl8)vECq%vlLEOt=S?g&g1cZcLN;b!GOU(4{<+hPz=b-{hCuAcZDD>F9NlNsU;vJuop!XjE+SMCz<1Cif&~{?Nom zo~2Tg#xkUTG$R&eBDJQ0WsJ7oN^5ZqzoZ!(7;F&>f6ZH^lGFAz`TD#@UG8NF`- z=WWcD<%Ey%!te0zYs}k*Ha}ySJo?9sPo+ZVZ~RFDx&Y&UrRY+i@vmbbLB_h5Av|vE zES*1LjGYKvuyMRhL?OoFbs(X}Oo`pXj3d5AC&G=_C5;+kbo&6eCylH3!Ahj@nk;Qj z88=DxHp)0~J4m$A(*l|?#&myNL#*+bOrLSakFU@cZ;ZBrFw?mADOAoGoA-k0EaNd5 zlg}FmE`a6*V|5tXZX2J=o{PIi|CRvc7*!hDa*bm&0QZbz;?P!RTq|pla^o0Rboa4w zm4rAI#!vr(dt$UL1Fq6IRJQwmG;XRuKdX#)T|hn=7fu1Z+89#{fRkS}#sZ)QmRN?C z)v3U=`%|X3_EoZ~=;JQ2RNwm6XzhPNwwVmbl-OtBK>Up&!=ORmLNa(+Cuj~yYJlU= z+R~L_btKI&-2VWa&3JGe$C(FZ=`hT4$WkYbnXX`L#d8|k?A=x&@Z?JlF>jt#!(^3IHXknC<>3Vl<0D@!Xg`q>FGbNWp(#1@nz zc~MK+D~n+(%3q5%YicVQI2(FD3_fk?QBxSSqXtc2#h&(MLeqf~yumrrmp1@-P`u<} z57BU$qL0u7DGeT_=;t7wlw1eIi++7Fo}_y}Lx@7Q zgeS>V@*Fl(C`uxxH2QrXG}CEVJM`rY-MWmn42pRNa+Qkyf$$pbnTspBK|Uc6-lR?P za^IpacYwQ18$DtA5&ilYrXSOz_E4#yUu0B%Ld7!OJf#sm(DsZX{J}k^(7k}ap#Bni zzNFv2MJHZSfo#lpP0u8A{)W;eOnghBQ^CEXPBJIFr!AX7DrvbC2(T~xJ#OSjDj5M` z6@6|En^^Z$f^$&Cb>ZDn4U$BMv$C&(ri-fiEeO)-yI|8zg`%cmUj$ z%Mif#scH#zebk9YAikwNvQeu^- z)WPFag%m*IRgC14>QbTCWZwgY!TZIyjM7qR~uDwotcsgY-^PLdwItnN34@QS)4 z>GZ4Wvn(g`RHDrH`O4-C{1vKIvf-#mU6<1519e@-(_*zX6Php8i+zU(f$YyEh(^u?c>_J(Ev z50M0AAm5bx62#y41$UfhOAhk{yPd%m1#^-_B5_-6r~eA>9e?i&l}euX0o(_Uk#)#N zPF@L8#k-Fp5cqv$Pe1T zwrq5$Fw#U_%yb^=%QiJr?$ zUQOZhLw%;9p|J9WD&%IFs)OG^#Z1-lg3w&GkCZ1JXo`tYlxytI}v-0^0ZoeoqiE~Z4G7=YN#@&+O-JIiP=Yj=y zmE4gfC$xdkiWf<;!kTx>t|}YevjN1G?ed{w#|tD`Vb1|$Va$OSu7z7iwz3DniMQl{ zIP)|=SaIQQlB{s$Nlzej;}1o^?O{{N@9pJpq+;uic>vr#ekW_6{p{Wc&skj1(hp&TXG#&x%)}ru5qjkqu2Sv&$ypAxR(skH+k4Yc)!K5UtsJu50$0U z9UhwvD|fl>S^#o*%ikcmoZky=_xQsNaQC^1bTE(mNERlaPfL-ffPY*ATp_Q11eGFw z+X#*x@M9?k74w>%Xe;6RGr&FMZSCOCRR1P-&`jG;f)F_%8xTu9#}RNVy{HWU*80aV z5F6c53jMaasu_r#?veqxy*8I5oP!>544rV)H)S8NlU_3jLT5cpatJQEDj%Gy{<#A< zH*Gf^+#a2N54UHpelCxcyFQ){fBST_>>b&!Q(nN|0Ue8lAgYLCB_HLXH_4*lkj|7~ z>9D?1A8ki;sKh=;b(`a`>8ZCMj7I{i=Mh|yw~qT703V(GHQf5@@?#MC>84VcIHq@; zh0tHu837WYS1y1sP)Cge3DVb=fgIOq@~)iFZuem&SkKx8w;?)n4E%-aa4R?p(>_;0 z!u5aa&=#RL%md)0{^c!HBK6K+VC9tld=(^0Z(RnJXkABw$QbP=Ymr!8SHizI9VTyo zypE8#AwkDphLzL0t?YnG)Wd2+n52JA0U%iql=mn_cVCDurRwV4fT!utzW|=D`wxWh zjINT6AsM>2%#@kB$G?D|)vIN)Ij1WnNsR9m3UIT|>oYCU`3pK)3jG)LcQet!OWN84 zn%R2YQQ$7?Ov&|M(d&Z1UDf9XfxD)+d&ALnZGH&khIZ=%z)kHcDz|h8`TcHdpFd&j zj_xMo(p}v<4!9hBOFksc)$X#9^qy|L0pz~kaSc}T^!26y7Nr`HB~rgw(G*mHe$B8Mmvl} z+gm;V|CR*0T+(ju^_RuyQl*|F@9hV@`!EQqz>@(-6}Su9KIwXia9gc|&A@%uoYnn`34$m9(O{VW)iBY+=|h`6x?6d#OoS8Gfk)o7RTzAE9Dnm~#z6TSJqD zP_Z-gmSmH?VcvdNaWFiLM$q?vao?EJ5VV^hD~0yGBjCQ*(l>zj{!6OTmJ`OlvbA*cqlBp))h9J6dP`HyiTV7+tV>fgshbIs9tu>8+5*(bSnat~NuS7rtI`Z~9ux#7mIKyCC6ht8(k23Xr% zBBO*Qwe&)>6*a2|&W0XL1!qgw-ax~S&M9#AR3@R9134~(iX(-|3c`sx$*pjvG$&}f zP-z^vMEWETeiF5oEMy8G|`Bl?46QD<8S&(jr&uP@NR{V;uz?zIDViJDA@>1=WviJo1isMpZELS1rT^C}&B z2%Fbv{XBH{I$251=LSuV26vO%Nc4G&TqGX5O#>vea))}?1N<&EYXOo&&E)&aTuLy4 zyGLbW^FGac0xNm+lWd;Jr-xU;6;LfZ7%L>RnJ`^MxnrRDfHwaDVKF7iYP5u=N?7)g z`kaNMQaY?)x{Pds!9AjNa?i@CL_QjLOxe3(x`J3D;V0x!0hOn;*&Hg*=u&SOdrm>K zaA7ZKiPWWDl84NGujr5ru&-&oRE^$Hi{Ze%rOr}!dq;j!vV2c%B)qDmgi+|{2m0wN zXnv$Ii@;S;;sdAzsUKIs!*O+6_J0N|FS()+)mS#khpH8x5QZreDXoVqmTXCcsxPzc zNj1C>Dv@e*8dOfHW-`M?srBCj9<6>ofDXo}huLV0Rgtpvi&Gt>ZWFI;2f|8%x+pQ% zX_fB{VWRSpR7jGlbp|9^4UyGKikc@uOe+32DG1Y4vBcr&%2Pi2I-@ej0+*picLJBG zvL!WfR&9v};G7DP7c)z_9t3w@U0seYT~On;gS)66O1AWpS|b5mwpw`(RxYauSv+4+ z{s;~cH~wr1;WhQz4CK1{Rw_z2RF!04ZmK6T7~WE&gxpp;@4(m{RZPI$Rey`49CcFW z$y}Au0V?;@+<3IzS2HEn&Qst20W0}x>lBzSP@VsUuuz%Vfh$t^vZ#BYvSm$MtcoO8 zTcU2bf_tcHOOC!&ExZU)rluJnbmxC%UA~X=Ww*%zUcVjOL4N-XDjr<&44pW{^(2jd znA;qJ@Cf&=0nMZQ*I@`fx$Sgx(2HNTMkl=aio`2E{HJ7*eEF6E06%tL1agd5%Maks zHR}N$z&9lL3FHmu01x6z`{C#~AH4wF3HFw3Niavr289sbD$C$dej#;R7@;F-w?~%_TB8Ugpy>DO|zd zi-zV^_H2m@yT%?(z+LCZBcXDG&&fPsswX!DXQq2f?#n`V9}Z1RohhNZmClm&m$goj zY0pN_k)hI7FMfya+UYtndD`m{@-jQY`_WJL!hsK+{>bl)tFuqU*_qMpwOi z0F1fmuO%GVqrb=+d9VIMascjne^;pN(-WLQ_UkB_JP+vC!7z4EXYPTfhyGov2Zyxl z8^90iOh0f(^q;+;c~t)t1r<;2y#+!q-QX+q#an-n%DRsp7yz5Tx}ogq^3(HU(0P3B z+6tV%{#sHG0s4ZZmI8H+F%Smn^z*QJTrWBcazba1g0W!zmDHg_blFR2hUzjYj)iHj z9pJ)sKS@MH==bZ;iIaMCe*hwN@_MwL(z7H}8>J7)0~W3O*g_>nTf3qyR!^%1VVs_3 zgfL$3Yz+?ydRYy0@U*_#95xemz26~B(k|fuBt>!$b7HP+{JFK-+D438nTM zq?FO)NEmw7GkrC@_O`wXd7pX(IPd$b4eX8TI0AM?|2+;a#$J^necU}6vc?~kmwrJ_ z*%!9ZRkBixlchMYBylfnF5Oj(<1!z2$d}iUT>gq3J0V%w^f>fa&0h-1>J^>QlQo-V zQTERUS>3E1BDZSY78$!xfOmo_&uh5i=X-yqp)eGEGPTIImY zf9u)+wJlZ#itVFXK(ga^S#$1eAYa(;s`WSIy8}l;Zb~Lnq%)%}F+iKs**qv%Q2b12 zSW>exv{{kaDPXNBVJ2MKkU>(+wlwqt0CqG@)*trt!2|#YS{DSHj+Fcy04KU4NpolV zD+MYpr2YoZl}fwAgB$I02DgWX%f^Ylw0I7PJ2jJB%RV}YP!8MQQYFiFfSO9C=O7gx zfK4PxW&Aiqru|^^FnyN*%_Ed9p}|pFkOR0UZHxowMeR)R%XpJxM+kk$tTEue^id`~ zKl(%J633`af)9T>FLyD3=JkWIK;cC-q z=?Hi@Lw&Gc4nbLB7EEW-sXw53mKr*MoTFm7Az3t29^ZVzEH4qzyK4XxQS27DeL(-n z@}-z|%5YvnT~@%#Lt3~J!cvO)7Mf+0GaH?FL}!*mrJM?%fO|}rE*VP@^d`VvYGd z$AL``!tCImq^IMHQ*k~aVjzx_9Aq}0yh2i4Q_6zS$*JQJ>CIV(sbGB=0TP&Xgfr)r(ob^75gb z{ou%#u4oWHintBjG1~ek+WhIJJ2V3*QwF9$nlH~q5OrDw568(P5yBG`JO`S=G*${6 zA@tQx;6iD|P*@2gw@7f|(7r`D}OUQk*x;4i7G1fQ?y$u4lOX{0wCy`h5(!M&vnFOYXM zR#GAFY1tpZRnpyNQ29WMu7bmhE9JPuDnJ(JN7Zv#A9^Y+uZ)*UTM12X6_<`SA65S( zjQOfMl4kZ(nja^tz{fn}W+z%esKeSJTR1xoUxu2lKWhm|sQRZ_{1)C3t1%2lj8aF5lQ@8P{d zO=t|8Pt;9Is616~WnKA9`N&iLT$NwJ5AZ@cN@Dq?8e@yLSIT8HJiJzQbKvid+Sm!) zTa_LRoA1;=?d2C!!^Cu@%9cm}gX$%VmHj-g5by)+Cb6UkpB@91L#(9yewc?AKzM{3 ziie}D*T9M=M{k8qFLo}62XFp48!A40IuFKtxn)1i+)&MzeEAHmo6ft=*6 zLx79q?h**+@C&(Fxx9J}H1Bi!TIfq2Z>tL~pNFl1W&tmkn_S2~lI$$v*jnhz18z4B z@M5-*H?D-gd;#~656P0Qlz%RRN*VW;AN&zdjsU!zZ6zLl%wxZT%?e&H7Tgmyb45R& z@|eXCKI5ugu=1QgW&rSl3(vvyOYSCv^egtyhWFQ8DDXGDRu&y^`MfR2JNAzOc`twA z0lyJ<-3%)qxPDJ?A30v0r7Hee=JHRRF5CaB`HU>dKl4%<*1m8Le+W&rw>-&a+M@(* z=Gr6#01LhUIyg%`&>ozX-Xfu+wO*12n>O0P9&NUI@3-igo$mAnR_yiW@4z|ez&NNl z>Wd%X!AUogc*I$Mlw_`p&XH)^RWJ62rkl>W4zfqjnF3>b^-k%oyKeg&WS>4Gn`8Ft zSjos8&>hEt9Mtb*apa-@3x}0Mx_dG-59_mU(RM`VVV#2&)9gneo;r93h?ia_0im~! zoD9xKZ;R08!cFVGgGe`yNgaox`apKG%p}*7MPU_f`Adx!Y352J#X%XO2I^;UI zXdPV$5~EMYg2ZZ9S(e7>?UE{t*ZIw1GeNJo1MajQ-4M7$J?<`qNjgD-l4PysLYSgE zNt!cN54{VLrf*viZ}AzuL7tZky(0~s&(uTYS2?TwCW4&Pmt|R+rQ4L_+Ry8r zgTYWyvT@1FkD25t9s9S8W!(|rOBHU1a;{40sj zcC93J(f-?0K=e$tgz4UaQqJvT5e!M+PMdM;*G49&{#`~xKA`SR7@f>=MN?kMVr1Id z8aSWMQiPu|_abc0v|J3yEGyZwJG+?=j&nEwlDSPKK{YR`2pyTf-wIt_5H%mig*#;@ z;G$&`l`cLnMgAqjX5oD4n0q+ioFmvRP1nHwzu!H_`G1Z6L4UU0mJgS=w~>IUYcH8K0(XSg_Jhh%n)E%m0NV5;3|`#5~xyYW2b40l*BV=$aY+ICe2w5;bqz?Th^{ngI*waXVUUja6WLSJWGSZWq=r5-2(bU%3DG*w09)*hrM-% zWcb5jur^}BLfnFpzr6%%)QBjYkM4ULs4>A3I*o0(6q@4(NT@XaN9py10rw!87(O4^ zNg0wonA|cRk|{TrL4WGK&p1wVT?;$YD`fM?jJ@-4oOxEt;&eL%Gw{8QVwuQO@wOx0FogIH30e0uj zrI7EMBD3r6PG_KLN2aMsjJF5ZSbz5~vd z^4~*fNA4K_*i)Td5IRupY4GSsLqFpJoXAcFHfQ=r1|b*fCrbravRDGnjm}9%Xb+*R zBhUAGnHt>5`D+OGQKSrP`>D31phaIvcLB}kllBzckyHK+?boK=6z-94v<$eKAz%?$uKuS#UC zU7#+@h+U-W{|S|1wOTSp50zCvcqmf^gF(twlW`yw>PRKXQ`J2Snw2VHEZlxjr)2p3 zs5WQ7QI%Td1YxyW_zg5atH_^0zNjmYK}+}Bfn72YUAmIr^HjkZI)M^f;Ixt}B~j(cI-Xc*ozOk*{~-*ii=acy<{JD+!z} z>(4|UE?J=@J}eV!GMhSrr0}&dz@>5VA^_6)=_vI24BwgnE`vMGf}^wC*$lXITuX|@ zS$ts&xbs~41K=0=Ysuzb;z(I6Wb>|2aF^MwG2C8d%Xc8xcuyS&uk#{Fn%&@G1E6_} zr_{ow+~%9+aCC>~{(!c-JWNdIvc)~9+~X@>!{2>29S)T|o;V4B0#23DxR59Q3C$v& zE1}r~HopTQ{<7LIs61r1KL9V~%xmai8Gq^sE9Gq74OSlW^B*Cs;9Zibe!@PIg?P?A zWK-!2zAt+{Uh)r%Kwfd%_Go*phTA-7o>(!}VZE z6+~#4FHkwDclCtLNFDVpRHAeZiGQQ@}g1e`8$uoan|7#EL_``Hl;h{heFNCmA>;4cv&`V0d z6>DoNa3y-GM&}>u2Oa-kM$=lXx673*W_xVPK$)b=L!9>zgtj z$9`R2;5hn}ET6`tr=c(7#`T5%_+!m*oZx8*)WoJw|DW|L*<#o3n*J)FM z?>191o!x6oxpIiBCt8R0mN|HMiUcYnng>BX()R;&MlF`o%IFM9WslkS6z5~RNxpO3 z&r%;8{~-}Qp3t@e^0_liVSnD&GB7Qeb{?$@n@hrH(UkzSu5+3J$@*XW!p_FmGQn(W zBl*$IgBcdKyqCY_u{Ago@_#+FN1Jr^nm z=+Z%0IY@r;ta%W=$-+xSUe!=JOid($IzoLLfE=YNS#@|)a4fFWi(J~kv^SlU=hufC zx&r4*V>o2&D6p#|onAF=#tZwaOukA*)~rW9f|} zgz@C_14sgWk*e2eTJsKViPX&-Hk0V#M7T|+ZPp+u6e*dxRBGh|l{5;JOB;OFVCH9B~KE|o%fk+x01Z*qyA{RA$D z_#JS$lrkSG_o-a=ujf&nIk1^eJ!BzQK%L@o4TZEyLiZwCngI@9nM>uMm`dJ&E1~!D zq&%dp@)(rTtN!>E%P4jQtUMxo@`Mqb4jzYTZ1so(S3xb8fjl7}M_74EKUKrZGYWHn z@HyojgB5e-E%^ltHAd=kR_aV1G_BQ`!_c%*i{w4ARr|L9V5drcfg^kTF?nb@DBls_ z9M!KQ(dMLfY=EY-T3CrLxv1L`^t-B>VNh{Xe@hy3kDC4sH210nlHCYU)v}@qR9A0- zJFdnhqt_?Y;S!h*Rug7|geYHm2v#w8g3|^PqV_b!ZH7QFZSHm271tA?#%p5Chy5RZ$7e ztIA_1ykAqJlOV)L<11k0hPora!%bx)*_&G`u`fDtTdlK0+Z~lw55l|Z@p5oEDqGy< zs&Zd=xThQ>JzuR_%BtqG3VaJqQ+AVmZ)RK=1!B%Un?Y#7_cp=n8U} z*J&6#!fk&9ca%rVROrdSS3&5-o>O4jo4u0J2_JR~LYpst|0iyi9|y{}+{ZZV0owez zd;_i_fD3%!D3DYA;5~@j{the0xn?M=oZzr3s04GIBxXanR6?0}zAvwK0y*TcH-k&&?{|Z{$TcK&e~CTL0dSe?%MH20g{BZ*WtSe%%;VH1aGTG2WDqXo|73tD zV*9S>-~-+xQ~g`Ekg($&UulL;RC15SF!q51cEHLIKbx zG}0(XD{r+{FRkb88DvPMGFpPESxIFLRX;WUVbNiF_3t(pby1WjlHE`}U=s$ym;Vl@Efs)jo_3*{!mk)>LA7MfP- zS`38N%3Jb|HY(hJ?%Jx4<{);ee+X3U)eTABIjGw2!8t1DedvUf+9Z>wv+5rX;bHYp zR|t=&p--XdsqEd*7ccd=723R2j7-)(Do5&=zUt>F`14a8y`geU&6oqX{wie)gaN9) zm($wlbz@@7TVSv0U}%Ptd%l-oAzKzN(b&=BeWAXv|k9 zQ2kT(|5Cjdhob}SQhT7^?fY~^W8Zd?0`E6hO2z{^NSSA#|5oS>+91%uU1b~`VtxcV zL)Q$)aoFkzNQU?O4aX5(SHtzl&)adOqwWRbIJ!}NSQz8zi{se&vU(jiyaaZ}@0S;H zLOkO!AdI0F$>hgXWZPq+~VKU3P;^YawCV^f7M9YE84a_5mN^+DRct|Z zWp9in-4+!q8o2~0Yx?dmI2-C-1!7C#^1#{Ax|-nZX@ZPS4wT;rmK|xj8{kgZmbg?_yY;!5W?fw)m>OW52)u6KY#A}Mq^Cu zCHCHX@5b0`Of(4yC}KsiQl&@}DGJg-R8)$h2vQV5RH}$zp(*cYzQ310o_VIv-E+4) zJF|B%3s(?hSRIuIV%a*XfX1<)zTm~PiL`4cfsHu~>@usO6&%}P7&q8C+S`4Th4cfK%+AviIfea9U5Zq8Zaa=IjV(O{aEtv* z)uG!gg?@l^_FogM++j&n0=UbpXM>o*Zt95T9=lH0Y9>oM0b&+2uZOYwESc&a+3ZR+ z6mr<8sQ|fb?`#nBShoQH57=s2DL-U(o>0igt29A;#5&GJ)CJ6!nk|LQmTJt8+4((? zEMlL~=u^##M}t_yIvkI@nJMwdh@JUct6Vv=qL8!WmL@a<(){p8B!)Nq|>0F+(4I+6w zn!p1tPJ{Q5PpgJxKEFX}?<3xm($E6lb~>;^?o4Zh$J~cXs71UJ4L48tzm&m0eu35{^!YRjfme#WqUo&x$+q0DQ#ifv^%KrnQ24 zwD|mQV6oz@3P*9mk&3kO!jn4m38I0XZMrOe8U~OkJZXE#6%ipr@2VJj8j{zTCpjR#7f0uS*d&H^0kD(~j)l6F zwCZaFk4mHiB(0^Op#U~g17#w%(#ti_+bs?63*sJW|6w>fExFQq(@9!;1nMr*1zKLY zN)dTDgKkpj=K$`~)t_;EXC#aNAmSk{z7H#&QXvgiUXs-|0B@;>KMw4yw0HDq+P3F^PQANplBZG% z=^}6F3!AQT>TVF-J(U7kU6>OJ}27=)WCcWMut_hp~oU?p3w8vuVfa`D%| zp2EsL9$l%x&>o(a!4v98{{t3lW&xh(qZ$RJcO2B z?`6lYfi=m^8lhmRTzm&?zw%2f=p9g2ddA`g1(2eQ-2srQ1bzthH02N#X>TdN z90ABxexYrLdCKU;01p+{cW{)iyq^P`kCXvjp;w^PQI)(xv8N2~xzg7kj$SBE(co1n zm*`2Bm&)xy5UExYD}cRMzMqbZuSt105eio7V*25BsUQA@$gEZGO^~!vz2^fQQ(K{$ zjkoJ`eGZY6YH%8Co>I%`wm+>t`2{>D^-tOt>#X|Sfr5)_p9v9HH7g7XZfY$JNbc&? z?T|d9)@}yyPzTbO<*A-j1)pHWCc(r2y)DzSRG~``Y zKm7%#Cs9o*fXEd!>ag{3%pfUXYRv%EB_*9KFbM9FAb| zx<2^6#D`{|rQcBjb=h@q*k3-2c4Mztl?v|46o2Uc?*9t*S8;dH|CmaJ*VUEOd4JuR{lG{5!SXCVn^AN z%dq0e{-OPi$JmPqfa9nqgLs1J*MXg6RSzXzfZ;|72) zdq(?6{8*Sj9Qm`P0AK-ZyZ<+(b*sLUoq_fwQbl+j|x4_F_NgIIOV|Qr)%w!)%LNbfBjRtm~ z6<&g|Y&LW^Kn{C+9K2jMXalU|v00RmKVW@n7xqK8nttwl){6d9#v|sD289CF;WdIN zWIYxFd(4*6TPTZ|e*})`37cjD@hLks57;wyL_!e7tcmWN5|;NJJe0DPFF`D0)ijXd z&AY9DRWMf?E}paLH3+bh-Tf3iJdPLwV^u8J3hFOe71f%n*%g}mYuM}!i0l|z>1%JzqR)AQ`UQh?1j@8i3b&U7@0j7`hffk7DB#-h0c8c=~@J{o~^pJuR zzpx5KXWo%YJubZIX8>3J>kshf#?Ml&@6NlO19pa6UV|gN3H5srJ^8CgSw3f>jhvd;%OPNTLjX5i<0e!z+6Ni zmE&B6M-LF)#U(12o)IH7D0qn7f5VukxItNom#CxH3wVoJ@gSZRTd29|BL@8M))qa7 zAqYQFvmZo%QF9mS0m6}n-E*Rjik5-GuM(!ui<}=pOcMKOKDsV$QF?GwJffR2S>%wh z6cI8P>Z#&!6GBQ8ciTYZme4yOh})t+6(7?@A&psgL}W0qyW(#eTQkJd#Q^ujlxL94 z6frMgGfVXT7sl?3iBv($79(iUnMA{ALRfuV{&F#5ZbQr`+ z5l_{;7ov9=BC8VjsN?Wb%%=S#)#9UafEqE37WJ=0F|9jZi=uAOdn0DGKxA*l)=!{b zD+(8ZXd^xP8zI?BttchlBekbr(@y#`2qJr>S~@U$={ZdeXQa>Rw)c=eS_I5X(&z{9 zmUg-WoRyXoLDEMWc@vnw^q?)&1EeA4FnvzSehR%nX)3Kr&Pz^K-~~yq-a;W*8t@9l z3({&^fQ!M$LWG15hv7-FS@5ePR<`l%kq z;-z*9K#Fvu0lZY{ODc+?C%y`&=eG2&Kd^M^Jw<&-atMRX0%>Y9s255tsFYMBZKU-<1 zfrZJNDG3Odw=V)QLhj@U?~(HKX~3dnFE5Bh%l{sQNQ~@B7kjL17YkmTJUkQu#>>N& zK_o%8?+AaFeu9_G>0e2l{AKA%E~K%EcyIDkj$1V zsPmp9=c^#*%CWQv&678`1b85)(+}`a9Bc}X}#-pXe3P%HaC0IyE|h4v=cD{~ivw@>*C85>p_ z|6K;}pmLj542Kkdx+V@Q@lU{WP)wyTc0@TFfsl?WM=yits1%)p!ZBqXbwiFTR%-!H zD0|xi_$%N149Nf`v^UO6pt6k4#CfHRCiWmD<`h7%a_uKbhA5$Qw4q990Rp_B{1*d9 z7ZoqM(ZiGo+AI;SRBQtAl9C((g$QLRWeJgrAN~AM%D|D(i&j=w10*Xwmx7q0EF24) zY07e1Vct?^G=tu4<@4PD>BhE)n$e4tt0&;^iSlGJJUmkp z=(;Xef_g!uL^(*^$5JJ=7@$nas)TyEvY%Qq6-p7UXrC)FlsZ%@JzGJqN%8lFo~2q! zQ~55n>kYWIR-aPJVWUo2Cz#r&iZP#9tjwh1~$vkM?h!Qx_aTxPfX{s@|PfZK(Miq_&}%Bv@TSF9l6f`97#$ zSAYBt;HG-~Jrt7FwI_k4s82HBC{hsWe`r9^p`br4Fao${V#l71&!fd^S~-K&?Cx;Jjv601&Jt z)6zLaQ>Ft8)&8U$`huoCf|ZNf2eeNoOp|CO9IpL-7}zDv=mWPA+BT|PL~8%$LLo}q zeg{^fwTUeu5~GArk@jcc~_CVA{+SfHuf2!3@f}>~JNZQO-tZnTJkrM6KI*62NOQ!v6GR%d_EauTSC_Pw^)3LcuYp*6rP^*htRv(o1#0UXxNPr!4~y(qCh zs(oxZ0R*Vq0G?2dkob^_hiy=b7$(3{@F!#(}R z9Oz~0)dIvUeJ8CE?(5m~KWMV`8Aagb=u>IMT%vn@0n?@W=A{7T`fndWy+W_0hXkJM z#q_jIr5-^Qf*1N6Pgtqa*ExdsQa?lQYkjA;v>l{Rm8RK@siie@nesE7Cm+pRVV;rpp z&l-RI3!aZLH4}Qi#sFGrgc+OY<_b4bzQhql81G#HB8@nj6r+s051$GgbzI_t1!*@re7L;Q5^ffio`!uEbTIGC{%v%q2k)8cUM6f-G_4Ev6E>{ zFwWs1bjSboEeuUaT#C<$Q@258lJgRLPJVV0x>L4h;8>?laEAP}->H){y(|md8A)n_z*S@vO81(Kg7PE0?=V*(+wgHY+yA+jxcuv zR*tfeJ`i!lqx#?-V?WY*Jba#w1tPq7aVU*!Nc8xw9WALqEfE#)9ar0KH zGzP zGp`0jmd)1qf|tYQUx0cpJ6Q{bJa+O&@UU@?M$(6D&V2Cl*#v6rJ!1Q4s3>4x+<{&p z%b|PyG5dT0^om$2WvNX}Yyr=b522#qF0RnUY0Z;*s-OHQ(3e28=vjcJN<5u)b@8{iUW99*FMML31-iwl4?0nNeJj_Q?N5O%& z7BGE;Pp6{pQGV4K9`Mi0sgiw+Cp3rmxpzJtS9JfA=#Z~{*}0ODmnwi3sX$cIyzKo`?;~QOIGl`E_3*L1;%LdpD-kL_)n>?%&yeIQ@w;`Fr%RK?`8qGvRoyPr~ zp>T^onE>oIx21h6={#l}OyA*NG^v;Hq9Q1i@>4fptem%?!g~e(j;5>UJobAKEBP-T z2=E0Ty&hJo_{2~UU-GFmwN&%Qj{$19Qy{=AzMbBE_nPmdU50P?tq)-OEuTvpG;4Xu zB@pql0=h8OB(!l+^5KAL({}{2n%P$s5_z-GDdehEhv;sqW1a}h{e7AuAGBZ#~ZpT7rI zC0yL0_fqttI(oHOHV*!3gysL<0w@ksZuS~);Y2KN#L{!ndn-z)5?U+PP&fLp6iNGR z9i+<7AbC`3-va&|rF(NBc}zO93m%S3S=|6mNDrv)dr}%027v#OM+J-1QY&i!C+WNY zZ5)ucXCZ1A>F4z@?JCWo>VTW{o_dt-k_%yHq%CCt9@4y%ko1%eAB7_?DRMY$dP^C% z5$9RS0~D2^p#>u;lWRepg9a1{3U=0X~Pgi9VOLK#vCoJ+zBf&(#zlBC{|kD z3#Q|wdDPI2m#WETg4As+z-4K`hp?F_ea!%_NJ&3H{f^XW4S08@y;KXiC;3zIktumx zhkBMYDG0p#Qdc_!ku7~mnQxBN@&I_b(ts|&@}#Jau<}4Eq6+Fmss0jzD3gLIl`NMS z?eBapnQ3WNDRobP=@-&_>Ok$08D%7P^6F(E+RLHOU}c}I9D&XKvJc%P2juM}c~Jh6 zo(VrB-=^}~VL53ehz|1MMIauLKchtGsJ!D(07rSzO4vLmAKnXp$ML^cKs+ITkq3p7 z^6=GAI3=^sft{AasZix4H*X0AXSrK%NV>=hP4=$xkya3KlW%83!Ch|jgUA_q#31lI z1Ij(M$kec@NFLesT|b&7QwJ{VaZ?068Qa zdgtUk%J%}X)`i}A`4QcCL9!)Hb-{AkMyQ9#D`|6HDAq~<7vyIDfp-zRAOOPT&lW=@ zTy9Av>`QWwXRsL|S5YT0Qtp=w(^2xI72rk7|IzN*7%eE9! zg4}f(j9r%XT<9grZ4yAdBKNBX$dEswMc6%AqW!s9@=ufr+?T&hLJ-;VZ-0ZABMdqJz@gEWS`ltXFKsFvq&5NqVg9|C(NSNv}< zmZyFUqOFqJ3}Cl%gE~fbN(lBz%6paCl>qk2M?ZtNPq812W7w}erF`Om((MfbJgC^x z^y#96(^cTA1U>-fuDqf=^o-J%I)NU_0vlkS%Kid~cqxIjjP+K6GZEQYWoAc+#3*mf zAjT?6SBS(b?sSc&GgH5F+mtxjQ^G zDcxrRSgMPgpl+o`5w=ThSOd&jZMg^vHtMM))X-?4>sS~JLtVUSW5y50RvhPVRn&b7g4V`!uK3)vSOI18SHHvWmP|_0xBm1o3O`>}0S$2?Cx<0J&E!2Hk0OeqQtYag*`m<{ffd#PcgCTj2IsFV`AnQ&k z+Xr7cJ9+*(@J~6vB2K2QQRe91U=Rg;62y3j1mzLb}S{7D6(KeZCd`uCtF? zLj4AdrEC5sTek<2$!tn*h@`MOT6L$g#wozk*z$PrZn10%={7422bRvpP)>A*oeu)> zE?dcC8xv6b2g(5Kqad@2!$7HCpBNI*pI;gFWK^*kgR6wD9fv1 z^EV^TS1j*7h_BgSlncLMZK*%>mMx;%QY|Z^`=O3)p@gTNbyxzu26mMiLw3Bo1w{7p zRtdoN@$xGG`}w`EKs>>}@4gaE^MSOrAz$V>xxmw1CS)Fb%v zeZV4lFM8f5irZ519L>M{8pIg9x(8S+*O$RV9RGJ5Ks=w<9+C-sS6A>Z^T#x(Ci3y) zfnDJbXjr?-Pb~+y#$)HhLlXajGXICX!Vxy}xn)mC7Vt(opM`wgA%Mr+VF#=f;c*&> zJmH&GLg6W2?2kiv#y@=uP|SP&`=( z(|E>4gUDe~Mhj^N(USTZM}#fqrbmTzACit@0F|Z!#5G##ofG>9!}NJEZzCK9iEaxK zM6g&+ucQhQo|`}n72lnP%?sj`6Vxw?&zHhxnE3o6uyFC&Ch#ta)`_qZA?m0c8!7Cl z?Hwf|?ZAr`4FLctqVK05riwBuq1_UbXm0^>nBRe=i%i-wbw^w;2XPb$Az34wbD;iO9NrD$ z8)5ta$+u$Ce7LO@b7+FLkqjE-Z6%vsu(?NiN~gz8(mcW2E7jkIq`g!{E575>wnHGE zkkoxpI3-=C^3!SQMJ7x;N#m$i;w;Ui0*bHnw-?;{NmHprA0RcvLGPS&x)A`2KPqUS zmqt)UB1&pE4p_8wJrj||N>kk}CC{1CcaoY%hS@(ie*% znJ%565%Z3;~ymc+OOHNYfe(9TT_*|A=1@7_}l+3MY zzY5k?j{F9?zb~}K=c*rKAoEA~$M{_R?JwZ2d3y;ltbI<^gmvprKx_TSXTjZYwFq`L zPNACIrk<1yY~D{5#4Sf?K-~H*&FtG+n;`$^wi-Hm55@PO*m}u;RozY=)#WV=^RNSUeTqT-i!0E4s1hML14()|s~Z zoMDBJLG)lbbRl}O$!kIMVz<2@;?1&YTsX^;*TI+%OLqY9Ws`e?=*QX&0OrpUC@l+M zsx3U6V+Yf~3uG7n1MfWBu^+@B=C}pMg4she;tXM7R20EK-J%lL1=f{*!Hev-*02)B zmahgcoNb`8?Il)lA0b7s%a5TR$>J=)yUDJE!$UH2prS!4>#z(~(%7fxp?-@!y8`Ss zdriZ8I!g_J`W^Pb1qyfB$wlC0ux4~s-(!PoVKbA}(6;|9mP2F4efHxg(934C44BSg z2dP7u%PPKtWFGU~0PF$FD2Mt()`w=)eAZ?=6dtkul=l>{zdr+3$X-&0`IxPy+pdVM zp9<^=^X>o)|01~qf_TQxP)V(rjV=QyVbez8XDnsMXdEhI-`2v41CO9y!x6rUt_erJ zJp$kue?k6^^QBZkJi!GeMJM?NDj}TWmeeac&8ujI;KW1kgXhc-eE^;d&-w|#m6rs= zyBl|RfTTOmSqqUf{P!ma!h>7W8@fDsn`4ml;){Yn^yZolaF&1G6*hf%?R3o} z`SFkF;`iqrXq*k;CK|%e@wZg44CDicL-IUt*AX6qcvo882J=Vbfrap;)GiC<6S_nF z0*{D+!bSesIw*wk&}%t?(lG%x2Jw;=aEDi{{RBQ^)YFR4JCuG`!9r}a=vCN)GK&-7hunMUn=ES@{baLz2JO0KouWII~iW`_yyoqb6dJeYIu_m zc(1rMO~J2u|5Av&;WthIyyah0v7we%%*KJ$@#bGcq@E9_XOJ5B(XIfEeDfv*@s3wj z0ejC!n4s6h!|3<36nRvJv=R<mvOnBD*2H z9z)@#_^22lSCsPNR-k>j{>pu{|c6mXph=s;Y~SSk@%c;vpo^ZMnm$csQ(GznaJ7- zo5kYTFo=|hP5uxm6~EsEuS_@(KrH2A>P_$}#NSV0`ngCS3SyVeu2zI*f>OcW$tF?N4kS0=ORZ`4d z@Lozg%fLg?1b_I~GP(n>S5n_y0I#K`RJwg5mC>a5R*L8h^;*d^7{og1*M7k2r3aMT zH%JxK=5CbQrh@oRITM(cHZIi)YKeewzF^z4^S_5cUu9<=}dpqy6* zD~IG`Q2>W!-#@@}kgGle?})5S26j~biW(G-vY?G?*ed=Fj2)M^eFW@;y!0yKJSl(k z1`4O-X_SMVmZNilIm!9%z?|g)ls&n~!)ds8m1}8~~z^+?}QnUpbC`em}WGGuVufomaq0q`WvEShQS8 z1-2M@|5jkJ@{8#Jaq@cFvl%bu4HqpUaVyQdP=5 z{ss0zZbiRzl^j?A?4?})7Y@2wJ~SU9HS+F6sK1h(7enN=Je*cQZ{&OQ?Ce{4FFkr$ zE9b>Pq)tA36YBMHyEVWX{iRt+JGsr@NJoks$6-(#fWsBEE*gUL}_1etTGf=b&Qj1MiS>aTZSGVWnR*hz`oHtDt^FIr=S(9aXwfDZo*g+6}~GN((9r z9#`^cxqm`gGZ@5^%2_{PrFxv<{A(ly?E(IV(>>pzfla*bQT@%4>f}x+%5Z z0PaekZs46!#!*+)L;2$bL_C%5Vc>ZwoCA0(HqIcPRqBW0*nO0(kx=keert{c^Hch| zgXpj9=??V(C6`vr=ahhdpb)5>OhGK?m0xB61SwtTAnITxW(6V(QP$8b6RPYS3+#fD zPw$z%s8sw2EKC{l9_r!BCK}H!DT~Gfi%@#fsy|X$mjWzGSwVHPXyup#ti&iezW~H4 zY%@Tdvb_L7#48u+QcO@vdjMQklw9Z~D*e9(xT1`wY2vD~x)UP1rtGAiWs-96LlB=S zgJ}jTR(7<9_fkcr#afv%k7n3%#quHCRw(BmB9`Y$Z_2YOmAx{+3q_(~v`UE@fkSzz z44Vrp)k?4cu|~N=%eGgF?hoQ?Wf5?1G7|*^af_7el-#5yVP_#J=W?Mlmy$TSE)W{ ztF}B2Y`40Y(ycw}023>XVm7trh*qWazf2vytClyyPv84S}G)%Bzhrmpvam2fq`KaSy&dWmWr5$dJU zz#>(j4Zxz*cWNj~L<5UcC)0{A9#7vO&IEO5cbLAcCieoCsJha~ zenmY%#f__K>lNT#Q-7eBRV1nV$=G#u*dB=7Q2laX^QL-nJ&4Kb4eAt>sWa)+m8(%y zdVj9=r#!M!4cvocc%fQ#gJhNZ${Ug|)uzXgtXBV`J!&=TAS&g)QhU(v{#tFD3GZ*z z&GcG@MX=kC?c(*

    z{X&(CeOm8z!1imyDToN|d@~$oq&A-3aUHF74uZ`X?F?NZvD*6%P>9n?sD}}+wWrKK zL2La8HZN<{*C3gwJ^B#5E84%UfnC+M(nNGki=*9hN!nZkQD4_?+d|=nc5@-rZ))`^ zASP>-p97?5z3aeB)vPERP1DY|#-ZHOX3<%?t=*?9IbGuyz`LV;K?CkxtsiA;8QSku z-?^v7eh&3at$q}+EbYyYh~>VPPSaAhmNW?mmZOzYxVc($S_bB6`He94KuhZb$%k6^ z0$};t&}?9jG^ZqB1zPYozzVgqGyp!0TpQIHSfzI4CBO@FkI;-W3W*^>8on9Q94qdN`rqdkKY;dR#Pkr}XW;aLP~X zmT8F0MSpt+lCJvSEx~isFARhScfIX@h|@z~@E+=(`d59V01zIQ>v4OegC<*#M;I4K#VD>Y0<^HchvuTJJ4gq$1qg`VdEW zPuIWn1h}K;P$F?xx7`4cp_l&*)A#h5haj1$SA+m$>EBZw@xC5Fb5*u(GYwddKEnmP zTz#w$h(qz6z> z=!t%9Hh53<@YeLh={x%YE7q6OM!6DwA`N7v`q%_`DAT=e0V~&^(NtHV=TlnoTyN?C zW5?xc?mGwJP^`3<0Rd;fkuW23g->0B@hWRQbIutHl|bOI>c~H0~Tsbq&4#e zqw@{;yJ+MLhv_im0!=pIM*BF3Tr&33Y#(8?Zvcoi9?%#WWpsQF5N!JXlUW)M`1H4q@Vm*jyh8rE&E#nWGVQ(A95+IUpxKXj{ zjxq3in7(V=I}0qs$o?4t-ZKt%0?0I)s0);3r`Z5rJN zLD-nK%>vQZG@N#y?KYX8fVkIWMWd;`De_C4o_!|&0|5I?-vk02FxArBanMxYf^ZL+ z#?#8p!PGAg9*&qkruNTKQ*u7sI-1&0;VjtH|5p%0OygDqTr@>db`fU!ns&y7o6c$g zmrPyy07RHx#6cv|#IHjn%CwMn7sQ$p-2viEKasz9)6W5rOfc0_+4Zt%+H818H2px^ zP_CF9`~i|owzO2ZZdy=@GjYR|Ng3HqQ+hT)vPq??c8V!pf?ld=`Fcp+GL51_&uvpt z6o~1jW;E^IG370XWR+=58o*1_T^}4#jmg0QHeZ>(qCD%h$^JIf-p9bnWd$W+-qKy1kBz%kUqE%&E^1L$IRCM z06T8(K?{cy=9m8<&XeZ#lyaOhfBG?w{jxcZE|f%b3lD&+=Ch-qcg;NdSLh{~hf^j0 zx>=%4FgMI6XF}wrc`KDglFc(MU?s&Ia0A3tbB930nP&d@OB~-V^Cnuk-8NUzpqg$@ zwSuub=BUqL<*xY;dnja>O@lzZXEtR4WSURYUWzR96XM-BFROu-Y;(1MdXD+UBLtCa z_J0KRJadsXzytGHy3QWr_#l#RE|-8kGDp*mP+-1C@6;_cxATMP$L46-(o|$VMn&x> z=Ks)Yk)N7hE`{VX^E_Io7Mq7+=YU*d{^=+rOU)US=$4sNV)3h#o5Sc?hYGVdb%dXr z*HeqN(mayR=L>WDQNXIq{i%5S(i}nqb+vgojjc82mjZ7{yf^QDhv1vcD=53Lw7B>f zn3V;m3c)Um)2;y47RxTfgPX-Kw3>IfSoIcqo)$d{0lX|$`~;r2#rJ;#oV8eU3JN|J zX?7 zM+vi-9sz&h7TYOhyks$HIjlrj{6i(nNQ*W9!cmk(1f>o^TV2aF#F%4>vMn~HRT4ibI13=eT(q@w|P`F8}i-^nV~0W zK{o6ox{HSw_k`|<&#Mu_$aPfu8FkwW@}obX=JS|--5@jem;U%ZdnK(6=6qZa%Di*r zasD6FMqAMRF?1LH@hKu)H24uH>(=bR_x1Kv1>5Me2EH~0(Z-X_o$1NEErTcx+WImW zlx-FE`1~`9R?OQ6QRn2Z>Lu{?_rSyOvLh@Kl${&sclhVsQh53I1Z_X~Z`>ZpTe9pz zu&vlrsuk^Ge;0%LzlV+d5Vl>|qhBE6$`-YQo;!1= zJ&|WvT`d$mSVIVip3LSK0Q@IA8q>U4Cu#|uWd)R!`>>4TQ1@j`f5Vs`>rM41e|B;R ztOT%QRN*?uZc&dVkToj?IM28vM1t7Pf1wb}hSN^!5Vk2A#8B2J5X1|34*>KovPG0D zhp}n2Rt;w=b=oemK6I@`upCE#NY=|4Ac{?+qFXeZN=1|yRy7%tv26Nx;Ki}ld%=rm zWpocFumxVgF0;I<)6<~+7wX0 zvh1K<$PQEg_^&_@tm2d;#A27egg0U|Jwjos+jFY9K%cYcsdlS+3XZxHEf9&9KB*w zXs!C1)$Rqhi@Q)K%9>xLRLzz*%mLWVIqjX=!`Xe!(1^owuMCX%MgQ0T#>$k(E#$MG4pi zZrKOmA|E#%R>HWqEqLKPl~z8N__2x5i{M5pfJi=Q0sV0N)OPTq`6`;~WB4{F5My}< zYI4Q#DeWK`&-*k3mcWNxM=Y1|5G@Wfk>8&U?^p05T8Lcbv$jG#gS)+e2LW=f6;H*T6&OBfv)f1FgH>aUYs+_KVJ4pm#v5@`Bq#V$UQ<9v1hP zf#@LIE&@9u7RQ2jRE(tz%27Bm=p7ULZ^F@WG4U(#PKX&)n)DX-i7)6qSMRi^tS~%n`MJ0?QT4&w-aGddg6EAij(QcqrCUt1MsiJOP`J z#9(`bR3MJb0I^W)x(B_-qSwa&MZ(<-^(P|tYe+s7KVJg&Ol-(N5XEAWFC3MKZU2H; zDn2j(%EU)>(B&eC#)%3MO9{hsas3OJ-X%4sy+_uPA7$LO(h;g=?3TXp1GYzszYh;~ zQky>@xmR+fHLkrht^pqQNgvY;wO?B85A1+6v;va&$GPFa4oS~D;}jg0%KahYAelGA z?Gb7Ca5y?DJ(~*Cj#8l;B#%k{^q6RXbb%@l=cIEq7Mz!SCqN-cYEC7bV9AX(t%OKF zbpkBS~yTNCnb&^#Fy^QmU&ymafu$TO^g+06dY7 z{Yk%{)Q6T5&m?Cm{1!{g+C!m4@}34>sWdVjl4Vj?D!y1^Z!tuyMz z-a&;QPA*7>zr%7oO?(b=*&}#3B7ZRm*im^R6%rifJBJ{7Os+Hlj?0DA96KT39s^_N zP*KO32$U;_LLo>FrY(oTavrTqLgXn|077M%md_XD89o3Pkv~EtOrB4vM7TW30wR~> zA-1p*A(syTF;aG;@=lbTzZJx2Ir}>FV&ui$aL}=`Mr+47c^|FU;^lwn4BnTw_Jdxw z+=8;eT=^VL1$pvBdKln=JS7t%59Oq70Qqu#9Ka*lpoF48e)%6jq5NPGBp=Izbm$ey zXIsGZ6ZvzJd@6600iMa-KL)WF;X|ZEp79LFP%3YxDqWe}NJYMKx#eX@R>%=E5a)CH zZv*&^l(!5Xb}KP7vfC*ecSB*XGL>dSdnK2$rhUqWOThLkIp4wN0i{((C>&I7dIKC% zo>1HFuyUKupo6lOh)0x*Byv<)`zh2NmCX^uY3wsw;>Ols(@;{h?yM359%Rd}sK3qzpWb;0qLwm*5pDd52*0u@WIb zEK){J2l0s#SO=^|an6RqDNzK;zoY+9N*Yk!S`BUdeGFoIu zwAfrIBxyfNAYRx0vqJDUG`o4=-PHd32@1*D$iV<9+Q57eQ?;zk5J}TMQlM~4`;boS zZOwKaMAEeZJ3zdnS@}Zpu9i6zScc~O4Mgr~`4(_gto=y0VTty77$PgvKBPu#xfVuM ztO_lPUSsuK`(i$bm0CG9)m~`(`U9)d`qH}XrS{bvII7mxP&!hhwWZqrE6sf+)DP;P zRKn&Vy_pk$gC0``a73?khTc)V@23!P)PJ3X$d2h{Ux0U9H=4mTD(|$2JEfZW4-jF=2e-;YhqwmsT#aHir1>WQI0my6Qc-BG>g9&0zY5Zp?zCoBBH%E|T?yyAXVeZbA8Os=i_) zOsDBde*wFtFQr8Ow*FCf7)#eHsdiJQ*Uba3Tu*NY?72SpBbct#t2#jPg?=*?#45eR zPB?n059|eEwQh4Bphn+8Tl-$=y{7=Y)*UxM@{O)i4fL%(;~oyBR{yOEdUg6*`Wfr> zY-$fT=r-RVq(;3L2l1VLpI+?rUYEk5(4;q~Q*LQw^gs|+#>!QY++}!0K+oFfQVU>X zSdIqov~lPZB%KVS1m0bYh#Fw7MvIQX+>DmA#&b8iPXRb%oP7-i594Vk@H~x993gob zL9|KE+qj#JaL*d0`vH86hl`=$Ya}g(h@UZ$hDv`U-5!zwhIRw$=Zs$TTtkx4HV?e( zMr12sHw{lxNH(y-!kIUY%s`x}#>Zd4SelX44%jV2Dg^Jg;r%(Rq#MI%?R&@gup`v( z8akV5N5V?3akv9`c}7ko zzyo6nRSq5+_7{QW8&6AM?2+--5efyyTsog`j21LFzBR1rN3AnPQgNf+@aYSz!D#OZ z&}igsfunauGOfrjt3)+hw{n5ty~9|8a=en7*aPgsmx) z?vCB2E3_?ck12NuB<)PQPv9^eO-@vMJ8n9^2H=G02@y}4&eFR7l*zIQR=iC!sif&+ z+SLQmc39;-qTl@lWz$`An%0aUaEq8Ke(Ca zQv=N1ypZ;DoH6gLgt~|M)=cOHn;S?W)clZg{R`&5W`cLoymln8F!PilP!BhI(JJzq zd4~-3By-jch}|qNl z>E_Na5X&8NS8CK1nZFK!-cxhi4{5vIB#pX@lL7~K4Lz`bp&Ch7DTxL!jixX0A z9<&z<73QQjAU-#5=>%e>x%wsaUYPIGC{<-vmVo!toHzjL)#g3>p-^klvM*Xg5-1&C zcL$+M>kSb4p#x=K{cq7$-T_~zq!AhU5_EIv1-IKjf^Ih3Pr zN$dlet<7(PzAa-hto_;F5|+1LJO^KYo&Fy5zu&BfwH@PWzTG*P2BLqq&|vs)3Z)4D zh1P>^$)+@eIV*O$J!rdF808DrY{y_&v|%o^i`$m%8w9Q0?EMoE_pmUknA$PVd(hj< z#?yEz&x!fc4pV0~;|hQai=x-Bxw0X&+;(F%lsUPx zu{)t~hK-@VlLz~qhC)x)FB?QJ_6OC#yjjmLz&p#*Xzuf2A(Tz~vX-<=@MHhczC(W& z@(Lh;1$+qJIkuX1hXpbZGxW~0hpizQ#B7GcW-uE;zeot4e}H5td$JCa7nnT*xX3os zp5QPxECC>#wQ3EKD{KX&4R|b$nvhAX^9W$q8H<6!4OUF6j7+waCg3dAtPc(>n|)8C zUJjf15kzv?VoC{%+4R1UEMdN{K`diG)6TeZHm4CDDp-$gP^e@3s2WkvYCi>NWS6(V z(L2_g?uGYkIQ>TUyj42DK3+*z_5pshGq8jF{X{4n;ye36;V|~PL&1TcuL1E0k3co| z|L&p7)SCI#gL1=O*RMgbw`VLQ`%Lx%z3(`B5~E-KO_=Dvej=h8uzof z&3^DxS_S$F!KH8y)&c85%# zp(S*lA}O^UI1j1K|Jjmg8}*>c-RQ{Pr_qtMqx*7*#|?ZRy7mg_!?G-3YxurC@HgUq zFMJ;vQ~_I~28;$}^xID$KPEE}UdO&n!1r-(M{s=OkFAG=38ScdI`RL}_8!np9NizN zXII*llMqM@4ffld+)t>2)+0I zes@=L0rP$Tcg}k`Cp$B@O}}&RossE%6p(QBU`VUK_jgc6?0AE(d5I4o7TyZ3$bA%{ zi;YAjPn846uG7>mbWGZVtZwt;swKI7gv?z}%VOG6mNLWl*RO z+EFjQ5AwlVegb942Y1L1{Xn`a?7(}_hqon2{izfgj&Qa@vbp;Uz@w+bua(=hn%1e? z?e-OKpa+~*1@K1bY8cP$4ph4iKA z4D`JKb6=JlOU{IA0KIqB^ra^u+Cx8pM^}}?hHk?u1M9xOKI*QXx&>0aw?zS7qqZ}? zHS3Z|?{k8#GinVXOQQC=?SRzDx(cqk;}jSz{a@RuzAkhHYG|zom!Fkh)EI-KK;wUU zFt7mvg=7MM$5_b8$)VB9ZR!C?-o93#$=BV* zx7GN3z*|qGe{7`9ow|_Rc4bRI+6|}QjJy#Z~zCek7TnCWZmiNW)Le&;l9D_@dN;euOLoI>W}1xB2)6Mg zq>N-vzo9Fm*d+3@Ml&7OHBQSYiqno|(@Oz3j=iAz#laZ`qkNv^0npL9dT*GcsIAtvh9|iVxEMW#1*0ZmwqqKotpaX6r>jLjZ-hAtQ z0k0NFf0eZKE+5kk{qAsNYTe+4O{*tai%8_jBuM>2*Ty=Y$!@mB$GLued2cRXqL>Mr!d43B4| z2R*H{2E9)BLWFldop3dFgrcgNkG3H1lUEVgTCmD6)g$831K+BhL1{R}1M7E%PFCZO z9O(V8{|TA^9~a~U|FuBAY1MJ$gHBWAsoo~iN5Lm(BZuVCnG;%tB7dq5NJMjm2) z(@IcA^i8#&A$#d^RzI4oZQrN8Kw-GB6Lt9cXW?r+Hw?1;e;W&$fImq02Y!7Fa!p+Y z$PIc$7ew`bCi^D1J$a5HN5~cp_4^q#VPCfZZ}{y-fYfitLvq9<@|Vr4X(w5_lmjGk z&q8oTMaSVAokCL`<4GDfHmR?@eYZP-PJ6Z^aJsgofpa_l2ioDDaS(m2-jPmCk9)bu zd#2%cV@j`y6#nv_O&hw_Z=I2^t!xQ+oekuA*KM4QyuJaQKE6?_kT>+aiMINEN6*EL zE0;mCzlMCZfM$0<6IkmtAg1I5&;(62L0Y{?IwgZEErYa>XY>p#v`s7^VFPF@h41Od z**51;vMH-t)xiDpI30P{y^HKt?b}O$Ry{_(-#@KlP_t{B@9enxA&^rwqc`C;eGNL- zJ_TdpwHHv&nO>mqDz}&VIhvf@8Yjp}s9C-|us)MXt=8I<19)xUBh>Too1m<_i=H*< zqrL@=@6yJ=8lolu;-{o@)Od{SW>fWvkQ}sn9C}r6*Z1HJZZ{CvkZbqsy}A<#oUdvI z=nT7tg2Hb%X;5Q41(^I-njk&kD++=KHYB}nveLzM&`MX-RIgVr&;&m<10M2_VqKx@ z9|IB=PFpwJOu>Tsb$0_B@k0}Q&Fjb#vHakJ7DRen0ew`+O4J@5dKZ*20pzyCj#2^A zV8yS%HjJl;r!|{wq9)Vn-DA8E4&H=FI*k+iRzW+H%3Vi3`A~aco4QfJGv%9~A*b1K zdJ>VkZz9^?{60P7Xfb;xzG;4>gwuPF2brP%8u`rmbV-?Ycn##V97Nu7cK@s3&6!LG zL2fs)Zt_AGqOSakwDVj2Mr*e9L3lLEHo=YYZTo93=-X8y-?;sf0K5M1E(K0MABtoc zE7MOP{GU^(Dxf}Dbb*&B5NFy%Z%KlJHX~oJA3eGcc5e=Bh|!2TLQQmm5;ndkS{c3+ zhlH|z83sti*5SaKx%KFS&6y4)+=0jOwwK+R=;FRE$6lU~KdwzvCO+*f@(FR|Unc(671$&#MWB-3lfG%{(*V3FE>lofGiTDG zsR129(>#uX;Vss{no*`@R=%)T8_SJr*N(kttuMs^(xxsQpKZO#&2IPMdvJAHN9(0CtI!Su(}f+Oho4=U z=NO3X#%|(7kxh!u{Q!@MrC7bW`x3OpQisA%k-uI>i=q@3e52FafGOttjgTFixC8kH zD)I{(=F_|TxC5t9Tcck(gR*f*Hsn~x9|pFGKw}fXavr!6de8%^L}$9xO4@xJG|3O6 z@ohSsRz*tOP4G7Rfg(w%N#T&+yfsDITlkZnN;|L?@bsU;X`JfQbHvO>^gG5NMn_tqqEQ=qRkCEfmfJ7ankZW|a z-;j@KLV{vD(&^ElOmlo2W|smsZb%YZ(dgtO&@?VhPav%icLCd^Ruz2X!^vz*74fN!gN*CDy}tPQBQ%}a{b zwOvm!$aVwiMDElz71+)!Cl`(D!d}tug}Sm4^B}ex`w{<&n7p3u(2ZBb3;I_C&E8~@ zTOQDAh#X6^81=0h-)I-o7ctdF;~RU8;tvfTJL22W)f4n_m364C(S?J+HqND>xAg#p zl$)5S?eX*JS9J-y$tOvCN5AGsdPMp=If^bxnzp66O!=`PzRdz|fj;#{L&#~~gI@Wx zcug)$+O`aQ(AI>oeMb)ofaD*p)>1Bhg28lv78+FExdI_2ao?}4M@G;%$$8BGV zZ==N&D{cIc%yR3~bHFw^NSYwN>|t;vG#Q9m65S|rlJs#invm@M5ZIK=N6)cn{5P_{VX3`kl#^61k`wL(6_?1Oyf1bR1`wY3qA)0#v0W=EF8H>b&1 zaOL``K$BN_5x)7^Wg)+nmi96^(-^0$NyxX=d!wE0<}X4^I^Fmg`OYlhAV|Bgh1(#b zE89X3HM=p_26jC@GzEMSf%ItCJnkgww?rZqp^Qvxk9^bt+Wpbr()`7grs!p?&psNb z6J$v?eAxo|xKb2VZ&V`!W7#-`w7+#=b9|e;BOf}xC)unCA5@@8jHJDpRB9chC2#8u zY}5UFPpr`JKG! zMzS*-J`12O>>SN;SN4Sbs&4G#HM^B`gf4b`>i596)&a5?Yi}njrp{Q>5OuH8Er5P8 zMRa{L=s}WU@pDM^8|Vm#@z6X#{5z9X7Eqx(qZPh&#-)S0 zZr4nF^^;fO>)S5|aKq$Z!S9zm8+qe4ig@_{lm|#akJpe8sH8BvXj!Mqb~?MFpKgJKm=O-h$8IGTxk2qE zfHdquw`FmqRs!B=Azh#~b_@fr)$d0@nxxUV$3G-}kT8KF5{dUh?5n_qB3iZnF#%WG z=PV@F$s|v*?!@-^>VG7Q)7O>kSHp!-fcX7E4~mQn$kOxwoh-e8m~2Q4?6Vj&rWTC> z56Zj>cs*e;`V;&-6p)a|bpD23S^;?291l>2zyFnb*wc%8xbAmQMui^&WppCx@)*Sp zP{vmM0d+O-9Dsbo=_259(e%`}QTTC4Xxwxc_0WyIY10cW6%P>Bs#l{s>hJxpHH?~fGgc7 z1uE!b!IVMPchIEu;Hvkb52OXxqSG~Gr59*IhtU{?)u$&s;lB)poccTK;u|@68X!?G zYeHId7Ddiuaw_5*TfIIY4a!nrrr|j98{$rpE!Rj-k{d6g*s9f)?8zqObEtjP4n4+(G6C^w--PempcpCM)xVY-8h)O*2ZT6 zX)@HEdYE$n`Gh5;^%E=?U9}Z>>UcCjPwKuWuT1}>Hz2-=so*hm zuZH^k+I9lg=tx?`zf1;uZsMK1V; zd6MN6Ua1@;*Zh}SxVd$L18QvY7g-f2ctU$PF%j01Jcr}RDlm4SH_-k`^H5(vqsM4#V23R5 zo1WeTJg8l1eCxF*n<4nmb*L|-w-dgh;(YLiDQT64Hz&WXzGpBX5sybBZw@5QWT|}! z*vNzifJAA1@Qr>!Ya!+Zhq_{u`T^3Q{O|ZSEOQ67#OWyn*y!~eU>gU7;cE>DfXpTV zMzkvai8pwYdsPK}({LKWlwHm7ZB{-4T&epg*w=gv>7f>t>E0!+68TfG!xhFiZvir)`^0%9B)jQ07jIfrk+?Nh)8-mZkNDf2qo5p>}P(A4v8 zj=F=L>D@(0qZh!2=2b>bVHp$!57+(;Z2b?kdn3N3sDydu-{7)r{|oTQY!}c+otXyt z(HmYP-|!o<(c&)AgT+R3&ERTWc?jBL9Zo^zCZ6QW#(zA7x)OAkASdy!tN13FjsTmy zyC?EZbLr1@r2I=Um1f`JPO&3KvBDQFevH-lh0rG z8J#rxvO6Ks_a6#l8fHh}>vwTHT50sjqY>%Y6s-)HGXgY$XDB9O`t={QEND+2^7S4Q zJh&3wR)jnt?<}O+G+ z+km%W8;bMAU7;9Uql}xNY}{owXsidnMXQ=r+X8s}APOiaT%p^XL|?iuOL|1n)8rN5 z_%_u%1${~qy@YF)6K$XWpK}0R#g1M9`D)gHY{)h2M^b@n+4Kp(tz%=CqqLsAX$;&3 z=1PXkMm8oKb!}pigMi!2R?{xu!tzg`F^0qm9bk_r{(O*CB%}TiYyB%o4zri^ z`==x9>%}M?WoMQFcZ@xtAnS2vNd)i&Gg|>X$z1NDzEez-3@N8s4h84WFpmSkon=Wo zz;KTBYXjhUcD)sV7ud7uC|zVK@**#>jTce6%<^iYe^=OR+F)1Ngh2paV;>%X;X3O} zR_hJsItC2?vgZ*XxyjCv8o$Mk)A@Fr^&vOl4hts@dY8?m2W0oy!P02iefIM)lpe4d z6Cvy&drC*pBepsp43AlUJup0BO=xF4Wtp8J`WfpR0FviyG%3LstUkRFe949k0Ld#h zj=aCuOuq)DH|*_bFuY~=tRQ*E9+X8z@7bTukokd~e1PO5t3)P1XU=Lfkap0D6<-bE8 zmT^wxa^j!sbOief&Vwpi$@Qf;<|=N>2h_Kk+d}U$)^O@UXzp50(+hm-xNFv{uzDW%x#KT?cp8@J{?__lLCq+NG#?R>zu zhs#R_a4%=t1NME~39?1@bN@kjNLGYztbl9c=@8OdDGaOo<13K3J)Mnu+!M%jtN!>D zD)VS|3UJQ@Z9rM`BmzGY|3wT2=a#QO9w~x!NCN(dSw95gGqr>kYx2zOo9^rl>=k_Sq zGX%*oF6bMy={PrFFhrl=Mt%p%liZlW;5)^&{0G8Lb3Tnhd4_ujM*NKHihzpFac+Y_ zd7c|avGxm`(FEW{PIm~vOWdGX)P9*;dkySYILqH4xyqScz0KhhLk*}ylgi>_?sORqy|6R-6|X$zl8 zFK)K-vxg(u#wXtf`wo659Y;I)pfOI2CE z{w2k+5Arq0fIY&{HvhjDlW@7w>@U ztzaF%*H>{p03`hs>IWd{ub2b>OWJ&u{aOOx^nq;ODi<%KO;x{*!}p(PGir5yJ_TIW zhVMh2E*34sx(*4%S2KJaAX@J~(OX^eS=8e;b1vZSXTL^e)%~}C%i}8j^2>8E#YMeN z)9VlKzH>oYv!M*k}Cfy}jYoagp7Oe$M@GH7!2-!~Oa_FLZ;0@dM z74qTn6lJPkgVsYtD5*npERC`yf^MuLZ&UO=D)nbbj{b%2WndF44rF?2vf=Yd{jFuEjTTCSA=Z4G04^xeCP%nl|Z+ zmZV&x_bJVq(5aMqo_vPp?+1dng^pqcY0b#LNv}E!lo_STTh46v7bvqvl5%W$izu^` znxTa`)6M{!JDb{*mqv>}-%OY1t&Tydf%vvPs|8m(huXllf3OPQ4t?mv z>R5&%%$;&53f-BxkTcPRm754W;#YK*bz}bo0N91JmjyF$}6h^?dO#1NMM4TKG4qx&Hl z#+K35;BfYUqBtX199`9pWM$m}9L2_v6F8c+ArEH^`{xE4J(gu>pb_I(sU?s(p8aY< zGJ*X;*BBF7-VKx{u^MzcKbd_+7RD4-(~M*)OIeQ^rZH0*D5tagWOmG8zmmH;lNs-U zVHS&jhgQ#KLI{9!STzdj%w?52fPEgTPa0@Gd+-NH7O*+w!YpKe(nejx`jbn%m}%F8 zatS+|hE^|SGmoO8Wh`wCaLbuL?cNn^TzT-VWN+vuZ58vOv0lx*C{nkEX($xFmi4E| z+&cC#0hH_6$_F6Xz{b@_X(RhUf6HbQo1P2No7s|0pxnYbZ$Yw^bz2YIHa5W#$#(Xx z1(F?XD{c9mto3a$>|zJ9P|@8Vy$Jvm#AUVNyuLIvnHmC=ZQ*1dk;xtSnv?>h=h&DDN%Twx8#`MS#P zltas|vA3H+a-G%3{W+}awj}yr*7z8mY!!t~?=UYNN_SZ(ZKHea z$Y#|3fUPFC>ml=^CH#mj@c{5Ka~unXC+u)b0H3n%6jy!5)>lLFoSi58^aa~-2Bnv* z1>Ly4Vy?cB@|t;)-};7CS0H)Id>SEn#~f*|yk}R*F#W)8PX_x(W~q!CI&+s6fusvp z`5sDLxrOAqbmP_^K|8y1?O~rhDP>9D9vq0@wZr)G(0~ z=n8lew~kcFWbU^aV4uPXo6v}<+$K7srg7);QJT*EQ4TfC;A)W)n8{6|d6>mbraOz- z+(vS4=WxsEb>Uo2L5BW3ZftE(&gYs_=zIa!`Xzu1IaM#zu!tK^5xvEn*GZI?a96xR zvXq+|gwitZ6x}{8=MLNla0S;x4ZfA!0yBWCxP|0GuI3V4LAi!&L@w)EZaqC|#Xnj> zBe8BFzn(o$=uz|<&dGXhwD2L1G1NEb{D{X-2KXE#C~q*epGvai!TG*LC#E9 z4u`lu+k@dSH)sNIN4Qhuo*d=;DLKY{tpodUZY_BfC%8A2P~S;z>PIx`6t|-%lGEG_ zGjM0Ph2&>n%PIq>G&#m_c$pdc86EHmF6clrM#JSZ$wU4=lbo26rTmB15Pr2_ous`EgQqb)= zXQcS~3vMZSpf9;!9D#eqDGou(Yi>?9n)HV2m4xIiSIQqG?>PS(z`f^ux*++$HSGq5 zkKD+zz;)&wN&R-=r;{brmES`rNjLr*7vQ?{!|0hr4}SIUNP6-U$rtIx|EvdlZ+^ol zGy?zZhZexT{M0ol_2W0-Mht&eA&WxH1NhOjs|NDt=pt(n-{l|h4d%@pN<;WnAJCSe zyoH{E4C8CiyPM(spR}My@Uip)dL;kc1He&y1&Wr9<{e9catuG~7D&eOeM$j0j`yYh zjpv=`qoN5s&poAh^vQgrBa$inFE1c-D!-qu45sl*=7MrM??ew8X7D=( zL-b7kE*Y`2`0nJ3%;sOy1k6ph4EzA=SZm+`Hsf6IBEu7y|d{)nZ)?HE-SL$Hefvpj&S`Hu_? zYxoFSOKbTHlTrIR-fZX4f} z0p8&9_5eEM{U*u1dD|3mjI}E_f{7qVQ@TX~W zUFG|a0?9T0TZ&y?=YOX|>IVPwHsJo{p`FQHaTo{oTm1DY=kQxneo9*~Jmhzu1p6bt(O>`{^FRL!$|pQWHsMpgF`3uT_)RJ#&-s~kP~Qvw zv;(BPq8K>^>|GTp%~9&6sOb${cg0|`Z+j?Kyhf>~qRduQ+e_gtqGi1mAIO00qtO0{ z2KH6#BU7xOBB?fT{S_6+EF7qKIRzwx6eDLK8H_(@0bxTF1ISt%s(48D#4tqzijWRh zB+#YN2*vL`Kr&MCkt|jG^NqB?Mk}6t0C0@r{Q{7TRg4KkGEULAJd*JWLvz$OL9yr% zN)r`66vCOLsPz==lNIgAg`J{!TOSiQRWUOUrD=)@yC7`3f?I{!XDGI%060_8kapE9 zh0kp8%~m|5>$f?I+2pOxRcxjQEBLz|uBc(Yq9qx@3lu&Z0bHbr+m8AcE5@`!X^A3X zI7&+uFUe+DrdZMfl*<+O6p*<>ake6atyJtj1KcV_?Z&{ZRy=kF!x}~B;lQm`jJk>% z)+rRTz_4D?iiUiH;;$^UbED$qKNzJ=iU6`dH!HT#z1$XsA1Sh}igIU=Y*XxC1BUI2 z?2BO7p}0+fyq$`%bmzEB@njfk*sTbpo6tRq#nk}ZtJty?eESr-?!fI={22iD1BzZ0 zDmUwg#vh4;e7_l6@@n) ztydM-eg(-jMZaxGt}B*hK=choXL?QXucFHylx`|o`a$L`MY&8Qw-rlHgZ++Ti=N7!e*xD^ zsc8f$eUyXfDCn!)MOwI@vMOC)_gDT3XA*zbWDbcQsC-7Y+aTpWin|O}E*ONi3{h^P z@W4>zvA)0!Qx3`pZn*Lwt-2A)$w4TMRF?e($tYzD3ayP+E+iJxO`FEt)i0InW5;6eXiDKK{YY0l-aDwkIony7CIy zrZX_;=-*6bdJB|hDX&ie$!ukNGIZxC%aDSftBfLlbDna*pCFm9yx;=d0_C^qsCJ?9 z3azC@%9MO0iD5fxFAHbOu0J&GM6h)Q-EiMvUCe1E0qtXB3Y&ERS_hs zm8U%*bB%Ik3X-+T;{$KCWTr?C6hn2t4AKE#hocSAYN0p5|QSC822nX)Cav7c4CzNdk zwDY9WM6t0`${KDMkkd++7~sw*H&dMGtg;_nOq^4Wr`HGPmEmVlx}emjfZ?L@@1p=- zQakLmH@9zNap>lms)b~hvqc)Pq%7|AW zd7|u68l|Vo7GqF)2E7l4=gM0|`9kS762O zn)^;UgtYK`<&jCC{Gj}2CfGkJukJ>*orSsdr@*@id&r;eD$JrkR^3flNA5>=VeMw{ z^$-qGA9@Oh{sUpXgrih@Z{eyZaD9YXCm^M-aEroG{e)=x%P0MXbH78_0O3FM8gifz zN(T8Lpe z7Cd(&86%i>qN1@v;{m{p6Eevz7%wcOWivs@r2CMG!he25X_7FBZU`m|gXvMx6k+^r zv}LN`SOcDm5}F>o`4NfgNZ@7*hBm;>5nkT~aIP@o1xV%z z+-2bA3uUiB*aD#wMc)<*iKRigNVq~q)MBAcE=ZOL)yaHaCS0qGMl2U{PoUZrf^`g% zmBR2j;9DhRQ22Va@YO6ZtPukHAXzIYpQEC6!fOXmt`|D>Mrnhvne60^!q?<_Z4#;s z0^ep~_dlp%i}0%+)ov9s?xM6!7(5WkcER^6kn9kajYs2m3d+mi+XZ20%Wk3PC6Me9 ze76F(S9qsIMf-&BN&~lF(02yk0ih=;z=J}MmcShnemn=!3*mI%b4K89AUP{UZvpU}(1sr5;2&=# zci@6B=Njs}D17)GrAxxJKTy$S;m?x*UJ-`(M{-p#?FRdGVI>_|H-ul0q6V0MRgv5j z+{kjgB~&8Kep^^0?KoisnJRaMf1Q!s6LyV7tM3bQ=w;XgVJ>a$hk}XTb3PJ=or9Fe zf@dvAc_LJ+fd)Pmn)XKWOjsTWhUbFA9WcBQI$S~xFNHKxR<8ur*J$o*A$cm;-w5@) zg5j;u<0?w;g_jfr`XKZ^0GS_!f1Ob3EFO7|q>I>`oV2cDRV}*NP25eC^WVlQd zo#}#hy4V7vr<@@+J%Z9KvF$n3Fk3t+060hdITNM1;?i=!%@dE4JuzS0*%<5##JQ0W zwotqph>k51gMLR1i^Yv(2`mwP=<0Z>sG|_ZGI6~ChUMbEdnm0C50fFkQv7Q=l2zh% z`ccJdaotG>TO-b+#kW?xNwMd3;(&=DSuaj-L$w>kZ8O2XQ4D$s(VNAkbmy`~%%_#L zRlI#4rETJVvVL~%Rw=JJb;J9?-zjbi0GOKDM!WoWQQCRS9yT)xOj<eT~xKtpe8UDD>#Ub* zv`wC$1r^+Y~V1HF8owoy2i^#qnsQUOFS~f`aq9rH?tFq~A z9HQ#l49QT{%cdY1rn;;~TZXH?qrQ(&bx#EQNL9id@QqSA4g$$&RnS1RWsGVbt=6%s zG2TeVss3#Y%JC|e1`D?D&3RPD#1hLx&1 zq{vpOGU-vtYE{EI0IpH}NyhqGRb>j1u2Vfr2K#yyR|TaFs^G<_ZBa71-{C4?PSRat?q98*QS zM(MaJp4R6HRW%9)o>YwqMh&M_o5-y`t%_fP#DyeqAfR6U%dh4zp55aNN%bg z)0?W>sym4&-BDG`L~>VEp%W&stTP6lerQA=$B&axLtn?Xq&50Ej!p(cf5$R$NCr4M><4h5 zqceq12RYs%TXC?Xf^4@Tj=#{=+fc^}bZQTCw0w_bxZ}Jh5H`YboG)q^>DZkV#3)A? ztJrFeRmd?KD{J!*8^yZIm=nk-I zb5}>jP3-3qwz8kFmj4$oEg8=ygz1Ao#;v z$YhFHLYFsj$v*+!WX~J$C-tG5l&00b0XBP46d4y~a=P{~ zUOLj*4YPcWYXbVN9V^UL4O>C=rplmSVEATh0oD0ghlY>Ch zr~(Cz8>f?9U>!o&#fc;6SZ{jG1Njuw5m07tbp>UPng%-e7%hVQiWC`dm;3|rU04QP zBK2b9$ebI%!syZR5O#+C+Uf|#r-EcO8%ti?ICh6@=85drATUg3hiLClVQa}4nabL% zK_%1KmVY2+2J3)Dh`Z`bF2F5hA1sjhui|(F7;Y*0C!y3&`QvaTvz66XA=$6|wLOvp z%E8hIE8A1R>yR>Z9y@J*W19_?(gG7^>L?xvfa?yURQNQSfRwIE_4`-&R7ig_tPv6;0< zw6!uM=Kw^-np&d|8CrUUnwLQr-R-(*z}=gvmT?o9+3b5?)HR2tAG2wt8{B<5 z8vo@6kpumP+x{n{cjY(ihRlI{9||>3;^Z#OT97Q`$B}Kho}YLflskBVyugF} zBOlaqik~zUd{_7zFCg9(P+)~U^1NTUgIs~Q8%61fq z=%dvC4d5_k*O6c!ul${SpBc($P0$GZSzihT?NipKqxht<-rs1;6=g+w>UB@~!$Oqa zD?j?7)Ln=sTd#+3?HXFvOXx~wL2uz2E#*E!e~JM26&yQ*q`z>i0z?lG(%*w*pkQc; z8U_h1Hv%|Bc|6-rBl zA+vy6FYGx2%3Z>OVDKFkaz+ApLHO7Twciy+l6Un=cwHJbycXtH0{a`GPZcC@1=rD_ zd?#G(g5-lxo3!OeVQeO(bQXK+Fd$vTG8A4KD0V&oVUxvl3Zl*stuMf^Ky0-V$x89y zDu`Y!o~J#tM%>yL3~R*mK+n$o4|ftd_fmRC&l%ZA?1{Kl4k6*xWfd> zGh(@4KzUZoAj9LF_~-|aoEKH4fx967PS0&Fie87%q)Vd9N|0Q}KZS?V6)|cnq+Ati z&~G%ai8()l@48r@-l*IV$8ALNujv0T7;cI=3P`ync0C4}x5Y@ZsP2e9&cNLj7n7BC zPyC4@UiZaryFiJ5#Exz@9*X9@V0bL%?Lz5^*nd7`J{2FVLw(Q0nM6H?<+ zGZNGCl9J=n;}e^uW+earTnk3z|Fas>QWN4*5)-8!7WT)(#mz-)@6~4mo|l`NmQym+ zH8DLWHHRh_Cgc(zMPg<~)6^7u z=`&4W+wIc!b#Q=Qa7iT1@#(0zG=qw9kT-eL|}s=nWGtTSd)^Q#^`kXu9lVEEXFH;FtxufG+3e*!vV;P@DgN}w5v;YX&a!{<_Nh$~ZXWX2nDQ%Xzt;wsIjIOB^#_P)N+P_YGXBnSkR`92F%%lcPYjN?Hkdnl92) zMaBd3C4-8zzW@dW2`&`vvlpLao5mzNBq=8kB$(J_Y1Sx{k3}oPQWDc>-2vDvJsz{w znkF2jRGp0=J=X@w$WKqq&8GGOon;rEm6=Wb1SmV#R&h>pHd-nJQBNV0ogi0gGg$I+ zY_eM=*@Q@9zejJRULYg)f->^@q@2_rWaR!(#?~KXZT&$mCqeEI8iJDCA7teIAXC^M z6wn`yOo*JeAg8nCs5e9^`D%Plp0U_6T8h?w2+gWmYhiQ2O?`uYD!MBy^x!lo}3>~O9m(^lt(WF4Eq@tTS{D6{8yOt|h zAIQNpgr0VA;1@Bb;P`yCg7H7N=tJt&iLIs8glHW&1*=7$3L2mr6S|HIpR6v9(iAUp zrD0Rr1^47wIn5v1ihttqW+8MYN7a4A4^tW6o8g~c;14Q;_-ZjI4sIJ1t8>*-eOEjB z0I$oSL zY%Pr|_jM8C&I)S442k$E=aP!T><-j`bC4<)Ash`DhWZyDK9QQ$Lz38om&EsyI6iVN zSP$Ghka=_86k*+`V1INQ(vAKb=IW|-Y8OwyMxKk8m>3!)E@2*5yk+k*%)C63vstbn)MMDtJ$Kr zM4Hu3Rh=SQMI@(aJ*rmGw075NG+HmMKC+5Kq{S3$wwgjM#)yc>aEmoi?O=>D$4Vgo zV10nq80DwcN;T;`9sEM{2CE^ETHp&zm{IMdX|2smQ~UU+Kf@7I6$h&|A*WS*me#|` z+PaDwrM%R%+|-PsPzQ@C#2BRywpzlhlr@JN4NkfF&bc{N)Jd7@c4{Z&s@2ZTx8@`# zs%xQ`Ur?-Bh|Kr|n@8oUYOO}2sj2RuZq*D&Ioeyr0o{|v&@VhVSnf(NRcrMQ!9e{N zq%|sD>gktAr2f(*g&QNRekMzxyY$6;#5zH%Af!5~ zIE05`YQyz9&p>N{A-JB^6sA!-+2%p#Sw&h0k!D|OV3-+nrf?%ZnBq`Fa0Cc#Yr#t` ztp$uvb*;t=YXRyHmS#?3c4}5`W;P5&$+|U~Fy&#P{w7*lp=P7j8euYH;!B3x$LMpc zG&&&>#&DzFqSbjg;dsu@bWV!Tjjy6E09Utx)iPY7ankClJIeqEv%%MDGMFQ*QAUF$ z+!*R-3Js73kJh2Te`GMN#1e^YlY_2AhFY{9a%zn*nvE7Ly-1^4(;5p!lb0q9o=Io6 z`spotZNX^CgN2P#UE}7C!J_$*#uNgqAqJhaMYLWHW_?JoPK)tTBZoP{M42GV=x+)& z`Z?LKS}%PSb^G@Jm881w|0MDF-z1*@o5TwwRU9w{hR6uBDJ;~>J_!YLV@J3L5?d2aFOuIVF-ydUy@zr@bU>Sx)#$Z_7G=4Ts1?8gm(_!rc zR}umfs`bE7s7q#)T25<9=k8w8n$o$um9(Y+wy&u|rKz!+bcPVCpKk!Eg@UQKZ4}JC z#>-7pbndaAtcFla5iMA-ENm!Q2@;f)cQKtHtr(3>-5LV*p`pfLtI;pOjKnw05=eO? zG?J{3zerH9<0Q5H30X0fR4@_l8k%kzG*4YgW9F$XnCPPWQ0=L;k6CC`h@?XOBh5y$ zH6ko5#A-2ygj;F8X>FihwU-0!B07%fz=E2hjv>)XEtbv=n#c@*#!&eLbCY&$v_8t{ zYc-e*24ko%7M_-L2F*}$ggkRrYj!d`@{Am7ZmZP9WE^1ex$t@t@^X`{Rwt)V&{ivy zGpW7;&0vhNAg^_|RZ}8PuBos`A%*NAzD3s77bs-*@DOW+L67OM;&_76#{_uTk8(e2 zfH4&M%wWYFSz`B&`xu5Zg_TTW_D_Bn}F=hyev51PBA#9@-tJDsvu{V5K%wl z^Rbf$)!PAD)EH{9MjI?)A-s?@CgqaPI!QpPYdWBk0YjB9L z7_1>-eny>D+8W_Fg$(*&$!Li3aJQ{;53F))c$nD~W5qm$MMfBm#a6w%xS=$|BIW7U zdf4o<2!kXxL~pJKt?TBm@h{vk=5Ui#sjoG}jN@EdCt7)5$fhDxu*KpVRb<)G)+mOP z7aZ9H)N^YfX3r2D>1Xs)+Z!m`o_3SN8WAIF1fAV*(H8D^Ep2$oWVKnW)OKvoK(ncV z(SEdh$|mNQ=_EZTTSYh~d`%V&9l5lMNF~99luvg$;hl@*)g2sU4F<_3sIJh+5MNA# z)~fgOi%>h+wVXaO#!dZ?+QW;?+4!_p@ojPt=}AL${BwO!3@zz{m=I$Cw2&K20)143 z7Y4YvO`x~5PESsWck^;LxJgI;f1}b>_xL|hX}xv-7b>0C|AETm|I#csslI}lEL6*M z4Eja*#THplwpsj~MqXFap%EKu@QpOb$~Ks^NWC2j3|g!!$u)`A*E2?j7m@r03R&)F zi%0*t^+)Rid!>jiWH%l@vxRg8>gS8Lkgf{(W@g_Iy(PjFqjvL_j)tPPw1;F%7da0L zTnpGvA(1#P%@$+0-76^cO^RYm@EuB&B8>tqV1K>Y;-$80SDo%Nb5F9Sbard1m?^H) zx!ct%sRg6#jM_Af2Aam-)1S0Wv>#4m90)l0jnT5*Vbyx5ol1sF1As%gB&@Wvttn}l z3Gr#x)buPw$E`SA>}C_yV5?pW2grdYL#H7XOpC$a9AeX4ww%!#7HS)A9jM9lBab#L zB%In>g0=7Nt|e=q2+?7U)n8Ii1*TzvSD@2q$uhJyv*KniBh!9v+MF)(q6gu1CpfDUG5F4E9GitJRHymOm9m-ZI!*Qe zxkf=##X;{!21|IPMVc?@Pn*c?YfM_x@PDM175HKrcWD9%he*e)mw})CW{)zO>nTY z+E&EoG+CS-LM$+~g7u~lDT*N*jI?~{Khma>rAs0 z3qFB&flnYc2aTc7k=26RRH-ZS2D67urS8Ju29atsOA#V*ag{qyZS*fXZh&~1bT}`c({nSs^ zqDDHnWs|tz+%9ellLrJ_7;zqZC*j2ehZ*WwV~zTVf_{{QETJ1ElF7X&SPDg~3yr@o zEdn`Gq;d0woo=w|O`%$~TX0E!w;YwzNebS+k7)Zy=A$LT5N?ruSop*8h6(XCM_9t5 z5mX?%;lH`D=?OX6xtXmJa|%3JeTcguL~=KghI`q(Ny@|Wk%N6yaMKkQ%g!7eQZCak%pBla15LjI*KURku;w6u!Aw;ixCMz$zzIvkwV12Zd#*ktVf4o zGuW)cV!%%kQFI4syvO8lpS2)*sCJVrHItuPQ6rz)h#|4!#sYpe+7=A& z)`}&cl$n>1mRv>WqSI;I-90q!-a1@cY2CER)wCYLk`rYO_tm=7KB;bv#_gfIM{rSF z+~YI*%C0Ls3T><}1wOPCC?oYC-?Ji0T6o3cZY_vFe$m9TT9aGT%bDb)Pxp=0nxC4J znvj}?cs0WBf7sr|{ZR#0PoYa}k6e5jvvK>MTFwoZptt~)Epl8n(uGZR=}VWmh?&YB zn--&PzcetEKqk#I9oIBjxLH@r*1W~wCQrK?OeRAK!3Lc{YapB19BI>hXo10ixN>nv z@l&)^9qn`Zy4Q99)74aDgm1kf+vAHg@-8W&D&!sZ$?nrofW>z8tf{WI(m^RJiRw@` z@{mwC!LGOE6c@&5AZ+_0;_WIXGCaZzlc~V8_!5cSKIk4ZZpC6SqM=TBOpx3f&!{uh zxTns^RkyZBk!gL|Zty52|Di|?Heb2~qQWtdh7nDawW%KhcCez0wXp;WnY~bj*+OI3 z?Zz;>E${`b%u3!NwT`?kb8wi&&t!&!C)p4sp=2g$3gjT1JQKz+t^G=?M4ZfKKft1B zV+I?e;Gc)!;zH*j9iV!RCoF5puy#{xVOYx%EBO|Q`atg++;P7ryY~h%yAXk}-GSpq z6YHXQl+(6=ZDIV+@zM(Z%-RV>kP4B~a9pFN(1@rzE`8$4|TNUf?i&hAF{Y`kaP@%JD0k8Bnln z;Z)OHV@|EsrtvwsIFr*pSEqK2bWvd+D!W4$h)X9)224@gB^s_Hzw~GU7i&RU@BjMT zfJk&2>A8W`8i}Tcz#BCYYZ? zDz;}X_F!u<$BtHpT^)R)&urF2vCyY&VIfpq@{%%zde|qqWCB`qpIW){2C6PG!n#1c zMHHQ^YJmVQ%rJmG!$jls=b51FunGuCRt=frUqu2^aPIrMaTsQN7R=O z#OgLXA5W3UYbBtClmyN(+1WBYet*Cj`<& z$@@i)y@W;4dqZ0+y7(S8heX86K~8)0roge&dQe+Eq_*1qx}va8+`1wt`36UxNVpe8 zUyT$DeBm=6tw!sCfw${JTcCp$e!=;nfh!>exAnH*w%!)pE_Q!kvWhT;!hiT8%D&o5 zCLr}f`{0uxDc%d=@rA^yXyosb%~SEGx0J95>_K69&EpoI{Jg^Vqtx;uFIepg=~J+* zj7k`Oily0<*cY%eYmxouk30P$)27u(<~6`|v~#=RCwgYPerG-4>W|)niq=x#RGb4^(^98-oZf zSzyn8j+Doc&Ri&bIaFHYddR*T^%^gK3bSa@jl$=9#el{4V=)H%Ncn1X_BaymjHGKk z`#V;+bkb8nt*4y=_Yb6k5$m-Nvqp;aP!nh^*@hUADtmlQdXyF%7C`;Q>phK!1`qh) z0JjdOH>A?Y>AWIke-B#HxA-1+FLK-HQFKCK9@sA%KY|-BbySipcUR zSc7u=ZRU1y5@`+6!Ci0$%cnX{CCLm53^7FLL*Q{rXSSv_jt+FxK2BOm$-_V|I!;l6b?$k6iM2o2q$%=I8tV^ z2m6v_3&F|uK;LS$0VopaRHY_H)Gx%BGATuV{h6FWRz(tC@HdT5%SkT&D8ESf#_J1l zYIo278NhMJ<9FLNtyhV+v|b(sGKxKI^(wffrLMzOl!HHoFZc_BKSg3cC6Y-y)!Zh> zlA72;TI=$0Dy><`57ydVsh3P5vD3trX#eYR&n6$>6pN9bdKP;KdzvUR`T7!4AGg{5S*Q6x+`WEjw8t$20l@3Eumf}Ff5K=!p&64 zU6={*hK!Nse9os@2*+$ zm_c?ojmT~;1)Ng`z0WS@f^3$KsVQ{?b&txpxi}j`QYvU0$Wr%}s!-EFR~VG1u}6hA zes2CwMhd7pmZ*%UX3DLoEW6DCR|Q=ARQsSSb`1D-)`xYiB*%u*FR=chGTrQCKH zx4qu3lMjlmU}_3)&EY3WjDGg*838@Ipafl-Rv+|!gYQABH&rtTDX|C!LEm4VZYYuL zhw&FR+uU#yv69cz!|ZuS&D1qqEP9%E)EX!jxEu$8r+FHx%}Ru1hw}|?1bZBmvp`@E zc9Cmipb&k3)Z`1vdwBG}6dbs2vi`VHq~+p{@)#->ZC1)(OvkaSi=v zgRsT-uslEBz4-W^X`9JqUhLs&@)}LQE9Tbphe6@$j2HHY&z~Ww=BJ-f!{hGFBdR*6 z(tER+6MW>#Ox)^V-w74(7PH043jU3RQr!T|Cn&8Vv;hJajU=#k`g6p~>6Bhr<2-@qoW^-;lk8-2D5;Wa!T_Ce9v48qZhf)S23*$?$l=Xk;pTZ8Z}Gr#f0u zbL#W>))8<~=Hnu%9$V_Ms@kY8Yu6lERpU29QT}KGi}D98VKW^4?e_MGkOn6-OpokN z)s!huCt1oArfO=SMl@pck4b7Sj_<`)&=`Z(+nQ2Y)h8zF(wgDP?5{tT4ukxq!Og)} zK+bxI2sZkwp2j&`opKz*FZd34qiRA>1)9*9JL(M80kKgEY>;y^>eJ(@N3fL}I)s%H zUKMjH_`T#{`8fO`vju|h(bd&G$rwYaTG?v|fD(>f+7~+lQQzhNA#SDi%pBY!=r=G$ z&rYwdfIn7mTyK~CnQ9<#2*MIMkC`66XwXi4tg`*JS-S5&GH|^Trt0d_Es4TQd%fKt zk|S^)loJR#(!s%rRd`V+C%hGh*ebfu%JYU)nro<7%XyaPQ>59)NxIt9LfUTZ6FJlU z-!3hOnbiBTX(hDbX|60xzkDNgmYlmstALwCHg{sM%kkG)*cUfM~ zHJ*7f>>9n?6aQQyEio!}^l~LpT6~FF>cK%ihO-M#1+dd<_6m|I%cK46{_eja2_#eQ z_I9*GIIJsKj=6HpWTXl79jS6QXT5jQaIpVIn6v*zlhOVgVb1;=HG}DBoym&8&nmSF z1X8q^GI0@RMfF@e_@q9lN72u7o^d^eGo)*zl)T9&N!r-vvlXyUlTq)R4A?cy&=Q)?SCR6rSQ21N(v~bri z65$S?ABsCJP&;iw4U0IaYvAw3$clAN=y@sMpXJ(WeS*HLgslf-;DJY}`niNCW}51% z#3mV+isO14jqQ!q87Tm?${9tph=o9sgN&T!SBz|u^TJcXyQnHRkU0N*cD)QoJq@7t zsX9^$G0%p!1d7sRg%Vn0`k3a80Bo`5jTpe1unH8Z_ZF8u$HtVsqby`JqzP#nXp)*t zV^Z)wiHh*VEPX(W&L|j)d|2Z!JHW26LD+M+5=CI)=N0TR4bX_qCwY~%*Xk&@&{1t+ zetCJd-T*RAWvu6h4)Zcf24LY=eS`th!-;^H0@F2B7et1%U{x1m==3eS24Vh@N~%?Xq*2a?scd4AR}})9oqpyKrMwzh=#-QV^#> zuF4Jveu>Jdl*)X9%L`g`Jy+ZMfnLNJLHCY2sbFGTm|s9{+;6_g#68M`;J!xADbW*J z7Gncn@_NSV9TGys?^y({L0C<1i`-yxi>zx#Vf}T~M#|82bF&sxy5C&0z6A8tgY%Wpi0S2)P^7^>%KxLM!zquYo zph|%Rb3TsEDXET9%tE0A{0kn0e+NLqgZhl_kPfthyCWb7iV-EbEsB0kMxL4S6;T)% zP>;`%q!NDTl;I$`ptJw_*B;DI>$UVOm&eaTZP-F+Gx2zC&3ns`PL35<@#!8B112h+1^ z@t;8;0_9j>uSsbj_-R_gygp9}ft+u%k`3oi257Ju&1=~>s1(@m)D`kkO4P#1*h-=p z?@mr%p47@P@Ve6h71T8K!s;+kse+Nz8}v07T6P_Vi?ELC`5KY{k88f%^z(;$R;I#a znEuKgRf{i!F-?vasyhZ$_bbTaL~aDKpYJ%LWMYylWm+1SOg`8-M{mLV3U!M#0RD~d z0WjnmN{Ji_Jnc~NXojbFjk6RobHg>gn=qFpt8p>x-64o>3X*t%IKd3nDrRGrKp7G%V)gwV0uAUlPy6K>79S z{wVEHc@~D2mY^#hpVc-lVRpH;bom5bGI*0_2u7XnjuAk|>A=bb*?=WL<}g*i_2zuX z5dGx^Ca<=5?>{ifU!!BHyi4G8ChF&}b_?Y8Zpzp~8rlhSbZyB8)R;rEwByM6Ygn1i z8I?uqo~!HCRy}hsU^p>QN~NfW;wuQ}k@~3g4|QO_r-J=fgL3GZH5JA?SV)F4&!?FU zDDk^scJ~eVLiMt5MP-oG9o_y8NhuRN$9qG~V@m@W(ZUpWP4Gt)3cSWxg*`L&eRlc^ zurg4yBw!$h4;un1H2Hb7(UB1+QCoO6=-uc>Cr5y6zm(e|(} z*;A9`OQMpf2|DB)G3O*W>0#j#O&6CMID`TfWQoAj{dvGsqya+<9-ZMNb~$P>IC#=c z%Lz|LU^!v&lqHV|=9|aE)I7nQu8L9rxYXbp*s z7P?YgB8=P8n5Cqz&Tu-e-$^J~wJeIX(G+Lzat1IEkRRQqWWq+E^y8!kR@)k>u-Nt- zJ_Q#d<7dhzPTQtH9D-Cn7!o#Z{lSiGlaSn#klP(%;QaU~(Md^F_`>}&&_ zoh#kG?iA4)6=HBbCW1naPtTw_MVLeI*MvH^Y(eqqrzEM3#c#t!p>Q{ynX(Yrw|av4 z9NDluN2)cT@XI;$9cUrB;t{X=JGDP0HxsSVbGCqeV%8Q0aKPMsP4k;rM)L>wee`H# zRb-%K267K-P<>v7_@BP9P!x`WZ-U1DEH&{0u5~*OQc4pxgL<@p6FrA#>2;#plk4Pz zO04Cc(_6xYfL?D5B5iH}(C~3yp{RS3-anpEvb2`q$0>%SI2#NQTI-Tq97TZqDY+w4 z4);%?G}iHORSh$rp|4bG;fJx-QEtxxa_nT-{)~_z19h9rv)w1|mYxEpEIfav zg=|^KvMV+(g>0M264i(Rnd%76Yqi_KADA>5{eejcm~+;1^t;lgYTmd&y=4PQ5j&L3 zrvY$8@aVbmLk1lVNpZb$D9RaJtmn^;u}QhB5CJU{qR|ZjS0TG?_=$0$o@DGM$Kr`u zem-0Vi7Q^t#?*1OP4IDm)t^1H;%o?ZeMLJhCKO6q#4`lvnf3X1`aR>XniD~*@+J!` zlkteLiOc#7iHhh7MyZY`xi++UtNj9y>yZef#BprOvt>2Z=Loq9*UUkdb!*W!uMTpSY6MkFvy@hGYkn7Hb8}j8{&hd z@Q?Z^L=M87O+15%fXoN{7zb{t!}0~j0VTd*R)c9G{mGJ!XgtB01-1H(_^V?XgitCp z9u8QqmGRmk>6povS+k(*{Dm<*dA(YaQh-aG=s?kwi@$1R9j<2{Cly&=<-nG!hNJ+iAIN~ph8V;T`VQm7W?OoQWC)r2<| zBYOVg!Xrrxag#-Vyg8!S_p2tnq z<0ig*b3%@)F_w`h@EuXj&@iBCGn-qae9AwvWJ2~rEd9t68$sta%EoW5j@1nCm}Db4{wvY={U;Tn+3L-!bD_U2%C z<6$9!6KWL;7Nbys zCgX5D5U+)8adAmbru*fK5mX5wJ-Bp2sFq7rjOtrZ@ACqfE)^&f%#kp0j8%~5+}BAg zc%MSAdXs_gD|u4_ep18`f@)4-BYZ`aOJ2%arbz^#NE6B($Z~F>|BK_>0-Vy>MGqU& z*kDU6PM3q|jypbv3IL|YZ)jX$Qp}9rO^8WWm$^)*%iBD2Q+cM81kkZ6&uq^kr%>#h zqIK%@jKvUXjtp$mShgSMv@G%+loGA+CqP^icjM z)-$qtIl$+$LkqdCc0$1;uq=w?wlZ|zM_&W;;5d84XOhz5AMZcD`S^>p)E%%LxBg8l za`EI6bF>*hX(Gy$rwvV+^7Jmq6x{`P_c4njSSkVGKj{l{QxsnOoA;cCU#Db&`TGPwg)U3cIQNAp9EF7f_ZX zBq{OLp#~z51K-OTH%PekxIyCRd<&BxQ$ZzfNKy$+p$TW#(}#iSM2-tzcLsFM5}hV@ z1XGhWaQnJYB#_#OkNxGKwTr6n`ZH<%aVU_3hwq!#p3suK489Zgg*+ZLf&~lQC7h)- zW-JA6mEF6qpWcw)FPY)4KNp5S#iivo`Tack-8>Cu_H$v;10u8d?OMuL(%DLJsiFvg zMvBtOlt_;0L${Sf6P*AxdL8Y4nTYL)L#=_Fn&#zr)P{0B3`7bsfsL+eX&$of7}fWP zZYX5eoukbK8e|DSg`x+_$r>)sgL=icuT7|Mqt2;ZdC6EbS(!O3z+LR*^^5EIQq78C zkHZh4wn^SZI7_iCAR_Bb?*fsHkokiX(51j(7z*ubl{{a+6&v;ELo=6s zO-%=VqzUjCemK|=@EB%=(3cLSt4w2(QP%!f zZ+q7axXAZS!LivlWsEfiEtwYYp2> z5T)DqUcH|XC@WKHUWR#D&3)Qc&0R}+;>5}sC_h)6fi7a*PpcqR`GS+XPj5fme-S@o zt#jG&v7AXU@P!`5c7^kRIAUR?C~PVv^ZJLW_w=x5I%be;=c#Kj@e;rr)Al)Lq5?B? zdw4aLa(u0tJ%SLSjqtFm-30nP?K^Qp)P@O0EJd+eZ*(>i@n0J|;^k1=#1lZsl56DWBC`;t0#urF3xxNnobl zw^o>}H6?tjo>=oXdNf?VETTaPOhEx2d>7vi0Czy*kIx0`L{G2y*JlmRoGny?mEs%u zCXuKkGRedqxZwy)5jXW|STUe^@Yh;4L~Tt0t<`Mz1y|b@n;A^*qhFT1eHJgChybg=^PYUs3}WaIF0LR7k5||QtNEs6^rSZGs|?6RmXv67HuKIX`?sE+$eYrnp#;w1EgpG zX&db=HMMwp3l|i=E-^7V0Wd_bbzd3LqfHvI^P+LPNOGW#;qi*%l9RxhP~`*O;cyB9 zylpTYk}!9>pYI-aKYo4x;qm<^20#OZNjwl1z1iFXN1C=zFnQIUqYtP{*dL{|HDaAP zU)yxRmNxZ0aGh;=QJ8O(u+pZBl{WiXDa&jH!tYN*;0B$7f{L15El585hz6O644oSA zslBzLmr9!}+Ckd!3O@d{0Tz@}(a~+8k=Li<1NIjxN#4DE`z47Ex#lJQCD3m0D}xG5 zwQ3K!@>-i9M=;ZBVdAs4CYX2ivfr#VD0kwv)(VHI zOB{`Ep0XSxZXqcnVu5ddi-}PdD{@u-@DGsiA!s|b>dp^^;lhc|HWa5mqmyf^El34XlY+i09=L^Td1%;yonDIvIW_;8eL)~EN*Svoe&hM}{Lc*~q$%JdP&ms3rw{^(M zf8y7m9uv4?M5h2qD*Gk6<6x*>7uM=*UY-2C2N7UVSE8MhKAs-f@lLwYP$vb2?=cZh zn+BpNr;BN;`LNT)bhKAXY^KQUHt8f9nsU#^9S60cjNE-$G(oeY6hLK?-Xx{0i@790 zyYx5IN^ORaUOIp@KET0QW5HAh?=DoIB%hCptf;KiWI-yy1gj6r{qr>Bj*0vZfli=E zx@BBUAdiGRhJvS(s^91u1$>dzx=4kP9!rc*(#z~^v58b*`tN}+8URn+*MU*FgQk?jB zKwK$=%4lxvBWa}e_!)iFkP{7^gj!w2g3!LqNyykN3tSKH*&eMhJWcpZIiB$tgn1YS zlTKsWyo$Spt{Lc@q1}N4yOcg~4!3gEK9;UbieU`{ZeZLJ1yp*+Q4D0^Jn)$QbR<>ltmKM_DJrh~2-^5-oo%3X8rEb#Zct&Sn2kKHvA`PaTL@y4jJir5bdWmfI+bbx* zCGDbjnOZW&%i81e&7c|K3#eKcx&ef+Lw+$=EVHp_zbHrAT%wr;wvKs{hW*7hMAIVd zs^qf2^uJ7coh$4~gT$v11G`1LO0f!%CTWOh$)NKpr-y z=uNN{7G;i^(gWbz&;Y5+mnS2l!g+P;r7^_X=^S!Ou1}EtQzEx)-Q5up8nlDJp2U?C z8!#HBEAdyfUPp*EL72l`iHb2&cu3Ziq%Co2t_;phDkX&MO-dx9zH^S!51%xNBL+j( z{Zw2m*l{{AC_xKy8{)1(f z$N+zP|M)Zap>pB=$FDyLH%A3#W^f_wbRHNiIdgKo!d}Z6B%&mN0`g33AIWRaZY$<% zHr#Rd%0&GVYC>?%2-*ye*;+SNzI(ZpsGP?5Ige^Zt3?qO)=q@Gt|8p1RT>5y8x}%J zk{wf&BJBoAp);Xupf!}}`6PJu!^)GmG@l`dBN&g^3=pgX3Ce+7s<%F`h>^4z&5rm)0S(4>K znMV2%*cdD5-O-8AUx?GkE8O5*pCTdQ)yh=avg=74mfdtN%luC6H}@3K8C}tAyObKl z3y8{=n&BgGz)ak`*1jd36quNV*f;@ofbeGHF5J3x7_nn7JZ9Qa6e7`%@`UBAD zJyD_QyUQG`KPxC-4wNUQ7%#FTpx3EfM0BF8?qUOeM#^D%pSW%2{?Wq{HZI}`;Qe84 zlBq3+9(>5Omctk&&&;#KL)TiMN00`AqeYoVc3*)jcZ-8zb@0p5$+AxdWP$z`*fe)1 zUaqj`Vglb)6%*;#7K9g!F0KGYMH@bZN2I?9%5o)4Hf5MhY#NjkOwP?1-ZtWFEU%$; zRKzHh%)t?A&ah%nmnP0}z}_jHRV$Tm!$0T`QD&gvl5cZ4SGHO9-kR_koE27OX{=46 z?^$ckC)|EPgwNKFGxNnp9Fqt&_AjK zh(hpJV3ae~M!`*hfMj|%E(Z#x4-L8(f@4-HC`$IW+x!I-f;xd8F~gPMcth9N%p4K^`_XDOlf+w)Sn{^ zHv=@c0@L^o=*zyBX;Dy)0KbRM7QpVY7tHPgDpt|Q<W0r*3yj&se8|lWO4rr$PB$7fOQqCEi=c zm9UA1Fw3yXh;H~?vFPG6z7^2xDK4|!Q!u6CC?}#QK^lWK7x%)0r~Hu}wklJ2Dw`?d zm4`>qut+QoL-(ZA#J4~A6@CE3x-!DE49Ien^jh)TM?oEc$gp+&zMv`msz+zXv^!u^ zm#2WlF!N5HaR9}90OUVDCwxutVI9Io16<%CtI@v$>|it8@9cCxr|0>I?zm8Ij# z3C_)n{fpCNbzJjM5@zntHN20ttA4-snB0igNTo5>0Qif}A-`pPg4|XenrN_qj6GA` z68oewn(#bLu4q~HExiniPpH$CX>?Z94E)|fe1jIt4U)`U&6bQ!cJiV4{ z>*)|&4OmS8Ae0TpKeVfC?x&PSvwzq3NuvNrG-(|ejSnydMIKeOP4jIgDN*Rq5sX(& zqSNHSoaff($bB=OPr8uuv{#4>oCW}zaqcIl%FTA?bKsB|tGk6;#8=(Gm-FF}u{0$G zWSQqpcX{(fL<^Cq7nM~W$$W~!iAXj&p2-HInkuHKLX4d6fcA?$BnKJ(w;8s|$$L<8 zINZx1njxZBHByxeZPUagi=nbP)!TPOR%5@+rq z2H!#RJ)+U{zZc2xs4T7LVqTyN2vF)8@l#$I7phdsnD=4f8OqR*0>*fCho!s#yb}os46&wk0L|LM?ck;z1HNgsVQPfC-O2Y3 zH)xpDg?jZ1XqahllduU$TjS;m8aNt+f>6XrTtid6%{uEYe=EmXhxNmhhPLv=rzN^b za#g1qUpM+)FdBsa;qf{Kd?6~svO!U?8F!^sAc(#XLp=t_&JVZbt1D^dm6GZc^tD9( zpw6YeFN01ldQzO&@#Q8u?~NOk|*)9(dXxxPG`d#penI9}D|v4v-Xi`8zZSjGILKD1bF{K8`YYBO}_)ZsuN zooLzagp3fDk?09n7KaHDTCQNs{Z<OX&LWcP8pW*OW+E6dtlNfvlpd8Ipql zKoX!up)^$?gK|%fVb{Soq*`tf#xlEPPOpmvH$sthsZhu^JgD-8Q^o7!ar1eE+G`XPB-thdc8n7F*2{wj?C!DrH(UOh_q^ zdWi4!7uM|#Z%lvk;^58m-kaW^{?uc$NCERUO$xz5Pw5dbINW4AnrUsfL>*Mx4PC{d zkSBw&nkSYSrb%uTJ~1(JCc%LSPA2*a!d{L>3qQa?L~CL_oQF`@EAbTh03CEA9F@3l zXqB10zDJl_*Yn*ghp9FqCb>A@p2B0i+DJ2#b4Xo;U+A=ry3}Coz?%>ka3|4tKh}6K zh6Pau+Q=!)Vr4xh;rK|N(%KG z4hzIk5QJ3X{i2?6ukE;9d$_u>rY{s0Y)+nLW0TZ^C+N6Oo~t}?&<}yJNe_WBo(d%{ zDV-naAkfWNYfx!}6?kqX5|1EDs7Z*L4H-&uJ?rFH9MZQD^gGk%f^F2GS}{4zZZ2RK z#_G`AW`22f5_}UyggIf0Zs`>>^t*Nsk8eMJecXK!Y%c!!_OEt{JjBne)Hn}%W^r9k zV-CPBXOI}cToo>w8Wb3S*7f$|-R|M*!!M{>yZf)tKSs4GsL9KV%@*)K%pO;b#HSJ- zLr_p?1{N0GDVc>826RoZV8EY?skqr(uTi@|abl0u}mhUE@< z8(+3pZ}B>+0Dz8XFe~V;OytUtoWiJ~x}ri=S2tD`uc8>j*{FP0Ur8$K!4SLovitG< zV1?#0KuhyQ-T3&=OHYtMWCk^KJW-v5Wptp_ycm40lT+I!Asc6w0{t%o%( zHm?)j7jZbaUgdrH3_hs(S-l4Kk`=JeSIbj${!lr~R3jq^fPd651~#8)pzzHdPQ)gHr=okA+h;FMi0PR>@Z0ngiEv!ub&-ND2A z|GB3XZArkp^s?-a^H0Ikr4>lII0Wzu`~tz=YY+Lct$bFF?e62( z50B7ruK_*t);EL9P@$V$zl0*m-SU3b?_Z9|%~i|L7%p@wMJ@w>TGUNK)*+V78H>xL z%vFPSsN4CtgOUcIq}(Yc?lD!_0?BvIs1SYkTqXNxqYbfg?EKteq#+-XmZ`A;moY#s zZkLKYJPPlPBCO~-;cs1$#Q6jz3FRQO<}jQ;FaoJJ)J6EwQ=M&dUbl5UlJC#CW)u@%G?y@3oG}x$3@J_*e)tEgQpgF)p z3Wy=znL6kUelRjm5SrkaFE+W9Q^72b#F)S_l3&~YBb23$9s+Tmp=EE58V3iV%YfG_ z2aYTwouLQL8PfIH`ZbplvCPFvVs=nyp!Kw!19lFrV>?uZV-zOF|MYl9Z(}p)>Wa|} zCc&LYtG`we4|8-ybYG<45)l|$aw9BHOuj(HC2BIVL1_1^3CYLXMv6Cty@>}B62f;U zy;$x~GUjn5EuO~OfztONcQET=D5h|!b5#dbGyoamlA+8^#Tak-EI@na)3B^{0fQ24 zss1VTcjRMw3U|9VEKunURJ66tRBy*@cnxXVkyI_M zY$hYj@#1+nQB*i_6M$cUmsuXnuCy!8VETuq9S#1-G`O*3no;5rTOL5W?%;R-<^Ik4ckk~Fw0@7O$?raksi~b-Ez>Eqs#inG9^!PX2-m36dAUXA z&!RM$oNcX~ZP`59^6eNwDcZv!$tPZRqG}Ba|A-{z!W-c+Uj`Lk(bR*|4DAwL$E+5c z$+-43`2zBUO3_95(^uPTq$H?u(Qx}!3^oEF9ZF-V5v+igTArtvPw!^@R9wa_`Nv;$u~9hHw@1!1?KzEW2f6G!)2HGe}L3|I=vN>LQc zei<`tC#f6W14ho2zPaS9nQaq}R0yob8bUl#h};!*rR3@`NZ2f3HXizc;wxKTnDm^| z##2`C!ee#SNwPSkQJT+Kx0kEAs>4VM*4mpz0XTScqeEhpI3!{cWqdhpzsg*BC-bXY zN6=26y}f^U^X2_7kDtG|NgJJPEpi7YE zM*1Uoj7AP(yM_p`d(~2Cdfn+g@#9Ofh6nec8%+kii5iDW^@}w$AeZ{`Byt$^G9RLJ zHDOB(m*^ej=|RRP0h>bE6BHFODUa8FQplqbMkuq}DdUF&PD>+Hy) z5Rs*2v*ayITW9=L?0;Ke9LmiQkE%camN-NpA`QqL%mXCCSxOy}@qkS8@3Z8s2#m?C z-Og$9U_2A*=T=d`)bjN)lE2Uc3lk7NPGTRPO4tAWo&9(H%t}mC2{2raIa(OrGudTT zIRCCZn=x&YNDqog%OovDzjug%xF?XZmT{ci&gPm2D#Q6GIG6wD@`K% zc=R1sV-dx0#XhOuN8V5E;{rjP>AtNoU6=T3?kTGIFI!T{C+SVx3-631fG32%jO&cH z37QMi^p>b=dom+OgF<|I^@F(Kas1F>;Na8U$NLw*eEIzN`FW4O_vClaCOm^`&F-F0 zBols^jPBsXf2W>1XPVc?R&ImsAdqkP)BWdn2af=-zIb@N`||h#@pA;ccDv8--aXtu z`dCjcf7@$amk+@?Xxa}7#qDNiEYSV~Uh4Gf6uInwUoAEAYgM9`D6m4;e=zJ*(?|z( zeRuQKOE@^TSIgZ3om4a|7>(#bP%Q>pco0;Gg3l|Y@#EFt%7xCCc2ZLdFe}Ccdi#DQwP8@QfQ2DIzA2`MWr^~8Xr zLX@hf8b+_nSU*CkugvR&sJb-vw8VXPRrzIq#nxy11tquF3#@9QFWC{CbYM~1a~?mi zj*!By32q?Jyf)z9)Ebs=;u4cZh>$tEq~#ktn3b{0qSj{d*3|BPeE99|7gVy_Nv^1e z*^;Y)=3;tR_~yB*RI1~K=?0||Ag#2P3y*Zfzoq?2`Hsl#o6fwN<_{#+V2*iO z5ibdm-GMY)-u?3U<<~nPYkFo44$@vie*4$QU+E1YY7JB3 z-{1BQFg^VJ@5%J9<$Sr2et;MLz4!hdL~!^Lne_i)Dax<@_S@FY|Ls43i`KTOGv$^ZPu73XEM%fe|QX@)|AI9 zW&=g9G;k6F`Qs6{^kS~bWgpNZ7vNZIt%oELk1+&j{b7H}bSbefH8}=a&c$+Z;%-UZ zO${BQY$x1_9>4b}US_%aMU=F=+$@c0fx+mcq(!s-dMM&Lf|dh#e!fN_jO?IW462x6 zq$f3gL@Q*XOyV*fn9CG9LQOj?Kog&^z_Y>NiLSgH-P4sO*m2YW#Sjo=pe#LFWF6WUfm&@rXosXjx*Me#BZ?flI)ZKrp^KUE7J(>d1yq6X|mKU3ntgCTEp@oIg%nH7LHDU zZ4S)mVut#U3>OIfS`#_!qA=XnGq3JKZjU2#QL&i+gIgtbs4PmxrT)Wt;V1Ld&hoMn16@K?+XdHD zFN6olgREdZ!!$(0A<%mN74>p7nv#bkT7vPt`715{rGUL4$)29556Z+6a2ERB3Q*l|K_L8`u(sz)1@ zZgPrEfxQhp2FOKpt5{nS76t>=2muY128+3QTE%_!x>H^GDIvOKjaO^k4zdRPhGwVV zJCW>}6&VP)D;6BMqT+xGcyvPJf<#E;FD<|+Y6*kr*gG#X;lCsWKQMzt7NXJsa{38J z&zA~#1L-~`^gB89ZiDasK3N>Ys7et%8>3NVO=n0jHl`1s0SX4 zB~e0A4q~C%IKWOl$brxU*FvmBOED;W;|yE#L7=$+YwZDc7iYCO^5HsV|ALSuT!jXe zLD+JJDx54Ozybc;?S$%+3DPaNnBu;NAeR;=+&6(+P%W2S5#$bh^Yb?yjvLcxy!eKz z>t09?+`~=WVZ7E-(!-EHknbZjSZ!uPn0k`&1Oz(^FPHsyZ^c92Ipim(IzhK8gua2F z)CwM3kn5HEppPVOn&=(WVLbymy{tzGs=|ovCKQY}!f)V2a*;4{UMY6gKT3-uu=KH7E%3COzf82buyWyeS?>%r*T9Ob;C_%w)TGM6ieRcv=Q4GNfr8_C~A-0p7?^lvEy*dqJLtm8_0d*0Vm(R@L(qO_P8F2c#EVZ%x+Vh&=&~ zH?;-|233x`6lpcxbgE;kFP;}FjVPLf0ElASnJIfNYJ*A_X=;`B>&u%Ac=KsH;IeQG z$@b8G(-sr1Eels7T4^>VRmi{wz`gLh`)v$~g$DCmmTflgZNy?BZ9|H^%$lRHd(lh> zwlfP7>$gwm9ta=TB5-@~M z0DC#w&^M?etEGzD4N$*l@07{@Dbpu&4dq!5_fMH%O6wH5o13m(mG1!eXE{H3Zc$XEc6p}EHlP?XQ9!DY_)56qcx4h{xu;T)kB&e35ef!JafAT{^0rDDd1ltNP#S>ICY7|J^~ z)6|&(`9yQ_^=xy>enUY+NsZ@xN<~e1VloLlC}s*5a6DGwD9AOzR$hZ^f-$wBQxhC2 zC-gF7V`q9vF?TBYqA<`T9_7*7Vt^^;pMjkE4H#ntuM|P4+*`bh_UAFsK@_Z)q@P?|Wm;{^#MOy$>`*zm`3Fg(>SlGDp;n z+_S;*7Fr5vplxWytVhvkS35*X(bVTPJ>I*IKO#ls5uxvhCO}WA)`78FOH!Y)Pzqd` zhRZ|_=U@sEiag(QSo#CBUAJId)9!R5c{l^_+Ls06V2OG#E()p?vKoX`da)Ex$yk!` zffUqM!iV`HM2bMM!eKN4CF(#>Jhl3vvRdf;$Y=Y@L8~?NR9Zt*GR&KCZ=}8CRHVW4 z&)RQ#gCOoBgrQkTLqqCyiDo8>U^$z4U|L$jTp@$3C@*-PIbH|ir~Pz~d})>r+dZm= zV~{3s_J?~%{K#~`AaWVyYos748h=A5S^+~zeAMeMsM@0c6M8vVMnA3mjx}5=l2iI$+{$NdA_n?>2S@L$9mT58Nq?q8hvL*J% zQ!Q1KLA0c6P~8r?=Tx+~Cnk$biOAyUx1?g|DY(*1O^<47QlSL?!B+++4-P^VJ^cCn zKl`YCCZ_t5MaWt0)BF#GY9zV@&0jk;7H6>Yq~%AG0|h6%$MPMD;HwOet-^GO(qVqs z?!*1vLzyKOl4AP3$s{$0O#fl07yi0Jv*Uy047G=yTA!LEbZZ_9c~iROuh>q4!8X%p z5#2tA$Koyg!6XzV+>4HHIR_r32thN2dgOyxPKjSY zH1v_;h5*kYR{!U_U+>Wo@Y7HHA1co`&sZ}vdZ_zErC|X8ON-@LvWvw-r(+5Z#TP<% zV*#NI^TEI^;`U%YYzle0*lti7dvV311GyEVEXUFpl58^3=Zae~cnu&eZbes+9>g1S za35OO6lWPJkVGBK;ht9EDOH#On=|OgZnI%)vI`xIxyX*q$JSq+ghTB*dNx`)&h>e! z9j4CjC$S*(4x%0QVD~qG9s!&6^|9gztzn^NGg+jQB=(%GBvA=YS)&k8n`6eyO2mbD zf^S_q=qmJ*lRJ`@*`78zZL#9Jbg%KJw+QtU6XiXO4aZ<2k7i(Pu+2>G7=&t@!QClf zoKYPFGzuV~oZ~9C0m+J&vkfqHh>nLnFBo}yW@Z2P-CPzrx?e+Ka%>>hNHQ6KMuYlHsJ?Qsm&lDPk z_ziQG!ykzHi)vvXYO7<(jSFP&M0ndIgE7;Err4H|ye0HbxTJyzB4MZqa`~cqb6|{$ zCU7mGKB$zhqJUOBezPjN(YCCN>c<}b`S5uEad-Fgk6P0SSs#x(VX4js%=DLSle}40 zLVRM-ce6G{wvuO5x7rJLxTFqjGGb1esd%xvR~?G3zz3d~p>G~$JPMa_-=HMO5%50H`>p^ytd= zL!fSMcTlT(BQ3A2R~>UJi=V?ar_y7@6@z&{i~fe-Z|iz4VawrQqecG6#l&Mpa!vQj zi32mTjSMb0)#TuV<1kWx-LtApi92M%*(;T|CkS086lAAg8!8=dYwDVhIk|UzT5iof z2uj-K_HrYS%Ca7JkAN=!`1SFA_X}X&aD%C1iD;RE_fTmN%$rdWo@x&jZAnE`h9Ytp zVOP`}3-X~hSP==;X?!ttFXPQb4%5NMEkH=map~rMg z_uB$&Woi(#v9btsNE^bK?@Q&=vL!sp4E$@&9f1H?VJpP|I3y{bBOk@CJv`ihd3^e4 z>Kr@0azY_z(u0}t5|SzF`WPQ!!V||W5-s&55Dp9*R~f79TWywZn;YhXRMiJxcf%Zj zzNo^^fK@M9GihNqS>IZ#=j))ZYFq2Bp0v=M-8o-L&%RjO+QWujR~ z>xy{3TP_?(fV`y#gy}U}tQ#Y8!#;2{KTXCbwBN56;sHChqC}#bhWAYvkSHd~7Nh=( z_5wZ^JMh$OU4^JJ1Y}j8#d(x;v|tb{S}Qpz zccA*C9lj~vlD7jM6fj(NwU<^_x*$wU(VCds>#f#(K({@9`DB7(z|co6TZKZc?U>-H z;vGeaz=;Vj6jh!fP8MD$cu>t#@p}0SNl|sX9fDQqVB@6mgjs&k*dVKFa)4 zY7%8(dKT}bnJrF^-;mJo1%+^a#_Zn^7rD0}@)$v6Eiq~|xkj-W+;lhp0nQDrtzr+# zCQbUR3BgsS%R{?6_OP0K(i}P#DR;*nhU62|8uS=3%G4I2*x8mavsz}21B@D1(YZ&h zO5x%`3g6#D$epsn{fb22fapO8r>NO+x;@1g z0;k@eqS#w<#&p{@8e&C+RU1n2jDtIY#~a5=DBX50dj34af$}|4jVxje6e7#pD}xZF z!}|&HEI_K9kBb*EAF4>X_UDCy+Aee?MCOSsr1dhutlNd?xSiH&ACYMQYh~F6A#g%2 zD0T@BDKaZcKijxJbQgd5^@$U=9I~^BTl2Yf>E+%uYK*-?YeA(37Vl-c3~OuH76l%8 zJf~W2BqChx3Tg;;+t@k-z0l6_BkV$ZsIm){NhC=HP)jl3A!aNssg!|w z^juy)29iHhEHP8@G(eNE7g)0i0c$zJz|KUCNONGS0z6YvP2jhJ&WmQuC;86prfDa4ZvF@$=VvbM;5acg?kpQP$Z>a5PM=hk%jF*ooG zh_c#xR7EjqCZNMUvUWupLQQy8K1X-N4o7IgI=h&iUA`pef-<>zd=r9lQb6_$FE8-H zC!lS>+X31pVPwqhfc~wTeegka%h-F5kp{h={F?n!_QD5OXgc#Wz;M+dwr;8~R#`G2 z_)l^uL@K6Zw*J9;3R#mxx(GU39ZLE$&$^P_81B!f`tmG9trVNb0O1;8MWcd$K3u(j z^WWH0M~*X)gw5^w-*ec2;*!EMnzQt3hZL`m_aEPU`~}q@KMwG}p8oj^Dg;h>Z`yx$ z%wsDa(B4G+-VV%ZhFy@IW)Or&CuWD8!bocUBM@Sz7X+ioJK)j>5vax!1$i`#;DAUR z`!&XXI2dTpnqX$?C15RNxA#4oah1su%}SixZvU^k-mV z>eu$ZbR&AsGmm#1aXqIpE^IBvvX8pZ)JOZl)JNcCSS%dSVJx-<8yH3ucLhjol)sHN znWRNB!kQtIO8_41sVqS~a4GtF%t$=!5YEuJhKv3&gfrK1GRlC-TyZZyc)YziU0z9V zRap)7yLzD=O7^7Cjy&#YgtElLNCzj|%VX%q2%ZJCII&ZN2GS|1ya0+{6b$v-^PN>* z;E>DxgPqPQRA`6*)Neni3JJemt&<5Ag`smQA4b)Nm@c=X@5WtL>18EdG6}@dyp>r+ z2L*v6n8{V!d9$w+vO2e3k1%a8pZ=+c22BGgJu?g~!73G3GysVrQ3 zj;@%~hjPndN+X#gh};VY`eNp^MP=Pw3R0eae&5Z>B1y)?C z3eYqI2SB+A14I&BsrX;msMbhjquO&KX^YuvJ*rM_Y$s?91^sC-%Dl@sh@K}~4ip+x z`9b18HKmN&+I+XUL8-mW&(a>}gSw`t!6Hp{-P~RP6|bTp8Q0jS_0;s6o6w0Wem*Px ziYVA;aIpf;mZ%ODeN(3B$!9y|`!8S04$HVyC?v=3y$`@=@L+5H@_GrNr?8j88V0Tp zb=$cXk#We!=yp<@!6=R6A)pqFEw}~cu;Vjg+FWe_n*e31+b^rZ0cU?^Cc=g}>`OTj zdIwd1LZoMbLdt`25UV^7VqKSuX2LZa*Eiw0OWr1JvmBqn%t<`NxS493SST5*mkWzuy< z8JM3XVtac3)`Oby;T}+u$IrVrzkK!TouX3RXzdb2#Kh6UD1?-if_o&enf6eH&r~LH zkwXsZuvYeQ2>+R|V9$tMZ)V$4a0(Gl>8s#WJsc!A(rOC61f!JKo~v@aT+UM? zpf#ohX=FkfU<@h`FfbG&fkAw}pPmGi-8aw%i!q|ukD67o+o9 z*JZu^dpOI^8Nx{ARoZj(BxjERYPChqq7-^~0?{&f2wrt{3VAKkfi7SvY~4%4^3u=r zla;;}K!qI!Fn5PEZ5L;mSEXZy5^m7BTH2xNTwcE=k8(7jgL6=TP_QsDL_k8Gi(gzX z)Su#GMHe|}h!6_xBB7KNJgvA{d5~qZ-MuOCrfZZONASyZD1+syl~kE0pC^rq&A366 zDgS7w2$PP<^mK*YQaOcs9O5SR3AzKq)`g>ucFtA1`v%#aIB`C^Ug|%12&&Hlb7-a^ z(SOjBz7P>2#DEItPAsiG&3|^CN^}>R$AC%d57Vji_Ji0e9qcaf;y`|L2+Q+SjHIuw zG|vh8>Zp*-LfI^s?XniUY5cHIErMVse%qQrF4b%1b<)6E| zH~;7Bd%%Fc+`swy<>CFW_XiBp6844C`*&Y{0ya-h6(0A6$CB{F7<~gbZ65>@+Bz)(%{GWku3J;(d^s8K!1g{l?-8RryHG zy6iLsmX;o|lAk4G#PFZKM1#oQgY-=nq-7Z=5|31m=|6N7X^+Yv6^@Z-p_B(zVKntl zR$eY1#0r6L?X8m1@w4GP8Guq7c}!AjSIntEaWUd=jYgx@VJyvhKqbW2HAN}O6wthY zVh3sA$}J{Pp1*Rf`ok z5O!jy-bIUF<8fCp;zl}42P&FEYYJb_Y~Gs<@=%dC(nXA>5qS%0t_&i8wke**ing}r zv#Z4LH4p?sQC9%3zzqNy$N*wcaN_25O|87gANY{Ind=p8gHiMfn2R-E&Qb8?sBxFo z9BLS4o1Ft>AS@a`g+2&Omb0@$L@q?7H$X zu8$P_gfI8%6z@Z{7JL->D)5P@slbup6d-z4km5_1n*))XTAdvx=JobOR;b;caoCZE zsMmdC1x2K7X(UTgP!+HqH!Js)w;Pc72zrq0t6SDgk<}hKN6>@7!q_S{Xvtku!Wv?E zJlV)x%F|>0Kgevb26W1W^9Hs@4}a96xiVqCLw^V37LNV%pH(YC_=C^zpF;Nq4e=Cm z_kEi*yEqdKtiu_>`QXdD8}!xP;9uE6uY(BplE{R%=iI-tRs#VqulHu{W;iNZ4a1P4 zR&6AP=$4sNtxw5&mP)*JP*nelW!KnOGdw|h@`#D#2(KI!G(Ppgq^c|EcQ=YD^ zB~zZR&?Qr}2Y?$Y!N=?lvE%@D&e64M4SPEAQ@8Lrc8k*D0-VAK>sAgAhZJvG&Jkt7)Ec@5usJefHn_+sP2sfN2e>Q&nB5(NU_HqIm<3=#m#| z60AanZ={vBBJWkjlA^UxNO7>iuGX{D%@&Sz^@D1C5IY448B|7<08$-78+#qYmw^_r z9Rt1x?P1vh8cpz64kN1g_!zKaz#R}lU^$oF`ifyd@RI)}9t8Ph#GQY6j($fkV3z$4 zyM}_t;L3Aa1NjGc)Uj5q4*CUy{|fo?TpY>%&%9SYHHDJ#AlnEgRiV# z&G@YTip>LQWc}EO0}+-+(o4tzZkPEGk+ff?&=4_f2gl2*R_Dlqk!Rlhh-&G(|N8u+ zFwC2@98;>tJl zz-jWC$@me-iqnwZ3%P~^8zzLjHr zoP!O)!SIFZjqD#Oau@x1aPG|GoLwy3GwB!<-0jKW;490;v#JAJEPr|aXZid2e>N!V z5HqXpe}D7gt9Zbwd5@M{6=v1)s|s(3rqa5nsy?WDZW>CxDh!Ikxb~fCDDA2+vnG0O zBfK-aSgch#7NV1bkcR{#VYVc{Fn}udg-~v zgW)h78%AyqpX-)^cIY&5cflAnJrfeObUQ_r9?wqEZC9RHtg;KhLixb>DVBcX z9;goaBh#X5O_0Zq5_0SXs)7w=8!Qur*FCNZhLk_J2RZc{Rn~2{n9tw34bT+Xc*hW(Fl-Ku{2&1JKzzdT3# zQ!y@q#6W*Z9+#Fvv4K*O z94YrV25x8M0=0fPg(nOoqufN=ZeUjPnzle7@7iExb*s~CVL_>iq*zEEjnpucTY!K} zo<{MM&JhyYp+z?A{oU@@yWNNP507Sw4Eh10GeORd8SvKoC@2{o`k(bt>bZ^|w9fEQ$~U!*9KG&`urzNh?!R8HJ%M5ue-9+; z)UpGuq69pRxX6Q@j@y%zaom7n5rI&&`3W7N%|Hf$v5%j60i?2 zGr@8PSZ}3|MLJPe7|x!ik4%Jq^X%dhbPtQRfL9xu47jpSpHX+_{o^-?40*JR&Mv8H z8~CBe<~%UB{uUJiUy06{Ko1q)MwCkOf?7A zo188Gw%foRnwqe*yzGz|rB7+uMn;-d=*BxcGcB%{3^gCtk|IKgWS44_Im|hjYspYb zp=yrVL!MpUuw!IO=IVqL{WhPZHwoSjD;j(V4t(7k44&cS0<0rf*Rz)^bpj&?$~TVT zdD1o7P-vBSq;zkuHm4Ua|1MpfKfeD&6d6K@@=NbWhA9|cL7bD(7LiRRn%-T9+vW+~ zgU*C*bYeFkh(A#BNh738Q<=MzDKwb5=_;c4dF@I5!NQX%Aew64a(#xxxL1nNhH)St za*P^1<+9o^-Y(HpPCX$F{d2HpULW=^0JiS3XE@v(J!!IV%Ak)a1%MEX{*s=TIs6Uw zrS$WY@;56{?f8=%0jvL7w5RSdU)9PCSygukNj;aK^rDm-7#7+jp-Yl4nu8nd6l6OaD($XPf(_~lWsL;QgU z`E>u=cYqMze|r25m|-A=KHl$s{0fw%X_@*iitFH}yZV~7ZR3D1K;?6?1i`bK>5yWl zk}HBtL~d_x9UsGB?Iug;6pp)WG+WY=v%FpJKiAu8&k5s2l!<8 z1fj|4C*<$s6Y@7d;kN*-_jm(*%m4QO)$tpj+*aY~iS5zezj%A2CjpP3JpL_J1j2Xt zHIOi`GWm|RHu1t7vtEV-M~*cmXNsSxqB-)w>k5I;4uVJ_5yFl-Ox z=chFeB_3dz+4YqJ@>3;Ky(v-4M@hZX7B(%v0ixSO&RwxH%u%H}`bLcSgI;Z(Dd>HG zJ5jNg$jH1&I*~A)SFcKrFZeEs(KRUdclL~lLz?2N`9o-v@jG1lzehLdINK0#54hP;Umi78z7PqsOze&WrR;3PnoY0Z@Be z*Y(Otx)fah@I~i~V5t_@E4FDlgHps!0^-1FJ|)O6_zBb8Jc0HRcrG$2OWV^HM_DZ2 zxzPE^5)^@NV^4TIL|@fV1huhds$fMG#Lzj5td?e0&)AsIQ}#{#o1wI38@9j4q>f~oE!176LzwneniiAnTsfp)6ZEVmXbgh8fyUqo z?BzhAXO$mRj;m&x6)X`WEjTVOuht{2HKH+F)NVX6u1m+UF&Y+c+#$CInd>|(z2>{- za6CF14afcI01Cw6`2Jf&!9>Q|vbfu@k6S$R`V~P9jl1Y>JFWoYqZL<(9+p@ha^4^K zHe31e;F2i^^3`d5P&6h}C68LMeIJP7eV)x4JnIOI*eTRfVbVICN-z^U=z(66xW-hX z(ypVY&a^Z^XZ8A23(!d5NtTf61j+);7M4?P4UE%@6x>A$Wper$)p=#Tk+`o{-+TIU z0|SgOIByr6%6peR#M} zJUr^pH)8!-`8tq!u)kilU3;BU7udlAG z5Bt;itFverA$#a=-L5r`?oVR+8{qy=6(p6r>`h|&8_FC;SV1f+baiX^4%0->L#6&S zS5)KjKd>*3a6H5=!Bx<(19THKPb(tydgHuLcEPiWQ5)O?IaUUwH7w^XE5E6VPhNr( z#EZUzo9{n`SODVz@?2EKqahJ2mi|&77X>EE6M zydWRjLlvs2Oyb5$TwJ$s7PfFUzeEu+HBJ~JDh4t2(`4+>Orxd!^Aqs4Ro73$VECcI$pFE?vnVVp7jp=5#CkM9% zDIhFSJouOUhp!(H%2LHlPZ7)eOQ`;qRs6a}d{;UzqiB=%;#;DFj-h#>0`sezX{mb%n;EmhRAUQc$Ktd|G+>mZah^m22f`WyJj$ zWiC!usI0O&Uu}q?{6XonO&mOx2&5k;mWChLKtMi6w<0q{w&%beiSg%3FkOqDl_ylC zv_rco<7$g`S^%@l2GEZ|eZn-Pev~&tCOv{jpfV}UaBAWP{A)12Ushv=1 zey7$zq0^M(NswGzldOhZI!eQUu0K}>IvVj!h=TDtXW8poYIZQ?{ht=&GhWf#`z@2Hl&;zNXma8I+>@TL= zj8ILPU!S7>`aoJ`2>PAGsb}+3ltumlta0`d=HMFTf|!Hhy?R1M%W^xvyh7&)-PR)A z#Rt=kEvCrEGUL#^Oj)B(ftLx}h~LcWn!)BV)3Y3vn|i7aVJZT-!BteT4BJiSG2g*m zUWJ3lQ6+oOUK+XDAw^GOEak=cs>OWb+`@Oy$yqmoMMRtx4r`IOQN%Uv5S8z95~k|% zb2ejiAZT;9Ey(ri($Xp5#$cq?Xx_EjgbP6W;5otP~7B=|sZ#lMpYAOIC`Q&hs>{y*Gle z_D3^B@#-ZjbV)a^!mSdkgu`$~w=P&N0BQ!$8=Y}MCQE+9OCT29ZZbcu%i}mM6dvV4 z*3bhS+{Hn0y_5#|a5Ra!aZ3EQl`r_r*y0tdQY zf&BncL)%q6!+|vE6b|q-EDJDOPM3yDFGrm!(Ymym;4REdkr>}-dM3rU?tcZSZU>a# zFJB+gs{O;gmf;emd5xB}8G>OHLR*ppz(AdLsqls2Q%5ab^i>Mx+1YG!9;l*gLWMv& zrz))oGeA|06X`{BzV4$I1Kengj)*jgh6EH7E0BhiOFks@5~gnl&A1x1SxCJKfxu{K zJ?6ZQ6kluU<=RXVnsn53ygqB>;-;d8Xg#p&v~&IK%)|}s6lr6L&Lz1=*0fSc9rS@W zNM^mItc>Av)#iS^~psbq{EdZ=K5ks7|+eV??YxLynaz2d)8 zx|Y8n<{3nf1cZ$?)Z?UbXLW`5@vx_LqrDMU(tq~${^8A+_rE-T{(_Xd5AWX^GN^wf z33o(t3|X{#_4;xJ7d3ih?uZ$M2YeymYLl~CoGuXH)h*avh}?9}v119^2(O@dpGxK+ zW%vp5Mhs$aEEqAuv*d7;6F4FlXV*&<4}ONzCB1LIeqvPT{w=X?yoP#0egUl$&|uZ-IZgiZ!-7x5>RetjxB-9@l?a9E^Vdu{x%s}z)bn=W#BdHzSD z2xQ)JazYAaAi5nkHpEDLX;nfeeh#XiBb1niM-x@OaddwAbnpR3=*6cOcOOt~`Ji|6 zW%uLz#|ORIJNWdx_iYbgT^SW^gMtFeo}Lc@0Sfi!4}VlF(4n?0EBJk=$Vo_s%8ij36X6~SD50;Z^50+2mQX-%ZZ0=V zrghR6znqv7~dKCPZ9?kQL&DO6{SmKn638Hcpz7Nx#Te5S(bkeURS;BhoLe^ zb4VeWO&XONAvMyTd>j&<;STpFA1hp^B5mnW?GVJapg7yL337BylWAPzQa^?WkDz7u z^+72S-~}=jJG+>x#AtLX@izGY*tKw|m$$blU)$x~2Mc@IjL)8O&C8U@{war;qE2w} zPoB+A&(r8@s0A@OmKIc2`vM_Fc*-O6wLcvRH}b?96iuV(7ha(JiU+auDU75Ib32nz zuRB$=KP6j11)MZU7#E>;E^I-p{2eOm!Q-zl9?^jE?Pnwt;148hJpKw@@=fnQ@aIGL z^WWnjYUpL7pZNFx1t@F3k0On|-+q4o;U0${Oeq2yPu6qna}eUih%y2v)_$~?=s;8V zF{>|;jT~>!O>MQ`kix|hKw9;wYt)yi;|{V`(PJ=Z<)OQ9?gy-8m%m_O&zW)D3xL$m z(k#t{A?oqd8M+Sc?>ua!0EoZy(A`$Kzw>bDc+bMPp}%>vfd4$Ketm*|Ir%(8&|8oL zerL8=Z~>o3eW9>;K)1?(k_H{X_lH(Ta~cx)XDFLpsF)6&Y5dswCx?AYJ15DewjQ3S z1u2Tg*F~-15P*eWA4bLDj(O~pVR*EGyPjY@tS()lQ`?1>J+0u^P-E6Tz?ITCr8GI? zcSv6$5vrUQ*#Te@Dv(UHF>sU^GKo|g<(2n#te|kY^RP56BB*8&l*2bb+der?m&*-Z zAZ4XKWyxBp&wr0wt@?NH2*^sQRYMahaYI>btK(EHLDX9Rf6oNp%8qHeks&=?+IpRw zFW|hyOQW_!15YG%)1bvgWfo29L;|7&Z;*h|4kk}o0-2tXxR^aTsoDx*olUe2K+CUB zSs|cq#3$qO@Tvx&Kq$Q*S*Zqjbvc+7#!qK*jqwL3Gh}23<997VyJw{fqBMtEHPoZJ zX-=(Y;N7eUe{5z*zvCXSBh*mwIqG>J1In;cu7Fb|pq0=uO_t96Kc%@uRu~hZvLu7R z2>GhiQi2~msC>bgAHi8;5f)hxsZ!(-T%KMfEp@baQHAqUx)k9?+TEhAevY$F@@?V- zWw<0Mm1y(G8$fZWO9@uYc$~p&B{U~)&&U~DLw=NMpOy8rRv061h2d>5;7N;ZFif3< zrbUbp4>jx9jf@i(uzZTy&QjAy^E|7;#1Z*9sMkgLnVj{%ihx!8c0_%a^p7nBBsUM zJ#IoIUn?mS9=AGL@z>Soaj6(i)ABQlg+KVVf7`5^@hktCdw#v_z}f1!@cgDDzDZ)B zn`hX^l?E7obheM!*|q`eZlg}5F`}`F!MN%t9*kX;%5)}NcAbguZs8|%on2if+EO{B zM4mHPG%jRa3p`)_y1#3CRNjL+NE>7@8lWdLwbM_}@^zKswh4+~2&B zDwkuJSTXF7Udt`4bb9b79A+Nm9_vVwsRk5L>9(UpV7`tujPv{ONJ6xDDI}dHRwNh z;h;!UVKHcWGC{WqD8~~3p06*o1sO9)qHonq7*nMd3#b-O8va$TX3?{@h@OQq-xGEXfjKeK&kVJ^IJN)Cd(qsj|7Zpfr~FwGBWFIWDJK^9KR7^DWc$` zqB)TM(1}qf+2ipHilJ!Nip@@jvV=0*<8b=OFD%zghV0R{!sSpj!dGa#lkiJP&ZWVWz;O4L>ghQ#vkKDa9;TrMyB?pXOp%%#Ebg@7o zPaLD5Amju3?RxB*zGC#ZY|el{fJ>OF%p@L52peTETi{isK`sXr&F|jde|Y=C1@yte zSH!iULqDQ9lnU)X_hbqo{bY5|dw>0Faz!>M_x)*TGOw=*Yz>M_2zA4c!DFt<4)&A0 zo+WaU6mN*!XC(N+$+R~?d1EqRtL+&v!y%qboLjnCAwGc&!>a|(Y@pgFX6dTa z*(&ZNdRtN8j2wp_qS3FAhvaVULA?pzHrT5>!LLkjleZ-U;v9~k98*-kqQ7VaMHEJX z3xgP%A?_!zE1S;{s0Fm`&fp<@EiFq(Vt;ZzMhMMd*lTX6sV= zq{egU6O)JZ^Tn^wXwK&5X0-}u{r_j|O_<|2l5|1-lzJMoG4M5|sjM4sw&!hCp+KO8 zOH}{}_1N1okOU=IQve45byEk)ui3<-|oWvCr zKIi8yUtq;`ZLD&!QX@N-?HTQAqfz?l59wNpp(B}w(_UD!LuK>!E{_%9yN1tGN!k zMtqGcq4R;TutGdN3*>|p%7YQrOEFft}}9BmFzE0g_; z(8&lGbNtpulE80wakL`S4zRPBJw-so(f1MqjFly;!%m*g?OK!MVS_e87I(OJ#h5bu zTyw~Y&LO{ZZGzm?zcMLBh_i&A7x9r>Lw?iBXYE0w2fCHmAZ(8PS(oUmYCm9-RD0hX z92_5S5Z#JfXj&&K-OG?aNo9h*oieDHLS{QW-5;Xo(JuE{p2rQjeVTCw>9WM5+K_*3 z`?K<`%E=FiVEyCEjhv$pzeo!ypm;fSET}6_+mAP&ZtreB!jv|i%10J`g+Z-#4JB31 z&{Ig4Gn)1x>b68R%ndIBq(liK(h*EcFy4i!S`N!=D6=HENfdsN1(xQrk(XDfTf%It ztO1`EGUVvUO|$2w=o2gRK{1P%<>P^2fT8$#--0D~hwY#8HbCLBZ%gYl@;XH{aH7$` z6d%~Yz-FGH3c(8h>Mt9%TcGyk6bAzuP#o%TWv@!;^j4tM_CpJWjz9tA!H>7;nA~cd~`ClO7Mrc{!*VNx6~621k#)}nfN&Q) zM4(r*sznG$#-rcKX98WjHyrLkR^oQ76X+9aiXX(cCcGWY>HS3hI`hrM>+aFTz-nk! z8K%nOjI!jaXUX$1BQs#yMzevy-679((;Xpi96yt3(BI)uaPNZ?iB##9_exQ7(XRk8 z$ehpAC4xsvgh??HPOI^>i5C|tS=yutF^VpZHi3j8xLd7ek2V7IP>VI983dkFmJ4RO z`hVRZP$?=XMN ztimB=)5yoRD<$$axR~xt1caCaR+=^sSK2X=1)0j#W%S|im*{HsG zxkwudQ>eNp9;qOCk)rZmWa<+-AwPb)dDwoq|B3`9=P-C&tLW&m8lN!X*v3Xmj4T2p zGbqj=#K?5-HN+xl5dH;-b&y6~6DmTfb1D~C6cF;JWmGj#E>WG{!c&<=c!Zivn*V0f z$jwZ#HuI{k#gGwA(z1qLLVPutupb+gm@;};qNat3Yva20IYJjy7tL#;%IfO0LA^in z|FLYOQ#OKrsFKL*+LkH$cvYu~Ta5{c7!>p)@`2p)stwuuWudCo7O?c3_Hn6xC`9l} zDHD4Y3hLEi*yy0Ui3-0utZZ;=3Rj1UXWq^Wu1@1+X`K-RwndLXWze6z_IcR}8eJi> zuZIC^e*~|1aD{eFN!M>NY?90;m%Br=Y9K0mySu61+2VII&r+ku7?d;v2fVW8$nv}Q z?=BL+vmEjM@6`Oso8X}i>5u)sn|p!BhNB$<-9e7Z*$$<(GrboTw_Wcg**d)|-3C3Y zJ)+Cw?3iaOo>Ro2g5R%I(Au*ES_6guuN;RDYvHO0^z)QzFMI3T~i`qGpr!-yeJr5 zM9X1pIxXCp2O0Ht!=Wk;GKMP0sxnCr79M_h1#ezgDg_3SzujFX3FE65#t)c5K_d`K z0mGlv4Z_nG*DH@+&d}#}nG~u^=7byi$>oGQM%t82l)QK3(9hV`r|aFBWRLK7!{<-c zDuA2A^B31E&tJ~a=O+uHsIus=adCD1Fd~JmR@$Y`3CX}Rf)X6uE>R?X`MsE1+OcZ? z81R=sx-`SWb){N61c>6&JOg?l39M$&4$wgix#lCzHf!U;HdV?x;CFdCU!xobfg$co zG_$F5p4?>%j}V&^0%5&4LW_3KEhtb3J){de10QIbGE_`4xp5nKi^Z=7TtB^%K_brN zGwd~ex-Sw4kvD{k0Fq~h7X>OTDI};K&Cd^yRy$Ad&LZF~{Y?1#YpCdqdZV|AguUJS zK~4ZA79{ZiNu?Uf$WkbAfUju5T^+C9VjEeJY<{^$O;I`$lY(RO^WT5D`RRJL8p~mN zZVnQ?UY;}@a1sm_8s)@`@UK9$+0_ck=Hp7RDH$W$lNWh&t3(Hs>WCg*E@8s3_H9z` zPO~k>;$Iz4T>_1~luve!N3jrKIynsmf0bcfWKD7w-kTd-L1% z7eExh4hIm^2~H*Xol}}gazSSUvC>Rl;{>LktIvH+7Z4|F3_`gY+;_2D0y%uVTsdXM z1U+Wtuk%Z(wNzwH1)Kt;1~~kj{4uh9fh)ujrEyx`z{ntTvQlJSere(AQAR_xGKz@uWJ`ddhVr(d=|-+z5j>zTolz&6PO_5YM< zIp9Bz>C^DvMbST!C!C_(;Ry4=0k`gdyK+jkJOw`;l)Yo9fD!bSUe;YEx0ckUAn5Q; z8te&^70)3j1aTmocima8#yn}FQ4Umgp*2O{H#&L7FEBRs^03q*JPTUHB1!P=joOkO zK_Zk93)@f%ejTnnYR5$1AaEQ>D;E?G_0qBca5;e=ba{m)Mm1`!?^4MO9+L8 zNWb*a#Tn{w1l_GQrW>48Jc`c1?aAsLz0$BuPnYO^tl%FqQuOxD;9iNpdDb7|ygTz0 z>fmEgYdbwflm--vxR-YQ*xOQ4^M-2Jp>I=fFc$K~1t8o{PT)sD&rm`{aLc@ihCv^| z9E7l{=ORN;78(0 zZjdN}crLlK`XrY7Z=*sB79Pw`6`{vxr|9#+7N%{BZ&x383LYoDEf8l#qav-5H-v5s zDG=o_%%aS_50=u*r236K7YbH1s92$2f;u*8W@}M#`I^L>@9#?fKKg9MY?OI+u~4ki zu>^AnjSaiU^*>)rsk?Y^;`$VG_$(SnZYIb|Ms{!U$jbv(`wuZ=D-LS!V}o{+ii_Es z190uA?;5l#tX&LEqBuK4lVtvm-;BQm&SN%GeO7#xDLS!D73m5S1`a~0BpD)b5R`|g zWLg+rqSV6xv{AX+ci;WXwBB?z&lj4QS48W?<``#Ln*9*fRIy0MB(i+=W59p;p`wngUXpj{e>gC3*&< zcZ=?wx1XPG?+}^)PtlP4Tk`BE(_e(MVMM>58D0ax$zO(&W33{VoO=yrD_#JFs6R%| zI^`EqSK2SHu3HS*@r~i>ph@_VV91(um#cK?C?6ss3Tq+>i5D%ubLK+v%nZDo6KCr_ zLf2%%VOd2adh$IkSg^SQM37tJ>BZt8HOW{E9vTA=8Zmr3 zd|$IbP|x8c5J7RhLI~vyB?i8x%LxQ!ESo{`IC_}OrLX!1T50hUZWB~Wzri8sSKVN5 zeL@JtVu)fy>sIKO4___dcH5&nlSRC)&QPre)$EZ2bLtpggdIE-feHvthY}B#!zva3 zTyhjqt8$h+i+(?b*jL4zvdzrX<}znaGxd08=9I-{*Nw$%w*eamAY2_f2&_r$33Y^d z(CvrGh!}ULF8s5Wzh{1YvrZ_J|jB-B8kda{HAAHywgYz+JO)!V{?g%)N2H zSBS==@{w5)F$7$hBo8Jf`3x8Xr7UgUi~Iu^1%n|##oJGJk7hG!m^r0sK7$5=Ht&#i z#q&p_H;~O7Xt33pT&5PRYOq)y1`y^4hOY#LqvzAoWr~&1mJBY}H(G}SMaSURGu>Kl zO2{!=QQGp-;bp8s>~Aqr`BxSJ=$uhGiQRL#qJ>nb*v+INTvk3Mj$ibha`&G}a5kUf zQqe3Va%wCA3#slI;%6{|DXN9VfmIU&Yx|C@E)rv9g6ui%jf^=oV!B7aU95B4&`cHs--F-}M2yAa|_ zDtB5T3ij}1PZI-nc6Kgkd;hci^YyizalhhDz_HfwslOjkU`+V7p ztGeDR0S|3|`h5TX`ZICvHxCbAzdQk3`r*sh?d`*V7`$i)YjHqu_^$+z_!8z%rJWCMwiWs&^3V5^SIp z_$CdFqD~~M*8ZADc&gE2TQW`5U(JMoL=k^)Gek$1F(o&FVd(ooM(`I@lR<#`5ItjT z%kUP$1CiN7rHjR0*w7D*KoKs&)->m(j}qGMt=n~z2a1gaBTVoQCpDkga2)m{3*rpN zF8AZ6Hlf5>P#tVnn`x{%(6z0Yquy+l6V`f{5-03Hf;N*>Nz|zBYhMT?@`M%+SY(wPw91NPq!SJ3%#p^=tn&O*yDvm!&2NfBO>m0dH!zOCdoRw`8*NH8p=j9o zijWb^njjb$K@!2h^>q0Jvygs(K(jHM6>wQ*m?#`8uN5EkdYE?Rn@EbljE+J`TqtI< z)^6i)@p{INhbKLB&UxVx^w=;~q7AEgRy1aoo|<%V!V;tjpVSbQloS z#6OhfZFho?;%y;O>4!a?qZ$-y&2I4r3g@AXcMzXAoTCXb^=#|G67*4; zFG>pYW)eW&IC*Bcfw-Ke9fvJaX3wy^(B6|H%>9m7F{rw@JC%Dc78g_X!VA}jEAQSh zQJ2BVK4f5u5xP1JU(+?A@T}C2pC;HP(F|6owVP>D zH%kJy>)%pX|FplysBfY}OR4&kv@nIgloAEq+ERlKISH#Z;%$eUb2g&*Gqe2tI_AoY zZnsCNCapEbhRu-{8RIWFaCE;L9@m&Q*%8AfSd+V(-LRF&?$ z>ocMn?;YvGbW=F!$M^#Rq1+t|roF*n@@n(Px(d#|*WfW%Uyoxs4gpT6L&6C_Yw@}= z0ZL7wNh+>k&2er>vF>J;l=DDgT_a9kt#P5Q)MDI6BobftH^4`$m;FwyLAjIhv!IX% z-Dk8E9zSh*Q>flZ(ao~WT=NP1mPRU256b1>um)fchwH=r-Q!b4Bf=aoz(oeG3A&tX zf?z68cloESDYxe+8IacTl+E^UC?~MDjO8NBfyzBwQ|y-1D%e*Tp1-i0`}@0qVPH?A zSTT4co)>vJg*&lv@T86^okS!B0`34UG$o=j)n~$v43^Oe+wRKi(Xg^zZ*Hf|%MV7c zW5kNWQKU{F+P!Ye3&O-S&S3eO@kzBni$$djnEpR3Bq0bbDmMDJ?_n?n`|2r9mlVg4 zuxlK*>SZVCa!@FmhtM1C$oFeHhu>Ucrr0O(^Rpg0=i8vwhFq-9QJ*Po78AI+2yD|b}a71z{NBMCg0R9d=ubkGqzP;N zp_oRj%%I$P`-wT9pHQk{=hGMQKjFu>|Ax!gKRv=t0k;cEV!ZDC?_R(6zwj@~5WW8J zA75`DZeZek`14jkwr!u0?SV?Pw11z$;bJo;Kbdh32 zZvwwAEir9TtpVhsKeZR8U!xlF`Q9S6bDSj0DSB%P1zj+&dn4-{kcm=nPcfIMFERj7QdPL&aQKaRyh_yXC*;L!ORxYEE7x+YYZLg!Rv43Vj!nxq180?%va=H<;=s!D@B>?KG6kg^(kInD z4{Kmbc7k&@>v+(#N_j{Ma^oGDGSJYV37MR(q?=|y@!4I}qCH8UGSLvx8f$sp=Ycyu zQ;)6dmC1lpbo+Bc(C(F|sh|jg28rLc6bh_oWqP*j8KXS97HS#x15TQLE&UuDwuaqs z=A?LsYB5uYo;L56y=v9I7%&nUtyA{d5gh3@5>7r&Y#6FZf-C{%Q4TZ@%t) zAby!gT<{YDG?B*bL8YUto*VNg+)1jt*%}sVA=3CtA;wn;EtM!TAE28q(RID@_1 z8yZP4E8jt%0&Jb=R<1UPJcMsii5W&*_~OXwwc3AKBb!Eel7Sg&ZkvM#L|7Y@SJ9mG z`4DY*pG`^!a2=DRh(iEhONw$>8JsSn(7LH1? z)-#wy?}(J>O$%^q@Z>@Hb1Xzsj0HCmK4E@8Wxv=f+H36rkgtA4<@8;v}ho>!%^v=!iFyv!82L6cz>m9lLhNUw>=L4Yc z4?*ULwn-%miMz>f+rEX~^k0~6PZV@bi|ydw=&=b{WQgl@&hS_6xKna?np9XIdX;$+ z@Nr>xCN~XEoBA4jMoPobvz{g4$!Lx$9;jmSY+;4LjI*GoJU-q$JaH|h_JdS<=|K-8 z>v1r5ATi|^AhgW5LG~3BBNHzMs9N#c&sdA%cargn%nn*$ekUQ%*ROuMkw)dfPx7}N zv?Ra1GuIOOul8Mq6O402h~T9_U@(La&khvn(VKZzUtr$u@&q!HM%o1`{SAr*+S$!7q3TFw|GGwyDF`wo7vo4Y6cK%gJRsD9@yoA@=^ zl+o-v6fM2^hzG%}4B3x9x&CDJk2I~1y!b7H)<*`aMc85`D}GO1!6Lbr5!qp zCoV&6a%Rzs3TN(03$%ypny7Df4=<* zRnjqnP0E5=(x=3#S;Tv%h!;Voitu;4khORTlWfPawn&ZLq9FT6{PpnowEYCuutg=& zyStmuTe1gj-}H1-MlA$f7O>)i2px7L3yfq7JiCVqdLU_vAq|o6a)k(r3AXWS^9Cto zin{6t@RcKjva1djN1NIFxiVjv@^=IfT;j|J<4od2O?Uw1kEbD6m@PL_Wi%x*Bx*^) z`2|Zm;nna0)Uw=1W3j(9{k|O1yV*&JI@lhZhslwxj;9ZzEv}da!KXm3EFVLJX1>iK zqY{TaWFVfcn3spog}g}mFN63)UO(@KN)9}O{3$_Xt8U*p zU6f6^hz59~KSt|2yI4L*R5(F>_)fJ6`j!d3*-Ly{$+i40^JIENq)c0Oka*Xobx6-N zKzhW!LpJ02+au^X;IRUiAtc5A1H()bf6|s{fe&$@n7g2d7 z%)~8FrnvB7=$Xw>&%v1x%Q*~|(yFFrTQ^?8Q&MI&2VRJ3=fY(y3R5ZQpOzWCit=OT zCfNzY33xm-{v@lz4{NP~Q&+w0r@l2PCq7_nQO)V_2%QACjIW-3OH{4BE-XbC_2E@x zrqW>6A4BkFu1gpVYVP2fItKiy%3)(efZX6&92Cs@4Zvph0*;84G&fN5Z2}ZQ^0b1J zH#q(pWUY-UujYn2ndobVS4`6?1n_#X9M17VG!o%7CNv{0(I=y9_@icI_l(U;Q{a63 z6H{Ki4g4}M-3C)|n{dR{(h@zBfLP7z!G^dm>OUfMl4Vkry;VD53NQ=*G#felnwzv@+HOn<=D#{`{LAMO-?Gzy1(^{o5k`ev7aW+<4%jyEiQIis5wOVY( z^l{Aa1jzA{C&5pD68!2X5HoZGx6Ts2sEn=n4$%u3O`~l5aaEtjlXW^v(nQ1tte`eZb^k={H zPtC)(+PsJmq#V!&hMRp`6av6_m_6KrL>ARttwqV%d>GtIAolrQbT2V@=_nH-eAXze zdg?e!(7Y78!tF_Is(NG3 zG^xTkbEAgRA=Mhofl_rW##I|8q6v-{`{9AYa2&WO`4l~XXf;ahky0FHf<@2k!E?mU zT?0#@z~ea%52Z7IS9Dk4_iKi4{Jeu^=vXIN*T}4`HR{P|rZ^u^^XdkL~Sm z^tzQ4UJRt6*c6x%L|hOMu<}5tm9j*y+0zJ|X^m861nx@D6^w7|qO^5VQvQBATAlOi&+gLPr8}n5mmEwG_-Tx^h@_fVAz}b>ci`4K>%5 zpPQmz=kgqw%D1bm4Kby>UDc^ItvCZ5^IoRQ9WPhl2(6ka>8QLd^|(}(tY~paP4yCM z%1m&2s6jUqs+LJ*>-4%yjL3p)RKC@jmm)ufpoNN3n;tAPvXiDFMI1jseWKyw2ZUxW_YaZ zYWY~{px7@C)~b9PTPSrH6pg1NH_S(_o$plXFVDUt-~{U&EpcnumqFuL!U9qhYINFy zsk)r2GyOiBd8Oh=ygip|?>1B5oe(?`2a&-Rm`#Sxmii+DE#xEdAV~{PK(yH44KxW= zou|iewQ2l337$iOC&6ehtc)TAOb|A#;Emg=Tdwy{HKDdW3DVLeGGCVE+X>G?BlF z9a4-uuu|_o|KsuYKW`Gx4mz2a=f}%4^!q`xU__x-V+GoY=uTMGLE;GnuJ?#Wl5zOx zYLrq^3E#&`81TsFQdS6FH(d`so{Ul~zHgwWB&!@(qnxA*Zhp-YnS|%FkxJCbiB2ew zD1?)5lqK2b-b@*EnZ0ElaY*$iiMx@Z8C?E|eG>ab--YNBU^~h_7 zH*|vGx!U=7{}qk5UN_%Occ+uxAxaU?CWB!!p5FXr*q_Q>qKVXf} zjFz6X)QeCj>H0Ihq*!T)){zBIScAeuD^fo}=7*rk@pu=l68P#yKq{GV{p_m{_OKA>1dRo|$2H5@(=M??I zff2^)H=`k9B1rjO0$zly%Y~%ELNV>}cgetvRwq_QS9HBTRVnA7*m*O^bmwSWHh}a8 ziB=%V*tfoWOlSz|OJ#=e$<#|wYzW9MIzU<+%o^;f2`!bT0}E4HhFlH>s7-;N4p7Rs zQ7gdHN2Sd)4}R=?n{@1(qZ^=>lrh68^a#cN2s|8j?$ zuES*~@YZL9yrHHMD`ANPSQ-;i9yID`rcB&3=zSjC8WG_}7Ns5sCSKba5e9k~-C5xL|nwYgpqqwsdMR&G}x zb7E+HT8Keq?;wLNOPzn!n8PitT&StQ<^sxYX*1-mt`yHGL)x?~@?naGOH3RRP5|)E zj@Ap{p?FoTs}noJr#_S|!gvs8?GPSi92G5a;&Og?PVB7rl_q%tp-Lr1S>Fh$XY_~D z+fX`c*e7^4Ae6^kmjg5(*ZLQkhB62pp>ivI6f_ipUI4myieMzlHYh$ij}t)OR)vu+ zWECBYex~mu7-BXV7zyx1pFx568$hB8z$hC>aTNg?Mcq7hQ|Qn9+nV4ThhH-FjW@84 z5-!+U0}rA{MXgJTMl?}0{xYD`1}+&UUv#Ztco}lV7EW*`!vtv3zV4)v@G1M05Ql=O z2wRZ~`a&GmX|>hcTY0rMIQ3v*z|)Y6h%S{?Hg%?}m14JFn&(x*$h2`Q95&@i$|ubZ`SAWJOAnP_WkYC zV_FA>4&=|jDs=My`D+j7l9gRV&lXWY8EK3jLMRbC`G8YM5D>|*(1>sqSkJO71leI9 zFOJT*m3m$oODySYgudwK2S_)K@=@qculsklN97y&DCRWd_c`jUdf9JP49a~X$f7OR z_|;J!2@=hf~>F9Q!2bqGKK_O%#`a+c)Qtoq z6beFlve)2@Z+e*j*T43V?t+>EI5+aSFF^Rpp#AeNe|ZCBy@s1$Xe&KvwkjG_a50n~ zXz-`pOB0F`YXR#nY%OLQk)7WGgdGbYz1q&7?ti)cuyc-z6Ud_Y@C1a(c@*#4El8iB zW3Gq;{n6}G;R{eJ@{=WMZ(Sqwr=enO&V$zy8xQ2+j!p_D_cm!`4rJ*ztmEL8&LDsy z=n+%@A#7jj1nLe@B}DXa!pX34kcCkgd!s>}7T7_=GFeg4D2$qEs~MyF{id-YW0yFB zR;L^TX$9tM^p}k}K3rkT^LnwyH{1nB^ONscdQOgDcyn1yvXh7CMyvCsa@{~pruiTXB0P=++ zA1X3-Ostj7*}jsqHPTF+Mh0cFw?tEE0ccg*bpsAC-t_1u|W%#&S@a}*8dZ^ z`oz{r%AI%+=qE+3n{jUlk7H=ryxjSKGUUB~`lsH-5&W_gHx%C+FZ7G!Mdu{swOj|u zkbCc=6C3cVqWyP_xnQY$THaBQys!iOb-Fz;?niU9CNiVEWSGx)QV%*xnz#i6)6B!_!vGfJdt#$P^${HTUhc#FVpg@Iel=b8`>t)n6Rk? z0L)@8pNnPFjgOa!ixAW%y3{?kzB*mI1Fb!v8&is!7KfuAhh<7ELr-rJ?~WPjARHQT zU9*Jf$ckeK-yf{Fs!x@i!BBHA7;SE_jE66}{Rd<09&RRB>6WaKt5MEm{^@4w{%KNB zkfy6+ly*(Xy*;Qe0AvC*+;<zO(HQ1lF5*GsN4Gys&ZK_8fh001xGx zuRrLE^R4a0m7bd>B=Ey;Z^<}%)3GMUW34lDA_9b)Wy2$#5LwbVmM#+LKtJfBjPj^I z<7eb+h?Zr3hH%{(W@}X0rRGj&dNH6gBO*tGFG9O8WS$6J13Dr`;)rlaeX;M>3;eo0UhN$s#HPxs!~siPQCmjBRTunx3OhY?lxE3TN?IGpJ0FwR0c14O4)a5hiV5TT2( z1qfa+_2n4~f=h@Ci@4EXFharMVF~qj&#Ige5!j+=3V7!5UawZn3j1C@nrP0=OXzCAi!z+4cMv=^Gp#L`TRyKfHWgc7Uk zP_6JXeG%|oFL78Ia^_U|tSkr)D8ufEXfu$PoCb1ft1J@~q=cRJhuq)NzvX*D>=b2s zYh1oF33KzvtS$wO%lVZNlMRZq?1zj!uxhq-aZPIsLmKA+IyoG=t0kh3tG!+pyz{bK z2u2)0zEY>5=%CJ=4f%rACdkvnbQ+$pMO~L+#nET;5II3CCLU&smOEw`hgE~iL+F0m zWMOfLp10Ovp*I>1EbPpBAcsT_px)Lw-uC~6in+H?*bn>_zc2esFa+RN|2t(Gg2+)P z1Pj7B(I;%BoRJ*>IOFkuJ8`zjM&CN5Lk>c@+%&*B13Yj7ftnN8nD~DNtb|JbsEKDR(B1+(Vp1Yg+FnTv~cZ#agmq zl_Q_-FB`&aTqt_q0xgoQeG4Y;Hc4WY@|Ekfkg4hJ?K!sUAzwAOM%|K__lxVfF;NaH zXufDkp1P^aA$Fbx1D9rN}+wGvk1{ z4Js}v+}-4HSYA4B9@R0CuWzKh)}pp2>q}QW_?Z~R&<4frJYMBKBe*lklrlpbYDYTi zLbaSDd*B=(SeHG?Lzr_YbKj2xZ5#r>atd1a9=7R`qA;J=bc*Y9t77@(;mPHh3Z1bG7( zq}c_erY(NQG6D2fvshRJ!Zov2t!$`WVmp{WLB))SqgW)DzMPr#lPt{)m!B2+1#~y% zSFJq}Ubc2QG0G{qSq6Ipwjp7jOR<%FpDic1oZuAHIW3S<5UaXp-r>~QK_eYf{Y)2g zm&PzRBe2W%L-_in!4#|!Tt zZs(h0Y_UIqfLKTiNI5sul=a0HO+5%s3F=u8C1>dl9LfV7JCM891> z+{R-bHz0(+(N!kb~3$lsLob7}e#GL2D(ZKls!%k}D(nkyoWs32<8(#opak*8Van2eI ziB2K8RC+|9Ii(cUw^beRo=jBPhJ%hM&hrPoV?ue*Thru>N@VTyWFJPj06AdNz*pP` zc<6P%XZurfGe|oqh;MQJDECaa67BIaIeD!y-QMgxVLhX*Dx0KAQW-p_-@C^;03?Q;MrI- zZoZU45+;UBmyfM+8yJFedbC}q{2kPsa-gE%w5D)V11^M)G&s@9ZF0Cdye(=Rq#NbO zVtanIIX{zqEEZeLPxya;fQ)*gurk9hh6%cZ21tXvCyGD3U4FUpZp8$BGg4xVCh6nD z30+kt{pF}6;I+iZWHrv^y@u(^g!hI&V{@@NOI|KnzjBtpVT=V{LV)5?H6PBu^_YhY z7n$lXgnZT2lJa#vB~^i_jwD|SSKHYs<{^1a4=9Xb5bgimc~|y@7?Ex1T0jdGlSL>5 zxszyB@3kS{E!W6p0KA^vt+nyRj{HDj$h5lRZyz22{CSUJk=IW*1^}3&s2SoC8!*Pc zM7+2mF%Ie$adB0biLa!=B06~Y@mC;*_E3c&DwC8blBOU`2w#KDWVsWBWLVxIl8`m= zSfS5uwe0(@s6)Z#1m`E9L7+#gsv_wh2d5YCiymhcRnh@xy!FrFnEDqA8R(huhw1mH zaA#AnSU|rS1;;XTzqk^sv-9oAVhvH~mVn;FyN-sntq)h$w_~ENF}zcrrz-h${j2@` zvu|e?2Q=%|u21~j>8-Rd2HLVfO39-1e;);W-lCZ@pKk&SMiNfL9z)r}Hqz}QjHoz{ ziH|x=Q6i(e3Ux{E9{`nuuSZ~Ypzfk?5BC0b?|=MNKy*P#;hofBrd7R_Kgd@t_%v%` zsRAK2{b7Pcp0wie7TBM}kbD>PYXan#D8}#B^D~@E)NeayrY^I`&e4@4_z0y4)%4S+ zPstY#MxD5x(VqbJjDYM>*Jx6`YYBbO5GWDvhT2_>Hg2~c?!VqW1$Et{TF}GF&K9oG zms27)a3I;$xmaKq3wSByRn-KT1&SKO zM5gKc$Mdz@Zp4Az{E9NfTa+}0Hv&b5KcnpT?fsqD3g}IR^X|Q=hJkO=jwr?|OtUsi zIVSolKi%G4^Lw`5SXrGLUISCv49Z=Lkalc@VWF0kAn!=4@X=k1&%&%O0x25^Al6lR%tnUBrkFy4}{ z0DehIko<+)!jsC41p65v{C#=2L7Ba<9<7nedbH>0dPM9O3eJ=$5fc&3pQ!$iIjYu^ z>yb|tmJY2}Q5b}jS_Z!BWgVk>0)y;5K$RgJDnNRcVG(MBm3632)1@da(4p9^M&$(m zAo3p&psoo``-{bN0zWpG(%t>t-Pg~k75w>gujLQ%K{`RijEd}u2cyUtooruik<7Ly z89R)&n*kgSnWbxJ0g;GLG62nPEBTV>k=>YhnE`%w1AfL_9*GJK#Cm&O;^80T#Z5mE z^F`H@&|_0GC(;q!NlFaaYG#a+#@n)QG!q{O8xen{qJ+Pgm+=1U?PqYUtzG|LC@2^c z&PBaVx|_Bsp{YSyU-ewyfiiSD}`divDzg1~zOu#|F31&$L>pP{AI2 z-e{L_e!4~vgpSlpynQtzk5-pg;h;9gowcN-gE>mUZCq3e0y;QpCjpy@kdx{_^CrER z>pnRrB^H)z*fI-cxrV-q`Em^dOxvOaMfa=V1As0-V{$g`%|@>t zf4+Zs>QO)Eqj_*1Kl55D?Lj^JCSKGjsPZLd+y`+E;t?^ko+Ex(54jgp^zNBVzqqn8 zYiadzb_KY7gy4Dn1214K*3U#yCW!15b!~W>_>-U*d}{+&b=Qb*ePvvBT^CwdEB-=M z)Hac^61lo4n^PgepqzLx@IbF5S@e6zWe^F&r(32_mE@|f3e)%Re%fk9B8V~mtEc~R zwMADOIS;}v@`jxdjZ&6&ePvHe)s}Cl&I!b%lVo>R9hXSUAgc*8@n#?~!jVfwa#|Ra zkfEq-fVvP-D&gx_C+^VnNJ@sA9**U40>_C?lZn5d3MwXb1QnCt5I(|@ihkLi!)+AY zx0x(dKq7V)^p{`P4KU?G0M_Q}LnS#d##CemFKuYmqjU5YBDVEVpjZl?0^VKsBrr_H zKM6KX;iu&Ur9l^2gP2YL70*OgcCipy>6rR)5(Zjx8zW*@aT`yhQ=bMV`@8hVjl>`4 zSXNZ2OV2SJ6{NI;_5zzQ2Q`U-*qmfFyQb)3Zns~-z-C+cl~S)xU@2Ex^$s34lJcVL z-WzJ@6_~v#ypu}&&BWua1j(QXEj$P5T1C$~3ZnJ%^wekP>GPYLw!iD&g5!~*fw6+1 z7rJKGs~-e9;k4m!p>kL#gFKtMp#*Z&9qC?zaHLRL(Y(YstbY=I+m3V(5GSu)b^h5N zlLvI6Y39CgCGCqFZKiDb!ughdU5>eVZi+5&5ZwSA&<(p!ADrtBURAI# z9I5EWRL7`(Gzq^XR5^MTY{Ikk0=Yf_^h9fm63dyPi1X9aG~iqg=@;=hAR^26^Io5%B~j^bhi`Ms9TB%X9uP!j_Y$|qBORz*gtc|Y5Uzh zPd6TAQZx#{hyb?R0?h7gK&@V_DUUdOhsVeHeAlo(@0{UaXw5zb@V*?Rd5A#+R?-1Z~ON`>B#5MIX&T}B#IK!n|YefV(W>XgKo!|UXVK0OuqFB&}T zFNYJ&6n|*`M`i`|Ctf>nz&ab(Kfm9y&Q0(DZamnQFouFsfKm^qp*>VN5tT`LDrA?O zHV`w^i38(tyLgvRpYN}qULk{bE1iw;clhe`V&zM-Vf{LM1Na1u?a0(dnw}|#uFce% zSwAY(D97n~;Xt)d*Q{BNlP6qM4Unl=eE^tk6!)jr3_828vkTHSFgdz(Kas&?Ud2l;A*H?YyJ zjK?0 zBjBiXo4Lnnl^XRi^egT?^(71>Au9AhsjOfygPOU7?>w4rZ8kj`OLkih>1*2;jWQ1- zdceYIf%B`FAQU@G^9BVcq}P}@s4vls0uZF%L(gzHO0=}47Dex0O@Tr=`*wQpeFzh* z-yyqug@!IjTV&*r0Wxx0cFj@5c0UAG20b)|k0Nm(-4OAB;@k^l5R?CqJVDMtEE)2H zix@7z?jK>^BpW>LD(~2gU#{wC)7wnS-zhsQQsa^B?o`~fu42~QaqOlzdVS=w`WQ?4&- zU9+YDIX(;;;=6-vrzK;4es$tP-nvnz3I!1+%d<7GjrHp;K-;78QpAgXeFRSI<4w4+ zX$*`YD_k%#A4?g)D}bxPIL&3<(2I#zC#+Bkvtac# zj%a%T=fUdK1L@AuoEV+CI8p#MK;S0Zxxpdr)IT!cV-G`Lt*P^ta&qa?N5A< z0g=@nDBQc{2gQl#$7@>(aJ5r~R$YMeXr^+s0wjj&SMdnXcudnzN{=u=P64`U0*!V} zsE}&sRHay9#4WE1;#t+!&<*S*i+aMMh`L?sq~b)BjMW%*)V% zsqVo?vh9tBrF{#lrMqbwK}dK7f~zHcn=&w|gNCcL`n?|jGY|H_^slb2a3U!VnZmxf z*f05rm}79b91R-GMLCnM3_l%EVQ!F|8Sp(JcG03t zCP9rFH?v(3yDR+2B)ouUYj2S{dfJ1^ft)|ZI;`*3=j3q-+wYuXOw8(tOq_HjazG71 zMHKM4Wb<*oGk{BaIe(;(yZ6HpGWBJPc10K7!y&0c+|q%{zEDxlrs$SP=vpb1dow26_^zWG1LcXPh zq>e`vg-cq22`LJ} zbowjEla2xZp6alCQRzp)MQHWD^p4Pf`U|}<@XwTnZA1b?fMH6O24x9+a~^=@gG#D+FKpXf0U)0rlKt1J_Bb; zzAg+_4nTDpS5Z=9R5$KVvttaa&7!!ifkM=m;%)qT#8}&e>8TR7A0V(x|DJTF->-LyN&Q zY;Vg0e=t08P)6A!7Zpee`1RCt>h%baK~jeZzIn=3@z07{$zmj=A-;+LS!rHVKYnmdslf-g0)4hnYa znhpmpaaWgTEe_eSS+>Jvb~Y*_z8rEk@^M)RS5gc}R*i|Pl~N*d1^^{Q5_h<%+#r;> zp@t<-pg=QPby?RF=*%H2vpuMkX5XRrl)#YuiolTkgCv#U6WLTF@JdQ10Vncmg-Pb) zTo2V>Vu~QRjwtny>XRJ}IOL;R8nzK+N0C5!_>FIdOG(u4~p@91$5% z8?xG+ ztYSpjLdB%hTdF}O@3X8OE z&8|QfOL39HZr4g-IJ`BUFf&!f zSAPJ18?wVcBHvcw8)I~*8l#JzvyOt-afuehm0HYVZ%eMnWP#)574+N*T&q?dWg2|U zgdmv;fjn7KLWne>HF!ir#Eq`zdQ>Snbd6j{s(er3poVK*e1n{uH%wc??+)PexF1T=;&q ztGMdgI*JVAV!&F#x`PW=rV;6L2v_I`zWi)sBs?J@3+xRFRX8gWi(K(*QFBZ#`ZKrZ z>%vhimDWgZzsuPzr^tsb1kpr@!Y&~S+r2 zh|1Nl7s207&v<;0u7j;P0^O*tAns)s!Bh{N9eMh4=~mTXUCEWCy=W4x6F>aro}-&8 zBg*{3o`?jY(-6FGecnS(l4}ma;|?RZqPSiWapepyZbT4QTxak|Sq4+;Ivde-7GuFQ z)K5_xDB2bma{FgT7hsII&caA@zzgm9nh@GO zzk>cMhgAU1cyKH3Ew*C0xRfR{DKr*8BK5%CrVSs63Hk`G&B^wnM+7!GVbv%wirzx$ z@8UXvNe;99zp_a(09^(qJE*}duMFI)9ll$cylUlG`)|q)+@$t-UE1}^Benfjf=n2B zxo|?$heV)M^@C`xygvouwn<8jz@H=f*efEEdKHcbFWWWm&(yj-IYwm9#1g`cRQ)cI z+qOMN^H?C`@s_>WMHKiD3~J6Wvo<*#K_kc}=p)5ADAI$A^76Julb6HX6GXWW5z8wD z=VDVWh|pg#@RQh$QempUJ%PU4t}vz7{UEhJC7T`OC-lN~%qPw-S2$ELf%mF!HWLjH zYbchrBng|PYuh%mH+yr5a&YJ1hT^GXRTAHqaNJ@nd#I%YK{C?(kWJvJZM8@!4twiE zz8U`iOmWNBXvg}r=jk%(`wdLCLo%|zX0w~b)_0_e$D5R{y!~Dzyf;0W1r;(aitkE- zX}AcF(aXaFA4HgKQvX>_59@R3f+kVYtOGc zIaWU<_Y+Wb$=x!Atm#8XdbU^rXZSZ2d@kNezRk)y3p``y)igrYf)77mKR}K^nWP7T z#?|WT3^w$n8I2u5wcFy6l5HOOV`dNRPTd~x=c;8iI#iO{xQFpgeT7SKZXOr4S&E}X zy+w0mgwLT`GpeXvV7e3ZLc_NTCgpXIT-yJo81|yA zG>@YU54uA1glZCLu7NuBl(S?@MLor8nORHg=v;#|2dL1OROjoxLkO&MklZL0rSjO^>9)W!a!6&|&ZqCyr3616ySS^BMD$ivuA(uD#QX#Sx3kL?>Nh9l#Qa9pqN{aM0p_s^S>{ z%Hwd38)V*1F{z3P6{+5JFWDBDTC2BnphvbBCm^HVTrw|;MvC+EUv7T77QXmj&(F}( zme1S-wLi$VpPhl56cw}ruXS&Z*zEWV6*%quQtF7i^Z>oWwwp_u+oX8&8a89qon7$c z%PREb_g)MJ!G#e|)&Q`2FwTB@wvfs&#l`Hr8Q2zPzBqM(cfq#L57Nh!hhH=k>oSTC zFGzm4SPt14j4K1cPua>+Mkgloo|yuPuX6F3IfL=!#X0C=B2`9D0KNcUvUcm>E~>>& zVWnP3CmQ(~Sa7r)@iNT7+Mb}g4#iRQoRn^0x`EWON|`5Wo@53j%6Dy8sMj9HTX58S zG_iU}>Cv4XG2aA&eiWE3Im9 z{e2og1!6_^pGE6pJQT|O}Z)@LxbKqV)}0zK)pxT>$0VcX%WlTiv!NYMzhb%Z9KUM zWI@Yih1n8L=lV4lO^ac^!#S;8^_6Om30=5?Ja5u2h#v9IE|#iI@&R{dEQWmtt5^XG zS4@WB9WF2Z2tEe_YR$3pZc0RGZXlT3VE38f=cm1xB`=(LDZMfyVg{i0&LLmJ)&yNb zh1)em{>G>Re5#@H7=tsU`aFHeuln6vpOCwg;i~N|?H@N59m+Tjh^ko*$Z1I54*zGK zZUfN9#St7C9RvQ|?cwm{JpL{u)<~crq}|D zP$nk_7yy0lY>6EFlsPUK*)bj?^*_RCM->UG4p4ZrvmP(|3MMDr2A#ZAmUx+@;%*D!qG5di{#M5?!intpnO)pDI~u+g(v+VjG%sn3L6bh)fGLL=^ep(s$Vq1G|@_71iD}H=0>%W@}9PqpcypkH~6sP zewy6?&Vf^9_o$-Ck_d)!-Lx~&Y#Wx7BYBV^UmPT+sMcIy%28qJ6TBkHP~;?*($R$& zgZmFyGF|6XTKh5r?i8`H^$GgnP-?gu&oFy`8TJKlgxW9qF&m0_`*6K0;v=J}W#MdKOa1F3eJlAnyfA zZi5-oyb}0?B`D{P96?Tqc?D44+3C@b@+rADQGN&CZy>btkH8LsnJ5I-`okBEE;9|%b}SPpsv`PEtdWNbt@g!6FJ5H?C`!@j0+wV)32MR9?xhzs+;N8O%vi7e)5n}8vDxF8TG1x)j6`L+^Qnoyw_-= zi2EF@8bRP9?P>%u0~)jda|ITa{&4HRZz7>Tb^o}O8Q_pVA?<=#CGMzVpIeza(^{L!G=Va zM9Nf?dh2gxu%R7^|FqDj^utKlCph&{dkk}R(wI=CKg;! z);a(?A^QotDb0K7z~-Id?yUrc=XATaMdJdH-ZcskLst%IF3-$TDoR+Hw+}4AN~p1u zqn;zXltNDiZ4Zwcxg|rt*^_b)Lds(OF|#T9j1(TzX>W?^WdSBG`5;>OY?uQhBia^9 zyzf+U(Ra5!Bzr68laEP_i*9SeHWO7tbz(0(3LGpIH#CV!VHwlj7-A52iWtXOzDC$Q zATLSXjM*!x?*>K!0%H3ZQy^4)dH$D^I(?3+0c$RuXeq}0qJ18?f(*;6Ne5F0<)iG3 z{Pz`S7uBMH2R}W-89w~`oJJ}DzEDHG-Mirk(AFyd@9bNY$7SnxKPiCIFrF5*bwK-n zd$@hN*%AZR)(6G?QSW3Lp5NyvEr>S&mExb%hqKi)_QOm@Szc?wb2cB0tN;Xb9&;=p z4HK`uHC*WSas=6tQvdx45_$t zcvDcFNiDG=L=4yV>Jt3o;vA7vplEAhLA0t_Vxr$6V#x2rW5Gn?N4{tpV6b?Q}keQ{h7a&WqV{n zR~ghra#E>5QB!(=7j21JG;mDfH=L?9!Z#c2tz`7jm&j2xeSQv3DpMvePno_vWhUq* zqF*Xdp)wA&xdsoRHf@nXk@)&fZ_s#zGe+hoU4i+@ z%W?S$!t%lfy!1^d$_3HFbSdS{4O_rU4mJH?7btIx4p%WRXwWa;!O0o!l$W3ZaJhh> zCC`6h#XJ@a0^`rDx6?7;Yg-wX#nq_tK2{PByZvFkcYGz0>`W$P>QFXP;rcC6BfFR( zB%0P%Izpm}+esl>MMyLN5KvPPV>h+V6HtblujPi}D5LWy;(WGQ3v5;~_ZlO#p{Mt|>knF0;kUkmBHC>UIdjwwRiWTv)39VjQ9t!#wC!t$>6Itc?>D#wQ z2xN8+Ih(9ZkQbomM`gZ+#Kjlm`zEp;YTVl~)aouB4}&OJ$k=i`F3qr26u||;u>az5 zX^3b{H{IM7h*EVpGGxTxwem@MS1zja3FzFG0yOn7spX35HbUtJ=7#&9!B$WO>yQDd zjsbsy)^PZKbLJl}SEoVv@VS!f712}9;O#UvjDrIVV&dGI2R1_gA~PSN`Z6+=3}{D~ z5-eS#Nl)gF(x7kRnBdoDV@khWr-GV+q!1d)B%oBNP?xuLuLQ^Jz-px*x)i~u+0;C^ zjLk9)L;3;nT9PN27ji}&B{OMIK%7(Q08buT&mPjj)Rm?6AUK}q(eqHlw5^DfC7s<8 zqeP+jEogERM2Q%w_-lo_8#~G#lS@t?<$#b!@`Ns2X`?d})&udGN*$o)fsj&#JB(Jy zsXkAMihJ(qKq=*{Zys+Re!cmK9+YrC$SNQ1F-P9655sTq+BjUZG^t9B2==OTsU{wA zGp;|wyO%6e559%vZ!PwpH?OMqxFK0&dFA&Lr5Hx;n0AU-f&_Q*Y zl7VZFK%HmK2rRB;ceVy{?XmSiaawsSQ~Df%yyQS=b{cg%UH`sdtV9s`>5Gdu6R?0g zD5SEiETQp$B_k2pNfM4P&Mqpde@eiMN~;U5z8c9Sa5rJvpgfUyvsT>LCEn~$R6n1g zEP*S>r70!4aS)ohYV4Y^`5r|>dMD*f1M@70^u-iZWMzPZR19q#!Fx>y3T6-8(lRbb zg?vH?oJ;F5sfZxNsf?GM?X^B5cQPGHJ(4PYLxPCvP}z_^ex#PM!6VG*2sWB*AGgx^F&z{zi1guoFoM=wdUHk?MZfxNM2* z^_kzDo>jv~Gq}FE{fSL%p;%@$UDPrW#deznJG0CF{m$9bLHYhvgENI+7lySSAbtoQ zFesFrdk+HQ^nQ`@_Tl83t=kZz$O*TQD3F%9Ni}GMA~XRY!-fb zJ!jGIW2U^FCTiqo-cf;-Rm~|Q6;@NHOl!a&S>-RTIQk|?xW)x5GG8FEl4P@VKsgCh=cI7Z{Nnsn0_(g{lDWsT zg_`6nOpcJn{3`H_pfZ*-DvYChE*D1qK3FxdKi;flVq6&XpQqNzwHc35w~eLxu!P#8 zbd5fxiON)r=!;f11)B+!y*iE9LODHmP-{_ld>bt*5hxYeO1Y51_!mzP;*!MzIz%P!GVN-0L9FvNCZ;XI@PMM<7y6Y*MT-p`u+)xP}Vqa)od*+ zU6#Kgbwz-Nz>1omw*47yj;{G^1d9;O23#WXtyNex%9?=IqENy;v;Y$&V7ZS#JL3Nm z+4Q5^#1J3QOLlIvi^ZW$$JD81I9n#%&5zE-inBeX7d}v)S#mt5_j|hmELvJ>->>29R(!l1)^~4reioh4BTksNA0mps7@8utEz93i5g;DOxJmM2IX zg0*ca9}z(3bU};)kF+|KPLHs`BKxyzO2m>|@0m!N`w)Ynmzr1)macku?Cc{Ul%_Xg z8pRp2fQCEQZ{EN8@Va-6yw3Od^T8;i2@T0`9vPZt6h9HnL1)RTHgQXks(7}?D&asd zsjJa&BjuoT&*Y%zQ)ExV8Ijz9)uD%3Ti11AREPmZ+1(dx*zYr*YBE_7f&5~Wj6qc zS>MT?G$e!0@pjO|0rBxe;i+7eDHW#OeLwj=Rp;1f&-AmvosuFRs?RZ~{Q))S-d|%K zp8oi9L)I6on}o;9u2!BXAJ@a2KBerY$PZVwjEfHe@CGGZqOrgknOAC9Cy5{74N|jO z5*4`Oy7`q(Jncc<5Mz;uPp2jA{A!GqX3maD2z@&Ta2nmRea`7=mN1m&g;80vDf<0< zuJGZ!7LvY8jLeXjk!ogEXVxV^>u1KwbCp3qqP5S<>2%Aq33YKIq=W%s6Em||L3h$a zWFk9z>#MW0X4%qcHR)5!^aQCi3#B0+BTf(#pUan#!ZnK4aFE!)~hZidu&4JbhJY%&y z2Wfy493luIk=CR*d~J@bPW#R2k6_FcCzs(2RZ?hBB^psn88G+YpQxQ6r4yj;1XVr* zd(9Pv>EPwdGQp`wnTv3j63k zxP3SOesuwY#aE%GZdNj-YnD@C#~o$8;Q-l+b7ae%LbmeBDSlCRSbv1leeKl6zDG_Y?_dVL-`3M)bAg5koL6QK8Am!g;+H}VD))Ih>=h} zeQtDQKt+t8%BV_27R7S!%hUN9HQiwt%Yvg`5zS6rUHh>*NqwZ{kW#;~j^Oi>2)J|u z2RP;&PY6myCmobNgHlzoLq-nf66hyWU!`=Sm7&PtQ=oN>N0#dChx<^W@Iq(spdaxE zJPyS5au)rj3irg)j~7d$r4kj=EyoagvTdvvT{f_ zv*LJAj)ndq_)i_PwMlY>S}Pf&!th9lDJX76!dKCN5s~2$+@D26h9Sk0*GT@RYJ(x4ton z`%`~SHeUB#_O3lmUYM$mB3c0qf9fmp-yVNQtYwS$9n1tfvN zOwpZ%q*CEkv0Wp$T(k&S8Y>&BnFZ}heBIZtKHh&t<-pvV>lVEN`egZm7>UOkb)&4; zri|4}pStVGKLvpx(OH`6UTFr6so`=5#UlfN9SDFL&p_#wQ9Cdc>hr;vx{4+M43N?W z4+IJL2)Z15oq&UZ;+x(y!U}>^V)Z8+YOQ>#PGceaaQblWR5~1p9Q;on5*;2IM;Vb5K zkcL({YG$oB72ny+wdmY;HXC{zJpDGU@jxP8ZfzhPxq-cS{@15_8Ff^-RujDDNQ zbG&8%d{uB&`8qk-KWmoC!N{yqC~Ts~EShPs21#|I$mJJv6*U`+kKxtMkgtrAB*$~) z0&F~XBl?SgSB{z^>^T`6Qa@l*OXVEI@PwsXj7wK_pwB;hMuAk-XbT-~Y- z=~e{Yklil4%L|Hb^--B`u1?OGIyv8bd~@^W)0>~({QSD-1HJ1vz4z!mfCKoZck`zA z=}qsaH@%-3k<8`zktfV+v3dA%2peK7~G< zDGn$qSyZ)POB;FyMnqV2xAE3_FaX`*g)al_!0)%wS(30Mus0DuS{daIdWd$bk z9&t(K34p-O!Q=XH_BkOJvxKA{C%7A8S1hz^W{`EVcJDuy{v2YP`~#AcG~>Wnm!8yG zmC9t(X+j|?EuV;Lgi4+Rc!v@V(%EJlB51Bt*_7! z*%m^L@@5ngI}ukNsoRx`4=VJ6XprpgKAn)nG@+p)D3!p6AuOz-uOBP1)KQ%Sse1NQ!6 z#2rTvw!$IGw=<%%`gXFn|LXklY5V^6=}|RY^g4M&)x4ec$MhnU9A5n&(rdxV#t&yw zmSd#Bc=dlt^&v|W1zQYD8(rCG-V|y{pUEQOFQfsN5MEsJQ>AAo&ea1Ys4XNsrjgNc zZ!|r6C0Q5mP>PT~REyE0lcLBy>`ou8`83Q$^;HPZ^D}(kfT&deDV7pk-L!)2V!m(L z2Q((33*tqH{A|mL(5(_+mMB8R2oE{*4ET%PS6{w9vG)lpZ1LBf-{17mN$~#bQxw@^ z_&YN|-4nbNxjb2;0WpAjKMb}duN!hfoMme&S1z+-hGJ`qF0>Z-0E(Y}CBcu;hoxPh z(?bf+Pa$>8Cz?jBg8SBt66MznGV>wbaf)un?Z-<7mFa*e*_{Bz#$k@2+@yUSoWqd< zxAWuqIg9gGj^S1Z+H85kky~JyzP!T02rv;StNcdt# zZ^;zpkC^HrZqnE8k%(2+hA}dr*Rz`QNCjbEC zYA+ZaID4mOr+!qh*#sQa=g1TLyCgPge#|Np^i{Q5-Y!n4?1H#_;Z{#^D5I8p)}t=b zLrW%C^WO}Sl?q{nKFNEllT(B@ph!Ym?d+)UVYX_l>Snw#(t{pT}Gwd_F7MI>9#-vagNc)Zws) zKoQs;)BHB4OW@}QyTTHU_zEh=X^ccO?aFeTj$d>zOkuu}UnW+)Tb1QyrF~U~k-18L zO|I_{C^s81Yg7Ai&9=)OU@Si1pR5SsonNH=3;Vu6chk3{m4MPgh#>rqWNjxM>U*NH z6z?v2pRk3N^E5W`F`d@C6f6%|V7IWVmgT6vp{NH7bkP;>0rYD$+y!}(aB(%&68gkX z30DM>QHv07NFshjWmwpVRU%zo;@_<5YuZk9)u9}|#RQdQQNx&xs6YCW=y4Ot$M2Ga z67g-IQZgQ3xn7}MHjv8at20^hEd&+3H}rmV&5&Lr&(6@ERe4k8xL?|=M9RJa1!L0=zX@jo``Xc(w7;7{HlIx7EfkJOA$2D2WlsKF- zIBXA%LfHlF4$8!botxjkJiK{&^T*BOo4b3e7Vp2_etx>W+kW`@{{Hc1%kiD$GQlp7 zrkLEq7rp(07w5(MPjaummDyy9`;Hlbf&(qSi zZUqhc!N&%p*FwSB#e7xf=@?Ssm%|0NqO&41Mp;c&`!T`XDT|}X=Me^dX$m?Dy)*@0 z6=vU(#7&RXA5kwVM{3GZYYr(p@(U;^OeU4xzc>rGc6O2vSMJ|2QNP5zzEhWinQ(+m za^H3qC7Fg=|H8qo>w^B!!22X{J5{eFDp`AU#@r=hNv4rQC6nh4v-F`|#g%$W;>?}H zVSnrnx2|E6454<$v`bB!zO1E9p`_7&MX=x@)8|mtX)JC;vjFH15HlQglN`h#%wX6X zq5hRe#G#fx^kGv>`s};iBs7z;CHJG z%l(X;Dh*3S*#hE5qbRwuH4Fe;NCIPa@bRp)hUUmsxTam}L_!mxZftf})HZPyj_)oD zP1kIph)_Oj?h9lr4Xn4KzzNz#YU?TTh3@I$6^teMIkGD4!>gJ9HV@b4NGNm?q&q?5 za7!4mSRzOX)5xP4j0RGtN6>U-d?uQlSVd&B%HAyT{SAYvA=L9L%jfs!ccT-I)1%Y5 zQ!g_5p^@4C+fIwBpS`u9Ciz#C2&pw6hHJvCK9SU`x{@3b9&);;nhDY?Z0+eoPW(1j zWlA_g;v~jeS22)cig=n)vlUoJI3I!TV2jdn;<-Z?weug5xrW|1$LZ#^#&plrA`|R4 z1zNau^ppbny~4edSI}2QdC!AA6mGzx)BExKxbut7S;7W_sYh?TwY=p6My^(*ei$eW zrw+ZV=+9M^aFD5l6RLz8G+_}}^XFf$krUy*<{kYf^evPw7f-VzxmhfPP?OB4qLGSD z3;3d{i5SSLI$EKs`aC5nsEc6x{JT$H&tDqk0$qz{8S)kAS(=aV3QOz(*}x|6FzCNLrNNYpejpS8wOE~zPNU@%%KYMy;dA-6 z|J@i>rtAkXV*pL2vLy!?-|NE4%prS$h-aHj*SwR6hmQZM#Ozs%nwA z2+rEhGXMldws;i)imD!4OQR&Jn$x<5qN=NRrr&RW-{(hM8A;Zzy|ylq%m^ehBRu^4 z++A3u^5dGvIl9TpiEZ#gk#`3kSiS~bS14eUGZ_I z>8b3;7gr&a>IIFfbAl~th7pIPI|g%*U+?<3%+!Xvl~c@q{35uxUxJw6LodK zzU!_-_v@4{#oU2WdK}GkWF)s!b4QHIIHJo;jFhYZF?Mg@SDpYMQD<$`%OqCS%lyI& zR|b0SB=kpXK#~y`A+;FS!TJi3sWk73F_nEg=!`s?7@U{kK}&@}mpK~C1WY0?j8;#d zjaJ_zy}bxo*`>uOOOQoYkNcR4>-jE~A1kov099mQ$;=%ogSuBvD@fCIyI zIs?UXl;d^Xg0T6d+MQt_wGy6$dNoOszyr$^0dPu$vRO;x}k84X;h6+ey{fLn@I86?w&g6QmB^d_;(W?v+|L zs|LA;Wic{2@X;qNdk3r#87jhD`B>T~^U%V>H_H`7}p^k&R03@D5$_`4b*^4_z zn;~XbN1MGE-04QCY+7AHgyO!^!ZFph{fx2^HE9j9&)$diE^95U8qLPgfU4~^9nga zDHF>Z2pQ^IIFkhEHgF0HUv($QH>cc?(YY=1r&tcOe%;ri9F<#%VDCmj^=05y(?`xq z`XDDK_-H!={$-WV$@d8h7Uco%23DKRvWtSs-OMGqD2TI>V4#R51F5?}O|BI}ESZi_ z1`**Lt{mobWJePiClO*+8B)8M zk}^|6Bs_UEBhX%x>9uXkc<$%#Uqwmq{Rv!hw36!voPGJaC}3m7XkH^1rW18?KQ;WC z_?)~uTocqy0UXG>Rx=G$F*bm!6pMx<63SmBYbj^i@PMfjR0qnDiwWp}VwE+*5Z)d&ndEsFjaI)K2?Ep~wqXgm_G*Vp40Mi4H{cMq=~PnGu#G2U zWgg?vI3`Qcy|oxl5|rfRG}pmcBgyLGn#gedD;aUtmFZ_37t-;y5V#}kgw#UqjOj;G zxeHFFA%w6{Ou%%rgQiE|E$PJyjby{hPg>S)k-k<0YJPjTdANHwz^@<-V9rtU$;#p3 zPjJs9nF*kC2~}9E1`VUHw(wEO{%U;^v--8Y*<7j*f%@6-x`J}@ES=2dkGeP2IBqO_ zlgb3zhncS_5ecHh=OPh|&4>|HWEihz+K2vXCQrk^FegUPfKj57o~blQn{r*HI_zZB zJ4wi?Z7d`E>i@ z>;0Dppm_HFX}~`L`sUvhcwat0+@J&9Jy1Hoz4-Rx6XyKK09U^~1S%c`9M9g~Hy&F! zDKYP#+V(g0g#8WGpqK=}?$0MjKXb~9!Cr9-g3a+!e&h$t))oc$PJn?vJ%9c`{sEa3 zJWpyl6{g$1wB#oJF5B0aAkdg!9~5(3*LUx5ddfFeVo*bk+zwHY3A9wmNd4_{j^7c9 zvA@7t(?4P3C4X)w*S;wo%;!^ZyrFPz8=7t2pxV+UA8CN%Brx$h1wH_Ws9tum=nM)~fTxwxFwn5d z!wAczJ~;*)p}RC-+z1)8~z2@4qR z(`*WEBV*-=M*`S;v5|7a_$o+o?njs=Wu1WWMqvbGp+s}cc1E0X!!d5`OQj%g#fF7F zs0~)Op*l@>0&GqoZQZ_Ndf9PInIYE90)EavKlh12$u8GY}DPJqhkgKoXq^S$t@1p0NMkIVuE5V@W*H6nSVoL29Tn zgyX-lt=7e8kRu<;iCA`za36H=9uE#gC&azUj%V^OIAwHE@@qSzmG`gD)3;Z#A$h5N z*odKEBjhHOpsk4IWO0fST@q75g~%Bj6jhT&(xl^c`{Cx>0~F%uVUQ+RwuVfDUZ3bH zBGpGgOg}viV*2T65YtajgP49AbwEt6t26&HBRxCF>3C)7NjSBcy7*ugOvO1{q8;bC z1j5&kG=Kf{_Wt87oIr5?+-4?o$AOW?WNIFa*e@qC3emWt8${R$z-WgE1oWmt%qEAA zXF?zif-_<|rY#q;rC%x%Nvq~HlYJ!1YriJZi9qLgt0*Xgwl;+bHf<+aTd+{?7l@!2 zRMZ?*8q*T>#ol3}4BzHp3(f*V&mvN3#wjFAF6N&QZC2m7`Hj0tRE{O1Kt)xVt zE1sluph8fcDN0G=_J*+Bz_*jsQt$#P<*@8-aD~&5ya3V~MWBQ`bz0CH0s?iR2uMS8 zbcDo1x}@GHoN4j;rH~Jf>Ln-{l^OCffG%WQxz?N#R{%%PzTV$`xxah(dne_gX_pts zo>p=ByE(Eu%5CiXO@i3&veJ0W>ag6=w=zlU)o5YQfZwq)EccTGQg#n}_YBy@usv1SdH* z8tTBNhX990W6V{f2{NX0+Rg-8V|7#J&&Zp0b%>yNB9!kjm7x?tgFL>zh+jEPLY#~! zZeLSPkuNPHoN-vUOZZ*mS>3P>(cpK~1Wi+$ie7I2?8F6+7!Q%_m2o_|9Zk}$WOK6b z_$pYB8AbY87vfdvKcxyN8@U`xUD}zxrAB+RYxz-0hq(HN8N;q&9YR|F90ZY>f5xI= z#-_Sl-;}sZPECSeKL2^WgD)>HaEXqR)$|0UULhVBLvjpV zUllkb4vB;ldq(w{I+Ex72s|meC(ZGv4kihA2w1E|?#yOtG&`do-U?>-$4Qdn*E!>v=mgEd?*o zwq~UtSF0=MH;y1onNWTd(ON1*VNoxc8^btza2N$X5(RR1j_#fHmmJAWsP#9In6G^W@`Cbg4vP2}eG_nFQj~-vR#Uuj(EN;5hyC4pC&^1v z4VeO@3)@K5Sx=Tq>Lfu!iQqBKADu`Zr+kHQA9cAy@kTZ+05scUHagqBMsnUMnHLyO zDPby8!UHu!*s^FogH0QvO6&<;V8(1ZaC$NnFh%Y!+-zpv;^8DS-r-e-g zM=tkbDH)fHrnrDMr;w`UNgK@o0!V6-YOx zc#>`&9`5hnet)<{g&yR_^C~EarwQ@-7|a*VClrFc-r&qr+;H&#PeiawSpyCUe?gAT zVhJ_A+LTE~oVqHXlxxp9>E$uU}6f7r+X`HbcLp0ln$cwUY*h_aYB=h6+=+4 z_CJ7(K3s~eb@T$iuZAl$2|GGM4MS%2Jh00NxeiuJ9bOjNG3Sq!BL{%H(A)%fAjkvN z4jaM!u@bPfb$74xu1iYkWSdYCE=+M>6&Q-dD!c>G!lNa-$V=Gdi4}ZIayvfZNyJR2SPi=FS zt{-dmy4mrjD`r9?AL@}B#Uy?k#0H~|sKMk1MQKtx5+zbrD4TB*Y&S^H41x@cNUW`W z6SRaFluLs?$UC@7Y(}TKIfaq<<10uu_0v=9hJw;TH^{;zx}#(vCwc8gF^|kBDLEWv zxIw~7yI?o`3f&H@KR_Xy%jlkoy5cF1A|On{r!J}8b5)-d-qTB!uJB8XNS-Drmsg!c z`QF)>+=sivG=eb-a&MQ-_1<^1Wr6Y+D%#`E=l?oFMSC0hAlXiAiG zKgEx*RPPt%iQXn3^p&f8J`gB2+hp``r~(-DVJ6%} ziIJovoxYfdYF1-lQ~QZdgch?sfW2n=bZHvQ=|mqwwK1s_Mky6GS#^o!XzV7L!Qg_r zhPx&Fk@<66}ya2P-KtK5LK>oKrU=3zv6{Ea>@t7quECL*4Ot4== z%xyH02yjQ+u$S^I^Uip-37Sp0xwrsl$J@he8`Oz_aOlpd=oG$jmC5`YpXbOV6uzj{`mhE?ddJgzFTyL#XE}TG}B=IXrscv|*_7#Fa_%q(PrRYH%=Q z({$qGVy;bOA8SaX)zOp+h$+ZVw;{|{ypMqX_1y56mJf=yPa(-e!y3<=seK^QfQBIGV<$qppw4_&~ z?os5$XF>;lK)6=*ge``1&HUU--jp6L82hRA0zOZ{7B;&wR@v>^EZuH$-Pw3B8;R8D z0MYC?Sp5$>zI{&}uW&fW3Lj@51Bo!+QUx?2IUJGN`E(pvCU0G5%6 z;1%FD;CsvU?i%of%<9XBoCSA3E6)iA$J#OdGJvCx>tkAb<}sa4W{41pK&AaYaf>p9 zPkDUy!Cz5VGRsoC8+1g*<~lJOMdR%;?DQ#i76 zfr(}$NU(h|2~vnQkONi8@`Psm+J%vVfgMLB-W# zhYW|mvy!R))ySXE+B;M&{p}|4odF0R>{70`CQO-k(+cmQ7g1;dp?pmYHSC6}u@ihL z&6&&97A|ozhu}ll0D>?3=;bajA|D25{wq4@WOZ%>u0EL|O9uT;6fk-vSkBK?4P|NN zsCtd*%kx8BOMc(F7K` z+;bGXbh|eLK!m`lpz*TlK`=f+A!q~?(~{{hdMp=Sm1@qA?+h!9^|KN}yA4!dYQ=Pe z^^WVu(<2bw9^yi`o-FWu@XmS|wdbsx03NGGpV8Oe7l;nKZ0B=$H>{Ql!#ib}O?v??vSX{sx!k!Xiv%3o2EljiMn_-k2K$2e1e+8+&n;PcOB2Ojny|rCAl};SzB-w{Uu9$yYju)aPPfx$y9yt+0ZHty9jctmWf3781v*TA@30 zU4Dm8jqqBe*?F;UFNL=k#>CHwk7|8UQ#Iz#?(Y8`F1fphyPJ=!)b{J`yN56L-}asl z-hV*==pTc>{RR1~drV~m^P|d%J-pflnvd$xM4_5IoizyTDKIttW6q2EX2_beEeurE z9Efj#{IKGpH8@J&e^N;1uIlPErC8&KjPVKs687cI2%5AZky2Y$@FFJPSjCGj=ZNS% zVI9|!Euhx7B3CIp`rtJ)bXgND<~cU<5D>q?i@}dS{umP~phy(?6mo@-1t%>tq1fpg zZwxg@Qyp#}E)AIZ^8U;BuXj)iJPV>bB=Y>6(!AH@gV9IfGZRdZ9(uAD=3$Kh+f?(T z_Y^M1h(1c_M6@A9>*uxXDe1)n~t7+`~LRJ*N2`_n|h;iQ>$EQ4{x5Z&agZ+Sv3By858k^B~0xaaXv#n zR^i$dIYJ&#u@+~isKZG;6+i!E*}?erW&Cxmez_Ep#D>fxWE}FDy4Rh+OzwOxCmtB9&ozn69l>pt3uM z?;Lq%r$5W`xorbysyS+;&58z7;ItI$Kr!n|V?tr7>nH-j!%xry1c3l;QvOE-g8vQ# zLh=wHSC%cUQFuchM4{?+z_0q5>tnu86m`W6S)I&4LG;(S3gW`%bKTGI;ZyotqdAYZ zxj8(w9MZD@8*+DnuA+E?)5RM=F;rU&JPXGshIzF>+Bl6s3_FE83Ei#&e}W8o&6BLyl)o|YEfHlaR@ZSY7_9#XczrCTPbEO z7LnI~L=6nIXD-eKS*eymR_2={7D7B>8qLgDM^gJdwx>(}0y*hl1f(375FQp>=X%Hl z=cv8Ygs(D6VEmyt=~!T)S;botcj=i+hAHJ&@+cVG=osxUR0_+(6eIpLyk$8q55fV1 z3=x^bPEZ@XWc6}-v*a^!pKuQOE$C-;3B&~=49IMDtxOe^{&{j6O5^Lrt2OL;{jomx zTF_iFdMuY$N74DRdIq5(X@-}C-LWnAt0K$r{`TAVj}Pr%H4;VDYavOY&Mz06qu_z~h(}JQ|0qk`}DQxybtL zx(lR1oqPiXWJy_*!5FnY!@3?ZL#?1G!P{_46D}o;kmzV5XBiR}J07zly^dS9oZ*;R zjZ5pkfytoEq~n-`y0SU4nWiEPEf(cfNbz_I$+0vmGBYnb*-gL=TroJypFg{K_i*>? zZ4lSUWB=NIyZs1b{tm_U{f)@>zzN_0Wj8oHk$}PRJFRN-n|a~*gliTf$}eT%7o8Tl z4N|%J@>GB!mTR40!;maVYR|xRi6=?ExjU#|uIt$BhluHTfPR)SB-D)iYE(7j>C+-? z46a8GO681==!A7fKN3`m@zJ5=r3Tq;tZTu}QET$|EW;)!H$JYf;YzZ#Mu!Q)DhI5Q zpjDK9!!fI3jhpE!Nlt*zJV{Q_zi8=GXmt@5)F%2M7D3a1461)xcFSD|zxV&FLoh?_XTJRw_)2oT<~7#|}D9Kv@JOkM&EcD&Cm;A0HPGtPx6KKdZM<<+H%oL;i>yIXU1&n{MDFX*F$K>W ze>eXtZfszB)s>pKbY3uFKzxA%bb%I2`_3fmx2 z?#(4Mx4j?6LxhG?{=w&qRo$|@)s6SbG2YITnn(mhg+u9ka>}z+9ZY=nt`2pNMO1OJ>&`uhe z$!G{v-GOiY5Tt63ATKR~EYZOjc8j))97jZ8!%|vg7(oM2nY3h)`7J8wS@I!Q=AB8fh~xvYLN>fkw#lt=OX>)Kq_9_g6Dk|lJ5_g$590?sWa~S; zfdHO_elvfMy<*?6k*JwB8WohM!&jy_KF$vj<1 znzCX4EHO)#GPIuwF*o{+(4aaI7Ky7Z+ygW8*|kHn`AoORWW%ER9l;dVZ2IWL^Vr64 z|H$GSDOcb@L`cOj{`;Z<_#r)C$}tUVk{)0itE@reyYM!_!Z(W3YtihcNnK zsR|VbNm46eA|y4JA-8d~q`7pr?8C#5^r&hB3P952}F21XYj|Wu@ zL^_+6xd<#JH=6{bECZ3wCJrLa@k|@$;Q^Y)y{x9p{9M3>#+I zIQE7I0qxcmnWjI2YUkXn6N`W-svvUK5{rOZs2gwHD#ZEk(*p#)nU5HR&p5GX`oRdP zU#~J~ekwa)Nw!c@n~w(?I@`mSztnmeA{w-QgX;QhoHzInM43-d5e<7`zy1Ti=tce} zqxc2G!+-ok7Z=&2R1b&kX2T4obG$-ui3=O)Owebp%D1^}8$ILDFaSpu#S^+7iuMNb z=?+!Mr!q;&r{i+Bdcb(>-XUN7`UG;g?lJJdS;9$^04%b7WPq3xQVANH*e~wC&2Ruc zb7k)n$JD&Hl>L4L($hlR6Gr%4`HB4TV$kd(E#Ew%L#Ba2zXI?S5?<9h_(S2b*rFE} z%o8*QT%q_6y!^w1(;kmduRBPiPSg~uo+NoIiV=9nMlD)CU!@e4UQY=LNr%WB)fOLt zRCHU?p$e%~CMl`3zubKKbR!G`zoqzS!n-CwLQ23{@ydG1DWw>YS4vI2@Pz5b%Clv| z`cx+Cnz5&UL^8OBOH}4+wYoq|y#ShPonBuz(@7nAffed%PNI+{Y*E=!&pcT) zR~q#h31r^_yB*w-*=48Y?q}py>St(B;)xRF>~biv0O&T@RYoDvK>h+40$Bn?cNS-> zQ3K1O54Xlqgf$+^LXj!6r>E>cJ!Ot52(hkLg5dEtL_!17)72tx8ZdK#Uc<6n9FAdl zX8Ep&sX0X7cZOzEtq276PVTvEW-%LTGRIL3ag1PF*^yYuk$@10nMNw>!TQe;>Z{9J z4Ed(jCr}`}N#6;^qXk_eF%LRS)UhlFzoXj!fgxA7DIP)OA^7= zqouWZ$zg>HQ>!EUm6sG%%9lPZS-wK_Ad!pNaWDdBgiMGyEJhhaKR#qI-M)xUMwd6* zQp(Ny;;P=%RE1H(m|ayEO`$7%Li)W`m=zYR+)do+VTB^J4=vKE6oXVeXAr_5a6HyD zOsd}L%TDaj#VWw_ya;d)VS$s^>E;CKuT~ACK7oW4&8y6bh+Q||V^dr&jjMb($uPy8 z6X2Em8UrIPhHYnKj+4ukbkTY~?7FGbMU(JxsC1r%!1@F^GN)01y6+aL zsNyLKk~Iw2eL|R;5)V`hKVW?mL?`VXdU^vhb|gICH%N>!O(9ykynZ;})hNy@My($1KHc8G`}B41;n%M(zT-dqE`?|CH|i5U{EBeRyTSiNXC(}V z3(p7t5BOG?@||<=!DJFIJsM=YELBY#;?5`BFA&Dz`34Oo zqgG7f5nN(ELqE>$a^G$(PB+f7nNTYf=vb4BNv_%!-@m&sm2H%HhgH)|pu-krnroB^ zDbxbE6*vNNC5Gt65Of>L+$8CJ4E5!aLEq}y6j_%nrR;jMxJ*{0Gp1`1?Htr!Ale@b zM*dcjw!@WRrgp1+tptKB+1yn8Q*D+jsQSRBy&LrU@9h> zI|GGmF2`}tMC*XBJ=ug}BvP|6I?@AbMs9aVS`YrPgb~JZ{V4JDS~4P5$qTP2Z-|Gj zPg4FsL5#kZ(Qz{YXi3>>dqr*fG0xh|4lbW$t(c=W$0PiAJbL;@oFkS=PzKa_j1Vsa zeT*SiMs%4H8<}!i<6%Rp^t60YP`z}Y>*cCb1oplg#3Bn3IG0n5pHQ7+Z;aYtMI=xdaj6$_$yQTd8(ZjKfN zY%=vBCObT9ui-Rf?K~5;R`%JQ7x8J9=Dbk;PVWW20oD{!{tiQlgE8<##uBZd8{-A^ z{&+Zbh7W+vXqq_c-==Bek}HmM!+USQvUVAWO?3-Rw%J z(LYoh(hs?9haSRf@2_o9C&*znuK{r7hdgIziYVk9(lynD~T$SewC`L zJh`YLCM929WKPa$%rnU%dehKcs^A|8OZA_b5V`V0BS#dt-oY3lS}3>>gs)o&T^t*r zGx5qV&#zX}`g(Z<^BE`M^#W_K-B~nPSUWWxdY-N>fueR02uqARbm^D$I zB2N-V%sq;3UTgkZ+9Un*!Y4_R+R|F|bb#(vRX8J1^`6w$Y=rW5VXPX2TW)f_xpLjw&cIdaV!%E)In` zttL{;;Z48P7@Vk2MZX zW+>N*YI$_W1Cw$1bD}Ku&gpVHfGFs8#*aL0%O|lHdm`1T3rhhDt7ei&AY$e zzJD=5iaJY_>@_U=6}>zYcaQ@Axj2LxWo&@znDAx(dH1Xg=y2=NIAr(U|VQUlQ ze8pXa&j5M=QB2y}NEqIbI?i~UN5esB%D@?Q$>QP3h<|fAZl@YY2iiqMi8t#Xn(5(T zaqPtLtSrtU?&j0c_oaX%Jinv*5P1$3G);-tDmO z)ZAUXb!N$PLw#3v4X29}0|vkjQ+o=Fs~D*R>YUKnxO)7_Vq(KU_m!~<&DUn}Sr8*& zpN_|(H5^5sj>n=kGUf4rj!bzxpkrV3Xhj42rl;pvLy$^tmqXZ-yP#UN@x0_!2od#7;ckj)7keu2L6rM4(rblqNrtip1;{_D zYX-;_efq$U3Gu+8U@IUTN7~n34zTboftB|au7hidl_X;0c~}HmL=-t}AM}61Rwkpt z1eI+CVc*PB9QTl3Hcik#M6%a!@f%&jF>DL zcrMQcE~~vgRiR%~oFHKR>Le}do>K79_WA_vY1`%1Ce$sVWwBzTIam;4>^XPE`R*vy zl?g(@%=y%ZfHQ&$m6n0tt6%ry&>59`QEIKPg>kR1O~N)a!^~6${BE_+$qgxSB}Tfv zUR*jFBfqGJiqB)B8C1I2txpoAvOB0B3L#u+65Sr3tq`Cmq`j(>~7hyZ3Ya9VJ=r?a*PB@)ZMr_|Jp{`(3H;d?dQxgV`grI(ejSE8+FE z&y+jN9g%Jtr|?s7Mc~44fZ2Z4_tNY;LOBN)?FZkB8@-7Gu<#aug81d0Lc=V0!bU|_ zb_aB$Qnv_{M@Ao6V@lK3HObL|42&@(=S8XR2+_E(b=A?z?$zh%23lk`@tb;~$SqTz z4=+8pWh0PC76xjEcl~_0Ui+j-ZU?`*)eU_4{LE%_n)H40l}W} z;$<=z6_SGn>wNtyT0tb-b2z*@#c4~Ad5ZAo?IgQ7MxJ?caGd~< zWY1Z835*%oo$f`ycR3_?x2+cuB70geBlWgm`ErXN=gjKqID(OE#WXeLr|z&BveG19 zLc}WFWb7{lG+>A5l4vJBg2mhdSI7!M2odUYpmu~>-6Keb5juh;XH05M93hw6I=^F7 zo`=Nc^|0`}$Iz-{dYHm~s^~(%sD^KqU_FQQL&=j|BA@u=&+xP&pmc&03@;m0R=Hx{ zTj&aE;{C2q$wq0o8CBqV!`9=;a19p7i4p@pp&-lv#qiJ1j@IOpczZ`K;*P70^c{l$ z1ZYJb6zV>V6iv=vARcvi@)ADX=~(WrJ12UF)@?5Nu>hB)g$F$(qsbJmmsC|mGmP>S z24LaSg~OWzq=%a?A5N=~R3Zq?Ao-`_ro`%xMm|M^0b6P-Ccg%&oXKGs{>H+yMh4nu z6$cZR8j1)ugQ?cO@m%FpG>2B;R>zy+mpHpxOff+T*W5!wCW_+X9aeSi0B`|kGcBLi>}M7w!D_-}(f{QkoL_38gR ze=W7$TpCCTn&jwt6+0ej@Cs=Gjt7K!qcngR@cB8E7Ch^55Qp8NiVUnw;+v~%r%=;6 z7w!si_HS2}icq8eHQ46slkJJNHPo9rJ4NM>*x0q@Jslz&GZeDRPFenCVNfXw#6oOcO-p z-hKVvK746E-lFOnO2Xj3sw@d&K_0shG%gtQ8#-N?rudpv_^KX$a?bDvggMC%JeX(E zb$fmOa2l=rFN^Gz%rw323MwV1`kA-V!U1VA6I)^gOaE>5^X zbTTrbdJXFEnl9B1wNaT$;%u2VH3{kz-wIr3aSUYm7EX^05l%_3RRi5r8ll!b3;X}f zP_Ho9a>Y zv2;>uqxA{WzI5NSqH!}E4$*dTkgCXzlGzhzqonYx-JO802}F@fMTh7=j#bnT9G^g% zbW9@T`5%ES0`tWKSuk?931Q~Zv-ZQsn_s?(=AGm`cUy+TK87pAuBSXC`IIcY5yxoc zrf`N%h+&t^Zo*|p8?`J1!(#`HOSG3R$vy)53%rOtb>OsgzJ}Qj;sWs_Rz?nYiv#8`2MT%mzy+ zg&nS3UlQ((5t2)XJ|JOZYJ-(+sZP^Pp`o{%GmDaW7SdP_i|ctFprLeqgtgeo^8u;gh47etC?JwUY)Wl#vCE2yBZ$j7L=#hw#^>6!dm zz6$0jV*&oq4&G(%8cN5>NwLag>o>eD`>PumIw_PUrskRcs}`LV91CBY%#fAR7a7xf z6Z?1OJ$d<}NO*t7O1pI(!@M9>6+_RMOJj#|X8d*>bDJ}1JPu95cslU^P{^B)lt5V6 z(GAB3ij@{$(Ta1k$zV24WXu1V$*Yxgdp{#fMjICD0n-SN7pFVeFG--HJE%)RY9L^T zW!R+%NV}5m{q$PRIN2%7bDp(zt+)xG?N|Qr^R5x@%I}JuP{c`UHI+o-m~y zGq;T^hhr9PGI;rU%*b#POn{c$fj$rSVegQ?Ky8A&1IZSZ!?!y$G&n&kpC1yGs`WS! z<12`1Rq?YXLTFf%2J*zzw8M+i+}J0SrNCapB7kz%GvE(e8J6cHDVq)cy7ZRe6ZVK~uPTr0O3eI+FrSeYE zTo9+xidpDfg}QD7r#v>Tqk&G*hy$OKeYaBL`hyV|YVVa1-J$l~uMcm(e+V*jG2D0P zK6DPRW0qXYWo0^@lE-3eZXBOqUL%L5dbvYk{u!gMSt`P)L!r#H@!xLmSz1F$-`R}+ z&h}m3l|@KfZqQTs#!YRiCZaYhMxn{+M@by{H^Mm z(KA_@E$^$32Fni(qGg&+Kp#~cxa$<82=b>&OunsKM zPud!0@T=tzwh~4-u z-StUgo`}xJvUMBG?`YMm-twg79v@W&wB3tbV#N zCXxqEENx!o;QP;eMG3h28h+Q04Z!xA{p}0_-gdj!SHZeFRlY z)Z(-1yhLum(!pcdrc{Gu%y$a@|Hr2b0dPH7J2hs0$F++spa4fEut+(@@IcZak=w$0 zAg7(Bn#xjjfn0js$+28uxX)qYio|lFK$lY4UU(5&cDn*IdI(}dl{_iFuEG%VQbM;K z+jk}c)2w&*YaX%a{dn{W^|-TDqFTPtT*54c4#n`(h*IA)f4jcP@{VMy&QIwJWT&pL z_qV^IUDw0y{r&f^4=56I^KhF#S-@-tZ+3%{@CYjtGR7@Tstuc^U*^;WHaYIZf1TgC zj-y2gjMJ&R4u_4tUs^W=$`YR=(ZdYwcpiziZDAw~h?L)`sl*pxX$i_F>158%~;crA_=C` z=M2^4^T*t!I^)UoNJJf?>Z!1v^#*nxa%2$7!LNZ&RK_ZNqBe_50s`SR*P(a{ldeXHv+)B8h3Yh1JPwB{l5Sb7^rjZmq_neOzcgUPdTtnUb4MmaF>Q zoT@Lgpma)Jvl!9o(by?$7Mv1Oj)slLmT{(~b-MH9$dU=o z5NW=1OL6vyYylY#WM`yu z9J_P$Feb?-QUu&IF#w`WO>|96kWJi0wkn4%NHF^kzNUv?NNf$wQ%95T8dalFtb_ z1Je0$`-#O~-u<<``T7=}h`&91xWD=Q?ZfT;?R%8yM2H7WO;2a$YK0@NXTbHB%CLN? z6CchWjIW_^!k#H%|^};?C&I6;{fAqn!I2*D~{?!eRy>^m2gjS$RN;8 z86#eD)wP%cU0$Q$QT82BiHfl=@f)(U#5e z9j(sPJ%y<{JOGxU4QTr(0kN2znsGagMGD1SaU3;BoW18KxHwnX%7Q;N{?xBRd?9JNR4p{zK)Oc;vl{Fu)S}q;%i-!G#!G<74Ld$|9unfSA~0|66yKT3G^$l5;B5 zUSy0AL~$pu?=i5z{1$zPM%om~i3#5n;=V&%BfMJDW8;n|zb73!6M*so9=%_xXiW>cPFlf))o znEA?z!s%n7Y$RKHXA22j}}*of#nn{0kLq#rPn zFz;;ez+5va4G#l2SJmbqP|rCv%^9AN#2!LfTCGSi835HKFTxl){tJpodaYyA-_iECtMQQufV>j(&~_MhtTBs2Y4?c^h%Oma+x3;T&edGnMsB0at7Qm_g}vBa zPIOVtXEg1&5a=?RPJ{a(3*AhE%g9Lv(PqjqQJXGhdm#jkr8|1n3Ut01bMouw@E|ALvRT@8SxT=fJXQiaawCD8LD# z^Cg}xHttTCS>F_8YEIK-izY_xr--^K(>cIbxq!CUDM|cjhz2m}6N!YQ*Gf~?YEM*5 z(I+zJGIwwZ_JXKWyIQLK**oOBSeYPum<1w>C%0!7`Kmz()gT9ZT)EC=|Egk8zD6Xt zAu?gglXE2u&{ZBO_$Y%tdLTGB3Q5+LIt=*})F;rNg<~>)LqKfLdBNc7u@i^!H4l>$ zM-4fS;KifE{*=9wbj76d)-+b$s?^;I=Elk9r_cBU^}s~Kb}8uVYE7&XLhG5KbXH$J z)I>(gUjLZCR(%E+U(`+QkpRty_1YZQq47^*dGxB^tNRIA8`C#Z7Z4)$G1L-^Bfeg2 z&?6fvm^pw@9umf7cU*2OE-EwAoU4#hHT6oo9eVbPb0R4g2n-!voww%~=#hPKz4!U% z)9s6|_g@~qJRk7)f&4!3arILNE_d+bLH@rrD*_w_GBcT*o3pY=62A&U);BzOfl&!; z^S*t1_wY@XoEM*NzWtSnB){H#{C@kK&B^47xCn*mh$}lWNT30lJ`OYGar(fys&Bq` zqQ}zLCy+W?K;POED0;w;qQ$yeAt4;a$10W`>#fCQBh*4xrY3WzeEpfBXw9A|#paHspx0r22 zhSwbz@}(&P3=q?Y;G2*-yqhBXm7ZFvJg)FilS!0`yzz%T|B8uaucQ>D@?A@P?+n@r zQWzst$(OG)ue$?Ia8*ep(^aTk=I5w3tRLillJP*kobJMgMz0N$iUjiqDq$1%iz7|K z*_KrtVJjvC?XLbMRXLiH@;=rXNt1DOrBX1thZSTAvjP1$Pf768f%R_BP#fbBlFNqZ{`LvhYZ%bUig&=|s`2rr=89HeAQ@7@JnhUm!s8D;nk{#0`p3 zw(o*OD?JZMO5tFNY!j0`_it1t#7FuG_CM{JDDT!?Fm!ji=_ z`5w|va-+*Nd=VDxfV`{0KpE_Kdvkw(cYD7FrS03N!LMIF-aOoWyxsf59|r&Y&;LC5 z!>8L%U+(|@2ME1SZ|`GsjGEAcR&${cl701qRlH-sr&zKJbZY`Nkxf@+PXUFI(eBCN z8|jP#(G#P$;_OiTT5CVJ<>rj@owVv~aLQqy)Tt{Q64)$05dC!%tR(IB&6`WuVfos@ zDg=U-N!`R^nNW4#DJ({dsr&cjZY@7mJ)@Be`<7jdfBy=&Y*m8336-N zdW|!^?)U0`N^U0fak(68K@WBzfZb!RTmrg+JRj|cQrAG57@Rq~SV;7AEO%R2*Hm48 zrp#!uBZMFzru$4f*47!LXb#e)EgrFwZl=K186RSnOsM*Vi%i2Sf=#&Y+Q>M!%Di9E;?kVV_$#F^~XWPZ&UUM=|iAwi2H=Au))eRG?qhbPet-WR1oURV2+~cA-W-% z&1d4Pt1-)=1ddbh9F~YIm>G|ekE>(|5jc7Mr~*I)huj1(C0}&{7K*<1{|f8K6-Y?4vWD#Loc*`AE*P76g3qCbLHqpa1_y z^3k6WdH;2yOco=$_$Sy=)n^W%qaPr&1ozeUG56K>@fxN0@S~1vjKlr)-0IOt{CfD? zgiiXa(*&b1>BFz0XYo_TWG6tcre_(;p+Qiz<*URPC5~njY*7Ft;teuCHqz6L<{3FD zlhfSW(amb3t_dHAPkjHvy2yqG#o5XD93#FQD&=t40O%{lY$ELq052yPEV!)q z@X~6AVm2Lb`QsEmQFm1uY1yla+$&}Zv1I0;@^AW(@Ec3JKt&Ld`ot`KZgc+BTZ!V# z`_aw!|JR`ll9h9|XhrIb^;3M-ozFfLglO=qV)|SWPn-(i(TNUNj*WOguE8 zEib%Y4&-Fr`Je(hl!!^e;k%a#2AF^$$z^Xuk<- z)u$fqQ`fsXM=v#O75Zer>u|}g5$Kn?qFyQoDuQH@zbL6!ZX2sLFC>0_Un1J>Faa`^+R@alm*zQ(LdaoeJzIumg$8&R4x>H?j&EMR8<(3 zBIKbPB4U!8xk%`mHY?H?+2uSda+2Jm&+%iB%WT+Q_V3?zcfiCcIBolCea-8G@$kx% z;>J-?JzB3s(bV&~+x!gU2G1E|w0O)hr9QYIa8k_>oVCxs;%GBcRjVheCR4^wPoeUH z14jSo>Eh%p)2)vd3Jy2P0sdCh^QDU^AJm0uQ8xnX@^Jt6_U7Hcf4{rG-Qxw8T)Dqx zmyg?ro*Ck`TD*R#6pM<6s0#r1AWAx$C?a%ELR2K_>i_^E6$=575${-hR<>fEunv|9 z?y1j>3<+nneavGtVO2QEENy1Nx<+OxQu_HkdRhVa38bD~tq^!VPVS-E_1(8eF$9V7 z)J9}kz=XLK-ErYbwl<>C$REL0^T z0P?6$2>fl(FsX!OV@fP30}2M*XlSLB7UShrziA`Ilt3JuMLG__r<$3n{2aZxph%e? zLO=q>X%s)9r5vFYgfdz1d6+9d5E!9Or>j@mkP|(%wkODL1KlOnlSoISW{fJ5F_SB1 zWdIlJ@-DgPd)Xb5ee}l=z`4W-plVbu`fa*Ha+}~6=2>@tOyU;?*QY{F--`-6kpg~I z_so`zp+YIk7xxV?uV_Dn%c#dGNRuw}O&#w_q2eyalTvdr4`=Rq3@5BUTsdkz6S*Al zPjRPE4}XM$_$XE-jO!WnDi(WdHKt&YugbHs(3QC+h4x8Xn*YLoA$fmxdFvI$cnT`B zWNXB6po#EdXJ`p(Zd2ylI)alcEJSCdvIgBb`ucnx)}D1r5U+1~F0y#A&6<;5a2%L8 z&JAb!(2Tw%&X|66)J!aP8x9lhnz*bQbMQNJsyqag1*Wojpcz?zg&dRw)`^Fu^Z4^5pb^_^ z!aDHA&}>2G=nZ^=gHg4dy*4yG1IhYIP))1eb{TZ$1-L+&kRUjU5nUgiX_F@1d&wbN z>{yuq5l-5euD8bsrvzr86XL|*d}O*9@)|w_GeqS%>YQ(YpB3Dm*#QRbcOKoHCnp(? zc6f5p9-}T-2xbU-t5*AsBl>RG%d8SkRs*N%#*lC=18~mt(LCa1i?Zvh_37b7vX<5H zK&;(E^uC!dt9(EACu5CuRN3WqK6tEHpz zx;$*|KA^UvxO-3P!gQx#ZDJWy!L(vP^!FeNgDgV}WDV&mu{3Cl6Iq>_ZmDAA`e4bT zBcPf0MoQ++1UVy&_Zdu{;8Nj1ni+DkEX_`1>xj5 zyG)Ule6Vt&wZpt(ZED$Nfdmm#agMfG#$~CY;dC7T|z7w|G*hLoYNn8bo2thuZF8Je;@oKh+lVLzkyFUI4Nj(^{OmlmJw6z zoM+LDq|D9;aefF|cfh6>bB>dJK)H>58^iI54wPKggF_RXgsT6L-iHa2N2gPwBNmNH zmmCj8MZ`(2r)+z;IKDX5WLDVku4#C})*=U!&P9F3<|%|Fh#Wktb0`A~x%Fl(Z78)k zN$6U5xPuF_9Gx=$JM1Y+q}Tlrbw4FvLza`rPeSztW!*i4e%tQn!);TXNjQz1pAJ+` zVP^{O=+K#!W-eM1m$V5l8%1AlPIhZ)`uyV8n~%UJ|N7-o3=a8u_6J>#$6NQaicC$Tktw_J51gTwv8;Rad|LKF69~UMR3ZJ!Bqe?>r}83^ zqV(K%5@*DnPbD18vzRXrNB2wmu8JY2$IoAu#|ib~feUJ3|FWWka3Po~NS}rGA7O@z zqva7z0mvHCo+lkyTtZitVK=Si30((WifA#yzDAcK-H);RD<#wvwk1}m8Lgy6bl3Im z{$1ZO{A6~l6zvA?SpFw*LatRSkt$*8p0mKX{hO47s)aMv@g{=$$kQw;-K!vc%&&o<>!l}<{{2fLkZAPJXn0~R6%S5~Vz$QSr!?`rI zLFk@|zAH44c-+N2j8%!=by*GA$~ zcgE$8!n@lei07-#8a(mO$x#x^V{3u-j9C*ZjeajYMpt0;X{1M)8A<{NX9gmLFh!3} z07b{QZO;N2eX#e3zkRp^2HjO!Pu|u}3PB3ihb#2nGm$T<27s1PvQ({L`rDdwV~Yv0 zME$}Y!C+Dd}CK4+x zV?|99poNES4IHhU6F~l0cJ^|&IXpQ!a;~5JNv_XZsK=R}5+qu}YZ8^G>S%>(>hqLn zB1Q2zb6%RDz54s_>v;13{hTg8+{R)K=Jm=myd&XiGSs6 zGafcltTht81^!*X_hN0UuCJ>-ArrOB3E-h)$V}l-gBwdMs zT@1&ecsCrz>}sT?Q>{S38+1ZGy8NM;ZmMnyyPs6_;P-TK5$*!j)vnJGR+t!QX%{zE zH6RuQXS+$5xf~hb58;qt?fwwJDh`#;(3Kz;7N!8(1zlrAD&c36Zjui~0oVDQJTe?! zS)Ez)dFfG^i1SU@xTBx)s2U&S5$%mTk^)LYc1NAY=Xatu9sUSV<7p52;GC&3W_7xQC{C}L=q zW+N#=81>PB5Y}oB7W)?clZSMT+CDp>Ty)z6*Q2F8a_5$Z_fbI{XOZV3*8Eb$$(u6a z+%7v+Rz4$C$?J-f^V5zqjPEcGo?2kX#waxoP$(#e+n9@09r6e1Q*N?&HB~uw%)mcb z?%pB4XE{OM%){#F#83;X&pg_m`GA_)%%vQ~6zbMwh4j=N9Ia2O*CLOrWopy{ zSZvUA;$*vMcgI&}u#@bBd5{|Zw6Poj@}4DwpKGufIX5(zr+NIyZGen75O$yf2AqNK zsQn04qrf5otnHe%GB`vp&>BlB$n^~PqSuCH*)Z0<;#ypGVEU8UsSYiMbFE{&Mdm)xkH-*ao0B*2fE@MLnj$%vql(&4poJI_ zL(GNJ$IaMK92p(v)@KWAGq?YY&HLbd%Zh#M{gc%Dc&-IM3bqB7>^Mc&Usrfb>iEq* zl#BhLA`XB<5b^DTBoW_26Xm!v>%e>!#=uUw=R1HxjZT+C>HMi(8=V^HMhLwlnpwwn z>=}Qvr!#w+85G50KWXJ7J`$KjeYnCOdL}AsNSTLHt6?OwT6K@i()E&pIg-i(r-gGo zXeboqsx6wH-^KJON7;XZqpXCZkojBu1ZEiciYa^+QR)oK*^#m(&S07`nu9}RX+2j1 zDPZTieu@oJ#*Dl0_~e3``Zw4Boz2|=T@uJ(_wjGQNTK)zy|En94{#w;y|mH;QkZQg zw|d0f>Kf|+%dt0D5V57ZI1X;@-5XSgG1Vq^)qxWRnz?cdbO%Y3dIn>w=#d!9unRJe z3T-FN{0L`A=z@v@XkfD&jABdQP+QPfitH2R+)aPUBZF>%^o;5vf>CZ4XghUAOPieX z4SjZ(Kg_R=Ujfhyhx`g5UlghVg3GAdfRGAxNlFCBOM-Z=Pfq}SC1m|<4aRynV4PUJ z?L+jYjlUyK+}VW|r&c`>lRPkLkwt_S))#zq1kzy+{q5af-l{hiJe(*@7P-x>8VyRs zQK9kp+AwEMP7!^lkZAn95!ti4k$C456t94TcnJsu3a3~#C$19yrw2k^5#?0-B41uX zW9su{O_}JKBsZ|TE?V>WwP&$76PZ)Xs-6sZ?`Ug8p^d7@y+d+f z@Qn2d&}j99csYRU%@V)DCA3|z(Yb~y>Vtk~dHZ6DEOhEbL_ZHy>p&MiIiBOB2#U!v z3&b8W{VnkJAn`hrbbS+T3B@}Qdz4CG5^z)z2$v8ZJi6an@lQmFAZ-XiI80rf2Dk>m$Sq=#^IV$M9ui%KIs zBngYCcgP8+K7k}j-6LSz#3hgrMR%|~Y@(yO34OGp37zT!*aSE=)fva!grEgJHc1vZ z&%S$fI!{0btPfwhz1oT}!VEaQm0)C#@y{BvR3#jdaxup|`KdaRm^56j_q z8^u9hqOM#CA_g1Wq&@{-!C+VaO_b4pX;M4iWmFAeq>fw z#0&s&;D`_Xh}QG=lOM!o`~;E*Qmv zwFkQ-+}wQr{DlqA_Oelg(WrSAS>-LEn1?w9_E?q_J5){NP0hI;g?BpOo@yW&udC;31)2ib-4)4TjZJhDS=KD*XOYl_8quh+7s8 zJ+sV_Ncv6~=@-NhstdpcUe&X^s4Oy+PJV&wvZb%CM1pu--N6>Ij7uOm;8} zzy)_uJqvYGio-^AWIwY_;d^(Lq#P*Kl44vbPW1dDE{b5Ws08&fe_iSX_n&TB zSYGY>+i&mg@4h~Kx$h1BndotsDOmxX*J>3tLvc?i+N{+dh;TX}>1lhC6UAud1gOO)>gZY_mGsD`#O5Gs&~;%++_4Gs`33(_jfT!Y%#FMLRHW(VV| z$X`igs!Wi(a%Gi8Bw=xRzAg-T*`DrB&emLaoOon#PzT12wQ)=3MR<;eoB z0a(VyybVfGnIKzh%025O!&*FBpIvNz_KHn&oY1|;iZ)Hyix6k{k*ZCT`Q?*y=1<>d z{yT2d%$~jv<~;p8p52?6B(9*W8O_5!T1N`dzum_|FJ!Mf?AmHC4Ek@1L_!rEn7(Uvc z2XTntAyY3iy3VcMAd_mwNJKapyVd$6NY>(dMXZ)H_~J=a-&9Cfyoci>dd(^P#Z4PT zKRN`RyS|aBAy5aQTpymi^m9tZJyEy4wF(TflS5eJy+iVOifm7wfOkW5b%D9YW!nN7 z@*nDDg@Y|TsdQd4m5Djntp6#^b~=PP-P7(lXMyJtj@14&Mwy%Zo5Z% z=_+NeTs+;AlPx)&M5FQgMB;>S?vOXJVMK+<(iSxd^;e@Z<#iIw8pFwE z&L@lcoA7~24maO1a8rryUX1x6>zl#1bU%1n+-K*-d%%<}xT1EYrN5DXBE-sBatm3f zP@=NMZv;b$lvP8P4*;MBBy3OzqU&~QS#u~?usGSE==JOMYRBLO?hTI;{ji1R$N_C% zmAo00hsz6aFcf*#Wq@G`vGPxQoruYV5ffS68A63K=vP)?F6(Ly$-aIKG~m?^#Zipi z(TSgaW&Eag`Kp1FDcRIV=jpn+%Yn&Mwso+%lY7)B=!XmOfsA7bQUdNT4KJEu?0E6w z!;4>D{N=^ni+`*1z8L)Rk00>gFZk~-`0oz?{afLeU;^It0={pjY9#=>>{Qkr6yh*@ zwV8JyL^N2NxdSXR|0OOvl7hQW$G7}LQ7K@N-33zodWZaxIuqoHd{u+EGnY@ke~--4 z4M-EY5XPQ z!C})jZ+fw_=^Uk5^L6EcT`)lea{Q}nop`*(Cix#Frtp8s#`2^NrF)Kd9fTmWGQd7- z0W=uwRWJK7=nl#$@aMEj43(##@yL^3?w%WW^s%X}P@5%RA}8k3jTD$D_+1mQV*MgS zYC3j=GXOd?cyrEJ(s7?>Xc(K&<)qeR?)|f};HM{Ni7Nn#JZ92es7}`8uTFgpu9EIa zQk247E5(ta8^)WrT`q z>S%5?K5JDZ3+%vofyw(0Q*y$IHDeQ{76cWqSGC!E24B>eraZAbXL{-v3|CUWz@2Oe zzC=uz@#^ea&Z^U)9P-7684OE@H*wiY8RmnP*Qrj^cj5ts&+ibvT!lI-Y9Fz|RM?C% zxHAGqA>Y0j$lnAx_xZSllvXhMP&&I%Yh0_ zccyTy)HiP;Um6l}zpa2w=dyE0ZfQQ~>uwSFGzZ1q*YzZ|H%erJllKI!3zMVtF}(piBV6MiwN{B)OE(S1{N&ywRA?jbE< zQgcgpJ*$lu+Q!he`InntZ&6z8^Di{ZpS6E`|4q(Si2Tb5!W#{W@{&}p(`IDoY@3*e z;dw03o;=Ybv-GvFo*lk~?}u|evZliU4j*a8N!L>MnMC9)e<8;e$u($F7`SD6 zt)Q7?NP*^M2elC@ebZ3tUUw?4jS3z6eg@F6gLs9h&Yq#(EXz8y-#;_jeES}WnYQ&() zYOnfip!twhCZedK^LWfdIN}2Me{?oVh%2e#x7$ehOLM(FI!8&6o)qAXP_+j{XQeYj z*}?2RN1-BmX6)m#8~cTQx7;==BL23y{Uylx{o#?-HE%DM!-D}zd?xE7o5cfHuo8#^ zzKl4!xrR%Wli$jm;=EO6=!`4 z+##n*a-k%^TtOx(DL`=NFK-{#pKow%fj!5%cdjL|NzIc>Zc4I>$qIncSos(8+K(o= zB9Hg>k@4>c|BMm-asAD6!jCVmHZ6+OM|-(N#v}rd3aH(C|K&Sg<@xBx(P%o{AJ3u5$@R|t)rv@CPA_83tau-CR zWiMbplFJ(#Qoihou=pt$VLTz>a}KB+W+yD#Cgt^FSBhb7%&G6p;Tr7bOtvK@6RA5V zC9ngPM4}%m(VI8fv|+$6kszvigsjQ3*&j?Yr9~u0Y^vydrCj%9hVmD;f0cjXF;QRy z&LF7x`h}332r;G*e!>I!Kc)u1QonsOhs$bT0x+6>7y|fwXf<{$Q^bK}SoyOSr9F|3 z5aG3B&wyxVZtzJ)DT<|_&4N}dQC~~^!<~0p1QYrc9A?PxKrw8tdHVj9fP{D}I{}Fq z0}}kC#z?sOi=Pbcx?e##_?G6+x2b(_N~O;{upGFH*CNrC#K`UV_i zQn8T-MOo3|MYp|0K3aL-LfU0(n&tBu#Wo^z0LIL%udO`ATv0}6q-Sm(p+iEMwam*kHZ14t~gqa#$z zF;1jXt@#msVMhn^$!s!4zXl}e-Tp9{9)&k|wFZLADi`s=yoP-6qT?WD zQ36DtyFr2_#Us-foQAHDl(>PBk?4c9VSNG^gLm)W-+msn?ajl({oUK|54UYgUGUet zZ+CC+KHfe29e@8pXZ_(hPLx6iHD^<6VgUxE7taO1=h(t5XE!5;E0)`dgHWp<<*18vmWwuxJIa+(2>&=dW#W^Y2Q3NEE z*a9$L6csmgL0n;B9;!cQ8zJtcunekFq6!0I@_|WKM=NZjK2I5C+n!w_k(^%*8Iz*I zhf?za3n6s?1AQl~O-nQ-Oeq!vHSJ6a0?;I>P7-3k@vsdvA{&ky^4ONqx0h!u|EVcQ zB$e~U*%~S#3CJHzVu~6$F~Hzx21XGwg7X&KECJvj*g37o&sVi2j;l zTU3nZ{J?f&eEK1@bJPv27h1tekF zo?l)kc!XlWDYx=DdF51B7pCt1L^;*bJ5IxQE)CXY?F=CxJvagn!6{IOHe{)qCD3`%TLY{I^wQPY z7Jb=XuQyw%vIuEbUWAl8Iaj9&UR zrb1s~#CrAIHl@-K$CdY5`7{(zVih(1NX~my>BYHZ6YPh4e(@2`()RmT%FY+!QsZxQ zr0wnD&mRWO^XF(U`v1niRLM_UIKht;C|aE$3<9YWL?PfHENKSNgi!7Q345FoHZi+8 zZJeb51szK&_3|hi_ZLo}S)%az%NNXqhsZgjcT4qiE$!n|P+Cr=9wgdaFjSztazp4{ zQ6d*BM$kJU3=<;^p0LKYn({F%5v2MV9wM6H4#Ld1MKY{ZKu-t#qkME!UEdyGXk{S_ zDJ+Ls2F3os3L#~c8DJGBrLNG;Rq8d+R;HkXkmLeppAb4wtT7c&*EWddP?sdSPzDDU zZ3N{*4NMN4uL-vGG!{Ne5wQ50R)(d3AQ z`@{zEb}%}k#OYcdsDWn}sK>01etI$2?y?U=Q$ZC0t#hjpgHi)c$DDd|HNs{H1+(sx zG6qyPbrFo(($Wxay9b!r&8Lrd-yTrb#($Nf%?O5%+CRamcDOnYRAxn_!a!xAJ5!X_ zYBY{7#MkZ_zzxEN8X_<9C(I`eLdR_r%n>lz0nsHEA^mA;Us-7_=s(4PuaYCd!mz9m zPktJ;hQ@FSC|LEf->EVvjv(Xzmk57t5k+7*@6}d#AX-m=a(QL}ml7+m>v{JXRm2;3 z<>TZ2Y`wGOZK-<+nF>pVJ{x3IwA2u05JWO;Et+U-WgDc8WJYHx7=*y`0u0#tb$_tV zs5}r9vu@QzO6bcU#tri7-8OGJHh|dXp~Pes!E2fj!OLWeDk9+=j2{g-s_K{mPoePk z86pQy$6uNQ#$V_P5+R!8MAx^$8mf`j@Wjw#cE_loZ4LjznXC_2PG-+UJ)eZk(ei_< z%zofH?0b~+W_j5i4ktM8#Jg)TT5HOr60_`WR4BE&yuRmzK2LaX`W*fi<#HB=^RBx@ zHKG8)6zWxLQUT8N<Y|JI7+(4BLF; zH&QB&AF^DNOY2g&xY}K`e?Gkw){1nLc&enhc65?exLY%nK849$C3GaHtH-eh=@g|o za7d+V7~uQ`PV>d`0=WU)M6Cn(_U-{#j$d!@zunFzis9Vb`;Npzfb$>N2_^iV%p8xB z>-wR8`Io_X1gqmeVS@a=k4SKzpLza_%wEWWzetOmi&GSnv9?HP=gvBH(+LdYv8*La z4~CkGP-D$m9Yq9cDl$&t!TD(xoM+)t(}t&Xz+V}MFN#5pM_1tQSi%4p>NMf%4(hst zLK~^rz`g>KUNT~KiDi?Zpu_Pc5zc$mn4w}|$!wuqoX~|))5E_1t!d$1% z2Uu!6nkJ7PEw`xdy!Y*SbZY(O=G|Y#pgKpembL+YiemCiNI5~| zN5Bf`Hz9pRR!rhc%7-d5 z`>nn;_aYW@*VD|~Nq3=GZuN4r+?L0Hek_SMId($q8Tlvivgs;zrxXvKhT}Azfl^D` z;K$el8 z6PMh?q1r>&gV8<_X+yxPQe{F9KiP%z&6@ro_bR2A{Qy8+uwJmz@6y34yh}cyABe8w z{SL&<{3rVn<<=Jn*+&&u7uX|Bq&V6}4|;=P5gVv~&K!6AjtqBD1gKjyAM@V|;f$Z1 zt|3C9g~3D)uUA(07D9qh9IfMkt+RNw2`kZvD0WHKL2_EU3H^~RQ^L_~88tD)y6d7jQpd0<6! zo}Ro~12+mF4wf3=c+7=Nzsx+f7W||<%WA4{vms;IWjlyk@Jt7Fmc!*Tk=B=hl`hoD zi=2|n<&tp}-$Ti+W<65)yz##@69(`tddsY0v4=yGYq_s1ogQ6f7FCb=rYCqG!8&s* zI?5S?W}4ww9da_MepZPv4_hVq-L5ZmG-V_KO`9RBkMp*cydb*bq*N9ITDH)VVXV9g zayV79r*w3q1lTLH&_QJ=L4ty?LD82)Kl7L;!kJt~HjfmMIMgF|h(fSo>=fiXM-gs8 ztO{!U-Ek*3zGCk9ZToQ;==hXm60PBZm4Emau6yj9?{rl zo5+eUWW^TvAp1R$1{$H13cg=cmPn9s|FDXg*I|G z)FhWXqW*dLJa;sU0Lp@@@Q4si92u58DxW50!3mn0Ly{|PFGxQ}_)JKm#{PzO)BBG5 zf|D_SKz^ccyAWN1_mFGea7YxYH{meh91M zN+*o$p#}m&diH}`1f3zm1^TnYpA4@uH=GpbK8!;;HzYN_?U-k;Sd z;Hf^pfOFpa*Hwo6j{ZnRTMNLt3u!u0#3triOS5^ z^77h(eS^}CcMl)#Za=y!UGx-@54M<1(ih^M7ADM&orTmZ93r8awH}#Q2^!$rF4`lap}7?!$Lzq2(0b(X zXKXLvgdyBOaSR#>I!4IeTE)3s6xxN*oHU!%_c+j%8sUhQ>)pd@rlxvY9S& za*V6nyj*W8Z6s#u7Qu8%{DN}{9r=a^!!RsYXQZ-P-8s5gArw>(3@ zd>ePA;1DL2g>S*6sM1*3r`N7GWY_dSrBbI??2LX#QIZh^52-})JgW@p{Yc$)hc|4R8RdB5pc$$`F;CUm-S^$R(nkUgE8og8|~+LlWj6KK@~VpR)go zsau`ExdlvJp+V<^N)38Ita*rZmW}R(nBg*BNm|#{YK(jG-yzE{@)yv6oK1z|h=kN% zHS~?Pd$1x*%m&~W^yFHs=>KEvO_<|2k~C5NlzQFv#=sHPTUiH=on3oYs45VUaH$F) zsUCZl29hAfX%gT7U`yKTx9|7)5m!c5QQaiKjL6K$2oDcGK6f8^045=X*Lw!0(klU#O_39)(u$Ow_>Q0c||R>5$@sp=tv4dw+NSPEf^Oyu3Fg!kOJ{t?VxS-x6_lW zD{ugu88GR!ZII2xBG*TkIx0{n!ZOC+Tb+jZE9A(0cxs;>ZtfmG+&;AbasSq6Trs}P zks&&RvWao~3~RJLR+*V{qMbL<%JePs0D0;?D#%(*GvVTiWZLZ)8M?mOwRVt+h@q9O z47HtGnY*5k?&$Z4g#rnV&=U(yI4&QFFrQ0c(k~X*n0qW@0NZ6L0GXlsM!mYDxzDfyP4_68P-`FBBVm+~s}Afq@w&s8Sd!O2RR^@2;$W`Y zt;qnx=SeXX*^tnx1-jA-dTw=bbuqtCCu<1lq938Ado&Z;aZGGI=!CKfc%(;cJqTi} zOT&WLYcJP-Z)14CF8Fr#xykr}PM7gd#lHb%V(-|=9P%$ktd*pXa&e)%huPhS?5eThlN z+-u2&pzMVFl$A~!^qyL8(mwRX^pHN1%x6b%s;5baoc);2U9p))nKa=@h=(Navg5JaHl@UTMvD=tw1G+8<@5~x43g#_9I zMfZ9|NDy3C!i6Lg6ftMVGs5QrS0jhY+Ki)#{c+mLR5`TR3aCp!CyEL>`-oh9cM|pH z^s29L_YRqbAbPcA?p7-fOL}*U@;AQ&>C`>}+E1o_*nmw&@sjQvpnk;nhmTDt!v#<{ z*pSavKnaXxs9yHb)f#1dDTE8_!tesrvZNFfH4+65U5S8U^VLUMqy?9(6rvxvZMUhy zV=J9FOcid#x&g_}YW-+7TGxq=)~`<%z;{tIQhRBw#pi?XDcfZ}kOb=X;Q=-YfnOop zlU{%{7!sFY^;z{V8hv84lV&!t4nDtBb?LE320~3q@4O$&4r!I!L)fXBu9?sREON3&7A)lFK48xUq1;VPwxStX z9Fz$4qI#Kkx&vuUHVQ-}=vgcin11naGDrfZoG+S7Moz>%HI@X2t6?>LjFO*3Ij6A5 zPCuDmF&H@9c8P$8z}1Qt8=L8!@jzv4v?-n_L*$Y}tQ26r%H+Ylee@L+)Kt`l$6!7O zFIIPL=el(V)dc!po?Tv9g7%b)Sn5JiYnHF{Tz7{23Z6KaW;i==h>;mVc;JNP9b~fn zRn#M?sN2@G&o5i$mPD)(zlZ#V7P!kXYS0vr7u%?w(JX=AmTZMNS>}1DFk>nYu3+=E>Ot)*zdY2Es!$ zjH3o#X|FfT(rCE73I+Pm_;zwO_~-rSo2O5oZwCn0dVCT|Se9R%-@Q_UJB`?(T$tkf z+{y|ffGP}UEfDQ2ej-wa2HYvVfGO6lMj}e@keBAC^s@ESj|v>u*tdoY-ZNH{!3M~% zX^=-6!(F@eYgpETSD_fXcxtJekhIfUm_>7P`ptkSnVtX4Gulz?BnsFku`h@h7K1P| z0==|cC3^NCF-d;-I8Vvg246PVK>Fc*_QL*6qC}Pm$zCDL!HxV9 zbECM%fvr=!8tJLHLrDs=_4<;Bdof$VTI@s>lG30s%km<~OZ8^?()_6G>Bvz$e|C(N zhrtR5Z>jwE&9OwY;RwteBYlN)JunF9Vn|2%KW_V2wQ(!DkJT`KY>V3|`#Bp;oCzVN zLYn=WwPaON30wjWa-Lb-43!pLIMWLD+`J$bcH{|GkD!Ay3HuK7$fZjgDl^#WqH_n( z6G3y-%`kXC0B{)|Ntg8Fz*z@8IY{dr^aGIZ=LX1#l$P-v(VbxBVMf>FK?xZbo%CT^ z4s+-3#aAM6zaly5hxd;}W<35o;^6B_jU+9axKH8CuL5 z80hFD!Dn`2q1oC@w7}TLE{B`KrR6Ep?N6C*f6DImr|jY>AYu)nm>(~Z)n|PIEF@}j zULR>3h46Ki6D{qR>n+08GsG7{{b(snC7t6-b;H3@Rf)^iFfkF?lB2en^!lJD-{FIv zz$I}4+)oe@V9CYNWPtI6Y+QuM+LdCWVC=9Ovph@=VYC|_fPbb~NKGLJi&T(46PtxK z>Vu0N3y}X;%^{1lqNNI=eYFhd@Q;NZA3R!81U;~-5WrM0imWDrvJL?!m(z=Wk}hT| zYj#%cemqeDSa-;uAuJnt{D%r_=WmK|r!#S~UQn9u+aS`sk!+>MoFp0NA5=rPBi!6l zx*F$yMqih(WGB;}k{+9M(xVjDOg#yfqASvt>2_G%6e3~UzB;;W@qec2q<$RuTV`x8 zEXBp)wof-GGAw`k$L;4ocW(b6W8&Y885sA(3=9!7KzWU#{=WW*Ru4Qb;etykDCozYPAW4gZ$3-2Ugc&@lMR3;vELn46pF2@oB|I*V^$*p%~FzCLTncD)Ci zL0^)Zgj4unMIlA$Vx`(7cb^F`_jskZCKnqD>Jq^SN5L5cm3)@LRF*>5rNN4>d1Up*a_#)3sC zu>p_w@pk2;Uac<((ZdA4$oPLsh;)x5A*95NuU?D!6#uZ9LNUqynTlqrVzcLGh2Z9= zC2pSImD{^SAxxo~B!vdlfw&&$k69$5@AJaAllPHz=XsdEFlJO0UIt+tYnKPh3Lt$# z=gP@?we#WI-Mi;dWk0*1P5Dshg=2SyjUY1`I}Ij%^#%vUvQqLmX-Mg|xKD;J z6EQ)U?}TA%niq3^at5hIt$+uKEYX{J^E(o6GVdnOahEAA;gIe1N{iAz^#nJ*(4MnY zYj&eb0898GA(#GeIbOMD)}qfcr|T%>ZVbm3y{<@RZ8nJizg`H710!o$-WGx+9^d zO}1Ey6W>Lk!Al|`@O4P^y=RI#IaAR?CdhYO77VW`HlnFa zRuRJ$wUdM=D+4ZKg8yq^`jN655t3xj%MJ0v?9P#bjS1!~{nmP7Zuu z$c2zJ_#kV|*l^(tGTvA8WVY^fZyhmy#F!BQqH;`MtWP8-M9wo#`%>fFU+h#+3Pm*RX;p$Y z1{ee}6pW~E)8sPBR%ef%?$$+G^g{uWM|z7Caxrnf8K6hqo(h${<; zmBf+nSkO*GVGA$Y!DdR_^Et#mkkg)R80dq=#+PlkdILms;KDg)@D56~U0X(xtT${i zm^m6T9P6p-79=x;C$HmD6s`I$imYgCP%h&o$)l7{p%{)P%@Xn{H@VC!Mt4u^#c1JSP8p-IcPb2|F9D3>PzTdD=HbWk!&y?u19+_6t*Z2u;Mi3yJ%CyoGs0Qr&@B5ygHXvi~2b_7yV1%ZWo&-v(ElwUbuE_RbXLp7B%AT%t z(%lU5GdB~Y8kV^R7@Piaf1^6{s_I16#BsXv3Tmc`iamf+0@edaGpH{{>j6T(NJRF7 z>*uAn?H-O(Uxw^R1LQ*qQOYL^IPC$(80>T|IdjY#WIze+_+p%`FEo7@lE4zAGixNd zvB+JhgUfV2kYFuuAO%K%N>I}(q3LS7PJl>)qNvPK%0?YfbZBNC9c2qfuOv|y$L}qCq+)dgENs;eOlZ2>uTI?%NU)nG4 zZ~nwecC7}`8(HWZu0=&H9|>$3v^^^2PCM1$WiA9uZ*WSkHFJNFc>wDzdW>;8i8C+- zB4!O54}hwTbSfN-lcHX3nG^}&7S(*xNpYf=-L;Wx?2}N%E1`P#e#WK_5cg!CaY15(E_PzEK-21Kd14>a0^@aeFI!Cos5R=< zQ{WVl)@d0~Gr6n}Zmmtg~X#kB5RRn z0f0md7RkCo+zGeitd#O-g@jNB;i`M~M%lAtvUAxp@%Hqhd7AG)^PrDf=BLeI8Usht z1OY+TN|se2nI;P)Sc&X?Q-lJI?1ohJPRt znF=zE7*AH33G61u7P#e@W?}cZ7|)&`)9wiSm9U0-qkfZU79)tMNG@U0Wml6mTN9Ar z_1Im=piM|YtI7eya6RG8QpL|s_(5~fgYIjZ{p}P$jYH?@>0{)!c-V z-=aZE+nB)ys!Z_YbIG z22rQHM7>ER&fz$Y+y_3(F6QIT+ElZb!{CqvL*1r@lQ{fdxLyL|ulG)K;2$}ZS)gKmkoy*j==L#iF|XK|*vL3d$;egZ zb`wRSgbPjVrY4w}t51^is8ETrWI<304;5WQW-i+3db`Q$E#IKV-1-_?=z3jR2Cy&T zTN7)-crS=vW_}`aYku?+o2TLm79lLYScfIG04|X~yQjffPQ95NS=gXE9GQt0e|#lr zV^@)0!A7u^ZUP&jc?;Nx##WlVb=!?&_Eah9j_O}e_wPRpR)FbaL9hf^Rre1Qy{}Ln%7c~5K4oR2EN!aMfo=2K#Y8+pmEuK-We0Y8^p2^UeF9Rqno^%n|Jl*g6UJ!<4t$9H0U}eViWNplJB;A z&DW}Ek)=xHIHicyn_3<(N`kRnUmi>}Auq7=6pGF1*G3$Nie2DBpwWNb=~TwuXJHH* zQW}DekZ_b3?H;$?K036_k}aIjEX6$5!#Y+Y#+#8>At=@{M2w5x`FdpnE{{h%TnIcE z`8Zv@I!V@8Ic$<83D1zv(&Pu)Z2E{B5({HLybe}6F7N=(f^mKqnyPI&fkF5}Ehkg? zk;mfX6>jZqax$xQ5K?pQTC>L^=00-go(}%}-si|$%!MkO%lOBT9wm-SsSgMsGr3M^ zv$L~V;0-}SD6UslsqDd*N8EwPoBS(0(MdA2RG!)Gqfd1U8dH|EFPXsx*WnGxP9+J( z@)OuFm6Z-MNk(EKt;&D`8-jL&nWA8N_3mBJZ%I!~FzW-Mdt2E7GUEa^b#R8dc4B-X z(l@f=1Gg#$R%!YxvNdc|Uk?D6F7$83JR_}B7PlkBE|Jb$V-Cw;$lM%+74V0Sjz_h+ z%s$*aK2iB@tv(Wj;X?bo;LG_3@7>AF}e9#Bsh%o&| z8R~{u?X-g|Xp%|+nP$Y9cyWYpa+h0_At)Rux=CuOglI=zdl;z$g|{~ZtM5Z=vlT`A%s^QScwi4?m*3W-p@_vJ(U0N+ zfC5s%_JO5ebHoTQp=|r%`76f%*pKf9~C206IC2WnF*|_GPx7vHWikOyrhPom)HzD zUywJ8phTOi#3IScTR`N8P0`zPCV8b9St;O*Ui69CjOZ{>0+X9G3PAytUEL z--6-#=NVd3)Pw8G;#BGBB#bB#`*iLW%d6S^I2l_{4;>(xf}PS*OevmqP8Kn+9Lb$7 zTbdELSKNH?jZsot`pQ7f`dNc;l9Y6R%?}}gXGDbkJeurrdvT6059cEMUClvk0h>~^ z=$G-cU0N%#ZdKwDIzl+d7PEHR?Yqb*yFqEm<{|(p5(`<|eiMj^ACox_qzl5bxx*e> zt||vL;*FD>P0e0i1mCNR;Cn#?m$)IT2=BjZ*2$~m6-q_~I?f9}?@l~xBjco;jGg~W zUq@&hBYMj?_b=$`0(PRm0Lo&#&`Jh9)E7}=L7zH>{_11shkRhfxj~QSwfs95@yXxpmufg!)rD5ohuD_f9yMZhu} zYZs`aPYkkURS!}*Q_@eN1Ge@8Ot332R;IGxE*$DalELA_0u~q8l4Jpk7F#=vT~RDX zbl85&3y1N?mu9VcYcx~_B>?d!{ARMOXmbmOSUlBOVw&J;J|<7pG!|6mT*zdU3+N>+ z&M=kmcP$ptz3ysW_b%bvjOe9R-Z8hVq-%?%IIto2c5=vweiN2cSsQxTMl6aOj}Kt) zr(0Yy@`!&tIV1Oz-=REYhbLk(IA@&d9w?wJ?(op$51Cjy>MoH3SI}gz;ou`-ilZVB z^V=u}U7AJ*s`U{wk8lnB;p;4WJ8#i7mSff70U|ZZ!-_B?&bXQg+C8STKV2WkDnT%6 z#xg++`IDSal4k?u&1P?iIxjAfBMWs2zMG|3W~&qA5rHw>K2rufQN344 zM5kdT)#HZkYQ<2vbFgO2ERx+Mq#>^7j#t`%fT6K+s@9$%GhKCAQe%>+c+79%%@hnb zZqi~J4y7A=93ESx!PMYlJ5;aC%6!NvJvd4>{n3IFh8FKd^< zr&A;61BK%2%hei|^T4u`PtW`4Be(~Y6^F5=MAK4Ow zV@w2x)+_GdM)qV3iXZ zOSzvmc8N>Xtks*#8sxf}3<b;6PN?(?W_rcknkb=Jjzcs~Bp?4& znh?7NZ-&Gc`7XF;04tYdKF^;$J$$>xTgpvCQUbpC{8wiA@7-ESug?Bd)QnoS4{@l) z;VBd0IYgHL)Ooy}Ss}o6PY-9vq(C`gz=4JJQJqRmG`WwyXE~OAVS)4IQVy+sq)v5E1^JflHB1$Rn{pEQ+8mwf>D(sD+7q_gMMcvkc?6?Pky#}oRUL!UjYZpf96_v-$GEJU?j-&NG*TqI(;~SK|3FwTxSRTX-&8SooGn z2w0O)hQmlo%*_mMMD~kd!kRFpZblSXdF5*a0tD9C-=)GpH*{7uN=ZkkAE6hqK8>h0 zf$)r*h-H;(;R#UzD6R7HY_@ar0FAG`e7O1eFm0;6`*QR7^ZmPYu6?HS=n3pH z(<&=!5m^LJ4$1)dN%mx9$c=B{QW}>%Tp{y8r42$9IBd)NWYd`2Z@=S`;1uphe?(@Q z-$(i^xsW(DM#Ih{LdLcDm_7q8f^g!(9{8Dw{gb75L#}xeoM~T1y%`3BoJz2f;o?!Hl@bPqjB6)qFruT<&RbugwGW<|HBgE4ptnU^r6 z9t{sBlF9yX0m(xo7!fo<(aZDHkFOJ>m+kA3AQ2>soDL0u#u#lncd`{SyYrC+%-Vs0 ze3Z%&T-suSc-TrcY0_o?Rg$*F|#Dfx0V42j}a9`X=4Wgb$gMHX+2+uR_zI;WroqcY}ZBP;jq_Xg`U}vX+I_-Pi#C5>rH8yw=V+|;Q@Di^&PFVk?mIe7s`_#PdOH)N_}?97(&csYxf!j}Uz-q=}eKjsM{u zJ(NU^M6?F*{H9i)sA?aXs)X!?YA6S*#UR;-{hgehSRFA-$V6{`ZmgP=Fs%xd+nanr>-P$7 z5Ju^P>EOT$BFu&d>8lS0FgKcqJz+ml#w(4&Uoc>ybrJd+6ey);l6IotQl=2)22G-Pfxel|x)*v=CUkQe{FL2^V!J@8*( zh9+xcQ<>jX^&uE~!&ua-p z#RLX#GhL4+vAl(g+RSxn#NGtgR7UO1uEX?m(AaLE_6~_ysKCBaII~1>_+AuG6)G5e zaX?bOLf*sh6Qqpog5_R~r$4=U15ZuglCwjzzY%UKUG}zj(UgqQCvKrwGbvP7z3jWA z(hNFopZ#Fy3k#LopeORk%mOD=6wqUBNPo>}4G8Gd8;XhBqo z%2gxy95u?qHpluDF~0SkAx-)Q5VdJ8Q%~3w$l4pW#~DqrJxID9oQ!li;;|B=PPZYv znrBSYMTqHTS84P8bP&`r=O;J{MBasc7^OhOxY(Ttz7i%Np{EQy+?d@0#ZIH&hIR{& zm|(g!Xt@7qZ|?5ynHqoRkLSp;fX-A?J3z(UhSS?kD1tRp2@qkLg*OnGhi{y6FMk}5 zu1{B~${E1L<@$_9qj1pns+=P@;j$u)mY4AJ{oTib{KX7~+^3*I6}7n^+!wB)>Euj^ zCwRF$^dcL{7r?8HFZdfo-jz6F!6y-7c98k8P?Rmh@QUmP=Pnt5v?*oSCK7C77>*?U zN$9!1lRgBW;;P+i{(@|iQUe)>c~6UTt4e#9Td44dkZ77xqXE}OW%gDP5VemEDsiq$ z2L51(DjO>1r%o3R$}EAxnIx!LKzy`xRU|$nuxIuU5=jFGZPNsF;Ba3mNDn0!l^=SD z9E(2)OeJN=CfDA=3qX84Cgbcw9acT7C`BnZs_Ao&NZFHT`;=qONzB?qzOWJJ^b%tm*JC?Q$?O3Og}s=nmNEU z4C@B>Bium67Nf@}vSLk%Y?U0qzlqDBFEb*;abm20X-^RM$K&D|AUz_*!)YBAZggZ6&JgAMZ;TeVeN+(yxkP2DDQB+FoTk}p1F&n}sB~KYG+P0_5hTJMN z8x`$1NHr_@%jFg1a#Zp}Dd|f~P53WJ;{No2H0}U{|Nb|Gg2UkOxAx=h6He`0=Bxn6 zj*J{B(h6u+0AFd7of@<^T)OHNJV{8Cn9jWThUG7^ENBO&Z(YI{w?^b4s!?5BKvM|L z#(D>RC3dzgH`FzPdBR;qi*|Q=v$D^uYLJyK@E!u$JiT~1_+bE{G2P(U=wkTiU*Dni z2Nvd^QL_rXalLe6P=2K=k9bAPDpc zx+n68m4~pHX(n!f$zn~^4dpH<+M#y{{wT;0vdi#SX~tBoI<^Xb zv;8f?VUX}N+JVH&ig~Z-u4mvZKm%Y4$#m}bM)&Pcw@>H(R81-&rLpNtnm;HZ^u$Qw zKIJ6IP)G1ui*jCNpd(6M3GvZ#RVbO`BZO;_wY6G95eWN7lu-N)O2=)8SF05Ik>y8T zkS{my9`4PqrWCaUpsgF)1kZN17QkAsrC@?`?M&5&xQXX!jYS|Vd+-3tKZm;_JJO;* z9P9$g%0n$AXyb3L6H&WSHM!eI$Re_jfb0f!gq>b*u87J#9d)0#Czm{Il~Dj7MTh)MQIj3k4($v+5i!-*wK4pGcFWaq2p8H?S}RgFaOe_tZK zF&DpzCb&E!Vap==8V2=Fu112^>$1)}fEW1E!gYYKW<#+Ymi5(<(l3acJsv9}dSQ74 zg*uCtGbElej5$ZGq;>}LAauejsH)fJCr2mC1&UV(#?pueF;)e_AyCP+f}JGYv6FC~ z2iUDd;gN;n2un0Elg7rP0N}cK9K#?DRXs!6rZ!?2p&}?bM#VMI5-BiVuFz1?L1_mA zP0cGZOe8CoDz>aN2A0*b{uun(GC=t&CI7Gk@-9OCNQLzA?&fp*_S=VNETj7E^Hci| zkrre{3XYMhHbFWttfN^qBpC`d4RP>Koe9*=J0GmETnA%5bBu;=f)Uf?Ib` zJ(AzHTe>i&y~To;NFe!2k?+*0M$y;&Yc}2#D69z)ft(DYM}h~oMm=gX_}t;e1!D7& zvy~)8fl?BUWAj2FyyQn?h5q{2Kkx_So61ijh_}B)!!%zfhm)AcrMze(psqoaC7BWY z!SQR8Pbm<$=gZejXpF=gYe1<6e;NI4`nTai((P>K_J#j znPclxA>7`_$z(zPgantNDj_q!V#0#@b@?nTW~WtF(`@FAo&k!UbNc~O8HH0XqKr0> z@kTB>9%1^9piUroDVG}p7Z}bJ%y*c2QYOLzkd%tqm66;;SLRssAWGt>H!ZfXzHyNc z1S*I+A!6qQW^U{o_LQ1r1`|u|XvSsd-?AO(f0sS(zl4>qH&<4@r=zm1aw96)(`K@Q z@oH16uYTD_7C*cAdUd6llEZebHQR=zkn`pK{kPAze*PVF%u77~4x(u(JO2)XXhS|K z%R@)$)thUiJc0cJJOc*>4f5S~8G1(p=I*bg^@2JrHg-ixaQTVvPk0ix1@RN!qTffq z`|`E0w?LeRuIdyT;T<^YN--ID?an1%jIu$#h~jFdHuJgMGo1?SsJk#*(V&n^VX8UL ze?1Ju*VA61zP_FuS!4px&`=O_10X%`pmV7ctU-24w`ylntb(K?4Rbu63ukcfsR4)a z17b>EQ8;Vzc_pnB_sZ19(@Lf+IEpOzHV`~#A#c=AFayLml}+{rkj;!Z1(>KMnySQ` zw1=e*>PyL+SeHQ_%*o;b%ZQ2D;wPaq7)j!h2h5!ZgoGJvbO!xiCQkHwv#hFu1UEkc z5tR;a%9N(CFCjCbi%!NLmoP@aO~YNdm^GH+}dJ7$7 zC_2Jag>z%7Ot73YvA^Tfs%<)E;jUh3;zIF~h}dzPgx5KRP3g3h1B?*Ik((S4>cRr>K|X+mR~QFbZ=NFyrrf?3->kKEp`go`uwCIe z6~d>$_pdb3_Gw_4;h^})86eex)|e=@Sx$&AP8oxV01)IC&4HMOE$WG~3Ci7d9BbcD z#^d81lE~7-1_eUjlBEQ52mqBEm#Bs>1vw8!mCP7W*FqARr0z%%nGtRqF@lCrUW3Wg zxWE0scxc<>R@~5x? z1Gui)mwJwFRH1Q`ap3cd88VIwwKI=16Qh+I8dfWbuxZ_>1HnO%XPs0;GwxZLZr~F! ztAdZ@80A_#6as?^(EG{0L~sTLg0hKa-bo|D0)O;2oh|?=k)4~>kp_~T!qW`Fj=qcA z6Hp(+JFLPYM4h4`VW$&kr+@*6(MA*hc-XLfma$0nUCP*~_!M$6cLV0ah+Pr@|Cl+J z)s_9@09H%tC>fy2lVf^1&O({IGRr*>*d+2QBz4S4lf{hBxu7=R++lB@*=?Qg!?T;3iXyk<8t0ui*4l>W#!E~#M z^I#f9F4ZZyJth#nYJ(glqpNtfVr+}mAPWA9SH}P%iEKf^zmhLZHr64Q< zwWhTwXpbfj5pRG`gW2vXW+xgO*0wmjlfCZr99^zy=<+V3#h6<+lBg`?tcUz``YOLfE8%;W5Jq#^}d;sN^FK z35#rf#ysEr>%IEOrw6c9>?c%(gt2w1Y9fNZ4?@yd$;ZifFi|*&PbpkW>ty5b7)qOT zRw8e=-0M6BH;76Bm(+}0g)X-O^R#XCo*)enWnlW%_X@;CuX4rYG=}k4>Kz2qG+~%=y!*{8qfIQo z=qy347-m#B?t_{kVr~11j^Q`7@~J3!`u6_wpp%_nYOA)?JT2VQZDNRrX$E4;1pj#X z?(;u%f03~m2Z=1WnK+`eLwJ+@?Zcb^KEo4PX~_Wl$Tg$Z2@Bz>bP(PcN72nA<_E#{ zOi>5O6t#x`Wj;sPGD>Hoem3ChmdnRK2{??*iVUItfk8W_KJ7J4C83LFe?jM|imJcE|So{_gJE=g$M|C4o1- z`+Wa+yTe~;B7eAjLj2+FQ>X3O9JXTwaxYF0<8uXo%2HhkqGQd@)_cRB`oYL--JH3N zJvL`yT!zyzIO9H^G_?+Zy zt#>d2w#+MISFl9g<{nZ@DD%cezOw6(f$(t-&5B_geWJh=v56JV3cEar*1jAJZ+Q{U zzT$f2+$(!Df4+I5jvATI{xLHeAwMa!e&;>OwUYJ3CM*e) z)m&O;w@Z3ols}abC*~AZTGAXHuHVQfMUXkq_K7OmQr-ig(!MwY6#sbHOlO;NE=rf( z?Opc1r_1%$H|=kK%s#}H4M`&Pn>Lm=38F<((=_xd9f9Q18aNQ%qX`HT{+sDG+Nv{0F=}?Qf}jOeT6Q~%Cd(s7*M3$5 zom6OFJWuwc$g8Z_ARhanEa~NJE@;J@sPB^1Fq>J_syvrMTy7wX^PN1Goos?bGwxt> zIhqEixehWr-Ei4Yx4^1~yME9>Wt}Z#hys%CG!#s$Z1?0xV$p_q@Dw)vy}(0NeqLQ% zV71gO?lLs76m;=9x2^OPrtQX!=!14q5rNaq9%GGyUX7$|ROX^}mQ(>a+V7~1aofH{ zplJJe`|b&KnZqp%r^Xphy5!^|WFjFL*Vnt9ly0u);~9=_4RQ?dqWMg3dZ^&7e% z>-)AYNUu#1n*;+QZWQ!g(Z2yPq@5ugt5|N;-TTKH49(8>d%6^Kru2yKr{pfJPr;`Y~u^2oD0gf`e zelrG;7o-)otDXn*v&9lAS!C}ZGinGXWvzPT7q1A96UxLfg6w>yVl(UpQo4Q=&J4*d zHDYe5z{xtItZ=fCYeqRI8-;*Oz7GZ&^Oo>8Q_jIy+=|)lBeUt-nhBVZg0>Lvq-fnG z4oktDh@CU@%)=a$YI2OJ^2*?z{L9S*G87+-gaVd5WjxLT!9ZpR;$8o}1vgP;kqOVq zI6Yn>JpnUtggBsG<*u|S!*W@GSoJY^>CTZ}3Nk_G#_yAP#NnrUN9`%u{~_**_R)hZFHhJ7omKxbUhl zGF8QTI>}OIs2SF3;{_-K_3OU(JFW68_a#m#&=H%NXoKLZTx5PcPdxI6GgPlUK`>21 zoTZAq+a6w^EQ^vB-IY|85(gC=x8)TdVsTvun1&gQVVe(&0^uwMARu*IM&{QeK%tT1 zSJyXl6~;`Ul##TES2O~2HY)qzD{#u;@Ev97Q3QAaK3er*Nx_K4$wgw4p({(YLu%n( z8U)K`B~GGia3W}MKx)@#=g4%w^X~5E3*zP5_RShemk{W~ESmVT_H@QVQjkQBP7oXl z8xcFo!Ca*3W8{A7x#Fo@>!Nc=?Gijo79BR>aE2AvD+gHFgG&;<{7i&rh6a!p@cXbg zVpwha@$TEZ_MbQH=TDDMCV#*m37P|ps+*KzIAp#L;hDsp!#>a4oo_Ic5=`7}(67q& zu%1CDhe%?FF?yAZEbP}eWMK62;Jk~F9I_6(^8hJqxVRUK6>^fpQx&8iz7Kc-+pa&O7Phd@Wau9-$%Ea|t5QX10D)k(us zHYvG&KmeRau{?^Ewg8WW)!-dg&_g{eg%?GY88Er%9z%2Pp2m2_n_U2RX#u zPu_{0b#`b^ zjcUfPn2_z{99BlA+-5#DPL0wL*zCpWwZwIq&}=GwwTmo_FX_k)bXb(-MKZnh4m!&u zYm~|mM;3lc%69dH0{BHEPNjpq)iIL=gGcDSQ30esjXI{M4Eqd6+Vv8N^eEZ!Kai`R z#o!OJ_EfY_=RY6GK7!j{wpUC;!9gM$1&)>+GmLAo$rqq!E4-1AJa$^ z-l8(;@F2a4iAp_>S)+;w`MGQe+V}Ur-;sU3(C|w9_8g@4e|C28Z{h;^dC)-sRNZG}8vf5` zXVHFS#`wf=T}G26>#{TfrXdJ?EHG@5q|%lOt#|wI!vmECo-#vtTCQA`T*rDSl|kug zsr9&TXApG+J6_}fhS~}{obg@bf8mJkcI42WowiS3zCr^WAo2~u7b@-nXc=T(o4_m!nv*g^73`AtTAa~@4ln@ev_Hr8z^NZ`g+D#ne zQ#Tt1Ba}PFw}XDB!2H-ZQ-1ODrnH6ibx|S;t~+(%MTMnosL*w%i<0$+-|xTP-odc& z?*9HCpKf2Y?Fa0|58v+c%L9f#gC{-TdHx)!1K8`uVCTcv`^Pr9oOUuzJ%gh=VdtuK z-bF}SHlds4B2;W4BCF2PNGeG1{47`{4+fOsGFEAOCOYH@w{Ru{OK8ev{JcHPB{4m2 z^Naauo3&;BMWx2|C9w%dfe$5GW7eUvY`tA|`DCKpf_#KuptCPd7?;x5;#;%ZE;BDe zo&dvgcp`)KJzzf<4w5^BN(D+n>+D|DjfjjFj?B!QntQ>Gh%;pc>jyrfK<_ab>+Sn! zSQ;LL)KpPmCIH0@ESgTzaule@+}q^SQtco!<1G{sS2M`Rkugp7GxmdAN@t69_4@S^ zd7q+7giD<@`8!kAr5$t=z~o}JuI-1*aTg2CS^kR`j+)h=DItMl$?km!dl{EoT6;uo_dJ zhfjN0<_dErpweo^*^XR;Rk}O~bZKW&s;FR4puC=jWY$UrM^~sP^Mmp?$?9Fr3}O6_ zWWJ$&3`1*DI!3Cipt1?1YlN$;u4&(c+PC=`8p|$nF+)H-g;E&^TI*0@Wn>i9uAB`! zE}WHVYJoa4%i4UBGj?V@WR^uRKO8rimI%@3^jV0$(jY9%m!(_`DX2)bo@6Y`L8P)z zwaEumyH^RGfRd9%5`;xvRBW__imV9~LAvvE9*eq-5Inq|17k?Eeig3!DOh%g4IcsX?x7zdk@@`SAJEKW=wEd?94@ z#fMK1k5B)+dC+1S?akBE!>7030N!YKAOL;1fB16q1Y-z(*L&Oc#lUVIr1yeYxw^0^ zz$<1y!r9(wsZ4pdkIp!!_K3FD+4a>$;fn0E$zhvHrw%^tNT)GUz8AI$L?E}PNlQ5B zU%}%RaSHHhj2UV*dq z;Ye%E@i%ChW1tH3?Pes<18%id>t_%B0GuADz$Nyd9Bgei0|liEQy=%k_oU0_1j_m% zJVk>7=)fGCKS^x?m?@eB0)-`J0&A*}Sf!KFm@vxuvCf#5h1Y%Uo3vvdVDmmh`w&k! z*EA{dA6z$Kv8Z(xoH;N6IY2eNm4N88@x`QOL8SRB@x^AVXaYkU3Nd>SmQ?z&8 z3PwoY1D2(L6%TbIwvy@Ltn}rNl zvs`E~828tMx~%YkN6>ONkWFKCBE+z(E2Cf$ap_z`;R!VCQGKDlWc!MS@(9^$0(z=E zXaGkHGIZZbL&zc|E$mTRspwk%WAB6h7vkIZ90Uyi&ukG@JH{E>7md7rSookAvpm|D zoMrqX_k_=vC)u`0uJ>$;w1@3q9M1F8*~uAa$ZSuhh|#}}jghDghPON}E&Rr_eQ!HNA z$*DUuq1IL$ni4ZhK@2n9&1yalThETe(%9=eGi}yE2yrvWw?p5__Kz>UUW=d)8pD3i zi3kBfgdo0hyq=zKwoj?YVV`DXEgRSxdgwaqqJ-_{2U~RfQ`01P4%x;l*R{y`@|v}O zAv_(=HVIE`Z6+JBwbEsCRETuh92H_O+9-qBo3{7aorx62Ws*cEGFLD&it$eN0CMDr zpeu=e3FALHya6<1a8c1cm5mkZ zt9DZg3^N|KMbIh{WhB@mXv{nfR#)eTC~Pg8rG+nLj`M`+I!=%Xn||!&kK^$oWKSx} ze*t@?LRhmWmByIUema1DszQx&0f;*~lhHu~^fM>gcs?Qn$nRO-!0ZL_{qQtg{eJEO#%!vIyy|;8^BE9sgECS??3E(rTz>3^*NkrUzs!d!{?iikMNZt zWg$`nJ|Fx)|9voo9$E`W%kaG%I7edVNy!RfEJsl~@uG$>l~;(2{&@-G(o9`@&F=NH zr`s=ITh|&MZa*gSz^FHs`v|CAx2g5X1LzY~`H<&>**>_)Be6E1#C4O{8IC4#Y4R<4 zK*49SDoal6;+>)1rw+uXZHE1CvgKfbduJy@<$m8Ln3- zzwDtz&y^wcHn=+>=;Y@V7H2e<{40qpBP!dF(~(GLY=>x=!yFRfWQFP{ltv(Jjj#*2 z7|n2ilu#IhD$+x7rx4*6MiKGL`ywI=d$M|bhiU-=Gqdw6PM?g$6uH17(u=f?UK)##N1#Q{in z#s~@hD|X{inrXcz`I?0a9M*JA(2;HUE%q)fH4BoXIxl` zdp6t)dM$IHE6@S*dM?ofv6xA``sHPuN0nw-Mtfb8;jx=UM*v>ROhc)k)p++lId|o= zS~zmSitz@p9DM~$QQ?ayb?O(vyVSOC@4wx>N7gQ3PB@rG33FQRKRZ5~f$h8jpk#%z zm-c4bc=;dRZ_7OikDm-CIc7;vL4o%mD#pCiTD_ZaFb&AzCf1^$i7EODTL%2$`f7c` z`ZFMazDD0NwJtK*2`)d320nw?83+!4FGfQ%eIZKKep`j#78slw#uiu~{SCG*zlPX0 zy*3X|nTOWX(a8G^5A3(q*q;Z1&aNHL{Mu?f3H_#l1O%-ivzZDkMju&Yg(+3LabBvr zKD9y|nWF9sAwizQ#F`!%rjKbinKJw6@>%=k?c>AK{qOG{+ecQ9%DAx%?r?UEWSH1@l_AGb~m5h6O$)dYv)5Vx0^pcD^!?}8>T?)*QZ2cTo zl4Vs4Yudosp(2kkF4%-1G7ZHKC5$Lf^(FJzgH2R_F2}Lu?oo1=;PN#^s$tK335Gl5Wq zeArK8NJH7C(^q0b_sZy-lzL70YtIxlJnSG!hizh2B%T@OA1w+4*(vdp;0$ZqyZcXf zpFiEfZGxQ;XTe{h2?}KV^+x>w*E&^#V^SBv|Iqt!dGQ*uj7k)4#R@8d;*@o^#M&UC z%$c=8!bB+Ij2-MjF`P%2A=VO$o}DvktB$Wj>6t8(?STsK=-e$2?Lv7S$mXZ$I2;P` zNE@fgIapGwkU|WEG(zsAtwH#d_f)4WEIi9pt_C)0gGB~xi7q!(`%r4=1Tx^paOyjflGEiu@UDk}cf$$Q_ ze@l`>COrs@SStPbwi42>Y`1V-rxQFw4mH@3gO?8v4|eC|Vs1>zZt!#tlARfJfRCUf zrfpDtH_#LkQX<+El!q!Qfdy4tD^p(Yr>rPfd4fa+Wr(wuvW1Fa8%j;Zu)U;V+fT9> zVNLv@ttFNV65k^WcNiVhz@#QLpvacYzV>Q%f{4!3r7JEW&8kq|Vx{I-H(rgp$-+HK zI#l}!o*9Xf9#%EfW*9V7B~)=&U}=Vs^Eg-7(!@7F2i2+;%4ZVq$D9d}!#4LwIbs*u zzn@-`aB#3B5`xil7WQnhB~enyIt7|WrA$$(pi>>L5lLK9_1;i?45`p#)4j&NW`@Ir zZ*1tL)Gqds(H^7+6wwxVKZH34CP*A1PbX!ZET5Xtj`M`m%d_)eS%Xi&$A$B+=ww?g znw^qhieT_4V=2_lhs~x2kE~gy&6u0eP@;sY0PFdm_ATTHUN+Qc!s6@Pj0Y&sn(vpF zh-_{5-;}6tyDZd>!Bp;%!8rez4O6w(Q3Bb;oPT6Dq=L{vCk30yK`0loO$<7)gr&`N z7o=?{w@|^>kKvR|RL2krRd*+-iUk3n2M3&`#u{0L!>pIjPETK%p+Mo5{7I8uR1S%= z*$S^E|Li`4ldZCk=-vGZMYs?@4iZn1Xx*j? z^R9H_JM_5BByP=Wivn}pc`DMtgPi!_DvQ12Je%4@Bd`5%A-Wgs0I9@G$sq zgW=%+gHrY=DHy#UE}-Lo6_oySFh}eD^@krYd(_yxPW8>_KOa9mqI+Jq8XcZ~d$_%M z-#*@axPAH)6|J?WBi)45a3W~FN&E~+@NopBy8r6U#fqv+srt)xC7F~ll|mP3HhP_j zo(}x8Y|@9>mZGgMmeszk?d5hg$3Cq%wo6E<`HP> z^@0%aUMMx2H#$+}0g@0YS(V5+qcEo|lWP`R`=`9{H0z8ptR}eX&&^VOGf@l~xmw0%!+5rW_`k=woBXmnURn(p#Zc zCie3*weFxn;!4QW$SEv_Y5e@jtien(9Dn^K{`%8l{2i%`)fSE3zZ~LFQ&nCO)!1BO zxi^Tm(rysZ)x~`EM&squVx$#+ky~ouGTOG?;Xex663rsi$6#)7=$9WUhTx%49uYjz zt2Z%xY-UJ~KB7h~$v7Z@sAksTr$4{mJ_3h_EHjW07Hgz9!2u6A50LaR)R7(Nd(R|H+Jp0xCx1Cwunv^NN3W;aBvKnoKX{D$in{&%P~Y8 znlH_8IQZYssLD%n{bbBR?gW}wil!W`gW8IuKJi*1btX+9%Re8lJ|VrI?58B3idOc3Od zbXqgCWFo(jJXkYxeSUexwcQ^oA95jXcD{~wD>K8V5}>s6T)YhnYLadk9SgTngasBL~e{`+8rcoVKRNK{Rn1!Kdu z@iz+eD7A8n>7irgUed}Pce+;*kr#kjgjtWc$l*Sa97UYXf^i**?VtNsy%)`Hz{u

    i5bmAdp)sCJT>FOC+6U$KxxZ<8-&PX~Ff$F11O zVsev_yUR^(a_)<}i5>%) zNw|GS<8gN8kk29Z{t}TyPIhKxN>8S=mwcPLMVf%Z5b*H`iY@VBrfdTNd`v{je2&wq zcGU^7(A)+B?dwCVs%M@QMc($yt6oT;l(nU0PEeXgTBCv|5~Y0ll?HiQYn z4Uo{`mM5;tcCPD40YN-uB-2Z}bf&^1F%L-nIX^7Gr001TTlu``E*7PKAV=hvfl8q__ z`cNwKfwQ}rS?Z_fK9PDK*?GyF@AYMIX!gD%d|?ma3*vXmvKF|>&@3T(#7DFP!lyV% zHo*wL#Uuw+s%TNd^5an@pJOChNz2|lTLTnrk5Ftonvqjp@TsAqj~Nf~P0R>Ii<#uMnm|m!+ELMnxGUy7CacgW+I4l-rKRj(Ni^ z4E3O#B-0yf=vf(XPshP27UJk0;O@&O9eM~$0Gdh08owIZbfjFi$y71QirVCuj)p#K z2pTuqLeMUj5X~Doe5OAe`#G|oWBWO=pHus}Yd`nw=f3?saN#V3GeaSy>V#>d^;A@K z9MG$)gmI-;^$W3B5YOrF2Qz@)h;*i05EuMHj{m4CWmUWjT`6q?ck>w5*YIwwXsnhM zAG?(>*&9B_?yeCiP8TiF^dvsh>%ORDPpN&|9!Bn9CxXrhTr|fEoJ_Mh?CG0Y{lUF` zglq!$abBFev<>{{T2mef>!`~#Ovu9(tui0oaO$Zr0akS4hMCARR#LQv1-G>BCctnL z!ttrQdNu^1o3#e)P1(EYDQchvA*fs`oIh{UHyqzQyg$16_W4OOTL%GFmm8w3FLtFx zpTHC}U%sN`$wT|)_RHIw2NXX7_~`Kq{`P7pJAWDc1!ivk?=R?d|M2Hu)ca;i6Pylb zt6vcifHm-J<-%zW-CTrBZ4Ii_0gWZ@Hh~cbS1~sFJsnACrrdfWVPq60_&lKkS=6Fgr#K$4#zVCo!rz3=dPW{5QDu4yJU>8@!!J4Ytn;j&A2@hBg4l7a^V+jrR4VBUzaJlE&z z>q|}?bOta9*dVS?k>CIlN)g;K9$laQ92ElS8935V$!SUBFNw#Ta`CcrkD^g{28qz? zjDQ`di zo#X}iLD{*H--iX&YSdM74f*#Vi z6-dCQb|3?lVZ|=xA(1_ntHXnAGUVz6RxWl2g5hL=nh?k>pBG(W)}N)>6Y$RvCcnt; zTt>DaxrC~E`zTF0=h3X%2sAxWuq%>y3Ke-hdp-L}NFym%e7e-)+=$j><`29d*_6U1 zU|cgr$zlpbJ}xJQGYjiQIx)d+k8F9lVJEie@FjC&W^NDi1P?RqeuYHvx(Pfs)9m}w zgWGlWM$@z~`_H?Z_wOH)va%*~4|h{@%&y=*m_#^cIc2PkX;z-Ui6UCjLl_vRHrNVe z%Zxl515Js5JYK;uf5thOu3h%lTvzF5h^PQ)ncjQ)l!G?U5h zaB={<+PE1vw?8%jh^=Ainq6Js-HdK0zeFv1vP>MLJN${O*k7)Q3y2hIwVd5CLQn`A zhEx^5Xh=y0-)+>92Y3u#s?Zq3$cBpvW(F6(s=1331OW{hKF(c%5k8h&)@Regc7TAp zgJ&&5+YXT$2DECJiXJeA$3{G&?9i>yvPOZBUV~fM zRKt;&&8K^V>8|i#SPwvfRaKR9#T`m%lbc5@ZbXRmG&s|%Hp`wf`DsW4!yW<;aP)(w zN;F8~VTC`PHJ%QeF>jejtO9PK{Fn%tARsA@L|I*EYJz@Q2$t-Si7_H6*8sQ$ZpXV2BI(y_=XB9S zc^sD*!o|>)@gQs^n33Eltts{s z^rRw)8#tq!t0n}6VuT5;H&^Dhr=w19u=7%A^wp%D*Y?{mIDaQ}{xT;)`|XaPL9i=j zyq2fH_ix0c^=I41_5!A3c?q*4SQSvtk~=fMVfRcp-<^)4U4fy{{Et)T7~%pDaiG8LnaJG5Ze*@k(7kw-IHnDv(6kdT>SAH+#* zgC8f+dS3&(^Lo{e;S8@Ot0?93%FxF zjwroY7*D7K_zjNlIfRv{B`Z}98o2?KgLJb5>80_ElQI@YcD!6&TwktX3oyA;W|U0v ziUyJ7n69?#c7?BK1#kzk4EXs|99^ilE-@ptfa~gl%t>V7U7mABYYe{zFiT{vs}l&W zvY@*6efp8kf3ZBB{o0=XWK&D~6mvx^Vg&|TeEvoL`TXB^QGh{MS+=1u;%*qtQCg&2 zy^mnnE0oyHDrC9p+38U^i2D1ImSrm7jRFV^1w~QhE@r*62y}{EQGku*e*^JX5D>Go zms3DA`n-2&eAygqO5BMasAIsSoXV{y!FfKvfcS=-3~+RTaL=CJszd@G>MqU!!sfUU zx~}d_sbm*u%d*b=39%)iwMwf}T1wR6)P|b7cSyUZoht=iItZUM;26DdyCOf%94R7p z9&sQ%J$!rj1jXYwWLT$FOw3J*RZh7T<{7$zAoiGxd$5y;7$n$gvTkhS-fqInQbFG2 zcPdY`O*>Px<84#Vj4fW`OMz|@ADi>U5TH8@@(#~!MfKi%hG+xb?!D+l>P*tJo~+>T z=fyvLtg{P}=CAMxim{P`sOqvNMiW&zsFE#&BjL`canYt1@P zDD>d#KrJpVu8H4h4Z4tcHSD0WHQ|>fjj{}k%~o0jh!chcH)SVQH61C-3SKcihkTAb z29j8k!a8}rG*tLYrwa!`wlWDuHt})qIp|<2!Yw1;$Ab~1TUaT@)pG>KN|C)AjLLvi zKcL0OK%HP^I|fdgnKb-oZ6#^J0bx&xbqbS|vC#>@I)#{Fh)^%;5tK;}El=7oK6eQI4NbYjUTI z)=y{7k`$i^Gu~;bOnSEuABr)5xYJky6`OcEuvlwM8dzMfJi6?m50s0v#e8INGZ>60(m+H6j4DP1-vx0Eh>8?$Iim(5wq zbu1gfO4j9ilRzYWHYYo`F54e7J>CAM?Opa3Tc5HAd_GMzSg?)_))ukZ!<$qdFC1Ag z4{?W=SJT}e+hi}1(Ydh0`tR`U1~z9=H=xgEA~5;FD52FOg9o*}2KBZ_kPx6_ne8eM zG@$kZ7xqcqQ*x}!r3yJGjk=S%wod3z0ZLS9)x*t|ZB1*L+>tkEXPzfz=~ld*KK!5v zW|cybGtAjzQ#KSlvTTQngVT-Yn#OHG1i_(wwpbh=pPj7{J+QIaSGCefmR6?kGK)qJ z>KtIWW*2CXgQv*OBVom3$GT*8fo42$yFff5CtJa|8a?CuUSe}33V$fypymyVAc6ha zNp6MGz%&_|dUa-HHvXknXM^JK=ci9!Zd+JApaa?Af`qe2?cqycPcny7tyu}6qms-o zkFl=;nN{2MR-e+T&t1Ss%an$!qxqCh15QX+EOQ;W{!Kemkc7C0Ay>5LN2^}~T66}o zjhCG`I`;@^_&|qMo6tcF?bQzu`q&v91e=fy6jO?{ibVDBM`=8|AqWmllTMCWJt-hKiiqo0AV7Dm!6&(FmKi1s7WLib zd78cw-6D*^__PiofeBdj;si2g?W(`L+a|BK4KDMbBIbI2x<-Avk_D7KboB~L2x$(% zs7GKSeJwtoO1lh*M>-74zyibK1fPo+eN~u{>!3)+M6imhWU7(z)}n(vQqRg6C%7h& zQlZP}LFb__>?fIs=n2IMgK7ysU5Ibx8VaQf7ce>@M3V07y@SEtem5Mobv>s<77Iy$ z4CbqBEsW1orA>P1S|miXWTEhAl*2HbpNhTRNKNts`Pp!NdMWA3fvv*=%GnG=^QbDS z`@EYb+$)K@(UwC+QL0x8U_%^^2?9xd1H+Ta4%@YYFrdv7D95nAAHt|L4vLQ&HH+4Y zNLBAgl7Iq4W*-LPX@%97=buNnD!G>rx9BCb)gG=F?d$n5(h)P81b5l;wJgo@wHig+ zSQf7gqG7+@d^9R3a8bqHb&Ob5kT-O+xdKP`o?Xw!Of5VB{s0ct62l;^yAwn?K)~M6 z1-kauKKO*KeNcm5A}Y$+ItI+M#THb3z9FHPjL`TbzNN3lxklM8YY>IA>4q%X&0Mo& zi%l?D`M_W+X6j65KV80}#HzK=oi=>?sM@YwWKijesX!}$Bfy%3fEj3f3JO_8Li|E#yUQu4r6yblKvZx(^S$kMLL0qdxYbR%i!{Gv z`TKi=eXUR*N$d>_)viOfjAihG0Bh z9>H{p`5T<}cmPRHP~tdt6(vqq z={Cj5uD*2%dTlxcqnBOh$h08j_>YR^D?+7Uh;N1=OghCUEG||l{4QGoJwMn(w{WF3U%k2Z zP!b{{oRw&?N@T2AoxFU>WHr4_@m=}7@~T3_3v*gruS{jxLuZgjaWk4ASt#~h*z>k! z;CNhKBqC6~gOWt{cv_@*VN%Gf;ktig=PvoknrZ^ax5}0@De*s*`RZNeDdJ<9UtIo* z&~ZeYA<_-7vG!6nCEpAY`~V2A&N$pengy&-I9edczyZbn_O$7s08WG_7$ zTI}6|JnPm1aRXObB&4)(741FSIZm5SSanDoEBWIG8eiqkp}<^!{`pr&o8iolldTA0GNZ38(a}XW*(B1_AShk@&O3HV4+C?^!NmUVo8)q zU!vYZ5hhM{_2NEy|n%KX%Myeg+JvCM7z%+*ee%UcZEp=4EHl?GhG|f&`PBWphZSyh4>Wf)Q9 zGMgY*28Mic?r{@^VMawBa0p=p78ZH5etojII`$oJ=%-o_`6kgxbC{UWDdK?=;6Icj z1wtX5GkVw(!|HgR7>*H*W3G?WpP9xs5i5+pz;s-8(j~Gej8V-zJv_jtRv45jeSqc{g>c{fI}-bgKP5*Jgon9ra*br@**E z`U)(Gkgw=uC|Yz@lKqv5*}ljoAoX^Wm5#cHftxl>!ldCO1Ywur^NJ=Le`7*c{ut{Z z?-KcePH4%{!t#~epemKX3H|un{lk;kJZPm8_l9dq;RW_hB87K4*>-&V2*_2CuK-3$ z{fCIH3ize`dM;g+x4CeYED&=DCW=zlqASFiB5CpndJQwgY>_6Rt+eWGbjVm*1veJT zrWQ|(^RmWu1Gs=-p9n{zPG?UqnIRkqC|U-$XP;=r)-9ryblEIgNtex{m2@HeCy!EB zK44}l*^DvQlkP=YY1%J0fBgRbF*sHwY=*%g$-Z%?YiQF64*cY&B?>oVQUGU-8)2jn z2Cf<$bs5H-Z^u&L?dCKSkKX0sjA%b5_%o{lE!Zxg$#X=_TvJ6>v%`dT2Q?WFr(rvw zkOWfcka>>nqzK!E&N}drWYzk*=vSOB@d>EW!Kfg@ENABo3c??o&k|T8 zZ`BhMhJErZHR{Q_Go1!Xn4F%x1in;2OOSS6Lk3vxF#W)bf8Kn?v;TSj={-x??ikYi zD(eZ0uJqtf-t-))?*A!@CGQq&)<^|(+Rz1M+Jq9ubWANqOn~+2?(4Uw_D0S9Zuy17 z6v;de!;%!&E9+7A(8Y-SK;_{KP1-}WhY(KA)mXRpjJB2Q^PRh!FSjpl9zK5l1T`8z zfxhRZ9r;yww|JQ0l$#-x@Z8Iw(P@u~KAyEF3R2$pBRjZ@52N0I)S{$jQ3y@pgn%X1%8h-*-qoFgJBO`v0=s`avAb@mYg{7$hi$j%ygKYa$lWsL5xR&x|- zV!JxsM`LEJ3u&~Pq_m$O%MB80F<`y@_zfAdkY)QVgm7X;@&XKH2L&W%o;I;cW4#ha z3)Aul8cUYlJGe)Nb1f4Y^IRd|l67>$UQiY+DX^@mvK=a&bVr2wF$xnEky*a8stp@@ z=`!Rt5hSw`O+8^|^$y9+2oIMNV5;b9lZTi;om*J|9+`yi`VqF2@9H7!?@0L*qKq2I zw!xGq8-D$IwFa0opjK+lI;Qm4N-VpyY+sx!#wpBUR>!%~>j-R&PwHTV;+I|j-E0*ZVyIKnWs zdZ;_d&V(-$e>=?_ns56|w!!(uq$SC^L}moZ(H(Uuj>eS2@c?yyfckoOe+Prv-P6wP z9}?E=9wfisewCKeC?rf^A_NBRAbeBu@uk>CPIBI8L@Qa5W3nhY!J5HAJlZXOi9Q)H zr1a~IXXPFAu?Rkg+XikTJs?mnEO?uniMt6^uS#0R5uQjxb~VrJ7?nr(F~O4>;*8=h z2s{#21DTxs@F0t7kJtPWNHs2%vM_6}kZWI0CE55jqj7IWxN)=Hp)-bAM&+FK)f$xs z@H@&1C zRJZb4Rk=Cq^th~+Ft(d`ox;n9Bgog`DJri-`(2p--X4_|=yAd-#_FcYXkc#b;pryhJcKn}(X_yV_nvOUTs-54$n#{mAw=%?v% z3hNdPD8ib;#K!X!)d(=JCJ4)xzd+i*JOHrLs)NWaMS#u-9if61RVh6|XDwi8fHIN3 zz->K8U4s&dmZokzL^L+(%20@hr?c~)%fi2G7ZXQvW8Q!@Fnq3m5;gRfsNm#?u(y-h;XJKesyMI7*L z)3t+P-|^V7WPJDyp#<(N^ZlGR%iwr?U(MmZ=W$%Oe2w>qX_d%0Gp{dg*E5+tS55e< zABl_g99q-~lk=Fyi(Y0vqkFm%W&i`ZUCz-U!vHnMsxto?Y^Ij$&i+O4Q%a%8k_tf% zRGMtAYP(WK7?$Vm49ARuRGcg4!)iuu)>8$9SpmT}PQZKf2uz7!JUKcFoGa{TXujT9 zVOrI0TsCOBjGm!?4zC+`+}>&d?i85e49LE4C$*k~a9#KWbk84|031HLT=@iy8=nA` zl*VPm3$^_eS*5fCed=-*=c1=WZ9e+C>YN0zD+`rO@o$t6c87!MK|Ec|qC%^{;m<=4 zlioEmw{S^V3&LpC`t6r1V=Ox8$e{UR-uF9kP(c1XJVO!r_B8||m?bWK&q^lHa1v)d zWZUvXP~Q{fJu#W9@o+;ZA6vzHnYnBf0L==Y$aF6(drvly+RFflcmsdXq*{|&r-~8f z$>!qW=zxxFNFI|)RC$t>w$_j$G&vC~cJz7x0KG79M@3%Mq5F626 z3;Zjv+?vY+#$84^fs4V*BkX?lYPpDX%dMnd&7kxRhx1bF%XR`&pKX#_;MXIk#!1%O zONcMZt8nU;eB5)h>~9Akp?Vi>3)fe_M44Z-o7r#_%E%7~$aPJCn`5}FvW+9F;$TLd zI4cK3jklk!W1Q1sm>Y?2f~vSAcjKFdN=fzV2@W-GDouKv@IY0^1%iusR}wEc8;8{Q z5XGfxn4vv74Y@y}b7LYRcXnK{Gy4-lq~b|)&EZ94?`gHF!IW2d7uy)!*08SwK&3 zndpSshrMC-qGcjf%Zs5N<%&Z*!BSAk3u25d)vIaj4Kx;>s z9mY68N`jHc^3_vEf~n^a_*``j3?^eJyHu>8*DH4gkI}uZX1B4L-5hfzrZ~M;Y`~CM_5H_q2QkTSSp>k5@es2&U7~a1#5qb?G`_; zLDCixnJ|o$(==s6`JqL-?rT4+;VxN}Y9sf3r(KyL1ArL+&76Xk#Djam^nY1hmwG&A zq|+X@H#eVK#e}Np7mW2Fr$dQesL`ijQ-nIF&z|m|7+CS?f7}Xh65+s6uHmWiaG&f? zjzFtH8V8Ayk0t(!$vhs+hnxo%U64cj3cp-0#fpHP?m3P5fk^?PAY-l$F(3!L3BkaYmRsS4{&RJ% zr-u$lWc0&f6J+#*!C31zxgC%{m;P1c5Q6(cDr>o}Pl<$X7NeSv+nXvXUH5)@F3u`Y zp6B^p;};b}b!VvoBGZaMH+)pB#aUymU3QiLmhvq^*@e%laQ~8I5&WNFOCS^NHBv|V zjU?}#HP>)CSMzVruKxIP`|)OXf<7L<+Rf5!y%4}E-5~3uwiUuKrqO&9PF|fQHG3#TZtA!_B9&alY2U6n7|v(s*bkfbjPx0gwst}FN{bw??Yx8GW`E0oL5G;UEhE-Wdz(Ltop!g(G5YLIw!dt5vi)8A z8(&3MitpOEp3-OYh_%b)a?_V!f!TnnwH6CrB>+xv1Z8^|o_&t5LP7xopb*`n;_dM!*`h3sZwv)(sq?v>_ zP!YO(#~d9DzhltgSKDVBoxbN$-_d6~)gr{?J4kIi)lRl=wB5;eirt-TrC4;?x*F`W zb$Zxo>uR9W_dMu3p0kzAb|>4(jD5aiJl{cPc+&Sg=sP}aJCW^9zK5f2luO8A_osjb zZjdRo&v<*E?X+r1IX5tceeRnSxGw=DXI;TL0|2tVoL4Y}RXw0X#zR13AjYa`Z2@<= zn6cF=Vxl&rN91vLR9p}R#obLIQi`L53Mp?tJ+%+F|Ga&8yoF!;8$~*3(r}o|?_vQ6 zE=w2oQQJB~WT6E|%Q6tAtoR`^b?53KCGF1Dc><1sdvrbzMPwsr#;&UvuX-{B!EmMZN8q+++aH%p$wi* zI;>2y1r!mpk9Qgh+i}^R(}$v&`yD~eMD*X>`~n4lu`Ud$32h3#Cxk6iVsS&=k|HU2JWM zBHt9x%+}4(w|w+IMa&<9LYN%DlIjXC7D}OA zm1WV?DqI$G<(|!#NMNqiTwc~W^VcIF$94bN=?pP6rpg;|tGAnsl8?sis&NVwjA=nW zfBMJm=RXxSs|eY)y}Jdz{r68W5^5A0jOjF(yj&_G0I`o**d;8{SxqWnt6Ag)YKulL zm{-=)?Up=p{ZRNg{hqea}}B;tHu4KTftb% z9NCL8X^h-?-MVrIA;AH0D_5EHld%nruH-v36ShScGfPm@ePX& z*u#^VAKZ(wT)d3gL0kL_>{MsBzlU#`YQL~uuv@TMUfv?k=kuqJck}|jxI=)^V1>wv zPfw3K16~>I;2OSb!1MKF^~)W&nVGY^&{9H9oi^J%VuB*zmt-6kDSFFBlU*`O-#vLe zp%Y0@tG)u~;T+!Y!gvG9Lqc+VGF>e3{w^P)ZErIqC1mKwDbxETnOt`nl(C-1B1Qms zxKTUFAkT=sT{{p6U4D1}?d}QUiEsjz4H_r_=@--|A{%|ZI>fIqMXjaQr~(^l)2FY; z;Xi41Dv@D`2ZFFd`@P=5S7mI;jasm3&4)lb$n#R0>@fI?`KGL;Vw~&t;T%PDt1$!Q z;~-^+m=L$=rOmp~>0mWZK-L$?!DLmW0(8yc5sT>V5c`CXu>u68R}=9sv(UpdGmvzt zDjJq;zVV{)7<&k5L^uJ>h{hKTPLj7tcW}Ls3mDAs9NXxXctA0TzNRpSZU=d0!k#1x z5aV?uxq457Kd;*?k5eCL2goduDexD-HA%4%zaQ4*EKDQ!(sS((#j@7v!wckwMe$g1v?pl}Bw!u|SP&fOp!!v3~) zF_8}*Ud8?qU>PB{S3Ag3=6bxvU!XzPR%na_%$iP zXr+|>K=b@!rU=}EzHwB=9fmYlYNuS`V4qNJ#dG&G+SE)9c>${Ir*Kdwdqd$`o1*6r zo=84>57k}d9k5BO>t%G~Acybc2b79L4)M~hr=Lvv(Tc$P=Y+l z?`G8c36BjM#h>Yt-%#t*XtnukX}*S@GnXsu&HOc%L#}YO;D9&s9;fN~4I8na3k2~vy+p{3Uep&!wq}r7_nIU9KNzJG=P2C7)5sPS%@sghn;I4MY z?ePrfmr1E5x9I@gho+cm%ev%ZZp&p~hz3;>#KequFVIYxW;yXR%vruKS)gJ>mq)}@ z-B;?e+B#6j1URca?&ZmjQHdGhmA@ln*uTOMFqISx%(MMPK()I4>a)TITk`VU>SwRd zkvH|$q&BM)i<%*-0Ep}Y(F?DFU~UgpBy(jF@9l20{t$%-j0VYd0xyyDP2nc}9Jny5 z!(VJTCJfy|E-9?AxUqskgkhxeXqV>a=0ue2w%DMy<++Irp;l{fkGg8ec}+IEJ*fL_ z=Xw|#A&z_FBz8wDIJX(BKZwLKv} zFf!aOU|U2y25bT4KGT;;@|!rMuR>;!1>;zlPDpE45x04JQH=z^+12O_A*HCVfMT=8 zbT#YT%Vj4jacf$jXAw7)mys>?npo$r^+h}Y>Qo!SGbqSei_zKod}>{3MFmh&l{D^{ zS`rvoB)I;(A?`={FhamqbU)*Oy^dlmOAfbeHobB9&1k;#C(YDw9!Wf6odh`{BP}CO z#xh;Mnf|gxIxrTbhZrCzXv1>6b+u$pmc$nEof-(a2qf@3DtDF1%k_ikS!uhgHB+$ zI-_u(x?)a-ii%U+Lp4zKu##@oG#>ArhiWt-RKu5x`idl&a~U0jvzo6kpP}f4{RuGK zaMG|y3weB56g5FG%OPEI;KO-gFwci!fFS>e!e9-{f^j!@*qd!ku6cl2efyy&sWlpf zfqEyJ76%3vo-@+sQ1L=4U{Z^6wSYv0N_n<^EpeOJr9=-pYNd#|9&|Ja>Qtv5bcD#Y zMAMUSj|3_dGS$)y&3FiE$r5lpopySKA`gFEUnI1f{AM{zS2ShG=;ASdEt%F>JT*ue zbmx*0B6o7SJ4cW&s(j<8&0U0qZ3A#54?2XJ7Zu`ANGaR5~tI%t7|F8vd2m*Aj@ zeNU4XF@SJ$mj-<(-&S}*Ns-B)7nkRoUUVrm60$oVG}g06=i}zGC4X}n;{}}yIj6z3^Q`FoED^CRiUIz2mvAAbdBU|ME$SW zWA+5pI$vKc*tOEcgqe`Q$1HTW3BT%^`(il6CP@X0@QB1}{qB`{@}LAi5E?2k=L^ z$)_bDVq?=MaA@RZRdDDfacF)^PMb7cs#X*iD{F*yd+bBq@2Jr?MvXo@YpH%mC9iHr zma}B7qL(rYuBQG$yA*+s{&!f!%2;I?YqNAAQwHI2g7|xTd7es~yp{emzqM%&2sw7yt^<-r)bp{6mt?k*h{UzFbodlk8!ga($ACY5IV_L9Qv_xKIh`b??(Ka;EA874`#tef++!)>Oo&rYLP;M*`njLQl=|ZFu|U0La|vsM1>M z5KxgQ_u9_^Sq}?j;G(u1bs}tbdrTHAG7{l-+pDdb9mt~B(r#sa2>@3r08G6E^fyC2 z(0p0p}$o*Gu4mmPcMLcUDT6&jPbl1aM4?iZy-y?c~0!*nx0Sq_b! z>}SfM(UtxDlm=69RA|;O;05U66X=zlLqk59TKkL1lRw64pKcx=_K7giXAx$k*U_YUhbj~dSb?&mO-;4@4*!ArJ0!v}ObWq? zXZ?Q67#t$C*}1?KYGU-^Z6d`EkVOJhm>REfTQ};cXH-O>&Vqs}cGw#x#ih`@w~Zj^ zTaVgD=C9}XW>9bvwQs60)!WS!3d#=)%l%o+Ji@r`V;-|9mO*xj-{tUgd(u11Vkzey)DQD}+hMbzO z7SMH(op_46Tr*L7&Ei%5J}~}HWT#X(v^eMzyglJtwu2$jDaiCE=2T?+Q?vE3hgX_L z8wM2t9KaC+g@!ycFMqL}O(!gdo?&I1odSK{D0Lk%UZ#knacq2~%PJ1KJsEHvwB3eFKLB^q%Y<^B-)@kl#$C zkih*1?yn{s?YzL_*v=Lg=U63VnS9*Dol5ceDTQ zC3t5^odR7WcZpgvDY-z{7co0>cDH}O0W7@WzU*cFfB zF-AOa|DZr_{oW81TwyLVSh?Ppj+u2dq@XIm@Cj51UM*OKB@)hGdl?yr%78#+NPGk_ zQTc#{LlhbBABL1Vq%(qu4ZjSVMtB|`(HV?%qrS?V=Nw7*0B5t z-0S=OErB?vuwg{85->K>*WalB9 zH{rVK9)A1YnvjaXo>t#ctoP>e_SdiXcef8Y zk%)5^aTV%5IbOiJg{HpuW&az!DBn;{{^N%Si4XmEM27y)v*QIwdHWwM64S^D-($e& zf9u%*ks2+wZosJ~(TbOJj@Vr^g-W;)$u%7+4_X53=0^>O462j|>u5Jn6kdb}!5VBd zF$YCM+PQie_62*Dk5o3NJx6yah*uTR5ThpQScZSIq>h5v!kTW?1eGgy zjSj}HTXEH>j|a^qJaKEJ^2F^q`ouK_=kUgD zuV!cG8~T<}s|uEO|0m&n&GN^igT-;&ntm2X72BVb*NY7*hC|@FJ`oEEi$}QM8>d0O z;CrIWnDC!7D#%f8v5L!5WI>5WfMDXO5&lVQRpR~KDdjeR9LOZR z)Q+Yj;~4cF73ijP#>yy^xS1P95Y_@_JI-&`l>!+|Q1{9X6fjcJbK-YxIFUuM6do$v zEO@4@*~Q*X5r@0C2aAwV>BxeB0VrGqY!|)lw5(cEvB*x(*z2{1pnjgIaSZ6sz@+iS z4-Y~kmU95DjIGkzz{AM_ZK&2(*b(+)02a=^NFyTnpg=2_sNEvm23FITv>{N2aphVZ zcQ~@L4}~X|4xJonty}c<0NtMHd^ZiI*R}T)~9-d;3M`n^!i$J?W7JubA2b1pcnTA0ny<3@5WI1CnMh9Akn zx3=tfTKG)WXK&3Xv^vs}oT8&}=L5e*MncU&Jv8MYgr*qQKFVT5M+_1TpiS=EaoB`; zU(?GAhT{*3a_plOj{mcFv)_BS-+M0tDG4?}50~igrylu};<|5qYgBe!Et#y?oZ}oUg#-@}Do*ysQd-j4Tyb*am7K3)3Bq{Ov1kqiYAbIABU)Ktuw7--gbSCmMni=qGzn0 zS9G)0a~ubJ-*{kK!?GAW0%^L&c(+-x#C-j_|6Y4keoV+?`-TmK^-1I-{33#Dc8uz( zhDDK63Lrz*gz7{Z+`nC&E`|tpfOT>9guexYPiy{XPrGCAeeuRfr7N_68)u)%sKnP#j;e$z~8jWDk3z(K-|k#Pd@3!SkrsiqCIQ z_EMjJ7`3cmgIbUsNC=_9V2=?*h#VrnT;UJJ1k&Tn+!Gzt7i)z&9gM?kK&M5hFVCNH zK8sPoBR1Dyiq-3W7+Rxp7UC{h_-XehDfY#+3V}mn4AR`n!m0JywigSY*xh>D+e>^C z<)A-a=alf6jDLV%3AR20RAMJPzy-tOVDpD>!_X0T!}sz#YHi9EC#SuFw~LfjbV!#K77#k%>tyID zz79r(0_RV(GUTY0Ki};hjuDz=%w;Yao-K$qmCuY4|Ua;|Dt~xl) z7n9`Bq+?Ujo~}G~a>T%xz_umkn}2x7Iz2RDbc^mPZaU@{O>8)NG01&EIH-nTv<3(7|}Ue0#-gIFZg4j%?=VwO_)eOl7G^?o2+w5u znzOcIMD~W{@21j%$*}phwuZx(oP(tH+3|F>Rs(GaGF)v@_+odx+OF6Wt2sJ43WTdc zQe7M*$^vQKdFr+Bg2ax|-VKV4gEN6|Ac*DZo_@{qJ^dQ(>DP^s@+JcfV$pMIK0>@n zbP|#_VaTZ)%uC15f9ddrB@-${(DhqFY+5=g9p$Oi{#+fw7U+eMnDQyte6 zMk>}QDO$_&jLu+~wo%Yn8GS2uj`L3?Lz^O$o!mF*TWD-$Pc62^@CYe4lOW{D@Pw%? zQGniJbS*i}A1j?AcY%luzfK*-X=i96R?<)ARs-68)H|0egUM#dZ|ZeeLPQv8aHxS! za|hWgHp;Ragi>HIwChYHL%PxqoDE#X6yVGi)8r@3E^FwUqlcAf8pPuUIFF00Y$NG$b4r>c{2cUA*VJZ5va>i$xCDB6Vu8_xjn~Tnc20c z7Zae+-Cie7nD6=w+7pse{8TAxd5+97o@S(o;EWrBGsKdKiAGh41(LiFe>sqgUStR4 zUxdqp?oUL^F6XEb!?1hu!puXXHm{=pZkLT5X$k;T7Gd~&TGte;d>b-BSvb7L_CtQIrbZcLEF>YxFO^+OzrjP327?lYw9(}kBj4+K2 zLEA#r;Kg8~MM4|Pk}HR+jp@P43<~?vLenv=Hd)Rob4-{nYFa+k;^c=s3oP+Bn9spw z%=GFl`m8`;!#gf{Zj(N;%(cdmzWe+f-TaCN5rfS*-WENxUrkS+;B~*=d`6lUlc4Y^ zIL6gMG!Ggs2?f&4E_diO$plhs7GhaOkB47Q7Nne0xy@6v^_~LriTDP~g4E1a-*PmZ zsvx?nbpwh~r3t`XoB0$%+dW2O$%wPgt1(Or*$nHpX0cmC4fBmwq9Ftg+u1_f+hg*u zr1OJ8?|@AJNQj_WoCUZJdX!do?|XM&KHYt}4cvRyAH_$BR#Sd8Ke_o=Vh(!Z(u0Rb z4iutH)k%9;^-dgi#J?Q|?}T%pq|Y!=T4eGno8-c@hJ1x;-<36LncLn2b?hgRN6{6= zG}dEi6X+A2Eg{FXhdupz5C6FNh9uqJML`$8H2T`sQg(-qFBTq|;93*4Axq4cwS=-{ zT264K!F@(on);}O2*qaxlU2VFpi`~7sFg1e3)z_(hSH4LB&B37q{U!syU+a4w1#Do zwVumgxil!~Vv7mY0QraSAMZb@X+ijig)z{Zm7Lc(gen_BgKLlIb1|GB-MQosZSU0o zef%;1-x~4x3Ll49E!BCS%b`eS>D?HmwVI<%$7;KQIHdDIxrg=ZsEr5y;W`YG8b$qN z27eYTyh5Yj7+zKm=@Te$E~uB4?V|W_lrdKCKu-;5D(Z5At~PvOIdf3iX`-KUBzB*$ zM$SQ4!gDQOU92jigBu3guPBD;ZW%i5I-@DvQTfmiEG4y|eMCqeS@kRn5LAmMg3v(l zT)9jy<_v0@enD+q=axoc;ifUiVx&3be(KdsM04FOq8%Yz8)&?ys*~x^RYX+~e?gb- z7;r{Z8P+3Wp>)@P(*58uu?STTz{Lj*!{EhDE)D`MW2n?~V2MhDVHN@15bmW6%sLIR zC`UqD)o~>-K4`*5V4`+FUmVe|$dis>Zz6F-$wpi^h@2u@2w;7d{2TWy2+x zL&_8aXYg*Qx`*|qu`62uyBEs{^G)q6R)W$)>v}Kct?#F^7Pe7X&tIp9t!s+DAgPvZ zAgtR}pqxmq!?`v>b11SOlGVkG2qcSsE7@)hX*NsM|DFYp7vu2=%$kv#CWx_SDJ+LK zTLZ;!mg9n(ZO46tk9EZza`fpcrkwF~6;qiZRZK|+61oHH*K9{EH7YI@93`%3k?%ws z01UuG8xCAz2K!Fr>w)VOxgb3V0baUw7FUgOhSk~;2&-l)XMvM4-J^BM&vP*#XF1V4 z105m-CZrZ-6~rvJgTi|Ac6)hIoX$4PDzlUxeNo2#_W7cOoX&hv#+gRt{F#;F`s6&w z##57yLZoy;2gv{p#EdJXPG|y!9>92@t#mZOp^lJgQAK2l!X$k$?Xat*KoD4DrBXUF zt>YbIY$Jp+%-<4fl+dm43J`8^RI23BPK$xXwXW-9#XBiDine5O6Bao`X<1bA@KF44 zljGS$7tw(nuhzkb8%ifb#C8Y|J3-B9{W$>TbcBt5e)|>{dDq$G1o4G+J#yU?}HE%>qt>LP3IDyP!w?w2?NMbl)?2SH0QTYWERJ zcXqisU+=!48L=AwD1ZSH09ngoFsuOro&~G6E5g*>W6l<8GlVx(Kn1ru)uI>tw2dzK z+5~t1C2|HFZ{Tnb-?2Ed69yIsVZ^~UEQwkMZfPgkV zCBGDI3)kOtPR<-sm7bWTFUfKqpvlE<-b)-9BZ=$x-wkp)0kt$~Kh?%A-{R0I(vLL6 zgn79NK|_#*gb)jk3U%lk1)@anE${LiRMbH%%m=v0RMhNZE|;h27!MGN8Csx z-N~C&oPSG+Tkv&vTp*I=#AzF{BsvDN3Gzh}u$^vXGf|hGr{*;_W<6-$zJ2>dmO3UZ zn}a8l2V~0O^HavpPkA~X3O9PyJbR;8&9gT`tiyB9^7HdI`uX`AL6G1^rLTXENYkZv zlm}d@O4Nbkz~NLS+ApIeqoz9TH_VPlj1&8M`bo$x7AGMc%P>JX%G8k*kbQzf#7W8^ zGR2S8&9qXl)s_ifO{ji+bV@iy_=WR}lt^w?8`j%Yxn3x{pnZIytq+?l!WD)L@Mk10QFV;=$JFHW0D*nRAgo-3}ExC&3u{o(ct$QcqJH#ZrMU_<=2;!$YDsP_4o%%e!JWK;t z_4i!Fpm>2ngO$DkuR)P$&8WDFD;?-b+Jq)M9F{qsp_`ndals=u`{i_yQT8v+K^(8&S(aEVd_WOI3*Y*IO- zuYjvfsQEWQg${{21tbi8@M1QjV+a4S5J1<6i?_9WDol7nVTkDhoQvstMa`+E#+nmf&O@mbcsO zzTG3=%?TRCM&oyq>#@S^p?y>}U%CzAgt&D^~z;I9tq59Ituy*$30E`Cm^-i?|z;HvZoF!cY?^cd@Gf=nX4hH7zE1l`cmQv5OagFJ6Bpw z_bOD=y$aQI(GpG0Tmnxc7F4{QJa6e`y)mhKJnD^y85l}0vP3Ej(%M&Caq?-z%>n}} z<7N-hCxLpyl&XT%y7tr-)PFK>CiuBR4j%5TsaL~*Qi#A_U$2*EIN|cxvMR}Cf9%=S zD`1lGFt;62E+wN+s_Q5pq7Re7Fd@k$qtt`Q?)16{tJh0Zc3Ghi``PXco6jIlyeg3zTQ;Jw%T+>EtubAte9M=fcE!uq zs%f_X+7SeKIZ%<|ttsR>incA^$TltCsQ5^y`cyG4&tJWQ3LfQx_$NGzi2e!OSw{yN)~u$8V9`ljOFqRN1p|Eg3%Yn)PZB5YwO5UxkRPx+fF+y5vvtm8TneuSI zxG;Q$K$2im`0VV(ZWBfwdTEs$d9#^b$daH;U&z*J#w}gJ7&*!>KdY2sjtIcMLpm|W zQx|4)eoojIyVyb)kwJWcjd-Ofqsrc^0s34?eZ3)sY$t2L;FDz;Fuvr8cPViRWF(Og znI^%N)dk&6*#D0NOni+0nV+5@Bmbw0Svo<^4G$ADkkr8#zogTPQTfJViw&H+Ws?@3 zEigZE7ONI0rxSmi@8FDVT?))wD!#~1 z?lHaAY)>fnI3Zzo+e4K*R3_;UuXpbsZ|^_feUNfkf-=z8yuH1D|MhbKx!|Ia_!_v^ zys9Ho_EBr%tulr9Co1v}u27Oc>`m6=CO~;<RZvnwHNY;Ief|AoMs58S5 zAqB_%NlcT2#24m*1F=0vylD@J1RpE-X&}@sfEn@A8LNX1U$RA9st+5aCG3k{I&O3X5rQGn_2o z71Tb^Jpy5^d+dd^fAir3QrpmU4$IFAD45}woUt`Q?UMir0FwuZ{3>fzNi1K?ys#ny zO<$`B?0?w5-T%1%>;B*N@Am(%*A$RZsVM-!Wxsd3-}|`V`*pwfxBcGTe((SCb&$_v zDd}R;!^NvH$Z(U_1R_VJbFb)<5OyAa=>Crd)*vSjNKNCVUNe$nJd57e6AzLEm_f^W zw_C1{*{~#gz*CSWm?6*tXYhH`NDp@I7}bN3F}?ZmD-^4wyIxNn01Yn2Top%kx+Vv% zJ*dBl>pSAB+D7aRg25d=8l>HZ0`q|47N1cRPSvf2W-TVDm1hr%jVM8TRG%cF8WH~t z89G1L(vz%?JKdi4P-f-fGZt3w$<7l{MiiY;P3r|v+RMf2TvHgtCZZT&3@=Nz+h)=z z!0qy4G}fgLNIIy*`wz@7u5iw27Aw(+eaq7Y^q4FrB%iS)%U0W-C~MbSxY?pvFi%H8 z<#TjVcqG-lw5C2CiDDB;6iW!ikn0($0I-JMF-;d?EArSWXLO6Z;pPw5zte1=1yGN3k|vbZFYgdzkKqMLOA z0)mkR6DE`>7A6;5{6DfvQbf#^_JgLwafbTy&s&lQeZc!z71MMWvrrE)MoK{ROka+g0#OpA-hz5diVk?c6$Q*6Xuu*ro-3u5N%~5{YZs0mzKuUBN|!rjv#vs60?g^L$i) zIm0OMLX8FSB23uMv!QVg1I3pPxn{|lw^=|tPO0(>@+{z5($%4z-lxc*b{0!jh=7|o z1mdt=I*HqwsyazonvrZ)u!(Vd6m$r0L*>YUL>;OSQDu_Sk!@MZ^wYRDUj){QMvbJm zR5m~H^uxTCRwfm(0e&wY6CA*L7 zta*O5L((0idZbz$1PEi>96cfjp(*E3$no~g3T_+L{&E{aqT0wr(QbJ6{_B^IcfTe~ zN6w!oCu)OtrOU*q$I_w>ht2&BXGdb0oM2`h;T|&8_{D3fw>I40Q-VnJbi4p_L zJ;YB<06pAf5Yiu%h8z?iNtf3dBjIElS& zEZTOciAwJOxSoX?gwAJ@#D5c{@JvyVRwf9I*_I4K>CMbW8Vo&3Dzgo7+4m&M;REYb zlS$$jdfBKXcIGUJuxM|*Jvmn*%vz7CAojv&q|rQ~&0{!%#7p5oAFPPG>NKuH7E1#{ zQdEHnF>p;KXFoYjcM@y^pq!2i#16ttnyxl;IQoCt z%6&J>zo@e$h(iYCzPFyj$GA)4g%nb zO1OAV@+y5NJS16~RO(N^rfJ2@?LdntL4k5M8n#}W7v(BzvT|8g!yGBN@~VN2sY3_# zLLJAfjObUOU@L1wUI-p;8R)(osL*_C3Lhfc+%1b-EqhxIVmRgw7jdZ0TaOY8piPqo zgNAIs^deYLnmw3)LF9tt8iST>2qRHGbj3O~EKgflYzCqhSDlg-*pdK5kQ)hE>OwPP znIJ?!5jg@BTIkaHJ9`J;AnqcsLyKod8|Zc-19XWFX6Ku2Z|q&!hW!*kLeY*xv}PkI z1NwMNw+zxnth&%m8S!seb zYwVYza#Xks-zLr^BNy&cMm;R1Q76>|L-yZ666e!Bf4 z+P6C&V~HYx9m9N1A!_GfeI@T)#PR_#R3fhO3a_p`o+T^fDAvg-v90W{r7K=yWei# zKYqQ>LR(ovIuFTB6&@Q^)~CD)xlFo3TD;LM`}&}M5#kMg`>Tg{0SG+69s@U=^#mx*iP#*h*1hE8f77) zp&%J*FJt9U$PBCR$Ggvf#rJ-A_<;=}BKruhLDxxckathghjRZ{Q}+Wkbw5xub{hw6 z!BL<~gPVsxjX;+O`ae8G4~fBKa(Mga;p8}7@I>pw=~{0ErfyTDAC<_ymJ!d`5YIU;cyozEoF}6N4;6=Ec{XJ|+X!=X%+Lj+c-Qg> z#;EA3&_+(|)vU$oX7{W;Sa#a`sn zkSe6u@D;v*CN3Jm`1{9?txd;)kjlfd3oO)c2#5{q--Q*=k2G;0_gs=cWGC%hLKYfRDTI(`^@(lEvN zlU6ClrEdve*28iMvm=M>>QoxVbdC6a*2iU6jfnb3l03}_B}Sa7l7bLbIVDH1agT@*ihK{-ZA>{^ekJ^Q;C_PV56y>}< zs!s=%Yy}s}<@qVnBQzC!@5lV2@Q@#S>irV?aMlbwj}>pOhC_BOf01-3c@JMcuQj96 zOihW6^@%HG1WlxgxYczYEgeZ~Q~>P=`X*=xK6ZT-ZxHBQ)Jph~Gv{i2eS&SE#2-%w z7AB)GBpVSaxek!7%w5M#0XehAV$c0CgHa%Frd`^6q>@fAkjraX8HS?SB{>~DI10|p zR#Rg5!q1>onM0*LE?-Mzdf-ki76$3Zc}WHuJXb%<8G=Mom%ONxDn=!dzQS$2N4h53 z6N$(b^NwGV6~+0c>(rf&+F1dmG=Mg0&#=mf^OW{C<<)T+{*Kl8W(6w}; zu@T6um^r9jWRnJ_&alD!c7<(aD!}i}#gEOwMqJn+J%kQ1$|5WejpKN^B7}+weDq*R zN7o{<-dv2&Pq!;XC?E<3B`gs6)>F&{f`#3z+X4!^o8)6<;2(ceD!5M_gMl)HVz8&hr2lv*g0QG0;?5H}RhgO{92DUgCNJ0{5%8451WHwN3#Un23OvSd_i z{i^SE`3?ISwY!*vbv--Or5e51o$b~uhNU4A%MUY7`PKS@!7iqx$z=4b1z3E!hopT3 z%JWZuH`Ny9=n$3fsLNKH#UD;M+l;@lA?gY%(?16)3CFKGtwJ@=e1Z4Qe2AeSHu_Jj zV5H7pV{y6D*&|r;e&^(l2YL2u`Zyv(olMQT>ko%Oo&^Ff>KVC9pSn3S;c*iwYnPaX zW*R~RL1q3enC%m)kIwMg{NC3ZqL#8ixyjp!_tnyd!Pjqz$A3Y zL^@Te*|J)JYH|bOy7Ab=y2A9_XuSsrc-J9NNg;$uFog-%rMyCNu@JrK7mV-H6q=r( zM(+a7om^(fLS$H->8d6;yIYv&6ZAYpxWS6(Qz0hb3N}Yjs+xI2+>@(n78$$2J9jaE zML8;mju#DaVZK13XBQ*-OuQIQI2x7*#Vx-aCyTR!e-QsTyLbZ`#ouUMj!df?isRF!bz@gUg(` z9^4+#=c8At>>A*JT6Ijnw)^<$=GTXTxP!vx;oRjq9M`xQ)vvFpZ6(!H0zVZ^TK+Is z%Gh0Fl_|21qJE^IT`5rU*|QCX<<_N3YSemHvBJKdfP3TgPS8ldPi>shsu z@sVihKr&=~M?=__AU&Zi;g7`dVQn22j ze22(ir6-k@q=xDemNg>4KySJ{-v4$>f+vHE2y4P)uAaiSxm$iF2Nr}=PcPaI`hsF9wud}`P>+37+eXRUty z=|y0b_2J4%?wF`&nYw-vy$*5hL_y~yd_xvsf{y1!RH6?w&nDCinH?++^yVO-+YQU2 zktalyIy6lU%0$M_U75%(7Rp3A7HR1a0$7f#9HE4ukdj2IHjUAQbar}19u6kvC>~4+ z2~**^!ZJcZF$Y?`v^6SQdpWTzZZnp)P99)^umspNlJh4m>4O|c#qF^9>BY*HXT!SD zJT&3->RXlvP(W2VGdy)70O-HR!fA>rXeHFsMcoy7^}dj)r;NA?O?A=9E(y5&<(Jdb z#p2}T^t7YrQaZs8QssB#Bmq>mhAar@O;}WRT~Dwppw3Q^9tE$8O5%$vEtd@9v^Jdp z*gJ>(q3RQ8UhQ`Oc>lMXd)26&_Yh|l=Kf~7l9Y8>J4D*;c7J>P`sHD7Cw|9w)^!B` z0$6j-GPRyqK5a%W14b~GSTf!@B9I!qv!^>2_QF9< z?H85KOzW(S=vQJrlS8?^RlLH(Y}sLScgeyN@@Y@&1`vZ} zO2P^Qb+VFSp>>{T0U&7c6M(q7Kn$+{6V(C#z1H{S*RWaIP9zmWoNCLASd(klk;}`v z^J3pV(|69h&ILveN1L%=r(nlq%YlNSmtS$Q?8E z(b0k#dL;ksGvkFHq<|qmP^ANk#>EQGOe6_q^+M|t^tUC2`r-Edi`+@j6Bhxp_Q@6A zUhMI2fQ2u6;w4B#ZY&Li1F*LCw}*+EX}~~-nyF#?YLtSMRshuC`s^cY0cK3~ zvh#}8pm82NB)s;3vNQrFs3xHt0g1@BCpHZ$l?yV*kub*~6yb42*zfAR4Gf<#$T)*{?NhC+E+ookAkKn%4p-|JjHx#~mp#n5cS z%`IRf0O0v$|F!%(_L2hVLQtKt;nMdncVEBsib%F^H}C&`ixMn1pU{r*_QPJoa5j=R z!W{Tt*z4q4Ws}oU-Cbpq(Cr#MNkG6?fMpy`NvR`;Xg5F#5r14Q`t(Ws)-jwJPlu&&>nK6 z;Of>t2UFP&5g;$b!M9tSUop%{daiu<`u!bRI`e%!cL%zBSmNS(Wtqzvy3~9U{POUkN;*wpl5uF7OzXs72iWqQsI zjf!tSK%AiOoodM*QV^bbd<>yXMUIM*=Yv*cdF@l(&B!9Vre~rS=VQ!0t z({)jPhc#nX7pmBAQ>a>xOERW=X%A^;4|fCKhrCEz{)BRIbl|1B4SftGY8*e7Kq9Ye z*BHtM{HF8si(imbaB{f^2iXJE_xF$BFnlW)Qn#wr zo&TwSwXqz~rXTQ&QE!B-6kqt5C&a=O>(}9Mbxo+8vd*dTxS5iUVgQNmMQV-%xJ7AU&%0L7qo!5p4)r+D)(kSPo$m`=}SZ4ggLI;$q@0O3Bm#96-E+==j$M z2d(5Ret&S_Kbt3s9)!f*x2MZjQ*@u|?b)a1ifXd`12w;sf6UTfv%6SG^4Q5%L!1pYC-!q=uH8* zv^0R}@{ap#(#;Q;A@Rwon%DZADwGDx$h&I|lt$T*GpT+|JV76x7oQFUM(WL;8$< zTEj+49j4qV**Qml!jEJEN5lyuR?9O0GZvOx4%q1fYu`FZ2ZIDV-1bKgTp41u1KkRlmcY~OiZbaRX3j(1P|N7v;&mtZ`CY^F#^A zy$f*zQt!f2ybBVAi%{ZlIOwnrg4tB`7#58NrWrF4wvWI+2*^aJc1_8jHH!WVy$>{Z zqyn|t@g6i2akArRzCl1#Y2dP;ATSa1I_OOpUi$FIXY$FL@1Gv`@Ol64^S4j?zun&7 zePF5_>ZT$q@rR!XE{oXKJ<% zDp5&`mDYV@Uj|o+%nr`bLJ=NsHc0MWY!K8de+P=L4OWP{I!)X}7M^V^j%{i~b-Mb#&Py}%JfA7DhQ>~RQgRV~l{2^W+rK6H3Pw{GK5 z)IsobbfT6WgP>4UFS~fJ4T^kEws1>R=70soOeQm*x*Q)M?fmkj*9zd#>wdfTx8!Di z)Mw2wh7;H+&#vVMJRLIB!T`z;{~ahix|918A#rfaG)Twli$ibd81Pr94bxB$y95u6 zGKcfC#qxBT6>{pHq!c9-s*wVTryneY`W`&Y;Zsif;c}eNMgFy^dIYFL1!LF_Jw^bH z3;pBnEdbOAFK%BeAjrMZ{kaB25472;G9Tlj{>-w~RLx?r zn(j1d+?;~+g5*uK-rs!s^p5x@ecqvIv3XylLg-?ZVs*ucZd%Z6?NboMH3MiuFxMN0}X~LD?IQUOaKA1nxhnM8{1VSUmay!c+N+{ zd=S7HjDREJ^0&C2Z|bzDz2V4NGYPdMq-$y_NyMxPnw;%6cSh+kY;i;&2XoQBv-Khq zcTP(jCyCD?da%4+9GQ7g8HqcZN2Y1-??fu_mbEGR19FTz@IY8u7>7-$ zISxZe8pSd#-8dMT{Rr{6rfvaJMtLWW=5=q7N+Ykz-1`)w2Qah%H5>ak>i3Jz%94X& zL*xW;-;u(d#!o`;wT*8L6gyvztJ^Jq3gqT!9>fF-64%lg&+K*M&=~40f+S&|Y<@u? z5_pi2vsk(_FJbMuc~&|o7Bv-f($EIWCaBn(^5Wqsj*;mqai>m2^ab&79iz4!6VsVG znbvr2jVTcrX(xF@ik!_hY0C|nCp49iSxkIOyd-a&K2$(GGSluR&2$ps6+g2kS`d)T`XfC_*9 zFQ8Gxq<-Y3f9c`R6c;zow*0Ww6h&ck>Jg|H$}neCHjl2+aTh!^ejXhf0~|8?oMTH~ zor5CJUxTm4+_^0Ao;)DN4y|avy7M-@tm~Wm&`wam3p~8Jmab|}zQ^DB{ikNin)ANV zWrV;mvU6_*(D(AcprH+#oM6c;%Qf29Oy)OQ5EDR@ZvGL}j_PPdj@9S!DRYgh zb@AVtCR9-hnW29%X!9T~ zB||!wlv8uHdHyLV1P0a&s3q*9`Bp#unZEahmj3eh&4c-6|N1Ya8ZTlJl)57<>J8Ue zNFfpbWJ3t)Z46{R3Q`aqJ~Al?&yOj!t$|z$w!Ro8E3mBWH$hacD3=4pZw%^iGF(QN`KgQpGH}nEy`p z1zOA3$P>h2Y9JZ7Ag$P^nC+N_L^)e1zay_t25WBMUuU@-=>AK zI7c4$e($HBev%Zd$)Gnu-aZTG^c$4DM1r@vZj&+viO9$b&`AL5_EeNcJPTYMB7Bo4 zENAErN>r%V{c{rS504wD?;zB~AJW!Z0O$ymGj#Wy1{vV;@XXM=;oGTw8u_Hr+OI6_ zgM{-E9rACD-1i4m$S2P)?30(fzaD%0^6Rnx0>DC>wWanVXS-DpBuh$YkLtR}SIfpd z#C(k1Q%8n2JcW8Z^WFabXnFm z&CHIvQ^gE>9XC%6Ob`3%$#JmW6<6f{lzNb0#_#j#w zfy5vnl$9Hc>q=CjBa!910}`*A5J_$}(wq)*@*?9+-DX8~xO&+ar!^?+LcDN3pHNeS&c-=Hgw%VP{Ipqm zHEHFBJi-Q3p=8}5jNC6@)k)XOLe8PW#32NkmsoU zYkoiow*P#>i0eO{Ptczw?$S2fv#S*YIUgt8ynKOt{$a@H?`uAPFsfiKx58j&>NG9F zU`1-Xxky@Lfu&0{uG=ys1Td!3otV4e&<=74krq4}iXcL6=x?{vDIkTKCR+sLCTFTX zL6;~w+U`RrktjMigq6$FyG@~GtJL(y^AeM3kISJ`pFAuG^7KNv7JNyleG!*qXr{ccQ4T_Mb$LQHjZjaLF%e^R6V&? z+HP^^Wr(&nf@fMV>d6Nj%wIVlt{nD`iF_CHre@UHT|nMyw~C!M9HPMK;05zzM1d$H zR29aG8n>$JsKW+AbP3F*bINwuG6`Il;%ulHj{mP}(F(T*r@PLS9nzbj&}S&W(6ocg z9c@5-`E&YJb3mZ<4Y?T_cnFLdfhK!4^Es5G@;tI)hrmk?;o6a(8JmR!Sxs9dFutUs z?;PM4q%7~oR$9SDpE;mup*<8ozy0k8 z`(;RKdeJVBBx8uE_aQ;$`PnNzTzIzVjDzV$%^AEX*53A5ZuGoPMUt=uY&&iHBiQyw z68+|Df9S>0gGmrZ4xitZLzJHYIXUU2+$%B|XkiQ`VeooL#Hft&IrTIIa|66Qyn8Sj z5VRxFf`-(3_406Ul=5=M5dt?Q$lR)GfdV=a0pu}|7n|u8cvKb-#Ke1a9K?>AYQ?}PWc@;~Vp~I%Iab&Ux~1kuOB$H@ zYojRYA18-yiM}IgK=Tu|j$V-z)|P;LV1| z5?okISprc8ZrU+kVW(X)m7l6EJwUjerpbAqU&NqUpWg!q7Zl1Rxv5dRYw#^CkxH#K zh9rh;gpS}zW+OzUnP;}>4CW|26#!?#2~M2f7ybB#qKK23+{phJ{05e}(k}+V>D95M4m^8GLw2`ttBg$|`n6CvVutj(Z&^7i+vOyowL}BwV)qcfzOk5*`q?KqqcK)8 z5qFyX#y1EHZi_d>|FCfJyrM(|&yqAll?c?ZfKSY+EaX$ObwNE2SSwQkfBzk0cj$c+Lb=R728=+vldSABEZW41jJ+4B{p`-qtM5@M<| zy<#~*<#G+6#F1}hi{s1lCrh7W!cw{xm>fri25B?~G~o($bKP1orOpprF-u-Sw6M_1 zCg=f(X;S@cimdfCx+X#k4PaWH7mJsX@XJ9*eKfu9tJ(gRJcS-EWo>GuPDNBDe=|RsZZd~Icgf;ERKPFK-8)qqQh}xVLvuty!s0wsnE|Nb z4c?&B6Oid>45=aDc1JoxUd~ocVQW_G$@V1h2#$2@M?OdQf=mS2Q&3cnUd)!vR6j>J z#T9%1umBgz+@by!UoUVTm`;Zh`GNyydMH)8(U9u339;bpZq(VWWxQ8s(jLcq z7>WuZ`hb^qIbr@&>>@0QQM8r9DZPLQH**J&XS5RAZEDRNL8IE|Y{cO~yD^5UF|-jj z9xc&O2a9)X^^CF`K9BhW@uzUJcUJEV>rrEy*eU_!V6?}hu{`(SP%|2{LV}KUHh2Zf z9WM(8S~N&YgCZdttG-oFfjyqC)^Z;>AW0_FXJHR<%jLF+utiU_C}AU8vTBnG(kEeb zf?8j0K~8)vgJt0e(0%}$t@ zuv-ayb2RL9c6t6r0l1~&VSDlyQY*jQ{^KX4PTqcb{Au_3-Q(APyx%>Li)x57tm{{B zq1s=vN6`9E_Cc)07{v&}vyWm}C7A-#`dCaHsvN8yc~6d0M#R%`YpfOY+f`OTIcxjeh{73nRS=* zd^mibi$f<1U_QBzVU!7YR2$Y40bSBOuffm2RUE&VUY@dU9Q0I#{Pm)>V6!h)U}uXd z@Cz1i!Z!>$;Hly+so!q8;I9@*@ad2&H};rIC@0dtD`!OV2$GsjLoL!4HIcwKl*HhV z@C3D1ECBNUPh+bA_XxxqE;3$GD!OL!0bv!NE>D%UqnGF#RCQ~|t-}#uM8&W^zNj;@ zmR@KM9nP_3W@*Nt0~OaR4_nUAhZG~KIYOuJ;OkI%rF=<(MCs>-HG>Kg!O{K19N#u$ za;=%NdsODU3?Yo>#d1CU1^)D{tpy}5+0S>Ym5tY z5$^=6@TXMpVOMKuC={4ODC1L`RbdrR{iwV-xEpOvBJZ7$#&+^FVzDLUp}K*@c(5!S z5G(!_h%v#e=As@L1~CW)kU`EyE=^*XGOMW$C=}?yuok{CV>Md>1!_YoV zB_7fKv4N|MtkbOzYyxB-STGE*s1GQ63XW&BrR8`}dPx zOJahc=%g`0e0h+b8bxA9z~TufsWPf(BUv*BE4!^#Ue?K3M)HF7q6P4tYH5|1=Kz~oRCDz_p2aLrFyYNY~alI*2S=gewhMEMQm(>`J`bVUx z=&B6?fq`qgQ?NM*oSV$7TGWt6tXQ00;yjSC9S$l6qoJF9Vn4&#GDQ#OlQ&7kRk-GC zhwqopme>36`DTaA(y#Af=>=6dDfI&~OxYS~2*Pho^7P;JZArc*KdnUG9|!L)IQP0E zve)w*MR2KySvahI6bC?dD$#o9JZ04}P3atGi6#{qW#8VvN3oy#y~p3Agb@Gw@!uYQ zW2|iNUwd*{{{3Aqc-S#oukqe}*3QLeyq0CHB@r~OIdV^d<4(7ur|~#UFhDLbD(sAE ztOE*G$ zi)(fbL~1?it{}H?2@OBAF`S|z%K{x5cQ6#}cWB6-NoE+Di=h?tUzZD4X`-VzoDS+V z&G4w|KOP31h7Zequ~18{(Kyu-sb0ZZq@C$#a|L9)MYL8Y87B~JZqem73yMlIn~Gk4 z$%xZ_?VCahIBfmh3oqdZsrgjFx5LqN_|H^@`)6hy4gQH)$ZO&(JyO`@AZ$kJERWJl zNd+L7>Ei*>>$b{Ru5D&@z=|#dE$zD z{??Na4H4zD_9_HzpT@Rt)zO;XrhsD-R!DoA1Q?^QoU=f$z>$H`XV$P5e}u}L2=~^Q zG8n9ZX)FwYj;?LUcn=9H2MRU6DaKt5w8}QnKv0r7Y(l_@&=s8enw&C3f{RGN-`)mHmB1Ks#{scWacEP%#-gEKy}KGhq(c8 zg8@jT3t72v%KM6zA|ZJeXCH=YRDqm~)doD97JNVio}A_Uu_WZkylvH)H7RhNwBw+P z;!y3`=GwhY40cL?kQSJD=Z(+xVBnBr?INl&uNh6w7$_yZ+2nsua&*fXdT5D(n9>TobrUyoT7Awk_Z748i&Vb{Y7iK6kS^!KqXBB&T%UlD>^;@U=Pm)igiJ8rq$Z+(ipp!SU3+WgMsH;-m_2ygX z^vrtjaH-<-l1aE@$_`HwyMrUb$Abs;1Zie4i(Ho?KDjlCD9hcn^vZ&cvMz@_*5kJL@;Oi zq(o%IcW7QbNNW(&{!VllGMRE2w!aGG;<9gIYfx6vt~z5Cm7^7Phtij=Kt0sM^j~hx zC)f~Hf|#uwoi zkE`~hDf9^i)`pexQ~A;(?f`s zOt(-62LyHR^&I+;-bK9$vxWiJislh*729^!j9fHJKm&pUk~jo^s&A99ovjChsBEi< zwOP8UPDL<%1y&2H1i+TCeSig~7nfIvzJhCgb?r^)={zQ_DC0%I(|j&wKQKKzrHqkr zwtRJr9!GMb1}+vH-Unc&Cjcgs#;6YmjA`aqm+52y4-<5aPw0LYC=;_3pUkf7!uvrL z6Ft~tbG`@6(*+zbQagUy{A@w7xa8#`@NpUT+h|uUJuD>TnQB)31NX{;K&FePhvwfA zlOK0wa*UtP-sWi-0jQ4PKxHwWh2BQrWvg z!Sny|^1n+8>&t&1{s(MjY<6B{(zOl)rCZ~2r%OcD<2LkVCrR7*v4n@_1G-&t;T*?O zcndTFS+TPqE`%N$wFwp=W<388yp?+c1`ZaXT)Pz%uU}>4dWUiyS;77^K3` zcuy#tJO+;=Y1A1=U!Nmu;-tv5PsSTc4ud?cRuWJ>1M-`YPt%VP{=|A{m>f)c2P!Zq z_C3}xwjkAG2kQV_uTp<|egJ!kj4*KG&D-;hdI=GwRq{c2T=T#uXGNlzr+vbv>o?P1 zwyd*g(2o^9h2Df2mi*0A~>A~ zf4*7(hDUJQDT-Q<#wrr1Hj9f=LBfUf3$=${b1igZ!n0K{!9^)LL6q9)pTy7WRH~RTU=aMlQ;1wlq+;5hsRG;1q-Cy%*?2?O_>hX453)WU`$P$2%Fj(scdO` zj&3OZ=7?oyX3+*^zOK{N zhV)C+sS_5-=H`L841-5X#{r6vfg_4Sp+~bCb703-$MpB(frY~9>77z4R9?CNf)L0@ zgfs`d*L5w+lHtM}%AEr*4io|pV*Gt}*fzEKG_8cvF+(4(BKzTfiK4aXyUR29jyz7F zKY2b*ppWyWM^aOu+ZHDtAWLrs+Jx+H7ORA^+Z*8=QSDEF}n7aY_^$) zW}7EWKP>k09E+N0Tb*;1UUd6++f(>lt>4e;Y;|chT#T+W<6kz6)q^wtHge9W;wP0{ z8T^*+LE-}KgQRpl`7P$y;+uB-I|h8eE5oweLb9692qt&NY>s3RDx>=Pz(ZjOE^gij zSNLe6wPazXU!I@h>`v!@xmvL>a^N6Id09938C`sg$S@X}YS3R@Y9j3YI7IT5uYoWY z`9mq58>6ZF!I0TyRnArDAaXZ=CcxmJU2UA)l3LyfWQjgR z$JcM*5LrSB<7872UY)~P;cpPLc%_A9^|IfrJt*5G>`9D-0|_CeDj8T*j2CFM-Mb#q zVK}1Ir0an;6GQ3~O3X+fW*$0~c!Oc+K#If`50y?Y7V#757tC|cc!-nNsACKZfBPEV z;>5qLTO{|wAIqJ_>S->P>MZC<0lfAUb=?lbI;u5Ij>N!!U#awJxr%X2RZ;ihm_ zQ5jJ}xAn*i_z$Q8IGK%8P-aYxaV0bm#(Rl_&`k+t4X+lIPY zU{z>psm!DwmPQTeyx6(kM;LujJ^?Aijim~8WklcEw8n_KLu)_Dbg#}C73tqSmwS@g zWZL6MGk!y?-W&-}$m+rC&(~KAc;qy6^6uf`_OTi|2{&9GJ4u}iKfN?0xe})%)dXVj zGz7FPHjN1ybva;BjtXg!88j%!*T9>M3BlvPMLAYl}5 zD>IQ;VUm`pmaOF?4Tm1%Y3yH!kZ7l}paau&Q6LE(1Q1CpnSL@(f=d80pGtacMc|!% z{c?&P;y3R;-LBB%b+!4+mq7g*2;++2;RvpVs)~r>KV(smyp?g;Oww;&?82zcB8}SP z@-+)-0MODE1%~1w7L+;BQ$D%d=7tma!y^8Ay== zDvO9avPNp1Lw=v?1i2ZoDk$11PZ4^)D*5sL@18|zE*LF6i{D0@ zM7ga;Qb;9CiC(B)&K@=Ujy$JvYDTq#MW3&)B)KF_&{kz-)*nPDm`qO*cq9~MYt0ZJ zUhsP3WN+yrB^AkQg|7sEHl2^qsY z1((Ef01QIKsRu#yyxWeQP*sj~KS<*~EDufoiC`SoYDd+18g<7jg@ zeX8t`Oc_5vW%B%#BTP|L*UB))wQZKNL-vA_$|E!gFC}-P4H=4A*E!_$Sf8NFuQ{b= z)z8hUhnLmbO3zy({-bKwXXCosCPJM4o~Tb>PBGdg4htjNz1EW4FzgNBwr-0NbWih$ zb2-Gpou$bGrocczQZynGRGwbVJ;liSW517h$A>+H9jfUCib$$Ec?%Fg#3+w&fV@It z1_=@lq}}{yXtS!EgZenMcp6v&tzs?venw0UtId#Q!~m8@!9wcv?u=+%*F`f$D+xXC z;&b$Rwt9?uC$}jWA(?e#hnlFce~8fh-HhUX@5966 z{=@zI{ZF^R;XmJge*f){JzjhXydBs17l%@(qLg2ZZtkGorEp5ag3+cODiv(r0ya=t zA)OuRtSEa8jYjNF5GjXf6TX!QjLN7{keypAAs7mw%kEpbdFi*Yyp%fA30nrysA}bD zA=@|0>lD(gjOtB^MVK2F1l}(2dCXNvEGue3F5qJ`MiQ88mYu*_UF!NAp--2)#nYEQ;469NGMXJjiCWC}8t_^0M*&_5bXjt&m`<4H3( zxczZ_EVers#dL@IDXgvlG98=dLH05mS2+Xd3(DP3R@ck?lL|B;v%U-Md6C+f zUtzmcx#w`+U*euPBwjHm5Cbw!_|WO&R3cjd{Z=Adri^UC3XiswtX*mdN1rJafQofg z9!hR6Idaa8I|{Mu93l9KwkE}e0@#{dE3wu%1a^i+BK*;~uqY^0ljRfn|nn%&(>wd0I|B7Q{mP+w%5_pLsGYBYfP?JozU|hfiR> zn4@iystS|d0HH4W>hLqW176-eE4qLP5>HkaF+;i*C0H{O5u%ZqcG(zP8WfIswqPCrXs5ARf9ML9C~{!)R#LY$kW*Xh zi?-Nkzm_gG<4M+q@#csI0;8O_=TL{18hQfH5SyiyZSTnwy0u8<5QQ)7YZTwZzO*!^ zy6O_a!fj1{YZ)lB%>i*k$&xfr>R#nl-`CcdY%54XA7i?E!w*ZA4n~V;*NSOETeF%p z!s5uw|Fj}3j{b@A>_;fij^j_&if@RLasipkFAGm9Q^V5l31d#u1XNqwUMz?vg&z&V zI~%V2c*jJpRH_YvRk>bEMScs{8Pyq_$2MD&VEE8bDd8!hj2T?P$=+-?x+I|}3Zo-; zJG4mw1Ur43qc5h*NL-cF9hqbWN2pP|PUD^wybBZqv%dI!@Ly1QA_-e#D*Y1UN!=Yd z9sx&a59*iXxknRL+UIN-ocTW|pi z$L7^{V!_3sMi=mjXkCDkP!l(>fH-f|w-V}BW)FVm^9{5=#2LZlw(e0UP~nqJC`NP# z>_DaYmax(Z)^?3Vh9M^{{iZB8m>Xz@Entv?a)C-%V#p+6f3~S{2l^T%eKDI0!668v zc8Q3W2@HKD=8TM9BNW#Lj4moqp^!r*dEMzM650e#uoO}8ACQ&A@=$_-Ce1~!@9zIkLbX#|J%(y z8`AUhOStjABl;2T{dSKp_oU!38tL49Kv)qT;U33C$bO8f`eQ8$A$l38#L|uc{xEh( zsgtFjm8UoipmEdQIClUI0B|8weevn>^X~2e5YY<)dk7EM0PjTkATgkjHy*D_&Dp(g zH}C(B08Txe%DBspZ5?1aABj1I%=N=(z~?YR(OMSn3;po(%(9Ab@htiLm)T1z-Z#UvJT8 z47VMOL&S>dkk)hys>+tJXKC}!>;-iCWl~jKwUI+t?JG9za3U~5JfGU>8G-t&&E-)| z>BaI~CS$OTLF1RGpi13HY8lY*zFIH0dtYuo-@fb_5+wiX{pnA#!w>aa7{&fnlIJP2kYExC2~;DvDA&)hMU z{YP)Zfxt*p3+Sv-#m8HQ8pB&+1)o|LrB=BL zXh9YM5qkiGnowY<8N}u{Nwg*xD95kkhJ`1$NqlrcFyy|~c#LM)@iZYGBOcktVGF1! zS>4uhpgg+8IF{K>bdS2u*=TE6-oyRo;n&O!=GF{qiH9%3%cX&i(y_+&W6-pcD7Y|# z(2h96g>l(KQrf6RP=Q-`hSeVoWhqfv_e|W>B%{%l$V99jT*%re^ddX_*+_-c^XTVu zxHJ~!IZGvoKVe%o_!Iu|aCryJOP$&9tDPoQE~%tnt<5QGZKjsc%|czla7}5&$di5#y*WRKRd<@_8Ap*e8iaHzYo-G;?2 zu2+_}oS_Sg*uK;4YAfXpSt1#nZVxKc5C8hI>aJPZaS zz6824#W!UYWF#qJCiuy@=~a{{WVc)@4ZRiyh##il!K&wl%a}CP^v6U3JfOH~LO?OF zc`wSAUM$XU`h|eB7qM=3fGxPmIB+pXUaqCP6T^PR3IbgtzF^hyKsN0#be5+~q)S{d zl?l;hq9m*-?NS8n@Kg%iZ2g2#&WH^wvK_aS6gmE27XOpxb3;MEMx}cU`UM2UFDG3> zNH=lMG=LvlHX04+=yA?oTEI**V6(y~(Yt2&+I9YttX~#@(@H$3jOvP+FIE=ilCIcr z+FB!(^VXijXNkE6S){%ZKl_7__?5oQY1~zlaV|9(wRZ8xn}BBx)U75wlmOFB7kBXCYWe=dD5ve)oi@71YI+AAu?u&^BwDZYXUeLR_s1YJ>K1? zyT8+iar21UP4B)x-tKnnU~Yr#Uml2G)hZK?{o}(WnQ73MPNCdfP9xBW`aY3z@CfR5 zN5V*QuIdw%LM-nabZ>Wz;7>hU3NT|dA5CnJs#1Ft(;hQ5Y80e)dOo#y(sHpv3WVN( z7&J*xUYLJ`{mZUab}b**y`q@tU#zbXVH^YP;aJGJ(ci`-S>%(wt*9U9Rcz6Mrbc zD2kzA>_kz^T6)RxY>8s8wI_??H)3W5e{j@_>?E~=D$4*)x$Lx74$3p{e!YFf$-Ld6 zFGSkiM4~B03huss{D{U8&VIbo6RSzTV}G^7(cVsSFy4K?`}BDCCB5o4J9l@wlZZ~R z3-?u{Gh0!oB8)V_-XWS*t*SmGHE}_v{jrqtrJdU_42~A6-VrLP$MzNhcYaE$BH5S^ zbpwE2_kU<0{XbFo!RGEd`VtC}8JfVrQGpOPPFRua(rM5!S2oQp*HJ4BKut(r*UVCC zMgzB$d@n=zz0_F{ zfthfan6^j`lMvX*yM~rfe}g{I&jkZujc{ys;n!*i- zIl&kpwdA%^woxvO41{GD5$${`fkOUq3g3%=UZkIg2tWzC&P7epN7yD;42x+Uh0{>0 zuu!O`D+;*GM4D%GvgQpP&>a34iaL6(-N!C?#ykcG78`XTk-GF;TS5p~Ai6vC_S)B+ zr4}P{I3j0pw*7=ACi2-*dts)+P{|$Le zh{-LXWVnFVXpeSrl`y@;sV=ol#?k`TCeUS2iV{X3!r87m8`&l|&le)XVx`#&od%U? zX!Z)XBNmU6@f6RB5<+*rzrFdO3>}qF7OTq>l$Wxu8LMp`3thTkwXQW%Hc}M&LM_HbxcK|}Cw&Zk5`j6%S zS;;d~1ym4MXUY?1j$74WPj&+qDq@|SZ&ulP_v!YxTWB&@cnsq2X;UFBValugZ--za zub$$6Bf7ETKn19aorB{&djwc5=-+ruM~rfB^kXn{G#t7ZY=!{J1&)4{*KoiW$IA4< zakL`4)WI=o=hzA;v(qe_w7g~icd{<}1!TM7`W_;1K=MdXN=l5k84L-5Z9+O4YfBV_ zkO%qnCt{!qXHidR27!`h)4U%C1&CuZ*a%!4_YOxazg#(8#RQUphTD^@g&NP#&LIg| z2{qxze&ntD!8H@s91Jvzt~N!ejIZD&{DapG6kJM)gHlSEcCL*!2GR)>RRGj-Wa9nP zwq-g)o+CL2DeMfXzg}wJ{g3uL|+)>sv4mwaB3UeTo>8>z4WzDGWX?*R6fJ5~JkllVoH z9dwtAodd5;sZk&3z3X{8(C;_HgP*%CJdQR`_Xm_I<0maVnZo3OkTVo6>{VfZ@jL^Qpvv8s=0P)vuv86-+&E&gIGFX7vg#p2(>sm()VH zY_PIfnN0F&x?kwE{rL6sJMGCGBEg-!i&9ojp_<+lv0w0EHHf2)>XB!ToeFQE(7Jqk zwecgxqnHoaNrJw6xg71??7!Q8zyIN7?*@Sx@9^Jy{P!U|WuhWqqVevoX-;V#wiW0w z>b$0Fj+{d>lSJAwX28}1;8w+D2Gub&t&y|PT^9zCAT$<@W5-f0PgR?3&61~t(sTyT z!mBd`-%zQ7?#-;5y_*joBu>ksvOr4T-#_*)(>X9XikL0=61v#^7SODTJMd8=bc)hk z$jK;Kqy=eF^64o#d~tF*1$n)N<9RdPM*6^8zaYc#ATSHr_nyV1kwgJ=1E$1F#?Qyr zkAZ||Yb(@6_uU+Jjv%D$Z2;|yQ4@{!cQqS!>AMVP`!PDC>pMvni6?X-;B1Lb;wt0u zBl&fuT}OtB{8tB>oA`tzlc04|e-F&kxTU>Gd!7&gWFW-N#6pGYDr5kvOWm>nsykB(1#oto|F~1it*g=Q?lU}jq#*Pe>h~+M`pWq1Eds%YPgOFmt}ho z=mY>^GQv|{N=}kh51XM=ATs<{)3Y9`>-gtt4l6yP?mHsswG|IZ6RKWz2GSl3tELMA z#E8JAbGMRlKpp8%BukatM!#Y{N?D9BKZOUl!@R?FaDW_PH|sD0Vrz4aaWC1?~KsJ%h zrP+IcX>Q%BTlZcTrMFp_rJL?qLAcy?7-yzu=%wSPIuVw0q6T|R-xFR_0h)u2`;2fA9nOMJ)pCehML{M-u#B5`=X9Fpt7i~;MxEOrit%UHg z!*WKB#n1FhwMp@4v6-d`2rWg&Qi$ZAxDk74WQP*ilW-1Ax}^c2IsnpL)xz9r=6G6c zwh*UwJ*Nhw0}$~NcEL}zFl-ov1Hrzw-AQl9+@u+E62`05^!?3ZNBfQtW|u{T=;#Hf z8VdKBCkW;HRQFM?c>rV!v+c0HU(t`ZBtasw2cgZbaXgETb= z3`;X-vPg;|f;Co6zyNF3@QlWj(R6V_9%j6EZlIm+E3Ri+(5<*(U1D4!4RLYN zz?p0Q5J`*Dtp$kG@%$_5woGgkN#1RlxV;6krsN{Yu^GxODCEB?9vOMrW{&b28U1Ki zfi!5;X@k-5T1%hwM|x=3u9Lk!*Eplp|eVH&&T z+#gyDqa*fOA_O?X?x2CQ2WqlOh?yM`eYeldjyT_4#fb&ZfYO?L4?>;C z^`J?^diU{4NgVI*tx;vaFO53;e<5Uaj3@W^Yp4W+kIG%C6>7m0504|mgCuxZyKP3y zm$GAWu(@>L!hylo(xn5>91!AsC2XR!%nFULv3_+8$Hs|0#N!B4n-%QpMyTXaKqYI&WQXe@hliG77?Td-!PL~Gt8p>e97B(aQN%%0u&oVyI z?`sV+_c*LBW4?LJccx{uM8ou@jkNF?0-x$yQUgxrNO63S3daDppX5 zOITs1#s!0;O%xH9Gg=lz$+2P8{={%&el7MZXNF&)CR7<`jjSJCnQ1=`&!Sp*g6i;6z6k{qhF#jbc<7StCW*JmC@3aRd=SWusVSJ^JXKsb?#&$Jh70=0q8Uh z(6--St}mrcWKR}!K{F9H>R{=}3^q*Z$qDc%q*=7g7oukY8>rPWN~I@h{xMxVJ|U?% zOi*7@ndxTq79!0hU4wfQtOsVD(MG^O;|(0()OX3YzF(l<1aWUd0wH6J&&l05F1$t{jC`Y%4ENQQKPPh$)Z7l{alnh;~+_Zn>JZmx85g zCLYaDa(%Zss}_T?atMn-|4J>!*)$B+2?*#??3fqPo9`wJ-cCvcz}et`o!Yrg+*W!m zC@~MDVhg`_kpf;W7aq)t%ht7HI8|zrhJRdk_QR+MyObo@Fy~EMt9kb1mjafdUpWxz zZlCn)?YMM@BxYkDTt82*fw@)t&_q*aS($J!V=7T6z7rq!QKvw%+E;Dq9g!C{ET7U1 z+&15)|aPOz}+8myiS%?rARDu=>1#Cvkg4tra$x+ecr9 zeJxg`Obh4CouUjHlPAXMBm^g9K^hobre_B!sR7W-2JoJIMBfY$j*y)J62f5A6mwjk zh$3hyks13;@0z-B%1w%eDiq9!1*@9rUMH3lbQr;ksi|!UR9Lc!ek>WxfMi@%@ zv_x*bEf9=uvOA`gSq z*;P0&`|FtIna1(J5`K~!5?S3Q8#g_+e#IKekCQ$<$9hfoipau><|lMy*z+GeKHyEh zkrl#^8>lQ|7n>kAwOljEJ`MjP^X7N1zeWc3Z2Wfpm9@?Gsh=D2hg*_da*#lWN{lo=R>76MpNGA|=SAk+_Q3o0lvZ6KV3 z$vPwhjaV-L&az2<_Gj&{Y;D@SgUkrHjOE1L~I$`QN%j$Y?uq0Fdv5nQw*5q zqlUxC8u~RdR17nWl0giR6XF>E(-@gtGrF2C_?5!RimTh>&zH|Yseo3WjJIu^>1O)%Q^_aYx?ie_kv4j6ZZKbV>7tFaB<};GTj!) z=V7e=*@PYpoHydTw0(Y|&n#JK75#0?l8q=ZGJ%woQ`q#z+nIuEflB%S+m{UMmAy$e z4pEPoz_+Nnt&x7X0|KVK#eJsN>SzW0hql)atJWb>VE>45%b9I@l0*ZN2Er*V7sA2m z#;z(J9^rc`)Y*g6-8|H%8nMPS;)Zo&lJqkxzKR0rUd@&p*5)x(*krRC>1;q#-fd

    Q^(-c{4YnHfoIv$PR+T+k-A&pPHY zZp4ou|N8K{)sl7r>4CFmh0Jw3LHq8~PHX5f!V^;%DFcl)tJbeaDgv{WAlNV(jY2Jx zC=84-KkQRWlNiBdFfr_-3S|EM*1=hH`>lgK5E{5y>!En|u1p4+x{rrQ~4UoD1G z&6&sp=y43z6a@VBV7N%@jMaS{?iBkvWJ79u8G~9V(<(GNtuq!+Z$c_^EwS8IIaDeh zm~#SCpSm@w%DBV6Ae>MaAd0^|*xM~p{d4knV|LKk70w;NRm~~fkX>asB4$)K<=XE} zql&VbC{&dmotWGr)_+wi5C+Aw&m=@dPCOg9$Q*eFKj3Jzrlf}xE&QSKLx4=j!9X%O^Qs;$k9pzOthL6a*s<0Q+kks%jB zt@4kNn#54%IOcNj_lEu>eHuCAxfy71t>LUf$ik8VeA-xEC8h+)0ybBdPcEyjoMNOy z8nOz(Z5Ub7#6ayF0@hlJB>_9CM~u@DXO?SX?|p`MODZ9q=n@9#a{}Hk;oayFS;}y_ zoE60MJxZGGS4{xW*x(e#ffj3JAxC9=#E@AO?Z4spvZxk~jrHX?G3#MyW#CYnP}qSk zXrgtbB1Oh)l`WNS@ui-WW9Nh+-2o@IC5EguI^EtjnY8JA6j4!5eOnF>z@!x`aCR*B zoLfs>t`^@Qv3no}ApbRZ&<8pNjBBNfYBVY|xy-8RY}94N4y*n z>4tnJ>5zMJSi%`6Dhrj<^TLn`Kti|i#T&=RBqgZ%OU_g?e?{&uB)!18D`E?C7RjJM z__sArEl_G&O|(S+bjw_DB*igvNCTp+%mxo^`p4N8(<9|ggL{xw3H)qugTx!3spQhj z!z*-Br~_$4BGQdHX~OMY&tLSk_UsLIa+Q(+xUo&d2?nE^-WeY!#J-8FRchf%=G$S8 zk=Fv}F^`ReDU5|7{3Z+S`H<33A{EVqlBG^g<3n@HQJuqMvLe|f5B)AYST}8oQeTtM zgaYL^igojjUGHS6=J1u}Fk_%tpoaMv9k6(v>{g4s%wJSPCuUCgGCwWfWKIm!IYK#= z`HE?{=hG=8E7Q(b(1+{wT%eG(y6FN%c46dV*Eq)4Dy-2YaKQ91L#dQA13^xs8OXox z4JfZT7k2|rsltLxtlUYR=sy$rFN%js7@5BCN=zR}Lpqtnn*Ss`M*_*kEu88$!|QPR zCAYR{*jB>$78{Pf9Dg|OYIv(QWv)DJ;Y<{w`BU?F57e{zaCW;hvRt?&KG*-YIW!SQ z!Ggb!%JMdDla-Ae#r{MSzhm;%xaLXOUrm`UO_Xwmb9QaYUN((v+mM!MJl`b+!UzvF zm=fGm)%kU6R~7XwXQn9c{M`PL2S%?aWZ97yMlQ2xmWgF9dSfnogVVQCpL@;_n&zI* z>07vLJxE4<%%w&}b4zILdbKo5I2eSaO)z?G%nZ|lQqzjEe!--*VLkt~)&-Rv#Tn~Y z(4l5Ikk|yG^6JK>sr4$d%c~$hmp zBG{~*%bh%}*7A&<3tMgEr?noe@+k4c?c%sDy=em?VrzHK_d;yrB=WrwyCYyT<{q`W ztb^&)*^m1RO;gLONo$&+?A|Tio`a*$WcTJeWVUZicjqUWKMRV8e@Q%@5L{N^9~{A= z>e8xpD$K37e*KfwT&x zQIl2yO=l;g)9t%sW zv>4Qr<;$_pP!=!i2se(*++KVmt4ytuHz`RzNN`iwfP}dvxs2rNH)9|0Fb*=bugU?R z9fWBeeP71XBE1iV0c0^6h6I2%vL~3T`O0;OUr^8seN{};LmRNCF;BsJVbmO)3hBO{ z;-x3?U>?W=QzOYn>lpGcr(;$=m>DE}t_#z51@fD4T{)h6xX;Rk&dI>v75@ zA$a$VWn~+mpj9#v90mV3O{dZi!qjC4{HumT?Ry$PKcL%Xl-YD`Dx5Lu~&_*9ETNi zcp5P=$ZRvRVYvxMveO`|3`4ll-gYUu-5>HZ$n^n}@&yjbRvYTuAw{Q_+V44kqXsm!)g z7E6lpZx3%+&jUYn==m;gVLvkTGR{M;4#qZ=Bm;?V>381;3ES~=>w^+@h3f_E+^-?8 z4D*K_YML+^TasvBkRU*DxFdzjjuuWlgzrlP0^<67qmCbwI6)Fz%XTNSNLbB<`EB6x44v2OX)rD?3ZS72Yl zc0;CE-$6Wm!Wqy=ljb-b)4T2!R$|9i-_|+V@Pt#+(Y?BW+moYxoxi`(3`;wFkme8; z3Ggg$;4GJcBbA&V5@W#iOS;0vwNeE&&3-^PSzSV0aSlebw~2Gm{HY!QZCL%s8fA?5 zL!+!1>C-COP~>dJtS4;7Z0u-YFA8k4)RT}hZqLm8x!GlIz2@mF539d?3CL!z*1N!=z6QwtMK3{VRfDBATFi4W>KRL5@bp@hgb0z%dQ} z`duz1D#Ow0r+iXWC-EudLvgLJ;#V;#AcZNTu?ONxxr3$yQ5wTFUn5hRXN{(ps4rJvFK?AUhEen6CDO zO^65Jtd_M^Ot!<}J~GitsrmxUZi6BZp;oEvGc}E=VzyD?C;ul;N%sE~lk!&hy^~Q4 z+d&-{6T_i$#320?V8=$M0nur*1_IR zNuVcAckg(zv<{r5wi*VT@L90ih27-Ckpvs>D?CGLOz*D>A~+sLmHj>jKK=bY237Ew zjK8c@O}Bvnwgm+HePS%zVs_O1bIp*>qEXQL0(^O051Hd32qNB&}~NzY>OKyWoNmQmXU`!*&S zhtlS0GqRk8z?c)^jWQ+zN#2t4Z6dxbG%qD0j3{8kwCF+0*46J&L%}97lrG2q9C<_N5l%WP zEG-a^itEV_EHz$aN~$uYvHwr91=y&!El4-s7%(h*&kTd)5Pu_lshmd4s67wr|1cdH z!_3`l1JWffC?nff`=*bT?H@-{?NG96HyTr;({L=AHy@l^I>5~0jmFimH&{7tW#()T z*KCyWvSkI0R#eM0S=Q!{fIB4IGy@jr0E z%iE=4W74Z3=ZErv;f-b+-0;{Xc#JZLj0a#6Qw2$f)LHJDHdP}sr3KAfT#p^68!RU` zFzxb&&6806F{whNEs)i!FB^xJ*#;@DAr?&G>hilbb9TjachS2Mbh{z&vNb+Y(&WjA%2k=K91>?%Z}-}4NSwi ziyqUTCVx^rWC#U!x z1Tmp>gg^*`v;spr@)Mh!!&1|nAgfvyWP)oyzNrMVh^~9wmneo`i&`|k(UaK?EEOaR~~DO49JNlXE8v&9FYz2 zIqM51L$x$4aR$oi`VNq{Y1UK4ICHQ(#%0l6`pfCJX7qM0`!(71nA*G`q)H~6P+JUE ze{M!8sGv{Q0TF?t!M22Ez`B%Lia9^bE4r4=I zV^&nW8-!kwlO^a9VzS+mjW{BS9!}tx%nVkJxO9Um1eSdk+s@ioCH+1y5}hsL5fJ^u z3jUF5B-{X$O_d;t1DqXZIG05MpF;b5K?VJ_?4pRD6)9qT0;cfd>-K2{rTVm-Y9c~{ z8eBBvANEY`tJFT(ucMC@UMw+7D$C`M)pT}DY&LYmnEng3$jW~AbrbkE@50EJ*=l_YgXDAR`Yho_~=^9cP3@oX1BYIcFvowqdyJ7gEqUw24tFFEB7=Ku5)PzRPWEurgwyVS@WV7zD zIrXx61zEh7Pp{>C;$P$F23f33bH$>H7&p6|xNPG)hGYueIPGwm!AogK`Z*9-rhz_zZ1Q|l>Lwjos#L8cGTJIity ziS3WR(Q8A6Dt0`It5Iwa>()8XOtzg2r=c7N4YxX4H9L5-4p9UsN$e7ak`WJiESGueO1h4YGh7-hK!U|o**ompGF=PN!+Q8Yut}+ ziG%CjtU+b8hcR@-jZ4NSGsnf}FE9LNyiX@m&I_r_g628tUoFz~C*a!|mdJZ_WM)^d z}SSF+Je{$lH*aS!#VjIH`y@-q6-X%nWTB)wwHJjnApxBt7F~*!7!HV0mdliRm(N z^M<7{gpV$~=c_apmWPe2Zy^^g9uY>5qfRV!oUk9p#oO;=>lw&9Ibyf{o}Ai9htguh z2!Wk+=f@x_MV9u3YUv=)*os5D@4@LD)>a&roZcbY_@Gu;YE%&=&P7sni2$V6MmI*Y ztyQRzvp;oALEYzD^%d*uaN#ON*(D8*Uangaena9Z5!nD6;OLs+DMWgM$L?v!c5=5( zRfSh8P)%)~NE6>>e^m+!26|r=Gsfk^VZKB_t!IQ9T`B1}I+r@`jmmZE}9E@my)@b*uBqDkE{8 zI+u!9xyV07JuLT)Wjl&8DI^yhMP)<~tPaa%?*mjh5rVSD zcN~oB3~K$?tyt23ml=s-78(xg`GFYLv8kNQM}Ae=lp0TQ{t;}b_S`xvn;Y{6W~O6h z)Dwk$k7^h*_8m`~#9MAl_=c6MRUIG30AI`eC(>lq%0Sg-F#^xUo(EVmi}yUhB4GDC zlw{9?|CLB91(iFszV(-<^ASneFr12i$KP8a&#~jh28dYhTUbW^n|ev%M$}k@hTZFK zd>sr=O6^^EKiO^Ozj(T`^_3J%_WnBZ*+z_DI87j4|IU&Eep6NAD4vEUh*!@ z$Y6($TcP$H$6&-%TgSAP{Kh7%{TNrskGN*qE#`hf!58;cF&``q;bkurZPz9D?kh8I z!j(U>O#+(%tZt8t6XFICT`^-xvW6X$8HLx|uU%MfeyvYa#%5}a0?F*9vo$JZXDc+Q zD3eJj-6?9kA`qir?i?ob+hO9|I>bgSA&X-a6Qt^vY`oA4pb;)4PDwcyRE>&SK$?;&INMu;qI4$-61TaK zD1=y3CXmc+X%ci%tdYzP=h~w&v0+{0W^tmrV4IR_je@d*W+rQ?#^C>sF8_H!^y=i4hd3= z8(fYLDn_Q-Spl;YKxbDFE;c~$y7Nl|MUr*o$fOOFp z#T4oFg@g!YF@B5{n4pP%b;<0#42~|tGtyWa6VyT#?C%y=(_x~ZH3 ze<9zB+bqgxA29$H)zXGBYqx~%Nj0Q2#XfDU&bFZLMV|d-z zz_~WGtL!qHI+aSA6zBBjHcC*D!e#5mQDu9Sb~I~!gRC7Pr7C_!)-CCIS^vfS4)c-a z97RQD)=)Q#`l9{im7+A#KiAEXd!^!$hDi2YPI7UVh=f7e2~A|uv$?%p{e|Z3R4kG0 z0+2#@r0TlKO<9|~Dqzx8rMg*LA%3}dhDiOjSvMtmc^&kZjhc-|r%P$C>|sk4tc4Vn zG}HY;YKjSSHq1pokPNiAjohr}ThO&pMmCY*$uv+iOoTxuxm|T)MVB6xpMvDO8qtH4 z$-Jwk5gk`$f}varLImvUxdlec7+h8ws!ZuW+07t*EP%>e$^{l`6S4Pmzh`PRMMJp` zA8xE3AD_&yc(25Kf{uLaoYCwjBEfhYhTL__2K(=FE2VLNt%nvlJ?WNyX{c;L!Mfj9 zMV{zHjlSg-&PNlwtkOmwYk;;lhQVh=1}4t1xfwEzvOtY=lGu&ey0;F&PR@IiFEBS` zC&V;zL?BxPK0~~o&fg$WKjW*6%5M$`GNU-Pa4+OfGD&$j=8c@=begHnBU4!y$UbVB zH#N2fByL$KZEmb-bEzCa;#ha>&i4H54!4xqLkDudq(?C5Kh$>h2!=kRSXxboO@8$t zBZ1_DWp2SDI}(d4h}dS9ozU8Hz_%xJIaF@3K6j7@RD zdis>2bYj`8h`BzpdLWm%5n145E3up`BjX8KTp^*ZlHGWAB^2XHDe8Grq_mr@5iK9Z zW0WoAH@9Y{T0tpiO<_m?PW005?++G$ro*Tk6(|^T39W{~1llHCev$IlxA$p4y9?CK z+j^@mvZHulty;&oN~N82yyjl3zk&=(=|{7;fMrdrfsX?r)W&j=dc$RIX2)q7b;-Vi z@KF#2QIfL*#jc=SL975KDA9^4MMb6q(lxpq+dzLOL2*SY!)R`UVHR4GP$!kasc0Kd zn&Ed$Ae!nKGK6rD*zhPU%;+_2M=@&}T!JRufu3Y&HBT{lFVrnqrxz^Bj+TYH#reuG zAMMK+o1}LL2>d6=myCAK+BjnbLAgkQjElbP(uAu$Lc|F(qstNZJyWg}o~RxgiP_Cc zm6-zjA%(>{>djseb3TpQLZwwRk!>(p3}eSizyA})RCu#CP2mU<=ix$#{D4HnTi#{% z92jFE`IB{d6qpnBeRR%q^Q5N3Sc_wb#5aMXi5iU^yRePE+t}G^wD-*7*v!3yrh{cF ztFY~@>tHec?Oqu09q%jPTlb2jc5j$J>WzFQ;bz8t) zWM~x}_9$8a7Vks{YiX|}dNQWD5;A24$dx>T5XeiB7gt;e*7#_ILz_0;wMlVtLAxwa zk!@owb!49nJ%}f>GRaq3rleLHcT!Ipw=2^S>;Q%t{w1G}3>0f&0^KMHNR+b(b6>S0 zXw1Q28B8|jckb?Nn;kgyJp6Gg?3mcfv1?{)W47Dt&f;jK?%>?@JI`(I*w&oGA4>%gNZSJ{cb%>R2y2Gl4Y&g=1lwQf!Xf0+vae92F%>`II3PTux#i2)q8fffIHB6 z%Ovy190&x`T-3&f&+gu3J4?HF?7_9I(ZDk7`dc@&e&MjEuKpG@z#E=>C!nV%##hxU=2@1@RE-DJ;%qE;qL`h*OX$r5WJ$jf9&#hPi%XO(fnZUvGQ)?dx<3XzIpsQPD|v_*xxPzwA@vG`T~GqSP=mV<9+hG@9Ciif+~3jP zlR)4B9K*2vAdDsd>|7CF(D+HBRIHPozRu8M&rA@DHk((BQSwf5I*X29EZWGQ+W$&X zNrN)Yc*yi{DyxTKpK4=W|JcR5KUp0+ee)tvnsgh3MZ7TB3>RfCE*~FtONB6lG+HQ~ z=U6L3@QiG*nzz#@Re)5E)N_ilr80pHYr!HrTZ<~_)SV{sfesFBik{+gfv&p`1G{yd90P-flN2sj1IP!4zveOJ8b~-!k7cgkncs#|#2OGsJ19=|j%d(e9X@ zrf0;+a6C_%$162c$4+}Spyc*33sZKt6leg}`KXc_e2?-xNMbUpv zZ@S^VuZD7_jQ(U}GBXjS=1fYFQp{=S*8FGX271?I4i!|=AJBCQ3>WLasP5Q`xUw;^ zZp{`b1r!j6(*rUf3k;88z-rVCG*+`EPjbB$=fL1Mb2ZUAPS7A>6#W6Wn{*}>W zeKn)_!!1^RL4k(cS4BP@5`dYRA{r^r6^q>Z{hncs8s@u=o(8Ws^fa9Tcq;Y{kHWLd zqn#S-aX!*G@`vn2y1Kn093{GND8J534`fA|oWLvKI81Vgl!s^cdX+`5L>20Segu*Y zjR^e$FFe98r=pWj&B0|!=elI(uv=_2^4hqv#ix)nuglDU+LcYrp(+&f^)@7bnp{Az zSMhZ_X8THYtV)Zislv=a(ZikcqrPg@kw!9`4J!jNgl6R-*q?_JSU1Y9Az5&8*GzwE z5Bj5H z32@E4S+lT;c0<3O<*e*hJTZ$TEHJvBEDhQ)JIrE>O=Hgt?4H}Zok4TzmAwu!5Cg-& zIVNKSy;OF&WU{{uOUg_huaf0SV8YWICPgTkZfqK!T4%D>+g=j=PL4S2TQ9}i12rY{ zd>L#wWMfk7V$0zKTW%zL`;S*2|{Jfk7)AXRq2R(_f~YMYiis*K_ioaNSxe?3wFK{8Mv@e`K2Q*Cr9v z=$Ni|y@2QXHRNru3Seg}ywxHuJW6>wb2EDmLUtO<*ExhQm1fQTgdDEeGHHqS{#hXC4=<53j> z#CWD_Nb0zTl8Wc6VZerK;S7(kplTI|yrODmjnv(VWVof$awNc3n-iICCZZ%p*r_Pd zrZ?bo9v$V0EZ{t{wV_hQ_Gy!XTVnoohCwa#8wA=O;*cbpiaag*^Bgwnca^A1Oi{5; zv&Y@GjRBY3NSI4@QuiGT>2beI*Y{V|i6!G(Cavba%qL9si{!O3^WFx`OvfOv3VoWG9v- zc?Fh(_7!Wlk%8jKH2*`?Zd)qeH&t@`ri^6*PF-2VMLm|q(zrpzr<-j@@e=qe66UnL z7gKY%TmrS+VBWl5X7t8kY`d33TDXwoM0E2z*}?2pp&>OpMYeG94#v9 z4kLqyGjZe#%s^Mk0aJs_ShZri|Gcow+$NuC{Uk68!n5K8wd`e1CON%Q*ze%NX*som zIX6vas*VzydJrk-)xKKJ=Eg6J*+NAaFR@Qme$+YWajt|5zn5(b^l8KRDZXjP6J*Pm z6^!}|%x6H9#QAPx8y1dTmty2hKvb)74=b-Un^x3*X#3i{gsc&M4eerKpkuOqX?X3b zHCr63$X_mC6J7;*R+q%I1k@I=4mN?ABr{!L_xVYe*7pQ1nJ2!fK<7!$+JD0GA39F7TP-^;6 zWJC-XmqJU;A0wqC^?E~=HhQslHLn=w3$gZ^RPwqeU^S4(BGPqu&8o3|8)#I!O&L_y zLNhAa>4X7FXesOs<2KSHcg=j3O5r*Sxewhgb2{hJ6CKR9D-p)!4zVGrKL%!ptn(~& zUPm=`UR%k+hWJe2l=`(|XFz8;0Wz0*R}LzWCDknI1x0pR6jabTk;;8x-P-e-gR)bbVw*Kl4(z#F)ExaZM}-*P-NV?V^_|-8JeB1YEgpDC@D-mwj?UQ zNi-r(4V?N~#__l~32%JUnpGoEy~-doa%bi6bmP(utFTI}!!8h{q4sn#vBJaWzS$4Q zv)66&Y4dRnJN<(7-8m$L z-+>e2g$v5^IZGLWWKrRgo;^MM_a6q>Ox??%h^RQC36J{@ZTqj#*f>f=g z-=^Qeb5zzX@YIA@p+$SNvw^1}J8cUMn9c;O7_v;}4)RMU0ycqzhtPTltq7|OxQQn9 zMB5}XY!J&LJ|FRl%+Fpgrnu4F7E(<`O-Ws=$!%J0;(qPsPZ70RGu zS{Sf3)cCY!Vucx)7;srVMpaDgxXh|lkg3*BubtXrtoLqBUARiYy1I|b()~uYP#y-c zN)G@r3R(;cH;?gk2YI=%Q5wy^r;|~|GK1q!F4Y%-AVpC(_Y(b;bsWMpWw!P>>vdK} zlF%Kb|3zrcij_8LmzYy2qKQr1<+ySURa98eM1|E%bKx@yo9i8(BDkYq3@js143=R*1;E(ukQLy;NCmw!8 z@FdXozYpV&;U^w)+v1ll(t7s#QTXF@O8{3cl6oiHdeChL-hRLxOJ0EbqfZ>T^N_m^ z4sy?X7V6sPoshZzPQ8BAZ3o=G^rQ<+>^at%3RMr>uT>=PXTTJdnf)Fe&UnT zw*)QUZ~r?Re+-BCr`c_`wgrpM!k-6@nuyG`rE`LV4nKJ9p^J_=;7N;)TXg)A6BeDg z=%htY!?*kYWiK5N{Nj(<@+HCUEEy~buJPzukDd$AjG6!Df+v7_gS#^4{*phI1be*t zd%W^%z4B}Id8fOVzSgV1*Q>u*>r20w|MuEH6qf`yc=d14`a4{$8{A*=$CBViul|i% zzvgP)=>9VGZ}#fnY~J71y4n3@>Oaq`|2(a~+0}ZU`^(h7#jAgd)_<+5b&LDU)W6lM zf2&u1o8F&Zye&9_gpB7!!EI>~{#p{;?$y6NIF&Ufwf^m1{X4w+cLWJ*9H8~@@an%H z*u*Q+<%@zBc=x}+tAD3gF0(cLZ%J^MSAJJoh`*KuFZAdad-O{@`eh#d3Xgu3N6)#D zD+L(;i)4NXk23#V=at`W3lx_Gukq-6J^J+?{YH;|lSjYBqu=JyZ};f?Jo;T8{cewb zuSdV%qd(};AM)src=Sg-`r{sbzeoS2M}OL*|JtKJ>(LK*^yfVK^B(=6M}NtqzwFUp z@#u#<`s*J34UhhoM}OO+zw6Q8^XP{?`iCC@#sfA`WGJkOOO7w zNB`ENf9KJUdGzl+I=8%G{w?z6+Y*mH(4!Cb=tDjFFpoaMqmT6Hqdodqk3P<$kN4;k zJ^EyieyT@5-J?(O=+iv<439p`qnCR0IUc>tqtEl`(4!-du6T6Sqw5}hfk$8D(a-eg zVUHg5=y8u;;nAx+dbLNd_2>zYzSN_qJ$i#jZ}R9Z9(}n-U*XXWk8XN&+oQW4z15?) zdGvOV-s#c1Jo*}szHZTcZpJPO@+;cn;A^?^B|(0M9uU-WPdqSqc8)$McwLS@ICwBe z9}+BCoc)1AgD^)wA!z65!-BhW^x?tha`X|wZ*%k$gR_=opMPYqIY%EAyf8-}9eg53 z9~1m6M;{wJO#~=wyi#d8Ecq~Vc24^3U zec!QQOO757?#R*0gOB9s6~Pa4^vdA4CuX0wDp--DFA1*C(W`@Z=jb)TcXRaG;K(Dh z&s!G^=je%GSB{%f==a?ht z95Vx*V@9BJ%mZ|8@`KJzR?xZ020AxcK<5TG=-l80of}-BbAtnPt}{XBItO&F@j*Y? zYyaat`UH(g=9zEdEr+D<?a>{N?s|03qqlnW)gHagqo3{3+dUfVVdlRj!OjdN?K$JoyMp(F zMawGpVf^oyU@rJ%hGolY@DON085u_h|M|xsd*#39{Zi)`_!o`?iLKs!3b#kpFCp~%Vi`V1N;9b(j}#D4z`k(mO3VQUT`hxcPf2L@M6-! zDUS(m4c-J={*#sX=-|%aBcu;k`mR7Wt`4<>)8~WJJ3#|PqlqLL*LEeuZ`YpLb~k8T zH@j`V+i2lDTx43&3>tww*QSl4ZOGAK3$Xolj~s2|D(psrkIZQ|bK=1duG<+8m4>29 zRPMB+R;!L}D%DD@S5IoCN|+=~#PZZT9j%6B)Yt9U)@oeaos~2pLst*QxUpKUmui)I zwN)*3l4`kwjV`@*wb!nc!Z!8?%k#~Bs9z3;D)o9P?8U8KIS!kxIB6$QQje3Q(rPyG zsH)!3>o({2;G^Z1@=#JQw>x34+^xsecC*^5p*Sj)usyI>>EXKGV)pv#Z`6m9O0!al znypH!+pEO2a<9~>)@r3zsS_c)K*fADq8R43$qms^y;tkCdrhQDsYlf$!rxI6_gZyi zPN}r(aZ7&zxlOQ>7&k=qp?bYlDV4)+87&pIJFPGY+qGJ~TdJ0mB&kMvgWY(nNp0j? zlA(H~UarJ#ymzTyjpA;zibihZ##*aY4qK+3CB#DBYbcD95Fg&_)ykb-4|RH-PP>dx zsP>Y$7Wd4r$i{zpI>IN0D(zma8P}y*s$G0UwOVa%q9`t-AG^&?xgOTzItEC+5|tt|K9GiHUS27x4aJqD-KkeANi8X1Y&EOZ zat)1B>eg#$rY1WA8N=rG?3Q;b4e2}gx}6&Osg0M4qFx1C=A*bBl{)xQ)2tX$xQTa^ z<6bjr_M%o>680oXxz(yv>QS>^s&;E}XurbTfI-{AtH#x4QtQRdS|zMjduW5WT<%oL z)mjBvn55@qlJA)VE9mGLsl=F2oleqhBO!A=s&(T|2@|7P>sC5ZoYY&*lHNAIyE!-C zH6P!rx0}sgv)7KIcBj+q;ZBUdT9RO%cOx7VVSY#Y;|6m_z0z%B=+^MP^>(G&t=3wd zdJ{vu*Top%blN%F-HmCEJ1XH&Gif$!Q6=g0x=FWHk1zt!`Q4<66WUre#6ie!;0|O< zBkPe~X=8Mk(0QF^r&CXQXyUMnPbjx5opLX+4bW-r+O-2eQ?3t{s-1Q>se{ph9iUC) zxK-^{TOD+M4WG|8Ztm=q!)J%JrhH9hsM`rkQ8~eOlyj)dFANvBqgl2*6Y z?O?ogD%}=1eQf%u*sFI$saCEgwN?#ZU#o$u87|SW&+bK-^{f`Ba5AW6MVY$_;wYyymwNekGzFTg!F&FD$RI0a4^|?Lf z#W7Ftj+LaBwDHmrroOf$)}aV1PSY$o-EDk!Txz$&UI&Fu^gubnnMwGTxL%7pSg}gx zGrQN$U9Y^MGE{E2;x78D*95C>V+m-5l}Zys1%s^_hi0a4ZSN44j#fnFZmAoG$g$Ol zlP-?529HQ^PuvTeJ!H<8k$&~gCNgL3ZJAq|5!S{!#(6bvhs{pC)&wKMw7@FcYE|Pz zZ`oGn`?Q)E-%%SJ6XBPbeC4=_FHh5(STbOR$jW`!mJ?ivg zFfh#cTGZ^8!m!?M<2CA7H>$YLRG*z^zb0VS_#c**GQ^BF1OzNU?K)UsH%xlS?WAl> zPd4S_!wMED{BYE+wd;s)DwpczS~)6%$+n`{3>ypsNe*o8h{JBL+D<}@{90HqB|XRk z;7ILK+zK&z%rfELCqXNeo8<(2p&56YSdiMaURV#i?RKx)G0WV%&TtHyxKa;WvWRrb zn4_Ii6xEw(@1$Dqp{Hu*?f1;FSKF;N7F^7{s1jo2*04aJH=7ucNn8Ra)^EM8!*=Y| zV=Trs)Q(CJmy$9@P!ggVmNxJP!$)n0V)et9wVU;BryO^x7z(9U4QFzdz#5u}N76?- zE>NjpL_uo9vWnKIClPo&n0wNSy47;4$BAm6-Nq7Jso@u~U|3wLe)0y zmvVsw%p5CS4QmDDFU98~Mx`@Y7)QU>Y#4w}`7wAEni<;#yF2^x=7ha;;jauCh z0a{7i>6tc6xj?-e*Dx!(BH3UNgMDL=V*u14fRvJuGEU2|0W-KdVce~PMV7(e>tWoi zL};I80>P`^#7mmx#BqT#W<(W3uiPy~H89HxgqJ23lql+e6LOVzT%gp#^y)xx4cqu| za78px4c&m{uGQ)E%-80*0Diul#F%r)Gh9hp=*()R3;C;A?UtH2KwV}NxIoFgeAtS^ zb_tEt0>6loN~d1NsKa;z*~M6})k9Og8ev(E;wHv>qRUZLU zGN(+2Era!?)keeNJLQ!mSz{M!1(y1ftU6|fm^;`w5GFg&n6S|Uye0-a=#&yD5@8jh zW;F@TKrnZ(eLC$rcmy;IZ0oMaP;4*}u*gELg#HCREb~^8Y0(NgvC>ScSmomwJHC=y zJ;D&dpvEFtp}=c?1FMy;YatW|aO^Ugu@rTL%eTAr9!7r^d=z^sQubOgcYrs6?_$!R zqahb|(O)5cvfL`g;96CRZ(06Y!B}p0B3Unc5L=USQtwoHot`XL7{aEl=G*)RbS$2Y zm8ny2$T!m(u}&THbk{{&-B=Q zyJ~7RpvVmLRU!|g)P zL7ax+02^UNU>tV9&%iVFmD~;WA$)Lzu>r{_Y=M{5n%LS~gA$FqLM;1+J2vLG?d)QY zjQm7tNVJ9?I1)sA7(%L;4N(~jZ5Q7FrObW=?wH%%6 zaU}#Jgus<_EkoJ?3zzwgRtwyu3S~>Q)+Y3>Is}t;MQl_eEt;0KhLEHVoh|BusX&mz za)UJ~!ulcqhbh-=Rh#?)+jB!Pv`=(4w0f8`nlQ+~bkeKivoSC`kjrhyX-C(>Ask3i zgG3EWNEI4RyV^uzD=5j8k_g%{ClQo;Sj+JKVKfBp7S)m-TD4h&Eh>cc6XMsaYJpW)5DN}76;7_osC9yYOCL8wK-nDZ#j;)@>PgF}oBAZ~Ht)qEbuwmeSh@ddGK!~b{KBK<@YXc9* zo@?%G%v`JTQMEc$Nh*;0uq2nDZDUGSF!DPvN_E@XvxZ$}eh+p+v~mRg3IPVj9jx6j zzQpKv9H#*_AE}7VXcs%4m_DW7VGHVXq8=70d{d{?YC^4nWC{}<=2#m-lVK5U-IGL{ zp}DUHGY!TrY*UcOU@e4xU&Sk8jVXaMMeP6UVdL1Dv502OjxaCO#4ZO38eb}k0E}rc zTR|J|K;wiY)iGOyRyJokXi{fnh1sh++pxCS0A?5by?cJwtToHJn#hU-({5T5=H8;D zg=z-YkpXM15Ixw|^bgo}X6Lc-3U*9n)owg{S1Z`rMRw-vwY1rSqNEq)rnx5LDZA@Eva|0AnJ_?o)QFNf0z1`f~YT^ z9Vr(Njg;?T`EFjnQ4sFN=LzDuw+X%y&lkjVE*6yM3!;3bAbuDBujS{mT%V8nSCJNv z`C_;o4;RG4#3L;zZU{l~5HGF-fp}UM!<{G|)5S@ko<%7akDs7;>IC(BfZ}-)TKp1% z;%O1o?*Z;1eG3tu0xf?z@owTfi0>hOl=vCqKN24%N<^&u&f(I|z>|m)*(tR43s52* zg_a0K!7GUpUnlhK#8(mDLVSq$J>nz8$B2h6HuoP-JcAe!hlvx!D~a2Q*Awp~zJ~Zw z;@=RzO8h?YKZs9RVt#*+m=ISJZzjH&_)g+q5dVhwRpR%F|3N(S0Q3BqI7z&cxPy2D z@lN7B#4i)SOZ*w}G2#>8NRswHkvK@K5|86K^HHlK2+l2Z*00{yp)# z#Ger#BOZ2$`Q4L==MXO-t|nei+)jKB@d4u3h({c1o_8WKA>KlKIq^@3A0mE=_z>}j z#Geu$CH|WD7;*6v%(D46JJl1 zxJ&t-`-xv5{uA-vi2s}T1T0um|0Lqs#8(sFOg#4pQ|}_;I%0#koA`Xp*C3yE(azK{4<#IF+nh4>rdA&9?_ z=bl7Nh}RMCA-J6yl}C?ZlgiFC+d9@t=u5CoV?Fqdccd z+(^8dcmwgp#6KZ^fcP2W*NBHc#XRpc;%4G3@jl{T6MsWI3Za$q9^=FYafWyk@x{c~ z6aS3(apK<*zd`&l@z=xy5V9%1b1d;xVo1E0I6=IUxRZDz@kPYf5#L4p81Zw&eZ_)_BE5x-A7dcZtyDe*GmEb(o``-wjz9&n1euR>f) zyqS0p@jl|mh@U6^6Y<}P|3zGOs(J2m;>xQci= zaVPPu#7`3cp7?#@e-aNk-8}!v#6jZu#5Ke#iMxrn5MNDv2k~RXFA%>&6h%_{`55Bq z#Dut=csubE#IF$#J=5HO8gVo6HsU?RFA^7>W$F(Q&m%sAxR&@V;&$Q<#Jh;ECEiE; z3*rOBuMvMp{7>SdLG$}Z5uZ*RBDRUUiO(h8MZ8-O&w3r{cL<&x1n(vNVdCeBKOz2_ zc+gVw`-c;cA)Y{dIuV&WwO?=&vEqfq5#mbXI^ufb<-{GtSt63d>vL`<-bQ>O@#VyO zh;JmmkN9EY{lqU4ze@Zj@q5Id6MsYeFQT-SjJHFHClLpTXA;jPM#M4VCB#YMCgRn^ z8R9(g2IBLHFD1T__#Wc@#4ixPMf_LdZ;1z;W8VKb;_1YQI7*x(Hi)~3Hxge&d>!#! z#E%nyKzx+=2jUUun%{p4@f_m$#H)zc5U(TNM7)c5FY!&pw-et@{2=k8#J?ndmiT$% zmx*5|ew+9(@h8Mbh`%KMj`&C7fy>Ny9Y#Ewcs%i`#M6jNiRTe3#0!YS#1+J~#A)Id zVwZRg@wvp85Z^$2m*BmNg1-=iJ@0;A|C}Ir>32x~t02~xUkko=QScbAA3OvaYvEzU z69h32P7{oRV32e|9Om_vq$dR-i>xPZW_gR{yNP!YUn&S$?3KiO1o1m>X8F5Ge}MG; zr2mTagQUMEi06Eh_%Fo&B>sl@2jUaXLw#9K1R=XVnRt>Qe*biqpGUgJ@(YP8i5rQR z5nIF=K|KFj!DEBq2I9?vc>bL%e--K1l71WMcar{uAnyMZ@e9On62C+I7vj$a@w@*e z2%Rh_89YD`zxzZ%l%GiYRF!i`d#@w?C&WJ^ zewg@xAl~OIf=9rus#QT3x@CiZi5#q-Mao=ZI{`aIGBK^;#e@Ob5g1G;; z#3f}zA5A=tc!nUJdmibCILhm5h#PtRGQmm^z^|sbi{*QX&nLc65WoLY;=RQCi0>A} zbN-z4{lo`}UnYK=_*3E|#NP|zy${0w1P>F$?;S}zf!9wVeFhOhhFb3##1Y~u;uhi+ z#4hnVLG;UW1rG^=TZu2{^*0mm6Fe{o-c5YJApZApLEQgY(tk(#tE3+${+QQ)PWsoR z|490f*gXGm;_<{Yh)an$abDl!0zv%lO5!x}O5(GL*AZ_ezMA-F#J?bZmiT4jH;E4u z|42L>eJj6v67eizow$;?hPZ*ah1eqYh~PZBjvPQdjCdpwtXj*VPAXz~Rm8Mb#H%P? zNE{`uAZ`@II&&GZMeGr;6~vF+K)i)`2k{=_>xge5zMc3U;s=PIAbyJY0P*w0ZxX*l z{2B4*#6uRD_fh{6-s?%EPaqBu)gOp*$p|9vFPT3CM~KUblHo$uEy-jdC>bdPw-cX3 zd@k`0;tPqdAl^;9Pw-gG1LB8>A0>W{_#pAC#D64ym-sO8m&D%^4_b`p9~A^oARa?} zGVx5}*+j`VA@wW73yBvKFCnfYUO{XUuMxz0vWIvR@%hA85G8Mg)O!Q*&BXhN?n-~%+#1%yK&*68bNN*%w zL2MG|h}RMCB&t6T_rHPkn~5JFewg@4;$IQ}j`&65L&R?o|C#s$;(roU-h6pCvT#DnYz|hj_Ih zzGDY*w;*0_p14;K-*qDq!%pK7@G8OL^G&WxS@(1u0>b>G>mbyGVDSa~4!ry`cwN^Y z)YJF8C&*&Y%-==*+l2)@Xf+#3X^3SJ)s=L+5s1c~5tFpmUp41!ApZ^C>LyczK*g3k?ts|BAI z1hazA$2b(cB?#^iyfp}3E_fUCGeHc_KNY+q2;L|7f*|;q;GIG68Ns`PK>7R&gWw;9 zeo+v7U+~32@Na?`)V~sZX%PHD@MS@8$N|8YL%$Y$1-?h{l|gWd;H!e*EWuZ!e+2Ii zg7XD2o`(f79##q7gMK2tS@1PM&?UW7@U=lOCwOlV+{Ej55ML(vx*$+~j_19V*WW4l zdVHtg8_;ipn1r8V`Gdr-68}l?Pw>5hZ^HNT`Y#3F90VGFg7-e~K+yP|Cknm=<5BRf zkf#OT273a_mk|@eKMjJ51mBMNCispZm=MHswh&vy?Sl8goifX1x0j`BAQYWpRV{`;)jSICw`jv0P#WMSBT#rewX+|;!lZ>5`Rs6jJRl# z`Q3wwmY*I=+Va(>lRkrZ4pHTJ^kxq{C z+W0f&!?<7NeBf(XPx&$Ew~>AqQTZ~iD_;iQ&+C6p{2WpFGs+(#{Vn46h(99!JMkAp z)dO(f?@3F3IT`0R?(Im@#}Q8^olR(%2V3rN3&_$uPP#5WP&PE@@C_kEDGjl=s((w`-Mp7>?r*NLi6;Qoh6 z|AhDmQS}Ox|Bkfk6`&7XV!liD3eZQBKA!kg;%UUC#Pf)%U*NtANDmWL-@x^?q^F5n zhz(+wxSeADBJp4XpCRDA~5Uqt#9#McntNPHXdUBvejKSESJ2haIy(w`%KiTDsv^&Qmv z9_b$uRo}t&Uy%MS@%O|fT(1r#9!XUF2lq+-MVX(c5LN#HZP(9;*XzV*632~wL&T30C10kDmj{Rs62C&Uakt+k{X^nUiH{O(Jnmzp z7aeS#dob|`;<3aNiBBhBKXM%ZM@2>I);JR}s}tf_b@# zG*oo`?k*83w9-(C6`|rPKA(6e5z|qxA3=N)@nqtuMEm_E(hGgp|Lyt^RA>F(*X-vz z{%`3YtG_{I*Y}1>t@x+JcN5jFh4EnZw!h-_&k_Hg_;uoUh(9F$8}S#!|3&;G@gV9K zR!>tu3x4lpUO$y+_w}g#3*}Zndj`wLiED_{#LI|QFT0xb|8$%seE*AxW5m@&nAo&E z|EIt6|5U%Xzjru)|5)Nl#Q*NRtn&V6JkICX_t+o5Je|)whgc@oi5C-B5Mk=ncHKaP zNm1!G5hg#StsP@8>E{yfAijhMla|)E_KUZYei!ir#E%j`MSOtxMdCxmeSx4wKa1Cgh!x_6L~Eb8g!H9EwFBe+2I(Hr+9lK;jB@oq z0&ijYe0U&xzKq^as)h(jIa+@mS(XL~Bn{{{WtM z9GYlp^`b1lD@_-5jL z#P<=c{rQumKTG@q@he2@AGCJoAM^Sn#9t8~BQEBAu=eJoNFPsBzaifL4ASQkBjWkQ zVd6^S1aTwL+LzUzi05tR^;zQe#OD#MUHPS??UOt|n@H3d*g$ z_$prCN}M6?A*#O;^{t&)&V!Nh^$Ox^iEkplgJ|u;8n=S__w%~?F+qQx^gj@-U0CB) zQ2rxc{~7U@#Q#mS_F(mIqW+PzXFi$uRO0DGYX??8C+gRE{bJ$@;yR+W|2~U!oA_+v zHN?F{YxlK&&zJD}tBJ2CTK}iD_i9`V-bdqFfY$%{0PBB|Xzje}2SvSydHr9Bj}m`F zwD#Qtz`&)y4kI2zJdvnzEhx8k-63AD5HBQ-5-%ZId+uh^4PuYDlQ>VbcHCP?|DX2m z1n!2iZyfkhlu#5&O4dXQNg@%YC`%GWls)^tCQ4aC5v5WTB3ndJiHalC47mi z8C|#Cq`Zwgxt9kRU9SxvIT5zc(L9bPG8#W1x=wqh*Uw=^Uc}27U7u~J+?1`@f!!Hh zm%T-K81Le}e2CHY*jdW+`3zs+N=DaVHz>c$5BVv-V08WUC*>nuFASP5zCH2Jjt9z! z`&-X<|LXIGzxsN}$CfL)4)V9QOLTqguWq0JZ9UR^{UcgGEwn>Bc4Z$9{Q8m{MNZs#uU<3S!`CdVUb{egqee@^AREWosWz`^`d%H>#z)mW4D*oe*8 znjP7L{dp^gb2P_uA|GYcUtodqvyA$2y`sF1Q9ptAln>ud4ln=N=lUPD{dTf`dN5hN zlD+=-o|jbje$n-)y2}5m>lo4Yzfe7%<1)U&wS0@w=ivWZ|C#}|+uJyTck_Ne%;|ib zPw_b}=c{~!@9=$o%+L50zvr(!%5nR#OzQ_3>^DwPF2a&5%kx>4m$DA8=5=hz_Kf<= z^i>|jp}dp#FzP3h)^9SX-%PJR!Ke8=qy90kDZj-n{D7Y@>KF61@{c^iar-gM#i&2b zY09TFt)FF3zjKu@VAKz$mhzRnhS#$Vqy8^x{V#)jH+g+9@8B3l{a(`g;Ro^4ygr9d zGOb@`FhA<=vdZhPb0fEM2cv#2`<1_AT7S(TUlzyjIT-bC$*)|PC0K?PScNrMn+@26 zEg1D@>8jj^1384F81-YBpgfr~IFD)lIfLbi`mdz*(+|pP%wNyV+|H=q%0A_TJj6`F zjz2tJ&&sI3N?zpxjQV+&QZC0ztj3zG$3|?%sDDaFOHjd!kO#6LBu%CTc zc{-#1v`;BN$K`yLZ!qddoA$elAm7Jc|BPSpd;ZF!d@ml$JUoR(SdwLVKCALl*5TE> zjxCw?JB^@z-IV)s5Qp+k-opnug;D?5wBK(8`JVQA+VA#)^2^GvG3pn)Mfn4M!q1uZ zyN=-BNBv=s@O^j;bMYje#?yHg&*cTI&RV>Z*YJ9_VJG%v)bDk$@*Nz*`#6bFf7dz6 zPjWGraTTL}t{auNatHTtKcoJwzbI$%eVBu}nf7~-pgjvKN8^;1QLex$tijrBz$R?L zcI?WiAL~HnAsoeVoWQ96>I~(1T*ReZ!KmNrdgaaB&RyKcsK4qV+)F1Ux<=I@oXBmz68ude6=k>Sw9zWu4 zM*UB}RsNZoUH{C^6BzY7Eu?$~OY=NNxB>$iD5gN9S>j`jMWhT$E?> z9EQ)i5C47CfAlitx@^d%Y{jVGXm{m)yoJMf7o+~74=GROEY9aMjQWYLR9?#se3u{c zQ+~m3_!EyjCcd26cs%p5AdB;CmS<&N%*$Dy*YXCoWoP!{0N%zCyqov)VNU1ce2UL; zIbY=)e24Ed8c+B$<*)cXf8|lx;_Y-S^Y9cFVM#{g37@ZAm6x&(uVyrka7*R(?8d$v z#Ay8BJC*O@gPg*djK&RqTKRdt%-8r9x9|gg!e|`fua$q~5kUvM@bhvEb1@n}_%!9y zc^1#*1&qcGuBCh>ui^D+e3FZ~jH~!MH*za?a1ZzM zJO08fL5In(opLZYqj84|E0{Q z8m{MNZs#uU<3S!`reovnla)D{mjzgirC5%YSdBFqjnmvnxfxrtBYUtvZ{=`~=6Fuz zqnynJe3mcr6|Upk3=V(Nwu@jhNi*5~j%0E9{JVlI&a+vbm6%mq?=yCiw+jFvyxEYsFUZbto^3oDmkv^*L2E933;fBk;t zN3Ngj=9m1IKQpuI2ibW7Pi7&W!O}dB7xEHb!K>Jq&3Plcus3h!?HtLme1MPeG0x>e zF5ydD%{RG;+qjc^d4NAK=6b@>JdP*wR2Jo#Jcku|5iet1He^$_Vh46-Kikp zAx`BiM*E9D+kY3ezxYS*2c!GdfA4kPN7ZvS|66~bFw*CEETikTk0?LJxm?I4jIP(N zR(_M4xQ#oxmk0O*V?HlO^EjT!Q(2T}@*Gy=MZAo4*^o`yiXGUU{dfz9@h;xWhd7n9 zIG@k(1+L^;Zs5E8ke~7ke#4)5q|akE9?yI%$l^SkMmlJd5Y@0#;`&Udd~CJ=?God-5g@<{ccv`#6cyIET?V1B;cHaTQZc>`>mr z{rrxKJGmi7)pQnuD{CGRe_x)gn#+`&EE&+qsPv$$WFgVFds`IQT^1k11jqj7s`DA#5KHem}!6xHBnKL+#ix`d1vqE_d*K;$sGa8pCdj97@uODJ2_X)Ey8jmNhasd`&DVAe24o@}Z znykl0Y{qE(osP;q*q^s@IHPfQ#w$goX16s`q`~eUc>d=%Y4^LqcmSkC;&#JtX zb$B(eV@tMYH}>Tq4rSCo^d990IfXO%1fzbT&nv&ojNik@x0@$@juvwnSMhajR0m71m&FHeeIBU^{kY9}eUYj^a2@;AGC=JTBr=uHYK3 z=Vor_F7D$&9%3fP=~IXiPcz>_1K8b*qR;LgZ+6chjTQ?b0Qz*Y%bul ze37qk9pC1A{D`~xCBNm*%Jq&3Plcus3h!?HtLme1MPe zG0x>eF5ydD%{RG;+qjc^d4NAK<~rZeJdP*wR2Jo#Jcku|5iet1He^$_Vh46-KikpAx`Bi&gV0Hfh)O|8~83i zp2X95I?rPCT%`+?tFsobRCG^OF`UFXJk{&W+s4 z9o)nH{Eojci|c$jn49@om?c<-6YR+qsMTc#y&F=)davNP3UMH*1&ZI?2b%dw6)igrB^Aq~pzOJf8Vjki~g6%d;{s z=H;xy_oUif?zQgzVF+by1%oxuy{yF==@%AVD zoL}=t9^rWN80O+hJdLOGES}2?Se>YR+qsMTc#wyf$?;}Z=44(LU@?|rIaXpd)?__4Vl%d8NA_TU-pb(|&GDSb zM>(4d_$*)KD_qC7`5r&wZhpyc`7<*+-ptMucrpv|43_43ypWgh3SPy=Y|ej|@hrEg z&ra^;0sg?4k@Lhh$Px%GE;ZHo$@n$w2&wMP%;tV#wjN`ArqyO^Z$6tr{3(e}dBPa8+0E@8{ z%drxxu_o)W5u33!JF*A+Ga5H^xbkR@=R`ir*<8S9`66H8I=;>K_z`#WOMc6rnb~nh zc4mxY5&s24_yYe^e&6=5+CToQ-nY5$gSPC%|Lyo6Q{|b-c}(x`jyH&Z zmml&|e!-}pe#ZH5e1DR0J{)g{*L=^s#VyP@AC9+A#`$o(9Wu^`OUQAm$_|cCo{S)c)D_QT`KBFow0q!*UM?n8&2n0JeL=+I&1MtUc>9z zhMm}xH*qlU;27S=Nu0(x%=o=>e0^p7UOCNW z=l-kS_uuutLdN-C{Bx2q{!hFeGLEO??T|74PrMy6#{Y@8L&o?&@ph=_c=IA&#y>lr z{=fRYJIi&?`Fw^ia3!Pbs~Pw43PJ`*0wKa1_UJ0w;3@=W!92as}6LJvVbZcX1yN@(?pQ4$sP* z%*z5S#!@WDO0343tj9)d#@6h}9_-IsIh>=J@tpVgc6_tz^|x~*$MOL_!pAt53%P_Z zaW&uMCT`%NO|y*YRz>$B($1U-Dc2%*=jYmz^i@WESEXEY0(HAur(- zyo!z4oHw!yd-G=A&XF9;2lxmd<6JJ}628RMe3P5FjXSxQ2lxYH&Zmy%aXgWyvMA5w zIjqQwcp2-mA)B%lJFq+Z@fHr_UA&hMaVlqVKA+(WT*kLXZGR%-o_EUoA>i!PUqu%iqCO5U*#Kohwt-ae#Wo( zJ%8m<&g+h49-hJ?EXlGwpH+D&>+ot`$Chl*ZtTlJ9LhU+4 zg&*(}e$KD?Bad)ibqsUyB%a38c^1#*1+30mypq@OdbVLF_T)_*%sV)S_i+-ZaSos4 zVlLw_Pm7bJb@>(5YJ#~p2rJ$39sN)Y|Q4o zkzLrEH}iIm zWO1I&@~q5@c{%IzTHe66?95&qz}q;2ck_Ne%;|ibPw_b}=c{~!@9=$o%+L50zvr(! z%5xr$WgecwA}q3^ z6MoLG`6G`wF8+BthPikWPvhx4i|6tJR%b0<$!mB$+prUR@+J=E9UQ~^IEm9Zhfi`b zmvI$e=SFVj4({Q8e#c*!C1<>yaxgdZvoK4r3@fk-Yp^yOunAkR9lNp*2XY8UaU3Ub zGG}le7jY?9a1GaUGq-aW_wgVPF;lL1`($NK=4AmEV=0znC01ii)?*_!V{3L~5BBG+ z9L~`UP75-wkEOUiwq5(|;yxbaA!c&jCu1C~`1X`Bj#j)KHo4BVjXSxQ2beLAR=mAF zblvMye!*|}6OVM=CmWAvJ{Dwgp3U;C%!_$B>+@RPz_#qnUL3&NID&Wcem>0Ue4J15 zIWFg`e1q@seSXZ(_!YnBuRO|ipJSPar?3c1vMkSMRbI+EyqedsCEK$b`*IM6@=o5v z2RVf^`2?Tl^L&}F@hxuQ2mFMe^K1UdBV6}6hPikWPvhx4i|6tJR%b0<$!mB$+prUR z@+J=E9UQ~^IEm9Zhfi`bmvI$e=SFVj4({Q8e#c*!#dV(?%+35P%n~fa3ar8!tjz{& z!WL}DuI$5s9Kul?#|fOw8Jx#OT*?((!}Z+E?cBwEJjg@L(4d_$*)KD_qC7`5r&wZhpyc`7<-S?vtG-@MIR^ z87$57cp)$06}*a#*_=1B3w!fs-p-L6%Ln)fALCpu>Z<$RTI z@EyL-kNFwD;`jWON4f5EEc5Ub7GX)2<@v12OBsA^W}GjkIA2Wf^Dq8U)7Nt5ta^>+ot`$Chl*ZtTlJ9LhU+4r~HE7@FyOrzhE{V&wMP%;yjz>S(z8}a@Oayyn$`mnY}oGw{ZmT=KXw_ z)A=}`;&WWiSNR6t;rslUpYbbx&tG|z{))#k4^LqcmSkC;&#JtXb$B(eV@tMYH}>Tq z4&|M^hYxZJXYvU?&FA?tU*lWc!VmZfKj+u{kw+XKKi)isxp)#!{Q8m{MNZs#uU<3S!`rrh!N$;zC} z%K|LMQY^{oTE9O6Zt4-a{-^_i+qLa_%`3;N8HUX`7M8D z<~;Fs%FYvbG7Iqxmgaf9keBcZUd6_2&Kuc_wnJe5UxCeL9-Uc}2-mkrsJt=NIx*^jqy81Le}e27yyi}U#m zU*Jlv`3B$N`}~-n@hg7MUwPC?@pd|vd3Xwouq4a!d{*V9ti!8$9b2+J zyRk0^aVYQPJ$#T;IFnECX+F=F`5NEi7Jk4__&LAkk31q@ynT*gE}q2GcskGGxx9eY zS&LWl8eY#f?8KhDiGz6u$M8N*;xx|TlU&SYT*cS9kz2Wgd$^z9@fT(}Io?h=n49@o zm?c<-6YR+qsMTc#wyfDSy0u zvN9+0vH***6w9#^tFb2Qu@RfGH9N8g`}0-~=V*@SL_W&dT)=1fB46P;zRmae5qI-T ze#@Vk`ILA&W#t7hVJ@D;(-=L+?kweVc>$}l7Nh=r*C=1lHtfWnyorN(2gmR}PU1Aq z;gej(4d_$*)KD_qC7`5r&wZhpyc`7<-SU!I*O@MIR^87$57cp)$06}*a# z*_=1B3w!fs-p-L6%Ln)fALCpu>Z<$RTI@EyL-kNFwD;`jWO zNBRBJvCP9$ScD~6mglo7FJ&EG&Fk2b?b(feIfz4fC-32doWhxWf=}~#zRcJ77Ps&N ze!|cBHGkw0?q46nTs(=V@pPWWb9n))vlg%9HN2i}*oi%P69@ASj^TZr#A%$vC%Kr* zxQefHBe!w~_i#VI<1ftOK6Vb~W_}iC36^06R$&d+W&<{13$|ld_TfMd;V6#d1Wx7* z&f_93d$2!m<#3MX zcuwS_oXrJ%mM`)ZuH)N$j~{V2zvQ?4nVH?k&dw8fG7Iqxmgaf9keBcZUd6_2&Kuc< zy?HZl=SYs_1AK&!aV{5f318xBzR6A8#+}^D1N?z8_pguUaXgWyvMA5wIjqQwcp2-m zA)B%lJFq+Z@fHr_UA&hMaVlqVKA+(WT*vtmRUC-F3%&a-$fFJN`n;+4FH*Ru^fu_tfhVBWzoypNMOjdS=U7jqd`@pW$G zR_@>)?&o*>g<0Ik&cWQw&%!LhGOWNVtijrBz$R?LcI?VN9LOOY#c`a#$(+G?T*ReZ z!8KgZ&D_pi+{c4F#7ypAXJt<2WdRmrDVAd;R%1=pV+&nA)dj~JdYRh5?;Zp*qF_EBfGFSZ|3bB z$+3KZkMJ?hQan;GM-#XoQV>T%cp-9PvL?)4jFe=&q3c{lIlME;|f z=O3NFuI0FzP1u}m*@->akAG`>P4(|)avm4*IWFTX{7-L(e|G)<+3)x7y50PzfBz>N zFQ<#|-Cm6Dvq#4P!@PbcqvsMmp!_hS`|Z*3zHC{6b;>Q-p554&gE*9T@*Y0ODU7aTKB4?H zpXbYbjc;)aKj0_)oL@7#Zh3_31II99Jec_Qkue@jydC~i$AkH+_aoJPZZfX#+Mn8A zB)h(N_<7Oa`#YC^_kOO??_b{49v^TQ_wp-7`<0)Sk92%-40G~Cp30&;ljpD^FXCma z%Z6;qR_ws;?8jR;jCb)~KE$b<#rb@OFK{K-as%Jxhy0XZ@EiWbBOO;{>Z<$RTI@EyL-kNFwD;`jWOM;TY? zSmxm=EW(m3%kx>4m$DA8=5=hz_Uy*K9K@l#llSmJPT@>G!Ke8=U*>Cki(B{sKjG*6 znm_W0;EN%={&oy=@g$zc(|H!p8lx@$D!pb22Xruoz3R94oOJYqB02u^C&lBYUtvZ{=`~ z=6FuzqnynJe3mcr6|Upke2*V-H^1b!{F#}Jr<9#1@MIR^87$57cp)$06}*a#*_=1B z3w!fs-p-L6%Ln)fALCpuj|qoa8oPvogA$}@QmEAk>< z#=30CrfkIy?9P6?g~NCk@8v_B%2}MxXZQkFaxFLTU4F<<`31k>Pdw6iO4)ck^RXa{ z^K6!9WnRq7S)bSP2DW8q_Tm8E#u2=m_w!*+=i_{e&v7|l2#dCQ9tFsob>Z<$RTI@EyL-kNFwD z;`jWOM;TY?Smxm=EW(m3%kx>4m$DA8=5=hz_Uy*K9K@l#llSmJPT@>G!Ke8=U*>Ck zi(B{sKjG*6nm_W0;D&eF`9E{ zd$2!m<#3MXcuwS_oXrJ%mM`)ZuH)N$j~{V2zvQ?4nVCH=DLYT#$t=V(SeobYLSDiv zcoiG7Id5ba_U6sJog+Dx5AYE_#<^U`C47mi`6f4U8+URq5AX-Zf*af6{^)2P#}j!f zi}FmK!-~9!m$5DzvMF1!1G}>yZ{aZB#e4Y>r*anO^BKOtm0ZgWe3u{cQ+~m3_!E!J z=lh?>Gan1GIL~HzR_4XLob`DvZ(v(?W-kulZ5+Y7c|RZKbUx0f_#Bt>RldP@_&z`8 zXZ(ua^H&~qa=e|6WgecwA}q3^6MoLG`6G|;yrg58izo3kp3bv)E-zqp*5Z}ChS#$VJFzEk;$YsvF}#nH zIE{1oBo}iTSMhajDF<^iKMS)2%di5gum)?h0h_P|+p#PA za3F_p6vuG_CvyhpaS@kt1=nyrH*-68aUT!z5Hp<`Z=bBp$-FGUVl2gSti)=p$$D(W zW^B!l?7{xLmBTrj<2jL!ayA$6S-!|uxQ=i0J$}U9{F2}DXJ!sMY=zrVcAmhKS%_z_ zG|%IOyo6WqDmG?w-pDTO&6{~UM{+D5;3IsDbGeX9_!3w1O>W{g?&Mw`;17(Q7H^-U zc^psVsVvGfc@8V`B3{P23~pDYwSREgD$U^VHO*i%PO}J0vMkSMRbI+EyqedsCEGK& zjhL1{xa^u{aC(|%@O7T%;q(8j{Ad6E@cBXWroB&5M$1=5`8-x;b=G8E9$v5i?fV>_ z@9^?po&SHEFIl@Z)ILqwiXGUU{dfz9@h;xWhd7n9IG@k(1+L^;ZeX(ZO!j)TJ^oeO zOZ2&DqCS7`c$uU8`*EDWjQ;TP&vi7OakBOLx4wS(c2G=vC(Cnq{NekV^zKK~n?I^g zDfKGHO8i&t=ev3Tz8u7%yp#9vK~CXJKEbE?JYVK(e2ZI{(a$;ldB}L~WxO3So_iT@ zhkw*_FAv{eMdjeO@gE-7rT6$FSJ;0cNY)`V=o^#vJg?yI{J{a_5y8Q0+ViY}dqHW> zoeHi|e-XYOTtiE{Zy%i7Hwf=r2j?8!LJP)XX~(&D;zwEdElPE-jn4Yumed>uz0d?9i@xo3=N$?9;h-;y(%_T6XT-t#!-ZZ4+{Z zFW=a+?cp!=>fN$u@8-RGw(Q#L#q$p>*@K_io+0L*KT| zgM{7s^lTkeJ!qcN&HMH2(7SE(RxMk1YTm1D5Yeq`d`9c;eVTXZ*(1o`p?8PYEjveZ zgSP6^wRhNp;XjX}y7z3`w|R%~^`3pY_ii4vL)ctlM7!p#+qdkRFz?3BE!)LcP`p|l zy7mfISlCK!+P3P`E{MFbTQEQE@0P7v1&I^q25Ta**fMD9c5SV&_`mu8U+L1ab z?{Cq$L)V~o@$g`~iIr~MtxK1-UH?ZlZtU5zOAu7OLEX|Vd-v|yp;e#W;rq1f*{x6a zU<*w5G$81M9e$3ZseJH9@DYj)2)5-pftmexcwG1yi{c(n5mzH2Zf=UWItg*}QpAPl zCeizb#}&!y*DN6}JkLlL*Crt@{M;vt>zokxWQw@n32{%Qh#Qy?w=hNA(1f^ff0V3# zcO}Gy$63kZ#wWyu=UvI-CMCo@n<8#{LfqmMapAg;*4uL_;=*N&;+CX{dp;p5%+#V+_DsLI}_rTr-<8^5cg7wxUUo9R-}mgDIqRA z4o|kc;dfiKK31lP%aIWGN{YBV3301Z#D!xzM(_J-inwA4ajR3rh0nT=@~ue`7mi^a z#l4my?!tt)wJG9iB*cZ=Sh9AmlMwfMinxXeac`uE3!fnrEytTF;@Tv{txplxIUz1Q zPfNBOy%XZX>o&>a1}4P4og!{%LR|RSOP24hgt&K7#Enmg+ms@1QbOG36mioN;==RR zWc8by5ch71xJ3zZ@1=-)J|S*vinx^tap68GS^d@}#BECvw=p4Zdy2UC6XL@2_+;<9 zGa>H76mk0!;yy|d_jN+tjude}CB%K4A}(`qd=!0-cBY8Skr1~lMO>bQxKC2Vg})7q z-uKfKam5nicBhCtDr6XL?}_GImMS3=x3DdNT_ z#C@A0Zc;+rcPZkgC&Yc9B5rO%+z%<@7A3?TN)h*bLfnrj;#MZag~vR}+HYM#+|McE zHYUXVk|OT?gt%W*#O+Loiv|0nWcAyZ5EqX7o-FR`gt&10hh%X-CB%i#`$!g-`N-ct zZ<$lXPpRhzrNVNER3Vra0QagwH=o z7I$GnT=o=kH4@@-q=>7N5EqV1mh62SCd7r~uqKOZmJpXSMO>SNxNv-sWcfNL#D&j; zNfy^TA?}0}aRU?L!f^(Yv zgScAZ3#RCOTL$IC_sx1nwzTWE;rsRt=0)#2F!(z$U-(<-W^#o@`T7TcC+4ddd<%lV6Z1_9^3{owi3^K! zgZ~oq4NjqFFXcF%r`j5H!FB8nxcH+XE`yhMAlgB%qS=@+Fs5I zf`VDUZO;vZLY{=UDBop=#kC0H>LofnnjIl|J0ATDuzEDyJr{PFcWDwrR> zZ}3lJI}XdYxk@ZHJE~MLKde(Yh37-j-(h+1#j#j8&OtOq^Y#aSB);#5)w9J~1aaYZ zH9826-Zvc6B>FdDjwLn!=XMp%`}MGV)oNyoH4fs#eBoy?%9r=Bd_`*g&(CF)?}Q*O zv3?VRd>_g;E+Jou!}84u@=f@Id_kqc`rZ3S`GWXxy0~5}wjx{srl@|0 z=WARyTWnVLc)t6B*l2lwtDk(CuZ_iuM9Bh^<%>1>eLwU-ihRX`d=1i(uSAfqeGnHe z?}I7w^$+re>pz;3)o*N&Z%Pmp=9?IpYrIWSrMHU;^*L`j0U=+DE~Z`r27@&+@*d{a~8yX3l9ENH^treyin zH~W2kOiPjPp&(yS#owl6`6^zYE!I7V3hVb+V6x>s5acWPTejrOJ1fXnNWPgV@*Uqa ze#{W1Ojf_uLB8OlnO48qDe^T8@)e4b1t!avEBJAL7#A+@G1u_4MD#2eBO2k`J&I;!W8wpH^>)`ixN%A>Q|^uP`{u;;qpEm1SeY`6WhgN;q{ql zN|vu|Fjzx)d>!U{HV96ZZ&dqOtYefcFj>A@U9zPe|AqOY`%!;q-!sF&>N|rBc_iSnX9K!l7 z3xbo?uSus^EN_%7Fj>ASLB4#!{4n23De`p-@@PvL9OkpVyqqH66+L6IEyo4{ zreyV7-#=I%K|_c2dnJfXw!B3Kr|WI|)d=!c3+6{tvicPo`TPEF zO%R-{enSVxVy8yQ0+Z!yALJ_(%n$3gHZWPfDTC9uyd#2q(el2YBHxN2U${SureyV7 z5ainu1cmi`GcZ~GdfXQ5Z_}|q9Wg36Zw!)z`J($#!RGV-?f*_27K`1Mp6zc;us;a) zYhk{(Q`B$rox%Prd?Qm3o;Dr6Kd2TA7E>r&Jl{J(M6%^=I6gSfb1WDpN|vw1l;C*M z{$+EDd_(V##lmqnqA6Lvtkbf^`sa+-FS;M~NA0(BTChEZl{Y2JH#ivlCOpm#+izm!&S*6-uMWXn4&$oF$PmUnTGuf6SW zSBiYMuMYMv>Dc}XZOaxLYy0~&MZQN~i^an6sG=#^@-FxwI37~JJt^}25PTTZ(|!|z z!IPr)+nXZawHsrxa6GzbN>;yIdxL!T2cM_N_u_lO{x3cIs{`3$^@EifuHW!@C)xV# z5?qiOkZ=JZS^c(r8`ST(c)sX^3~6hJys}pJm0|-^}FfE zSnP^))USKK?6I=y_f3j?F9#R*m!xBTOevl{w%_{rE=9gU!A0?9rvw3}WXn6YbdaxL ze0ih$QGe8a7oM3ZRxRPeRI+@7>t&DCKPjFsXriR+cVN{_vGATiG$qTozHRncIR0n2 zz5JXa-}362()zRhS-#aBvd5+bL1DgMQ{=nw;!J6Af0nO($Lz87@F$6Cl2-H-aCd?hZ)6bp7SzfH;VO$hRZ_k+UajqXSNQN9B~zThkPw<%e^ zDV?*&mIqN`zUY3`ALScfBU8H0&szkYp1aEz-H-aCeA|P3gVM9T1o@)W}hm3-XPQ8ZF2h{dxHD(CQ#xc#Sd47u}EgqkJ8& z$P`vAm1#@ zTOdWg`gJqKt_$WzQ}pNI+h6zo*<-7Nnc?J>R)0^4-uRefdfRCvV|) zAJ*@@6#1$JAKvsVZ~c+K=Q}?|z9PXx1=5qR|ES;dRZ5XBbF)mbvcddlivB!&{Z0u6 zK^+^+441cZ@L#h1OZn?F#ln6s(G>l8c)qfuv&Vu}mzJ+eihLK`kSTU3N*0(b--t2U z)9%NI^}8rVzVgj8#d3y~Hzmur{_fy-GKdTF1&5$Xm-mv^!SQpHEHGKV?&E{wmtcOF zFE}Jinr}#uFFnUY%Y%H;@$;oA^2OR^iZwXx_X;Mf-{J|u@nq3>{c5Jj*I-ztSeM_^ zC0*X-OSAuWzIu6ze9MA->AC(_F&Ip@koK#cBHz{^U#@i2uW^tsj0@LC@D-bMee6wV zzV-?Eg0IA+`F>32^7c+*WU78og!a{AYZj~>yuy)<^vyU$j1guZX1io=a!_S|rpj*rg}U_d}2`yxtg1L1@}^`2K1^kT2ZW z!|f$}u1m80-}*Z;rR)B}f)}&@c7Gw*WhTw{Ns#Z(V16`3e;!`H)j_^3!OXCJ!7eUo zz8)jfSHCICf3IK56#4E*XTHS=`GQ?s()v9dw|n{gE`^ywoQ?5 zRgmv0IYN@<3xAy3BA6fM3wD`F>vz(qOtGqAB2%(_ErNWtf|xL0hZOl51^GTm$NBH9 zAYb^rk}zMV6#2@JN#Ex!*Q(z?Z(UO4s}bZoK3dqoAT(_{e0>y3$k#1JzD7a5(sG0( z%U3qYS236$E^m*7e3g#){ojQDen^mS^dDTWJ1>X}FVj{FgMz7jkgtAVn6FntzDwl` zx215(H8E3cLzD2#Gd=~OX?3iBSlo`UkBJ3c3x1oTxa-A5GcF7s29PV9X^IX#ngnr) z^;;a&Z%Pmo)~{diU$lSe7{mpeNB8L8*ZKCCSh=VW7^MykLVueE2XW!}bTJIP!I$x6tP=DQN->< z?D&87;k@{+_4}{?`n%RUdv@=cGiPGYT;8IV9a<5Q^7lVd$}0b2in3;~Ewi+mxc-nwx40z6f7YumGfL9E7 z&44!yc*}q(2E1dyy9P`%V7dVx8t}0JpBnJF0bd&MwE^E6@Vx;)8t{_=KO69?0lypY zrvZN(kY75#cVR%)fF=Xl7|>!sI|DixFoywi88D9l^BK@;z=8&JG++?}7BgT81C}&k zX#yrru$=)r7_gH8 zyBM&W0ecv*mjU}2upgij%34Xz4=T0KmG|-8#`%+ zB2{y<)tVy7H14QbQAf`ZimcEP@{(-w^fD<@XtO4RCd{=2Fjc$K)TF4Q7F0UVU@_HP z*^3luqwUTPzKuC|CGck)fGw*t_}Vf&OzZGaPvixs>R2Z7S8n2m`8LcE`*Uc>UEOBt zaMZx2$4O1eVRILqpsLL%eA-QIigs3%E{giJsZ_Ljn;@A@W!F8WVsUJ#D7KZ^8j&p< z$&EI(dxrvKCCVly*sG>$bpRb&wC$#ts35 zR5ft242}KzkD+l{hWeF&=kcs-Vlfz+(;v{GbI%@%Y3~0TnmzX)L-U$(QIBYTmZ8BI zmrM&-*TiBlv|<-v&X|5^qm}<_X!%Lj)~mK^ITv+9tFsJwZ!sw*FV;1&7z_ox0n90G zAkr=WYiP5b{M*ph>;D+qmSre(I6QA>T@#DJP*`oioNd3Ljl%!eP$;^Q5mAIA7xjn^ zWEnbM5fL3^T@#DJ(20eB4sAOrriA~sb3A~R^_ra=$wl4HsVqC$j>AGCt7@% zu3rGm>2?`TZv3ycYp=k`vUc+T7j-kPsOemEM!mBJoHYVgKVxySe=mb?|LZ=#N z#J5=zk_nSN(c15`2*d8NVeM~Kmsp`$j| ztnZu}qH_x;yZrjsI+hmeXb!9X&5ff=I-19-e>vkRKN?&(S*?2ipFl%&)GN?RY!*?wq;RW7S#vl?23tNZMz>tR?vw>6;lKgAL32l`j@DkM%l!K ze2sG;fEjcETI#0|RWXaxoy^YOIm{c4)3=@jY?n>a|{W$iF zQ5BngrN9tQs-hn61~iz})c1K%410};)Gl*W#gdO_$-)Dk2*qlt@{KM1OjQ(1H7>$Z zk-_5?OZ6zP!FNlzCmkdK-TCnMd$4$Wer&d*3b5L z%MRiq8{StLmIh~qpgNvNLnVhc)p<6K9FJufw~Tc1Bs3t~wCw^e|7RF4p!Nb%j0!i# zIALS?%N3a8h$Nv{W^$2zJUN8Mnq~NanU4IjXLm6vV=Pe*EDB!l6vcALkS;QKnqrAI zgo_NGrC4IJ5F1DHS;TX=hu*vF95<9hRuRGCoVs>S(S9 z|0mz*dXA3Hi_nyb^XxdbVve=(L0FOkb*!YLiUpzN+<6@<>*%^2R?a`wv5G|o|20gp zT*~rL6&PZ^oE39jUR9t4gD1dBa+Z}Aj>)Cb zPIt2OIymOP4J=jStqP|ujz!KuFHOOYQ#kc-G%ZCJd+4F_`Z(IRLN|KMpPXSZ%5R zZ7TZOvlG=?C=lWNjoMgu`y@je=iXFOc2dj!%+|t7k?qcnG9l|~J%A!fFL){SMY|%{@?qlVT#4=y-hasKL z2&)y#BFh57eO@|U5LP>kQ5IJb332IkSF=I8k@-t@`j=N-yIJwk|J- zmMg7yEba?S=b@>}P*&HlfirG|0xDZBIq|Vj94t-9kIhWUisj)f(^!+=05J zaI&$UwZiHk!DGB|Drp_E4xtY=`am1&#OL4+5pKGvF4pGd&_jlb!SE`a`dHifLwK0T z`mn}WSLKGI;RZUz+G!ck5e7Qj8eR-9e581Z2zgMpHsP*0N_^LGPqKcV4e`;sXX&(! ztkJ(uV!zlv2P2Zi^dDF!nShmT_Zf`kp$JsNnhu0Lx20mg zf+e#EQdQd`OCW933BQD5{(MzrZO6rpk&v+&co0f9of0&KY$7q;LnQqz)>$1v7Ee7{ z#CrsmV>aC?pxQRY@`9|C^m&QxzmRkdeCzTMvm(s;0+`=G6Rc^4g`B|>PGntet17~t z*qLw=)`SXxxrt*JPEs*nxuRw{%YHQzG@BFku@<#C*hRuwQbib6%p-jf9LJOWku@in z0^dTbmI2avrqv2~7z1IS*{2tX(rn=D7= zOV}vX73*G%0{p-~dI3)PzypmHhAs67ex-Je77+`dwd6X640`F??J5} zH=6LCQDHi=HHcKfxT#S8b8NyDBrl*!B}Y6q0jo@1WCnGqOkDxGR;F&yX6<59gGp_o>psvTo zX_2hB_ULhS)g!607_B18qSvhkwJKLwl%sVhBQmzAF})hm<8g|@|A~48>|3m+@PDEf z;>t;-9CF)Ahe8fLO*yF>M)gEV#n!g5t>j6{DB=T9^C{AyzHI~Bwz*-vw8Fqt zO9y6^q=PLO%qGxn%0ku=pG&svFol+``HyZByN393Y>C?DW=Xr^uuuge_PNQ{_HTU$ zdwdsJzHDvnZS8F9;$3CW{~w7Q|4Lx*4a5lTU-4XqL5c#4f89%h=_lT-4OG!CBWVkBpm%1xe@wQ zHF&GM+>HktZ)aGpQW`>zm+q;z4xY*oQ`yY*i--iR*H^}hmL|3@H?F3^p^-xj+4BfxG^JLELI zXKdvH>I8q?@%-oC{}HardTj0gceqw4kM$&zbKvSfCxR5h$!q(9}*O203URCBFtI#-poa~g#@4q7=!Ec;}ITryj3&0>d5 zhqRNLsab1IP3O__9h;7VW8N(J|30OCKEsHE`d_+ce{`r&43eyyUn@O67Dmfj4e4^2 z?eR~Qwxu23k8r^EP1ng>5vQBA-!F ztyqcjHi~RgEK4SfKE1eBaB&nOuUaCD{I`b}WUH0lFc%33`H7secruep8l}&~2?~zx z3zarXU#W~y`bK4q(swFnlzvcoqx6$7q3gQ82=lC7`c0LL(jTg9lw3p=qvR^88YMSj zGD_~Eno;r))s50(QNt)L5jBm{Qc=q&d5YRbX_=^Fl-7#6M#)FiGfL}3eWSEqG%!lO zqM=dRAQ~B^jiRwp+9aA7B|p*BDEW(KMrpHfHcA1axl!68S{S9RqNP#VCR!P#?E+m* zZaF(yF@#qv|F?{N`az-QQ9Lq8l@o7$tdj=osH5yfdq+eC0KMdN+F_~ zQQ9xM8>LXe87F?fVWOu|3KzYMQiSMjln#hKM(LpFYm_2IzpRq}lpECk|3Ot%m5l~y zg^Cuyx~cF$&E9_rI(_y*hHj3*nizQ&{ZSpFHJl~T{r;<)WzcD;R?e*n)GHaEsi9jk zo2r$$a0(|zWrt;vv?}S{@ewq%Xto!x;~TLbs7eQXC)sVZJZazX`CEr;rr#K?RawCN zA4hU4{f}wGL8B3RK{keo<|8$c=Oj#+M*T-ol`TeV_O-FDmIcOWWgM_oQ)R)ihJK-O znv=~j#8G;@=G6WN-2PWJ%l12(pyeJjS3>VSO;k;vXwnJ@YR!G-!D#16|J9I9?KGRw z*o8>TkStR&hY`2TGg%Y!NAe5IhvX^0$^Sg%Nt&va>H(^(Y_FA`GM~qt9EOAexc<=g$a{JR5A#FV5d8lIbwGSrkshgn|1a#B+|&SdUb ztcNw_A-)Dp*^MtoQ_6j3`IO=|cs2=QJB#%4uoukD*vii@*;#jdBbG@i&*iPrtaUjU zYiMNx7LS@z4_}FY0B_XT#nzwHq(c)uY9%n z*vXp8)}NoQ``AmS-jlzl zv9*CWS+ZIJ(?{%K`S~-L;*XJ1Q$|l^ddm>@Rjm_0e-S^g;*e5P=B?v$peM^$Mh;9< zqAv2YS1smhdVd>TmdhdI*v^H*9K%!xjxgsoevUlKy2G#+MIS!S6dxQApto#eI}1IS zGE3t~qV&EoREdVkR4tR+~|oW|BV9$?AL z!CXFV=4a{VOsRl$3)<>9KhL#fO4NCNF3Dt>p`rY2dV;;Th~Q^$d3`Y3IjeuUx3H1M$TNbUF_}#7JCJo_eDtW?SpKmq z$Fr*pTe~ur%WXa~cPUl|n&RZiGL>?1xrQ!NqA1H8&}&z|BgggtcSj!?7Uh=))AJUTm|0iM?EX&Ge@F2v#;fTT4k`Ute2r z*}8`1$1LWyUATokmh zgL)r`ZNTmMXg9~uTW_DjZ@JuKJwLtPv$f(8{A@Lo?c~nGzA8-Or#CXK=qJV4a)sk8 z(*{XXv}A7f(nN2`J^IM7NuPs$e`0#NJ}+#AB49vy$n}OLBQmCDyVp&N4#>u-2C^?CV!grqB9|%Rb)hrA%Sg zic7%BC=TjyT&fh=k2kICUWK!1C1nQF%OY0~>=rV3%15k}O-lPuyz|>q5l6Gig7$2u zWg+(a*u?ga;f4izm;RMi-NwG^&Ept;R%ZE&x43*Gjp^NRR-`GT%J9<}n@o(fIBAD3 zBxg++-}HD|v)|22`B^5Iz5Lq2_U}#Q+HJvM9D4OE=5~+a=T3}ZCPfrt3(Ig$jB!<; zp-L~~I2-?AFZJ|ZQ9z$Ba^GW{S(Jtv$KL??D)c(Nw@mzwEgSa?n)z+xd3JRzW2P-K9D{5^O?~ z_p}Y7iW9&_@vR0#Hi--N@E0hmVVz@26`5D?dcEa=2w3rzKSI$Gn`IXewe50t9c;0= zu}lBSi-xMxHwZ!v3cdlQ$zm5&rTsqSBDJnF8-d#Xk$5|=b^$gEx z7pP_tC|suKEpUI08sp4byGhP#QNa}QIS7TjR4@hVFUVqnhqqLz1h7nc!;6$}6mStD z?qU_vK$@p`kqFF7#C?M?9}(6XRgH^JK_mYoGLRL`Yr(IPVmZcm6_kpSn!rXkR~ zB}$)%!Xpt?7vQOQbRBvx1o15WTFko*k$2+sTzLN|Y|aDwB96C*&1JIfR)~1X?c6i{ zut@&jeM2)^kdmN5y zzvQeCU`thJ9*w-!1vtFcHmm*`LVBc@!=_w&p?=`C>lbzP23An#_J#*{T%F*MG`SJH z)tbWJ%tp-vNmngEyX69GhgM+^OowR4+5$vqF<~G^Ylj;{;fQvyABZQlF&Ij;OWODh zxV^4@jmv2JyiK%*BfKR4un?U?- z%5enPa`TvXz?IO zw`=B_*#PdEGjX3pOE)jTd5-qd9LFEhTl0|TTnBT*>aSxuD=@^B_CG7Mn8F(Y^QB;Ale8!faX%g@;2nOIrXtYBQ0Sz=<|_ra(Q# zCSWj#X*NS206eytFdxKsHosC~^Q+CwZNOZ!J;nVJ%`02oaj>#6+mdjEv?p8acqr`8 z_U0SF;cT67HB~#FZEqSxlCr($?a=jXQ#V5LPPQDAAo3vFTiiC&-ej}0h3SlJ{zpOl zooy{oAs!b0Dd4TP1n`nAi&g>9D2Jm>GQ%-R4f(Bg^# zM%!oc^M>0HOXI;%kFYojh(ueGUnA;cmTrBae$wI*4Vy`pvABw>owaQ14~2`CJ2TM| zS1lb6gLmB$^#hX07KJ~OR7(+8c(`X-!F}n0CAcUYJ+VZF06c>~=)JPMJOkc4OXY09 zK3HlOfXz&cR~(Fev-tBa?T01$0<8S83?Br{-S)skcvxYZ)C787w!wFR`PdfWb-JHz zk5qsywv!`aWvA^;o=ErFj&*_LA>01BAQ^AFsv1Co?J7);+8JA~>X5u-d!ijY+_3%7 z9lUhgxO2ds*iI`6@X|Jg7rpOnr;db`Pqx{)$-mlmd;~o=JD-{Wo_0ISqPDB;>_$U< zo!yQ)aO-E+3@I9In_Wj9LxSx>M}Qb%w}ywqXuHENKs;i%`wYNIySg`E<*Z%l7U12q zb1wlaDR#N$0HoUa9)rI$yT7d9J+Mok51Wte+$RG(vlAO2^3pCQ2_kRoZu1EJ-i~i4 zX&H9EQo#FcH?}4uzu76Z5&TcP-MnW1V|QmffSdhe9yJ!*_bm-QPkRL`7Hx(7Ph7>) zR@)zL0G_w~g6VL(&VHN(&(}UFC%`8AM!Y83Y#)#V*jD?WtDvyMeo+R9JMFLX+HseC z-J8Jn*blD@^}Y5#Qy>y-pC>Um$OnaJ`zG}PV(n`# z2QkjR7|+*7?c;4AdCcBx462e~Zz=@hN&9RM;Qh4y{DQ!e?AuR+-Z}fE>Cn4szw8sT z={ygrNqL}S`o#-B^F!WJ*{H)n$(DRv$&x5uhL_`IE7!;5>OvFPnk&7<^3}AM4&X+k zwuCaa0$WOaaR{^GXdf);{HZ3=&e~@BhO1%PN80ukdS7W$C@>dsxD87R5AIBB#5F%) z>qMt>Xiz^fl-GIt#IRE^9V_~lz|g{hW>4skXTiESY}AV99|1E}YVd5@sN{KA?*5?? zDloCyA#hjH&Q`D+NXfjOil-%?QJ+gx$PpJPKhaE1B(gzE>m%@xqZMjyDqjp6Z&QUE z*eIGR^8F4|rDpeVQdgO8i<_$KYyo=JDN%4yZEYxYtIt}7a*b=qX=ybBc$;0ze=6v; zoAKtVPKUB+$EK@_!+z_NydCXqc?WL44ZO}6**^}tlWyZ)h4$Avdr%f;;>1>4OmmBZ zv4-L{!NxjTe;EQ>Dg6S#KH9w-#Di3>1>Qmw>ERwCJ>F>21CAj*;=`ne97`On=@@b7 zS=HUdYv8==9q=sBo?l9#&O>0ccyFErOBFwkwkq@TD#EEWhbN|Lk9jz)k;yyadfuhL zZFH31Q{g>u{OZYX!EwGwf6FIEtpkQ+At&fBPR;UO;IIpr*jXSvzmL8%pq z+ZbAtLeJs5ZDwRgrQRG|xqpUEWx3SY>y1OC2JBi{Nh z5nFKluPqfzP6P84tIvbyE9M_SjW&qJ51_YE`0&;`P|S&eu|4AB8}NdJXa>DQ!nOhw zqJ;ym{$fPuV1UzN9@i*IB=ak~EL=FVnJl)x1Gpz*d4ZTFx^PVPs z%1lKaJ_~<)02ldbI(Uoacb@xJN=qBKT_w}EL2{$ql@q*8a^o#vyJTzLL+qCM27(wN zXAOht1M*%c5KqV}zR*jMEzv9W*IWDQX;`m!W6<9#X^%nBYT)jPXTw)KH8x6G29?Hn zKcVtXS_a|Sbn<&}n{C^KNStjsN!olbHeFhma#)XRU8lAIy<3eP(CywXJG}OojGv}x zJrjeV)oZkbh290q;n}C0r_r5Dj~oGs1`9Z4*s75$&gr@9)o{Yeyyn~_8U9;L;<#EK z;IF*Z_}1XI_SWFJ&F*fXwDsu^&34ls!hicgCVa0Q=B$Q%M{h@1==6*`O6T9ZAk!sR zQI2u&SWvo!O@QU@&!<49N6#y;*|RuPdJW^0Lhl7U4EISX32S|8B|xU%-W#Cz&&}JE z0p07t+Q3(w(iyalyYpb5x}Xdh_ZF0)i+K}0?1vTQ;in@(A5n|D=SYQ<&!c9y2YvK# z+>O=7RONwT>|}3H#;wCPQX5~f0w@#CUV@j2A5Y^sY2s5vGWq*P*qKt^7FtsquZEpz zrFn-n{Zd;{W;DG3%3q`Zf}NQu{5j9+yA03S^Xoun&KZ7hbKCJMf8KcB#?Bwl3)Ka- zT=#|T6F^xsyDIFs(86T6ccnd-5sMp*$pGd~ImbcMgFZMya54Q@1>Gf-k2AtcsoG!= zJ<0Y4h|4IxJ1j1z$ZybFK}G!mRuVn{-s3jv3!AIy-2(*aMant&TSGQqLG-3cd7-|R zs&dZPhgNV(Zyo&=2le$-ZzG7lG+-@6Hqc2|5I54ka(FSDXmSIn`_W;}7x`1gYLMJa zh3|qGKsSDZxP>lqN7+iP1L1EQ#qi2$J6+?&+zy(}cY))kis}+5J_4w*o>ktWq}={PXWN9=?EwPV#u=;VmVB9+*q+xl5@Rr zRKk5R^3@Q%}?hajGyg1o~@p#Au+vGoTRt8`5TxJDH?Lw22>@V4>>y~X8G494eq2Xc!J=0_08)TlN>N};%Qz;08M z!@%xPa(y&iDrMr%6L!e6o#FN#EuRG}jU2e;?^DB%z|!dx2l0UJOhgb5=@>6TAJLK} z;60|QCxAU6wGiAsrJOiV#;D(nCynRy4rvVRx*zdM`z6h=!rv>}UI#(Erl!0ac|$3@ zP=8B1Y~b%54dxO0J^6Ev;sZV7<^D%{>JKb~g6G2fC)$_?Ad_y4f!oixBM6&csQyY| zUuiMtiN2A!By4^sR}SI_ZSV*7lL{!ne$nSRV83Z;DzHD)r8d-E#Ma($>nc_*L`ZJJ zkGJXW;!g~KhxllV$QFxXyjWc#dU*g_DtzLAd5ZG9W?d#)@!ny%7^$yrMU7wtxKb1d zgQHcV=x#V#E&7|`$V>Du1xIVd+E!5T7Spx^TPt#$0p=qbK#wx-TIV16Re37EgwIuk)`7H|1{EKg3EJ*aL1)FkcE z4v=|W5G8)*gZd%ia}K;{(cn7V#)t%-f)0yzqk+YWldFKmiFgY{;zd3?xIH4q)P&8W z!Y>@^$HY}1fa78x@9$2Co!8+uL5yz&k(1&yr^ru(isMbZ6ukFI8U3I>fg2B2bFHq`L&JA<*yN*NH4OE`08crDrN~78*KxurBS9DFT z90jH6V_pq5n>P;>=S2>%)4c6KSZFc-70NA39s{>k-@Tx;&dU<5}0yJ@D+-n47qJV{W1z{W#j59=zP^ zm5g6oX}$0Bda#fCCD`nHdIVzYH}4oI{cCcx1Ew4SWnlf>&>iH(iP6EXyi*-=fCtK< zy?9+ZY&MsN*A0Tr5x00dGji@4co{YF3n-(9cL#UO&xfFl4Q>O=<5HhPYrKi~%@c-w z2Y2GuKCn4yZx|?(8}XMsWnDNZQ)4-;G%fceP^Mpz(3-KJ2Pl7?;^oOqo2sxmtKDXJ znSHDh{LV>s2W4)bm!Qmh$IFlT3CW-=P)D6-Ng2ejgzi^_#Lx ztEeUCwpLT8Tfn@i!bE^Iv|B*Zn?Ce{m9^x11VkSSs|;i7Xg|-t>#1x-)WMh5xPiEV zjz0%+BPA_`!Y0c19{&6&e*-x3r%|}Ag$dNPDjWsSTpm`pP%3{kTj~8IC~PBtK7!m% zllwq&2bIEif(bN+7cIN!OAI7;)5q*^6i9t$fw+ej^n$S<3J!*cz4R&{)b~-?eh`Ck zi2~{&bcuK9`)L|MJ(PTUf*3|A*t%f?J=+?@2s%>*bvQr=ak&T+Xow3uL{b=kMo~1q zC1N>5&98$PO)u-gQ4HPZmvWe1a>_84vM&KK4l^f=#Zwio$`O)Wm7}z21c=9|$_WsU zQ{FPrJ3(ioK}?|PT$PhFg9rRmw9*FN6RA^I7&}evBO!_8c{_MGLpAuA;Vh-_j^!M6 z-45b;TJ{~-1!~2|Ul-|q28fqvBkzJP(~ET2yh7ba0lP|TDuZ{8%5je4I{BO6789rk z)NfMp?2x=gr8^&UW9X*>w=a9eOkwAQiv22JtR^c@DjMG?8cOG;-!) z{61Y^x9N0~gLpt0J}~x>`ecLTBU*kMdXLGwHHc3r@(lEz(huH5J)^OF`uCiM&4Jzv zn(+Z5FUe;D^j^^<{GbmL=#!D~@P-PN1o15m4h0cI8=n!przsaft|P4&qXw zRe=XjQG;{m%S8VssQYs9nKJ_`L{%QcR*JE_09qx=jRJACNSpyZFENG3qBUX?e}>+o z&_?L36~$G!^%34l5LqV(IS5RkFM0dwD}0}VxIvWQ?CwUfpO4ixiOZZi@Dms3z>2@P z>V(KPi&cA}7a(5qG`vMjcYxci;t6kZwu#(7U}d||xDW0S6?tIUDYkGzZS!5;B;5j+Hm+mm5zuNYDjlKaHi&X5ciNBHXq5kq*%vtJ~)u_-UWGcjl$j6D}OxaCsioFwk>LbqgA}6nWK8R=@`96v@=fTSm&CLk@ zlUT)bWTuGY>k^;QBcc99H0%!ESMjha+nW`` zV8ppk*bac@a;_O*g)Gkd#FerhA55*1&8GufEl2bO@RF}y0j!Y|>=2T-9OnhFRxW4^ z;3H4fM2*(T4t$qpy}Y^tn6E6%C)*q3bxtvDl)HJr-6ZR9Pxg~nc(v~@W9$(8W*Jo; zRs!&A4q#hkaBuLo%3;HRZIgNDg124z@X5;#xvn0-PTBoBz%IGq8F;&89^U;2N;}ST z?~&K}csod@aw2}OTo(YaPqyM|Fj%(v3%n3Hs1d5NU#6EvHACf!55U5t8($;~m;LL* zbcDQ_0@DYiM;j;{l=eK#M9N{?p%5jT76I{)^j!j*(X#q?c!-gs`abwE zA?cznafG_7I%6?BxTz|S-tKC*XYk;mrn|xBVzm_KsFtV&nt-@eeXS207N#bzj#pHq&CV65kK|LMJV{IGp@qlW_8Lf zCsc^HWM)iYb55US!d7T=#*YY-H| z)xIyF9-;1zg2Dmy7Ef6RRhNVC5UI|X174ImFgw5@^`tu#qSZ{^L&vC%#vzu&YUw*L z9jnH!hC-YwIXe@N-$4R9qAnc*g`;YV93UQ3M{EFg96$Gg-U&7N5JVEx?6Dx8R0~c8 z@s!%;4gyS6vrU4((`vJ32q{UO90uYUwM{MKT%)jiPv{gM#;sN&l8^13l6Zcvxd2m+ zzVmY`eHpcAd5jO8TeZVaLapah>H5Vg zy$0Q>D?2Xf&=j^y9mJ@p^PF?dgze^AD}&nN?GBV%dyYpFbSR5&OP474CI#k7zTxq{ z+_>f7RqULOXO&=HLRI%F1go`7G2qrE{`49+JA=}AH-8V!UWA}oTKHW+xx*WrL})#B zK7h3Wjy%MVxbB3oCrk-~%;X2WUY!=qO%-i=JsIp6lifAY4x6?HLGhGn0JrTqlUfN% z*GvI(z`Jc~!C&nKbHGD@4D*QFMw2ZnzYXjVn)nSmp%ltfP#C$_f%OA)lY8_*8e0>7 zBWXMDV$!KFAGtlCg})*4h|0`|`eW+ATllB6`z)|$l))!CFDU;G5MNSqGF<+kjqxD< zqLPzXd{Vdo0H4dUxIC~Oj~M*#B^LF*9GW-+}Ihyh|0Z-KW8_e)UU zCf@e~*df+(He#oU;Ylt^EaaT&A(0~vycp470(gf-^8o;vVl!v9J`0y!;C&S}h5~#O zzdix{5U(2`mY-toc+~c{SkesOk2tX(z*S!D2%B#5{U(6La%R>9Z}o;hPkEfTZp&op z_OP--X6JSGO8Ijp9Ici|&VlG9J^5t7TW;fg+*;Xk28ipV!zQS&mp%C7+#rWMMQu0A zCY8YRlYY5@`O9-TVJtv8@S1pwJjzFTJLJd806V3|lj`#BFp;s;L7Z5-aO5x#WaMYb6RfB6kgN< z8^X#}t=KBqyr%Wytn78o?kn_Cv}AvP+nO(*4c*b2^P$IGTr+`(d)le;#`xr9w;S{d z6?sQqu_kXd8|LAY+eX`P|9|E%FXw$g3%UT`65EgZ_S|9 zDxo*zTc6;heVey@5Y(@BcgXkuUIFq04?O^Vkk>p=2A|+1%8(Y^V}};xySKxdErZPP zVo|U&qD(Bxi&~UH^;~FhdjL1ef`vI+}9|wOLPtdH)wonO~t0LTz}18cLgaunD8ybD zhCnZp?mh%DiU!UEc8I3^0EnhJe9JC|sz(4jOsy}$SS#!a0)U&;vK5ToqMJBQM}pxDA3dbdO&%$4Q>)=nxI;}-A(=|fd=u_2P2BUQ-f5?-sXu61Qm@%=9cjoToCKmHag1hke0L(+&;3d^Y5p)^>ZW4J^VE!VSGxYco z6v1tPuuTQnA~M#(=2o%&GaPLbA6mitc9Dly)H_7iQc&0_+P8p}U7{n8x4VT~BZvfw zQoP*SBUC=q3li6A0PGbFyMeb)l;T^@!NQO8*++##4(J^d$9{u%LO9%mWP->$4&bCn z;`bQrHJU;g$Ha z1Rh?Cn~&k)jqsii@K*Tdg~B_r=oR98FRTv0K8Rt30RD(_Dy+E3HMhZYlLb$rM()!2 zBdmDHuB~BnvGn8qvP6~-hohx3`80T#a`r)CnM^wY56k7#HPBlj5Af0SN*TZt-YQv< zw-~EspXqSqCHvI^FIaj{1r{RbafTpNdX5DMlg>QYgv(Ln*a^L8d5ah1F|y%Ph#Z!Ax$DQuF6qGH?3Y5z7&IB?P>q zGR+;>F`3C<&T)C~4(f11{^6M}L9XKr!AW`UHEf=eRffTPqFh-H*l8KE7J5l?98Ym) zfLxSwo`ZKudKCb6Sq5B#zbi5qkM>vP_0zC& zP3Cfezw0v67sMO#R{?;V@2gaFBp=8Dk74?u3>*wAk7S!y2>!85;Coe1z++4~_?W;@}1L9)!Lm-Gt z)Sv#a>8XzS0}sp8AYMQ$SEHXI&J}9j%SzS~*J&Yd#VgpQ!)nEM`JvdLKVOP(*q0~4 z##K0x+a&V=EH!OiACk=)#X!>e;dvNs{$VA!E#kT7cT3}et9wcAzdfJw{jOerRY1A- zA3v1)q;`XazQKGZ-)~MkNcL~ROUVIC7sKYjaop&Go{Yq+9vt!)xI;F(p*%E+*NekW z@G-^kH<6GW@rFCVv}>H?oZjX#xPQ&(!(cPKA#;eF}C+?^n^=r2CBaiO}rk8!2%oB(p83x^@#P9He&=Rv){L3J@L@`2#Dq7?Uu$s1UD$|Qxc(t(x zX=aS>b!7@rVt)YVyONOsK?UC z>;Q3e-VwZb@@@=pgfe(=I7;1;z&l1)b3pw#bz;dAbRz^HfrfMU#r<|(6rZAL`#?;j zzXAYG)2~8sn?z0OK;#TH;l%V=>e(2)bM&V;n(RFF;}gXTbdA&S7b#y)NM53zd=>UG zjp+pJ3hn0M08?jSfNS_e5g=ZthiUM4gNCnx-c4E@i^y)#68?~rsX$TiQfMKcAl;^@ zvk9tl z$q!WRB1CWyod}RYskHz;ksD_*GHElPpM0k0JgR@8E_`X@D>%Q2#|0xEHJtb!{QCQf&NySXPUu#<1xne5M1e5xb5-(p!w$2W+i~;M0`d z;_yP~1&YKw06`*f8F+ie6JAT~Ly{E=!Q$~DgcKs;xl8RA6EDJhsJI;gg)k9b0wUoe z8(*`F5SLEC<^gf107MRohn$g)6eW3kfZkCdd1-x2*yjQ9xCr2F;R#{KM|TOLb4y?+#q&T z+rm^C;EwqE3~p0J=dDnPMv$|19*F zd{6|~ae0Fe!>`D_Jbqr4CmMoyU5?u zwww_L;vHFIJZz@Qpr0VVlBd&P<+aRM3*uYpQViHT8JUh)-pj*0dVi2fJnw&$X-z=P zkZGLd{vN@+)eYFnGVJPMZdsY3e@S1m9Oraq8uPx|cVq57orYh~<&`q!+wDR;O=; z$Vat5{*(ds?Cp8Ul&N0$1n^ls7z5%LHO~xyuWExyAbwMybOQLUCKB|1s7c%-J&~J) z>1CQNel_iTD@$mAfVovk#OC&A?I8Ao#fwh_gDFM z4FfE{AwRGRzsy0|I4?Q)>rKcH$y*mu4ZXk#=VAV}VR`r`9?M7gbHaUOZ{C89>c`*i z==;2t8MA^T9Q&dp?2OyXKSUXy5Csbpn)Cab`+f!}^R#&=FL-zzeiwRjLUqxp1e9Iq z3~&2g>EuP&UPC|m0)RIy&kM|l4)NN09hu`0)q3i320UNdSQ36V&~SU`ZKVBt`nHMQ zg#ZhpAN(EdrTHJB7fd^ULo$TcduCe^GV;;%_Qn2^IT8b=>~$FXN9xr^1a=cvh_D2Qw|3=Yn3VH=H4Av)lva zw)lDHn)6TiC!a|qYoDA*yunfxGepZ2n?yq@V z>tT}z<(`TBo%gC)8+Ll9&Vo#z2JxWuZOo(O*i{LT8Mm8PPZMTvJQFAQL2{D68=jLV zwFYI%l&X-QdNUQ}X*1#w!}Nu1L77oA0WtjLa|X|uG5j0mS%Z1eJ^Mr-c%SoXD{Ri) z!{5ZbW&`k?KbGIxf(&k&g^zg&vZypK2wiA2{`ds?)LUQJcBAVrfw|L?Y>3Q@#@Ik) z4S8(?Z!NXNA3a1zo5~;cI{LsHp!F1e4A!^P`3VSl2bF6JY!}VuadbC@-GHA!dT|cw zd&s{9^rGlm3+Nr9_8XuOLydZYhr9aop%6X=kF(l^m zK;%31;vK*bI{yKVe$xBZz<$v&mi$e#I34kaG7bWB5wpT!#Z|oEwR?c5&13o&5jF-P zZ4*|`6>S&3y&pqMuh>U%`a<=_Pgo6i7y#jn}m z?Gx>|!v>4;nIMJ;ckVj-#q{MMhKjKJa1ICej zSTq2Vx5T}vaGNX|<^@O*T`Z{PZSjiJ_jkm2{>vJvqIM#z+!b~i!0w5eT=z6lc_hGn z5poH}(!~Uh?15P30=Eyvc0NgZBwBTWhsUCLG}dkGzr={s0Kdgm&gr|z4f>!gi#CO%n>@^S!rWyX&&eM0p#>fm%ZVCZ{}NfIB6v$> z!J*LelnGbRSj%K)c^F$RcXo!t3fVdlR#wW{_o25+ChrGWEz6aFh?fj}3J@$Cu7Q;h zd5||xq4H-uK$vV-8j|7CF$lp&$hCZoazHAM{5oX6X%LB&UV{Omjdb9F^R3MI4De3==HrR?@&}JLALMl2 z1Amk`6F|(6WBA+rB=_e9FH_#*Y4o$4T?@o7vQ$YBzsm8mQKN4%uqMEFIrg1h_`%bz_g|`5r z)W&?Mc}N|}o8M?P`$+^Cqvqp;<6$)@KNMosT0C^csn6J&y7SI9T`j)| zK|D~)UxdO#HDwOeAF0*&($izL(N5?+QHyeIpQ^uiLGqdUi1%gB)viv!UZ`XEj=@W{ zJ!f@asgt;ozo`A{0(@0h#DjH}M; z)vE^HDlIJnlB>0}2k`Et1=WZ8X3hCNhymJ9p6<75gI0pLO^a%W`fbM#JHgwb<&6X} zT=Qo~5n2SFf*;hPc}EqgZCeIoQCdg|gnLNyc81$%tvUg_p!x6^c~R@g%h=0W6V3`= z(L#94x~iq01Mix4pc{-`*Xr@v{S7UiPk3)?pOazbmR8suAXyv6$&wV!nb(fDwc)&D zyrW$n4ZT#2)f%_F)e6o@ymVLgAT~cMU|IYYW>!(v^Vi)lNj zz+FvVCn4fy3Y!VwZo1J1Ry<7OszY+I>6ZRW0Fyu8=wENDngK_?rt7>--e`()Mo61X zGx>bh&&2;*2hHDf;sC&AQ|J7U3@}x$3*Hvfl|`_*-xQt-VyLMYFZ#kwMN^?3VJg7C zeLG-E=T+fB(|JC1h&0un4lK%)xEQ=crZm2Zo@gr41?s0wbNGPaj473y=d9`0RAA>! zYdG#0#cdRiSXv)Vv{xmrR2$BBXn!LgQd8%~b9vK)T638nu03^4$a8L(^zp z8a*;u-oe;olgBmio|wj^K=P?6FAwS8O?CT%_rp}`KEN+i#cAOEHnr^te}7DM1+2K3 zKPQ6dYF>AQ+tYlWM|O8}ZT|I?hq)Igy%(Fmy@&b|^M*bkE;Y9eg@~v5_;(a{;2vRXOW@$h_PideP=5JblKPE4~Hqu-Se- zh>7M(FCda+{!WAa&Z-Tsg~XI{G>yj$j0+o6zRF8Tk^^xXkj9M9jG-M!}l z5=%5OCMGe78WS}dO^n9gd+)uMM2*JYm97*)Kzi?06p@ZJQ9%JgrHd$tieN7&w)Zpn zzQ2E-d8W_ZbGJJ)yLV3qD3t5>Cxcg^Z~qEdr9PEMu)F$WT#MA}gAO6w`}!tMaT@e> z8-X?I`(KCTEB!*=^xLdAyoxyA=nw1xc&oRW1@(9OF}y?_WAGCSfdAFwOX8r@-G!&O z9=lxeeejDWC=9t^4*6mE17Udh;3Ax`5xaSB{YY;;D5F0836i5*w7}<>VI0cXkGah- zE}r*Y%>T;?p9{Vp4Xs6eB`!}|5;NLXB##&-2s>_jo_@^owjoy)`MpA6zxT+ z5_;a$Py*mX9eH5$r6ueringqS!f^^R0ysgZK846hGG73_7>fN2#8WhZ(~~q>(g2(3 zG@Uc1Oj^dXY8DOej#$o;&n57(sgiea71A)?*HJ{f=i!L1Qr}4Mu2I1xV8zss4!sge za)#-9q~n#a`&9E2L>lNXK0A$+%hSyRdig7O59y&Dut%h`gZg7C;ned9^_K|ZDfO%X z_KbYFbB^8VrNCZL$!uURX=hL9HPIK#0bbEjULe5k^g0CCOm=-id_%*(gUz=zqZ*hE zUf>Duw&Ig~Sg{lL>LF<_W_^I8qhj5D*mMxqx!^g9{oFTn5*1^ia7-lihPtz8#hI4B z_?9~(0piE506}8k$2f*yamWM;AtHzGolxP+r{J_$asb3Qk=YR*62wIUmMGSK4Vy{g zhe!}Fhy{cI@%IUPfn5~VJ0NmN{LGnho+#oq@_b>y?a=};sSSviMbA8dLXpAC1y$l( zp6_pnrFS5CQw;XNG29Ziy!2Tkeq;4o;SmqXC*oE=#Q9Wo;Qq>UVYvas7vk`AU@t}Z zOGMoyEJGpkO03~N!E4ch^PpxC!fPZ}vfC^GYdMWe09zT%jjto}71v94vX3$J>}4{S z(nsYLUdM8fdY-Kuje4U`SWAO_28eIOYki+Q#RmBaYF zgvqm(7D5JK{(NALO;|AzgW$WN26ZL5H>M0?Fb1 z&*8*OY2a#mYFrC&r*FsvcgCO}5TN|qI0f>X=W{b_OFLc) z+Un2U^lc>}h-dq2PKiwEQ5_V_=vWSb1-<5-^p;eA6cUH%G_Q7s((m8Fdl>n1dKN)r z`GSum`6JY$XgF71$Ejp7Tt$<8Z`eP9HB7iXNm*R6#n6RN1ape~xJepIF5RJinmWAz zh@&+tVLF~{hC@ApUXO!9B3+Jzl_dI=2i;^k#M4*`d4CEll}2)DafWvO4v^9uUD&<{+5PA$P8Qa_QU` zP`^lHxrKCzu6F>IN3%FZ$)}U=L3}_H3SjIZUF`9$wJ%qd1h8^nMu>nrJ28im#}UYvtFpfNS1ndd^qG8*1SX4{vEG&*blD;$?vM zwAlq#K9Dz`EMrk9Az~tS@C9!w%6W^UnF!m9Ak0NSuJkN~TOIT)#Yvu24~eEGh#VG+ zz6E9_Y&lP|7I>j9kEkU-0@#XG_YuSqq3;F{cH+`L0DG}zbIl30bCNT--DPZ;x_@y7X?=#Ss)b8dY6S+F}xRwErWm+ ziEf;kToFwjfnELY#mabO=HNFV77M#hAeM-(2jRU`=;?r@8? zS-5fX^j5q`0P&p&8V`~8qBn1+`XGMdW`(iry#?wf(jXSzO{K#F%`ygti{*5#-%6xAmrkYf z2hPsR$CvHHvsN93o*X;AQ2y)YeW0jevfe}PK!!bFhDN`+*w zdNmBZ5VdX+h@r}5AV8S9G6Nu7?dDlFLcJ`5l}NR38{9^z!Gq!NxQg5a^=MU}2XI2| z<6`Ng`fENEVw7hsL{6y}AHzzl8kGcaTFvJtQsUH!Wx(Rm+yY2YWBywCp z($7|(ajJGsee(w3yjsSS%>}iHo5VTl-^(~zx#}#p057VYpF;hTx;+g6<|#Lxs`FKU zC%7$8V?RLTvhw!^R;ZqGbEHVM_JgA<>b5(;RkgoA6t1aE7AaO|c<)b%8u$~8m8xf4 zHI%6&Kj@XKuy+6zs_`DqS*7y$7vQ=YQUEJe>YsK{xS<*{V5M5wnnUuY+RG>MmRiUI z68ddV!K+nKWstnBtj$2IQ|)HL${kh9?U%c%xg~h_)YXenuUBI&0PZWr+Y=g;h@$1pD9~@?&`VvX8^zpW%XZ4 zfX8W|*Mya9g!D=^HQ^MzRvFy6YF4%!(i`=85Afcq@kwy|PIcY|?7dn(7~q3)<({Fj zwwCW46V3GiOq*&u_yK1#ZICI{&9&Y$0t{sT%lnczwe6nEDQ%CdT(J+F!wYbOdi(^nA-{1}Ff_Uby2DOrh+}xd zZG4Vc)DrYL>-Yzldw(i)=TH0zG7FaW0(W6KZtWH zf+l?g4A0i{2zi)(cnk?EN_htZ))daEmkm|Vfru^XkHO~=(($RVqtCcaYEKJJ0ZXL= zeBqy=j3*FDr+te+%%F~(*k@879!s+*=@0PEl1c_In=*OmKS#CP<2_G4BVp_U?H&q{ zLwyc_m`m$8zrIL&j1j~o^85i<9(9}n^?XX=7mOCr@An{inL;^pFQhluV6%u?^RID* zE_2W4DrrH$uF;7Wuu@E|T0*jfc0YilQrc<&^)lM?B|tfCYYmYK`joT5N?K3?$?Md7 z3s@E9zXrHL*Uke}Q{`4j-lX1q&E2B26Chbb)4m3=mLit|yG>WVfn*&Oat3{ew$_7p zm)3*`5v-~gLX z=zA`(p3(yzV4u-9Ongp-W1;tg*0l!qlDxYCYod=iy?RA$1|rVa^zmEhHB%=}$KTLb zHy{!w_N)blrNA#B87Zdn5k-mSW=I|vBRLn17IO$TPl!LbTXIsY;n_AutSLlDr^M}W zh{TFr+)z0!9JrE+6ScNbj~C10!AlUfT>2%7uAOm2N#YdmAV?N_Ip<0dF`Qnd3L9>P zoDt7?gK3)hBnNuwVkRds86y5YL^8!I&cn0BC4Thltf28AW{Y}Wqdq5A@-pao@yr_v z7sMC5<10tlnF7nj-{B#oi(>b4n8u^l+%3%$CY-?Ki{6>AQXsBW!t`ZPdlbY%QLrDx zA~8(|a7Fax9?w;=ojWksM4B5+7mIHgln6sELrVochDe#Po(oVe+N6M3AsT;yNTsmx zht2Ckajsn@T6Tw(8zP$%qiSKX62zNgj2W<7q8+FIHR99tz-q;H?$zEFb=JV@#8~dp z-w`pX0Cz?02Us~K4Wx? z4@{qu$+uuLR#FHQPRkAa^T)|VE>q%VGIxU$WXu4VPL!p;0ZWp_L9m%D)k7$x$P}I# zQ)QWXL4}P|uY8CPO4kX2$}Ym2WxRY`K3Dz&SZ}1Wv(u zsSk(g3vx^x)N`cC_W-$aSqiLNlmiTbU6Nz&K{8Jc{Q${)*^hts0=b_jh09WAL$Xjd ze}e-nlHpuOU6CUOK;){t&hvn=TCM>zQ7x;1nW^W)A!)82^#Nv~M)Tr>r5eQ5^da@w z0P2TT+!KUrrLq>_)LAQkHfEzju0X+7o!$tMBPxxnF*`MlpWL)ppQIs{qiQy9L2^(h zxyW@?ySPSnQd77Ga1668M4Xkm1%QiM#S8kbsz)%~B7Jm#q`N9Afc+>(EikrZfer`3MGz~a)Z^kNF#pUBMPvg? z=fd)!1?ivn`4f5-MT@1?`LVF>Se_C=;wsHSu$ZV>< z17H7kJpenKU#CHGiz9c$w;t>Q?zWyhE^S|V0P;JQbOmK+krQNgZO(+3-Rrm#-s8*z z#ol$TK-s6`nsa}jV$csf=8Ei~=O3UO(>5+jOlVCov`wkD1OjH%pYI`a>QxK#7L8; z1)H8Ujq5ot`h@!w-sG7JbstKrhompHe*j58ir__Le_HnyLJFW?_y!52zdr>CqWheD z1k)#+xP{O(Zrz5`mP4=^M)MCtFPwG;gBU>rd3KGYy=|ZuMHM`79H;NOhKi=mTo#<5 zmeYZqq~p7Q#o$$)&^twG0v=*%E$`MkO^VyIaWr-cMB*umvy}ugSp~gB8aojpNo3d_ z#AIp?M-VCGGZ+3+>GDYM&d^I&=%rC3XY%QE;wX3-WP267Oq$dM*I^d@FcKc{NPsD< zWYffRFpanNaQEmuE#-yc3q;>TA&36p%NOsMI}T7y?axEu7UgptQ9}k?zSL4859hZj zl#ii~W-SBp4n5FA@-B5)fFSPSZKVjQo<__-xc6xu*Fp`X8w$`!rxT#?fZjC%JfvZ~ z)$$Rw&Vl-4^1cd3Pv|5kb5E%#77EX(-&#cWoURx{2py(8kod{5hVf%t(;cx#QZn8ByjMEt~Wzr)Mrcq%g! zS${#&Tnroto`snF9O}n}%S9ZRGx8cpx(er7SaB1-j)RE1=${N@9%B4F5IsfDtpHxa zfUg&C(dF6y)gSBEf!^KnBiQL-$#YU)SAJ0Z539SN_pjRm%J5KJ$=ZnPM__i;{zP!6 zjpBv3>0SfyIrA%?jb|0`?K3;g7eUU+x%_`a*0O$V{hb#+dz^8A+^7~4pflQ;*LucI z&Bo`rOPu76U&Fb_gy{-aCYlsNW>R%CD3i-zN}J-y4~$MdaU0*Ky}1Ya^py3GnSp=b zMFh>E(3)lVUj$aY9 zuj#;!(tKXBb)Y;RoE>S-0{|yl77LrlD34QSX9_fff(x}B3}db|geylk`r!>E-O29= zcpfw%48}ZZcNs7*Iy@SZ-t@i!R(!~iZ%1FMyMU1VXdk!g{ORujP!AwG&h!H5)D`Fj zkzr>@2Gd+~NQTfW&c;J2i?53?ir^*paO%%xb_5-n3du+cS_LanmWNPt9o`w)6b zRMZuqg3Ng#t&(=Wf@Bqa%srPIv~)Dot7%alcsJ?EaDZD>lM3}3+GvlcYw3&DaC@7= z`a!aeUUEryhZ^=k{Vt8>6!sofd~@7(kea;B+Nibc}$p2yvL!~kB~!wZ-B;;R)eIQ_dV zK5###PJ~>A-W`!J5jO9N2yP|c6H_*WST8yqgWi2%-H0EjLD+l>n~mc7c8EL>iw{HL zp*X@<`6H~HLgcadz?1kBp>b*bRGb_M56?s=zAc`M7F~h85Uvrh`BHqzlWLQ&ZHDA4 zF?I#?UW=|=thmS@xp;7u?s3p_m$kg3%R}}r0nt-V%?Hs-7Hk3LE#ua~t&jYC2W^9W}<@NW#s^n!uU^nE9m5{8KR(z6gN(*jw-jXevp;sf%{I~a6I`SQNTMmB+ zVx5fTlJ1VoEkL+;@#-jmd-Bma5bNbu&WG>IQy*ZgL0a*%bd7Sc9pZc-XDooDhthN- ztUQt_X%KlVn-gLBiM*)?_EZ*U!uvBh|075~m+QFbcp<9~0=$$Lq5zsCTI=|Y<^b6Iinx)&*AH$hbfd-^!*Hh~=Fu<&ylpbmw91gB%+KqOlssSF(wkmgNds zGgX%i%v^2Q4a`Eha_VTQ*7A0(Lu&MP@D8hsoO4*I;@7ZZt)dKp*{HVR5V2MBAH%~D zwQxRob}E&dx%TRdUx6J}k9bIMP;WT7aa7-Ma_XcMhkH!5y#e5?Uhw7^7Zu4JIajrA zJOXr6J>8+;u3B>Q&_ks}L()^tegvMEYRQdWZ?&)rz(+mZ0^qB5%tR1=>W@jl{MEZ> zIEDZv!8oK)9&T8dF`Lm_fX#nb_dRZDpV>9p#W2P{q{l>@}9 zUfiNeP|1C83KG>6cVJ1XC9k$7t4}$LN>N@dpqHwqUjy-ss^j!2O|6&>V!CR_ZO#nU zCj%f;z2&__S?Wi=i_fYOzTC1^t8@f^PQ`@bWSv(7Mgd$&*J+2B5>newT{wn3~iasZ@E3MOPZiIGU`Y}BA zn8ua;fIiz`dQjs*d=7RC0A+v~s2TxGLY`If5ymt6i{`n(!r~#m_`YNkH>j5G%|#%~2ALy<;=L9`J)96>xLBIiNU zS=2lL&qoa99WTCOa5+GjxXc-FxG>?A?3DP>5+GLm%SW3kCh~Q9Ms&^w@x0i~W%314 z&u=_OCyz(?BB5)>>8TK3&xC;=3=%0H65Zusa}H z(Lc#huqNxjVa$g9m;;ZtWW%TC2)XmXW=C6i5VEJ@HsBqlprybZ=oNQ_9I4+fSaG5+ zd1^RDV_yMtCi`EY=RzwR0bJ?8W=P__y#t``PBS=r3!}w;;Du8X&li!Dz8@fpYWV4@ z<8)6!A(~1#2{=L9Cjy+LXrBG?09-oaJVm=a0b(hchl$fP>jijm^mq#N;%Oo0ED2=E zIbb4va}Xj)G^Q;uJgaX8V<{Bx41cL~pYP-|v@0L#X;e58dg;{mBY+I*7Yt%1m6hSZ zvXCOf%2}%9u0l4AI}MR56u?RNRT@_Zo5l1f2)q(n&;Vj7IrGYT8MRLYR!(-e0q#=u zP>9^4Nv8qs(;(g-(?H?8cGyTa#)0^N295xDNW=4?@Rm|+5X3u5cnD)3s8uL{v3OYm z1ru?rJ1|o*h!aXPahOXg7x5XFcCI3l#|w8c#GTrrJn?P{TOhof5} z=^KdD3P`UgP0*uu9C9_!|d_JQcno9JGN5!}hcyT*9}P z7S4o~yc`aqwLBOD54Q5JFJa|~T*=L4JDL0!jM+<5PEsA@Z+uBP$^_o>;Uu^J4$N6z zbA!5zjP>SUMV{gz%UzZ(1kXcG_!mS^X}}E&FIhDdJRfD%Jq2R83~3KyglrWIEK(Lk!~1a=kcA_PmP@xn z{e(Qqdqhskz5msi^6Pz2kCn%{`+Zv4`r}aIC3RCaavpR` z9^4F2BU3Mccw2_@`dyv8`7PA%$d5V4y(;K#nrf8M+=X}~ zpYaZ{$8s|Yd}QYDmjOJLbG`-dx!mv+#$L!_T(P{AF^#~QLjHTpY5ZKHw)LC;oMFN2<)I>67I*{fcBuN+m|Y7nP`@>l}kqy};RdrbYo#e%b{ z8EnP0tir+ z++Yq=4cw{?QmLar3|1yOV4@uOJeG%p8tRsX3oO#TQHNm#v_)gG2957_JSAfr#teDF{5YA|5?xHEq2|%X$QF;2PJIvy*U~Z ze~jTyXaCLb@i}@PuQQI>%9ZpuJtr#T7xPdv!R#rx6Zi7c%A|a5Wl!!_jPFyf@RrP} z+a}@UOxtxFaZFFY0{Rj!UNBiYk_V>cMcf@plojQC+uw9%oEJE zJ$xB#Z`FkFJ6dr;w)4|ueBX8I5^U~n!y6m+xORkvy`#AsxUUoM*4^LYH7p#+BybPT z<)X)!ZnOi*ggV*7k13t!8yasW;v~?VO8Ep@kmSnClGeY5niJjT-1iu5;I6a_1uuk% zEA`;_d%DpJzSi8Sg0oN$+Ibr`Jt_M#Jb2OWRsi0V!?~Rg*@r;gm#lt$5^(Ks^P~~m#QpwB}l4s}>9w*bNrv*Sd_2l#~gHjwIl1YDXN|Hr~`9i{i z1$VErsSEd`&e5kwfSspbzkrns6wixRIaD49^<2DR2;d@Jtp@QDo$Zekl1KCT?3UBB z>(Hwp<7u#R9mfu06=h97H+SKSNuC%6X$p>v{=03;-N&eK75Y6PiW$Xp>89mbG2zJJv?!=M`V*7FgqFXC5+k2Yut=ED!cNq z?jT?A1??!?o`j^6T*Ui@j>#R9aSEJea}+Qa8N3<_uCmiZ5Z&ay$-vyD{|f*Q8T~7O zr|kC;jCsixZGd^pEFLF(AOfPVygUh}{qW#4j=^6VIl^Cn^x<{rKzWV-EF?(&$kQu& zo2#G~BA2_uQKy)~rAbfjAYPK+bL&1&9yDK^RWCudOd{|W3`)iD43{mXAy*{%F%;orYzZ!xhlbe)&C|j-6}|RcjEG| zhwKO!{oCpB`RC}BP#ZXQ4@?c(sRL#3*awgt;#LaD3B>KpiDxf@K6wcDAE(6H!v56w z{rEnu-+O#t)QS`T#UqNKwbYX*o@M9zgTB1i27IpAegpK4qkF>Irauc{XY+>-pl>nR z3(2i_mg9i7E$WC*W7^#d3=`6|fo(Hd!!wFG|p6?0Sq}&bw5Zt zlNs+kbD@eVV6HTO27nvwU5n!iBJ)v@45pMWP!FZ)?*YQdg4g21>Cy~@5J5Y>02WUJ z*8n6?87It1G|~mUWcrNP-BQT-8}L%eZzXs+WXbENxwNAW9xl3H-;rts@SX-1Bcu=X!!OV?7Mpk(#zb`EUYn_yZwJgw4Cmp3h}ug0;SCXMaf_RGHezobFk7+J2|*kY^LX;K6IXpf^c17| zg6Jh~)j-lml#11c=1;&*i%vX)$B7(ED8!3*!=auaN_s&gQMC4f=_GOPFhr8YDxO1A z#Qh-vsiNm}_&Xzld4q78m?>c_UG%*My$mtZ0LC&!8&l|Ii3vPwo)w>S5|b??XPL#q zg7dTz5k43SWn$c5D3pu!ykJ@(=Cp%CrC8Yr;&ri-FX1ZDop-t15UqoNRg1wjFnv>$ zm1zI}cI`C)X1o8>8)5YLx(k>?!d^pRXiHu)9`3ju7Do*E8!{uaZhXL&$o zOows!9NYOzL^SRLZ*UtwZUtOV(DTSL(a;~ClMc3og~>Pk@j2ypu3o2xUxA%z^H#v- zbo&BWnDL7lC^P3QL=3a8@B}jZLpVO?JmsxvbEAjh`@Hu3@O^$^Hta9>i2u!PVQapf z7DaY~?&4Usuw*^AHI~LcgUm9^HSoTC7)!1QGXZ_&#Ws*xRm-P;wU`g?nr^JS)|CgB zzZ!WH*WYIYAi2ja7Z&#RnS;;$jlAx0;1yr62V=q^V@wk{Lo%Uq6ZkhJlkPYuGn&ua zX3fbX0wNal)gsujq?yjZ4$+s-0S?m*zTm9rE@$o5)czx2Hgw_>Shl5Iynug%dT=|? zj_!s)#GVRx#o#EVZUk_kp&ek;k+3P3ha`J$upgsV4NyQMk2^9hu@cc2hJ^}Mw! zkOEHPpyO%XC}0V+e?71ya!3P~Ob50Aq|g-}Q&MT13)Iih3hscWkySVF(kWO6M;T<# zU5QM(Xbvoks`*gP(okMc$fmYm;V{q93K~{$3U`~40vjNL+|cF@-1EDs=!rv@jT-udU28DA+EiJo~IbfkNJ3E41+Om@e|+w zK0-#qimx!>W{97-$yblR$mfYEKwRc*CQ!_s3@k`w<-=yM=*yW-h_K+FI8-d{2fZ+{ zsW&9U#kO`Z9U=N{g_THQ9}9&jagZ~Q*0d`k3{dX*opF<>il{o+0aogO@3r2Lfctp1c-( zR*w1zdfD=O8%Um$v%Z7}JmJQhy(;87u97RIGcTZ3$+Gp3ydk^siLAz7;vm4Avc4O5 zx8${ZP_L2ed9|`uPMHVZZJC?|g*qwsf_O)UY=_8QX*w3*9{vspyf^a37WjKBzqknE zd%1c#^gc-S1Awubz$e5+*|$aTrmBXw)R?J(Jja-;YR*I~RO}MyS*n~rK*Zv>B^-IE zm9Kz#s)M#r_f~_syz)_-&*Fsms&5Pd{L~cQtnIJ*?}kW#^5hO>pz6(oRgmiU7eKIj z$Q5mfn#?t2vigM=7*bRK-}YzJx4c4-rat*EB~a#nAlwY~EtlMx>h>duWU1=Upng_` zX8_Aq$vTLfQ|mUs`*~Hp1H=pJ%@+{KQ6U|mSE-7U5cPEx!jDkiP#vzqZMAwo5|THS z!%r^Ic&jK(m~Qr~|b_yAVr|_FxpS zU~Se(fDo;z4Maksd!s6VWfX+^)l zZMkOB0oWbw4lnK8)n*@qWW8p}v;BRoBp#qa`}Hg&Uugyju=!dm=7RW**0LS2x7s~k znti9$@;;RJ+It?oKWH28L%~=V_XL=&&QC$|i0*wW=-KN|n8CwQT_Vqh4!Xf%kaX0= z^C|byt>U`MS7&?(vH0s=@K70`3*`=Dpw7z%#30?DJQc+0EPjJRyw15VutZ(iMSvvT z)f5Dgth0`SLW=G!KOK{*+s=i=8C?-~snT?FI^*=D>ngM1C`0#S85A;g4}w6<(#`aP zzq2}>24mSeqooizr|aRz=TrAxDbz3M+6;xU9Nn=J99XU{oYx>O>OKzyxTK5O4UngM z$LjeytsV3VbYC3>sL(m^w!=!@(7q6<(zWUUM>lk*Cc$R4t{*SQ-qe|Mm%LH8nfnY6 zbjeO|^hj5o2;O7e0e+I@iSEM?h&ia!TR%@429^;IDZM%C-cA= zrXR`u&~Uv`6BHu!|8Vy?Qh()NU{U&c7r;BNpPC9Q(fSXiP)N}?ZGcFsz9VmNGYUZ&oQAKb{&mv9wyR{!QGK(@ZT3?k?BNj$xr*Povby$kxeyvUxT z_xlzKx%!_LK;)wSqdOp8(oczlNS=P@LSXs&B^fYXp#M;e;4kYRAl&~o3OeutZ1);Y z_j=q;fXuj2+?yHSeF$t#%vuKnrxSW}0ZUMK`Q~Scg3|Btk zGgCPYpS5irxU=nelAQD7OIVv5%RP^Ir%drVzxHngwBQU^vI~##D7$C|Zx36X$Y*j% zLI$*!_Ui{<%Us99`|@!=F`F|45fwJ+>^N`%smKP`ft)2t>oAt5yzGdkk*xwq$>76|d z&xMJjq-KHRNL_9MbD~9QuyTxoR>Pz-T@3|rq3QN8>Pnx+19PLB(E#qWm9JJ0a^Skd zlh$&3#EYt;;LMu}j{)XK-NG9TL5dMuQ^|OK*3xxKcqlj>U=~e_)I*e7RFG2Lbv~bzo+EI+d7_+_d4*N z)74B!zMw_Rp#GBP?Se=X#Z`j%imrSLk=GQ!H_LQ91@7T72pR za6qyVc?* zH~Vjjz-C~##P?im)rdtrxxEzY*1}(taN{^%i;sJO*ev9G9N!y}!Ycr8#iQTBdnbHD zfV~$Lod73kfDxg6UWz(U^QGQv_?@O^toW<3Yd zTQ25yoR1vIqlTaC%I#8r>GcxC06B9vj0MUSoRtL0@WCJk%div>LuC1EV4>3gzgt`8 z^hFTic&!A85po2t(?&{P9(JSTs?TBixJ;~t>1avZM?EjwRRUa)lX?9wS6=6v@}m5S z7yB~iWXNb3doG`F-SI-E@vgj=(%A@+HOZ4L zq5ev~mef3*zEacGH&50nuIS zwI7G#p{4f$@YEbTAr>#Kyb_Y$+PMd?;-h77>gTK7;H%tE`}+da{k7R6Kn&1+=?)Bk zgU3lgyta|o01~xN9HEz_1xx{!to`Z%krb_!6GT$Ar+nv}(Z(f!m!@R}Ai#9(6*m|% zw3601+Dy%xGlVSd!5I+GYPtM>Q`y?}dr&{8J>WU~ycW%4NU_%c5kQGHdmALnwEU;A zS&r93f>@!MauHgo-D?eST^r3Ef-3E$1$Z~KpX#CTQ2Q$k{vK)nR02HFp0&k6Kh=Ka zPWv;>hj-#W*A4}M_d>HOf!mjwc@#vN@JE^ud8Mt+2Jf}@Lj!=d?!a8|Y;++n0gmXt z;EBOb_oXc`d)+9$CXVW!2?Xe%8(j)xj=C}N2+2veCIP%-x|S|baMqoB2h2rxZ6&fU`05TRSivqhw?wj0jNaou}96VbXk5x`F9Jgfmu>V~|8WQ?xsr{JB^iR%b3R(CEE zj!x^w@r@p*>tG8IuPZQwWP+}Wn`((Ve=d`fbl&{)C+ixyo0g&r0W*Vkt@2jV-WmR-NjAd)#{2(;NiB;W;i_D z(N*wueOLGN7l_=`RZoUUz0QLdi|*^D-3MsU=~jT)sMGQ0o(DR2P8}ZVhHKFKpgZXW zJ!Ac^UjsAMKj$mbOh1rUmd*7Ud}~|ivrQ4TrGDZg=pEAMU4pU0`fXg_TImOJS#7O% z;LeGS{`PDfimg5)4w$dL<3G^z)0g}MD*^h^+_MVQ7o3D%kp5o}fMC57FWiRcO%-^d z`rLQG!t|TBA&7AO?pqLv&?j(XH&WlJH9(a9fEB_$t}omT57GMY&*A-qe(NCcPU_($q(6}jM|t{=8=;r4@5^&sf&TSDxV@}D!o_Q$ zK7@DU73p8~gNG~nGu%A7s+Y^*;hMfh6GV#jU;K&4O7uNzV7e4F2|$_N^da=h^<#{o zP@(@b2?~|^$$S@I*FWY#{F&bJ9sE7lH<*C;Qon|)jwXHS7Jyg!eKR5QTEFHNc+L7j zyyxwWejV?Af2$uvz~1Sf^Ge=({hXZ;`Jg{K4m@LnI^HK^VsLFGc%}xY_W_t0{L9&z zxk0<1!Lu-k=A9On2G!h6J7kcz9*zzh%vgnRT@0>Ig@UU=lNA))4HAC?&%>aRi&syB zL+AKh8(d2Sh&FKJdhDb@!xFfSF_=6F*eQc&ysa_TU~VO>oHo$;f*5BInhY%7!1Pbv^vUA`s$zXmK6p{_@ax);sVDBeTNHx$p1G{7(cq>|-!A2f93kCD}pko(AU2CwZ5$bgYmE0k?V=(72 zz+Ho>-5_$$!0IkU>J1urW%-psL@>Z>gJJ*WLS(x9<%yx$*hI zz~Uh=W5ec|;F%ac;CDBCTMS;L;g&tXq6}?(0iq3m;bzVW!__^2oiuzs3n0cY{TvSSl;L+jVG5tDBEt*36X=TJF&?O|8V-K|57!K9=0dO7u=P`5C5At8jZkXn@eH8M za8EUO<%a2e?cOtN&&~IG!>&BbG#K`J51WmK12cg=F#M7?sXjFHsfXkv!!tZaJ~nJ; z4c-&OGX5W{cZQd_rT5GJB(^-0D1Pl*MVN zqtUON+BzA%`v$~gMw`4L;%wx!1y%x#x+@R^jjsIwx4}j)EWisf`ffXTp+*sxpciH| zm@ADqqvL#Y#T!+&#}OqOZT)Y#)X0wCL6B^8D-U`pM$0*E$}uW>3-w&1L;-Ng$gl)I zf1XkOJ{Ze4vb+i_6-J+RgcjP|)Ah#N*zxrttFRQC+V8jW^ysqnz)_ctIu zGTOQU*khxwc!}bP(P@<2|LaJ^akI30Wgi&m(ME&&aqT%f9Dgtw-zT=_nqbl)bLdW< z`XdZYIlmmAQxms=K5fcv7@Ka$E50*gd2L{(y$hn7HK-iAv-e(w%$(3?pv=t=$LG9B zccD9f<1la++_Q$(!WgcQ7rAmfeDSDN@UmpbW5_J+k_O#n(?39R`Ro0#yy8A*?<@aU z4f?8n_K;aUjaP-&JmmRgt>P7%zmk7~%-^?pOtPTy5dfC-&=lY>4X}oo73J_WXH7X% zA!tLr=fR^bjf%lx93iu}AllI^p33aWg&ROeX>3=BI8fVL5OJh3f)ywFotxyxNY@rT zXVP&!;zCx>09@%?-eluOIln^Eopy3J(1RXuTh)^eH-YFyoohh!CSx9xe8}c99Qjhf zP8jo}^H$*bQ}2Nw29VV?*bJl;E?k4?Hm6g;v@QseA=Gsqcv*CfSNqOVh+(ae3BV)}U>!Y!c*vjIx!_9H}AM&5iimXk|3{8i9~ zYA94v*B`;VPL4$YRixw2&kZsf1%K7_4-XYL>2W&rZqeuqAl6XN@zATKhn%H;pl&O{ zGZwdbS=dxe;)~NvtULvxxk%uO+(I0)L%5b=#2oMriC>OF@~}{^fmsRF8<@3t$_1f~ zsNrAQR{UcR-VxDZ0MzY-IVZXHqDK>WM@1E{C^(33eI#HP1h7Qm#d|4|gi{xYB#Rxq=#V0eDjjMI)X$2q20$-cOn3~*b7JBl5YG#Pr3m7JXuAnm zjyS^Cb*?zl0^To*iX2E@5`(+}^2DF%h&o@4I0A(Nv4Nj)xGcV_g@;1%8K*@>V#@EZ za!)+E4uyL0h8qYCq60VH8^wH{t{#ZK--Gy29QH?mk3<;fZI6Wt1@Vb+;;H4S_>&9J zXW~!*z;j{1?=E~H@{%F>5>Hn{uSo>)2F+Ju?r9KTiyy~AvROP_k67M_=iD56EAHhY zmUrS`zJcD0KK1}EaxY)PuJT-a#NsY_ed7u*%s^vtUu5QYe zychG9j5UTrjU3B2daZ1C3Q^yd#oG~QogA_ZdUvE*6o_|arxhUHlXr)JST9%c;=z4+ z#UC~sWK|;^HOdQo><{Fo0!TiTo@EeuB&)fGdn_OF5AZ~qa3B4twB?5|p2?YS;r6*q z<=pIr-24-;m(nX3dQCEwJE58u>N>+GTm zyTg&II>mioH}y{pfV;}&hJ}aPu^YfsiT^eZs8i<=wYRcd4bwiVb_Dc%)wKb@{M3)! zEAUrV+#m^112|a?RP}u3gVZ0RVKZ30<^`+}m0t}EZ@1)CtuQsO8Xm%xA?I`nYK8(x zR53px>SQ%x6^x~*e|Nx2s#?Dkj_^-=Kf`pITD2V@UA0ey%?#D4A3&yh^%le|mHi0d ztjhQa>P2eMT=1@_a_)s(!=4v_VwIW*krK7q4ZKoSbO1q=DMQYD%hlWi;8mzq?SWOQ z-5p`&y6V#ckyWYX{G;Ai-#&msgX+oqJ0GZzxwLwy-ti8hN6Nn(*dgu78-T-_`%L(= z)-r2g#YUU84>oPJo;3hRv>aZmveV4FRqJt)v!kDAho)aM_Eq?{T zG40HJMCPpRKM2z<+N`Yru38t~>FB1t{0j>1+O$uBd1$`e;`P*O^ic59GPxV*t<~`b z?W3i&fQYYl{2CPewC_A2;;((%3%md=ZW*vZZQB>Xg0!|=UIc5Ovgr`5crvVnYH|cX znD)^wcn{YqkAoMX9reXAL~8!Q(2LTFINv+Y-DV`t+C9GUPG}iC)t%J3FNS)I7Cj!O zPiZSYfQSEj;q33U)~^}FIIaC8h{S8zr*RAk+N^7^nW&BRgRvxSZ3;{$YySUT8k$LG zh@@(zBf&#sg_}xgT39@=bS;)c%Fqm_!dRv@=pyv8G|zg7oYj^#fOi%DBM0I&ZC@cg zlxWVJ36yF_lMzdq=DHrda?R~aU=`YzJW4fa7rB+vs5#7sm4})Qn|`D<^#=A>EBO`J z6U~Er^G~&N%`o;%bLGYJ=i0vi24ii%AtWtyt$F{RrS1=2F*>X}vJWCwx>+j#taZ6R zgJ+{l9*SeI)%E4<>4+}sHUhNM#qjj$t!w+={$pMIMPPn9v)=&xbuD;NDL_}a61LV&3)ZEaL1ZDi*l!?`smy(tn2Fv4~4oLyd}Cww?{$YitZVYXIFKfnZxZhoeyt&F4iSE!Dfjr z`Z@wE)m8CYN13iX6vT2}Y`g!*(|15skvw19Gw;od7rA zzLH`Kg9l2xTObb=7mneNl*1faA1mAKz!fO-`{6X7C|-<$o+?P^pxaiO^Z|LUyyB?# zLOH~htCz}7ZUTIzRP{v5UMn_@K;9_z$3j=Ayzc`qUzK^qAm0>uA;@>df;&p9lnyz7 z{7`&ap@yGISU2bbG`l!Y3)Ex`gK(+lg9o@E&6M^qSf<&Wh%6mDx+Wtz47B#<#*8*2 zIECy#sWpzxH#5IAU?rn|OP{Ye9jis%;K({?362L&9t8QIe)%W|Uswc}Hj6z_+HOdL z)sR-LfU$GDj2eeJq(E-}j^!|m7~mb8IK6h9>IJRSYo_a*o0~&BeDGBGcj=Ob(lz`H zFm5~FpoQ)S^MUb*Y71M>#$GrcaaM-y$ZOnY>h9bO*0YAHWIka~v%#aZ`dv4R<+D`N0r=m`1(=kVnIHMT^)cd5uF(2eHKY0 z(ausam{YGZ@s6=@xk&B`pI?MYM{pIQ&3o8=6>bc8zKP#GVOJ^Mwgb0Zs;vSfOd4|% zT!ggv6vzsxU0nzxrJhWW?vnyup@#jE%^v6uNM{a#J1D)g2Z@*7F=9!O9JoU$QL4$$ zBMwO;Js~_SjpOt&NjlmH$YjaE9?nvv=G!4WA|1bh2Bu1vpQ56p(t|SSj!9(^Fh4Gt zhl5L#Vz}%11pc!kxOC|uBY~4ry--w?A({B1hD_;}4M>*Mv^J2ZB=;83ot93pQ#~WK zwg#6it!B4&R+=&jBuAP&1T~zKW^%gvNOD~dpO2-PT>E<>z2xJd<8eg3srY z!%x_~ka8Fvyp*1PQIaxa6tmb_y@-bh_|R|=(WC&3j-&r5KA#nRR^aBn3OZgP7k z<^PE$EtB1u(h8RUkl{L1E=fg2%jE?R!G*~eC&Ej(eDo*GBV^CFFkc}XI5&)x<&|i} zO4)fhbgSeEKR{N?b=iTek#Di{Un?gtHeDwx`9Q9hJwCzNLHQb|dRP$b04`B(FbWkN zl5;%Kh{JLR{v=hB{HGs;$@0rpXkdywaWl-1$X!OjXR7S{zwN|wI}Vt~m3gHX+j4A4SDX-+C_)4xD1X3brxd8cD z-jfIOGI<;m8s+k{W#Zj%tHd-vi(?RP~yd2R~ZB7P>H1zq3Gw zt2%SJXQL{euUeF95Qmq|DvwDJMyob(Gf<2whq;n1s+GS$xL*|zgKFbct(l@fsB%w) zUA(HI2qZz}+X|3G)$DL^Csbt?;L=t8d1zUNYO)JlXR5kQhk2GNlF{fXRn#CrE~|cZ z0_2LSUnh_}Ri*=w*Hl&PF|VsGFF@@#RHyKRegBSJjfcQP>)wom+RPBZ*huU=Y;V1R z#BR#3ILV=b7C5%gX@qjv_`$$9#D}6L$ANY@c5>tTmGjGaXu|MDGl6kQ zX!~?%$1L!Gx3S?3VLMK<1m*bY2Z5RJOrV@--2&Q4Z%5#G@(})8>nUv_VdX!B8w01# zz63whI<&=U+HpkjDXL zVKSE@7A0{)wK$nunwLD{cp4ytw+`?g$|-aunEiKT4;*({nIhL;%e+hpjrh`>siZxvq{K5i2x`Ow7*Yu?iBqO3a% zb_fHmpTYS- z(R%@q@#3itT!JW=2VJ5_41(~G_-732J1ja^!e^3bd=a{2v7Ikdig?2H!y_WKHtbTx z!_g2P6?RP99uuWGFgPx3**~X=Kefn+NmnVYR zyUNe(rsR)c(I^qDifH0gLbT%VAJ^aYnLE#XUjQfkP2 zS%%a&4kS~$&e=+qbpJcZDQV6Mob6qySywo_CzXGN-2=&qnJoWNY~PT9jBx?S?U8Zg)` zUu7t?M}EYpb+3Go%LkcqaVZS4O8d6FoU!2@c|W7NyMVywJ-OONK<>*s zxw7;?&N>6#L%AzAFg=o|ac|9Ix#wDt0(m?)dOVSv{hP4d@)xesGr52<-g9{^ceA~a ztMfzlm-5}}sOXhEZzg=cmYa3~1phiZ29QG8BMFcq*`^mD#j}dw! zd%4UX1|Q_mI0!$=kC@;2Bqu$Du0-}-3ooB#{dI7q@)f4u%j9M*IF)j_jIYxd`7zh* zDrDVd2*1i#YQW%|ye1#QN_hom;ooKZU?8jHCLAVy$k!Nq{FFU90}`NW%&|03^-T@3 zRMm~qS&*t*JQ}e~_4+Z)gH;}7Fb`1`aHCGBs%9S`m#eCC=m}Fbv;zrO9bslBLUrLj z>{h7W9Rs&V)ujN)y{bJ?;P$H;o54$*>H~YX1FFPhAO}^}DQIrIs+gM#6IAor1tqF_ zGL$`}8q7)HVO0}r)R3eKV8@xPYWNUMN>TN=3ZF+*zr{kBs;bT|{iv#v;r}t!)xY5E zxN7}RkTlh%2QWXOs%-{?bk*<$Fh8kE;uf&is+r3Gd84W?K#EjSH9(40CtJbiTU9?I z->Ej%fbhMl$Om3NsElmcM^(sa=sv0HK7p#!gu<+>W_{Nz}XK~oe~Its#d;(vjBC5 z1GqpnrggYS>ScR?3{s!j0x!$dyWhhgSgqa;XCdnE2OtbpNBV*+S6^@kGEBXV^Yw7` z$QFP^sHe4pZiTw*JdjBBax>h3mFm{7;ANG12=_v+R)2PbZjJi10lKy7qh{dNsoz|J zv-Rq?e}mhgUh@l_ZB+YlK|D&`=LFihNxhD%vzyf+m*FK^ec=YGjZq(%0pu3-#bMBG zRev}G;Wo7;7bIiVUAaMPyZY=cKz67r*F(5deU7u7UD)OYvRmEt6sp~$e#8!UuX^nk z2=}R{a|qe5p3d7Jr=FvS&*SRUVepcso@EAPy83VSC@0l{{?KKp``CkIs&}-5U6wk7 zQT7{k3+@RhR1e^aTd_JR2;5twT2R9~b%!x9c&`rk2lqjpQ~-mI>Pt)uep1)wexwrh zY!^U2tBa4KzEXA8DL~59O*sQ9SBJC(`J$fr2|g>-1&0Cosy=W6=HJxOzThg=!~Ou| zyE?2Iyi}>T21EEmU6BRur#gQroCPSWTcEjtN-+~bOO=#wfCMR*TR^u=iO_)yR*p^s zBt$vGtt6q!2l`yDIMsqdn9|@2NVxJVN6`pn%5HEgln8$qL@Flig;y$#0-;-_JaWN> zT&)~B0^u5Es1uNDmGIx-Y@MQIDqy|R?oS9eD1J-}Zd9Dg;U!9W(+0v#%I5vxHY

    JW^c@qa@tfKG{-mdtxhi-=wz6xG;Dv4aQ+NH#% zK(|}j!ktokl*LRa>{X(f4cVtWN`-L0vXdtkr(9nR;Q_^$@B2Zef-~lLrPE}P1f^aM zYEM*_^1dHZUT{itSUK#8mL)0unMp`iwwi-WQ7)Fi{D>0T3|y+R>@AQ-l`ZVgjwyHh zfIF^4^V^s-#ry=g6Uqg~<>|_NZV5Q4oa+jbq0F8JlBx8=?=>JR{KEm)Ipqu zbp_SFRjTfxE$@_c##!%`E^3euN(XkMAC)RbPoI>QR`61yEcgQMvr@Yeyp$?oOnj6n z#eA8|l{4dE_eELV1YCs@%emWErD`37-;{FBH7k`59L~NgF*c~LN*Tg^VLy~F574ro z%Cy@s2+(wD4=zxX*B9JU&2CgtwnGauL)q+oS<=M4T+lHQlUGfx#a-!!B-QvO9Pjw*})lKmd4Zx?L4Jv-V&})Yc_DkcSiGrJ$tqWQ7`VFrjT=>9L+@jSj9Qb zKsr0GdB%++7c?7A!{Q?rFxw*am4bC5vo#%gf3RNM3tstwY% zD94E{(~3fH!P@iya3NZ6_BNr~2b}yY*IIFBMVR&`ALwvx#A%pEXdCh&TA^+5JIHZu zho2y6+FAUK>vU~KEZTBXJBv?9hBmSR3^KKYZh*_umTtx+IHgTx#By4DxQ|)GUfX}q9Tqk_4t-J*CLR*XTfS1~-d^BEZN5{eEYwbQR9=_2gt^!x6 zo%j-5k#_E1;EJ`8jQQSbul|6uciP(wC*Ny#yaM^49i|8Jqt=Q$**|Gdyg{{*y54r+ zR_f~ChHj1SBZsrKy5H8rV4d#lE_hk5bK%lMlx`wZ7@Krw4nenBm&bfgw62n`;a1(? z9YAi=h3V++ogMR73RBj+nI*kqdThsJ1TQ@?K!@m z*Bx&P^9wp{9FP}v?PK6NSNC=b$R*vkJ3wC6-Oq;Zimn%Py;pT6XW%+dcXS#mi-XMt|p78pFyUAPbKsqT(1AkTEmS>JPAhi+)u z3*B}{a4&U@wY+hoyh6-sz$* zz{>`GX%lc8^+%flvPnOL6P(TZN^jI2tq*FBCdKI2GG^bRpTjl8t@wWq*%ysS87w&^F zPCuzBAP4k0opD_b>h0ITb-cbcbFT?{10T0UeIbLyL;9|}AUv#J-wUUiq<@|XE?FO4 z47(J4vrN=?ME|89T&L>Y`@`o^{q96Sj_Fr&v_GzoF9%7}Utk}3LVx@wNV>k>A0Q|7 zZ8*`)(3=i`U8cVIXCSlme?NokQ+o63XvAr~aVKgREU&C`1w z1>~B38Ix7l^=8fB`i9;y3S7QEk}DxM^>)93+|pm-D$s5H9~)7_9lc*2aG&+hIV6|r z4={54qTk~Iu0r3C6Th!|ggxk?_1hz%tJGI8_WrIvXpY*e^b6D=0fuW_DF`&QpNvK< zHMnx53^H8qibgCmI1Pm`*x+Ld5@P7^1i~=GJ#L^6H?;i?mnXuI83eMzP-`bhq+t?s zYby;WLebn+hL64BY>lDb9n`Sa(3;CX>kRpqQSExe5=#gV8?OEhVUodzs}@HLqj@T+ zh7o&UchoS5X{}?1zq^1OH>}}flV(_x4afBalulUM;Qk#L_0Sbe=_9QY@A;U=YHC_fWkAzG3><88n1PSU5;^i zTiBg5P7~nH8*9qYT`=}{0=a0Mz_duNaVIw!TryU2W^>s%WFy*n#dx3w&hM&mlmWUt zW48?;*Nm3j({kOo>vz<5!)P4_FZssr-5|VajLHSMW!#ei^V`PL)ZH<@=fw} zF87Qk96RnCyFP&V17p}ykcY;Be9j&jck^L*Z0!FTkOJc&z6ei@-#fwlsnMA!sAtA( zZfSaMY?T1lFO2wY19Z*Cd^aFp83%Ko`r7!OJ8Iq-`z!-jXdGt)Qe=!chT4mb_RoNP zYkbWW;djQ-Tw;B1T+QqG!T5-e%}3*R<{&;9!`M-m7`wA?{cK#yFtpSdO7k+~m)3xk z8~r)k{bF2M6S@jx!BCK|#!WqND&LHAI)ke;8o2=S-5B^AxGJOX0vP--zGSHK)2O?N zGYT+y%xP|*No!7|mzqr81QKNOFatHjnmlL@XWLEgyoB8@la|*(cAHG>2;Cl&%dTt(S$vbHDci!(XT1be(m%vq2GlbhUenP_s>6Xu6Z#<&A{*u;#XZIVgt z4iF}rbYLDO)#L^f3`b3BFl0Ptve*gc$4$y}07*01I~Nt5FmZf>#;2R)xwbO78FlPO&Jd}>mQ+%uCp91WkF3|s=p3zJ>- zz`ZmXcM@0PmC1`MXj!4jdv^XsCJ_zMmSU5n1aNOnaugW6GpV;2+nt1xL>i5k9{1m?h?(qtlcw|+PI z>WW5GnLI}qTMd8eCWQl0wyt6Vr_H3RQ1KMPLRt-wFJn7%n~!&SijYQ`8B^Za$nFxI6G8LeFEY zal|VwqmA5u4YpomYN3VR_0K~x<(J7o`p-BB$+Yn!(W>cfnLeD+k6-4^JYELvtc#n0 zoV|Az$~kvAW1jnjD<|_lyP~f7Uzmkh5O55Vg+Kp>?V{F>z%0J^4&@TvbXW!8f3rdz zD7G?Jv{bxELv=x-H}in_H&+|sAXxO`tTsffKRcrzB~@JZNM!vLSlXMy{S{}2XbsaVLl zDt=3g8}yb+8@Un{Bxu-hh`y8th-(#B!1+b)?Mf$oqL835s7Nk=cq(qiUn zu?K~{-w|o^0C-82>du1hsC1q)pJUQ=Ti6|!mec}ClVY85Dkr4yDbS@$4jk%FN}YK( zGo&P@>NBMS^?}Tif_|c+Q0h(#d7iC zwiL}#;f_?rnCY&xkOT2O=^0*hf`=oJQihuOpt9EfF;VAeB(~Y-)g}wU5?`Y%aDI? zMKDu-%Dr=0@)~|1cuKY}g57QTFw?+yWMfYl+>^_=UUwfWF3>%Y!xiZd#f+g}BFE2l7EcqgxB7`Ie4mV1waRJMH}3|94EHX}qe zeJ8k3RlA$0VY#YI#d&v zV6`fjTjbWLwv9)%YgL!IUvi!5*9q{sUe%5x&j!^bekOWQ^^BQ^c-0{G1&OLQRxmiE z@?#1It15#*l2mj5gf3atqbYpiL-+k?;1N|HMr^67g^wUSsv6F9{bMS_bdcjJN2Z$6 zR3-Un{0UW^l@O+@0?T1=QniL_c^RsZD3DB*1%DAQOZEH`e4bKG90%lS)et6v&Zsgh zA-tn{GZ@XitNO-X@xH3c8{7j`2!C_op(>Xn@FSJ6F@%p*kylY)fy$M$+9#@%GLWaL zpUkU1Q+?%o`&>0*Cb$=>vRS-;DtB|(y;6N2hPJ#`t#1s2H~1gG&=socX8~EHn!@Bu zfI6lbAc5+fbEqLm{mu+_%hXOBSAx~Gxatt1-p+3cLe+CBz%5r_KMiML>ark^aCMO- zyhNx|+JRf4c6EW5NVUov1}oJQ?m@RoeIyO_tyX{Fz_&*I?G|cStG4Y6Zk_ru*V)&r zAF`9%px*WaHEhIB<$y$~v%A4rytXFi{a(CI=c&mY3f}}@0?K2Ujg%U^=nwt=v}lOugX?$a8gl?ihKY?v@PXOZ9b5d0wdpFuHiH)|UeE zMxFl@=TNAA#w=Ztx`au-V)g7)7`#PP@tX2*%0kKAzLE&0u;vXRElzr7< zzFx5(#harfGU2#UId~b!C?z@q+$JU68O`0S%wm8Ut(5eKU5rxBaAcpdpN-$II58qU zpzK)&?x12Z3g+?3gb5%C%EVpJWhyNWK$oSI?ngzZl^4t$ol*9)@5xq7nHf8)$RmKv zQDPaNo>Q!!L3m!NHyn@)%JN*C*hM8}HAt?~=s9%vluz7Fa9{a-3xp39xgpFSDMK@0 z{#Y5r^~eGxFbs`I0v^1rA`CbeN}eyMgOKGZvnDW5fR|NE7fj-R4H}eLHI-2>wwzBHT4*7MQ9q1 z0*Tbr;D44{srmc}x>cGk$57vDO(;W^{TfqFPvSIfj)Oa>nX(DGc+HyW;1VMryU^7(>$_3?bkHUOW^Z{W*B?@e2p`^?VFmQ zbQs*y^yM3VTXXX&$Q{kbwlKe|8TAR|p2m4DkoPsIN5MVN^eP8=sHx<PO_=vMt<9+e*V)?eS>VoUvvvWJqus+9M> zJD|IvE$;;`Upwq~KyGUL;h{u!{g5wwzR_m(LCcD?dM>mUYnvYf_g0(1pRszU_2=sO zd+nz-;67*@_XPJ*`=Jr+K56p?!AprYw-DsBwo`48Qmxl$)V@;Jo(p^~%vPO5m z0A#K1LI~{E=?*hTxL!AXFj}@jH?lT#8+GvpxW+g1oWyO?=`qVh=KVwnNVIO?3J7C# zwHm`@Sp1={VptVhu8(B<0TYefAmL6)vLvqPtK2Y*6$M%R=n+iYE1j#X!M z*UI4~N0-W(+c{nJzG%dG-9bHs7j!4A;q#(y9=nTNoiq>Tmvr?R?_So~j)m(hy1H}0 zUDdT^AfKnJ-2pzY>GpF)ut;aiYhA2s^*ij|>4r&g{a*LJ7LXrw#?ugf)U}?CiazQ7 zY5`%1ZsS&v&$`RAVOOfVz}aV+?#mb;%XRgc1O1}&;l`f|U7JGKebw#eZTzP5VhC5M zYrv`3cU_G_K&o`+WgtIvf!jcS>Sl7|R)GFQG`s}r-MoNYs$aejc0u~oS0KyuFM6SY z(fV0IK*s2+^Sg$v`u+F8ZPV{;04`R4sut|F>s>gP*`Zf)XT(nZ>n70c($}v7*{y$l z0gyfVk(_Am)mJSA*{84J4TJsqlOAYwoIb)E1Ln8&sg~gG=r<{7#9e(PBe{F}2b?$F*B8};?txyL z2=Y+>7c&Qs^nb;Hd#q1?3TFlS`O9GNME|TQExxNR*+B!cB(PocC`wSbT<;J%&fO zAlzrD_7BYW8@`_bi8FlT6!Cz;buCDm;U|A)Cf(4S{pU%;px+_PFch1>OQs>N0_ItU zTBl%l%8zrG}i%sISc6lK^44VJ!DZelcW!gI$Folrx49 zV>(CCkBT`xVat9=%}&s z3b-6&+fpFU8As;;a>3a82*^d_hE$MT<1_}WmyG(mfLu0Karx$o(Vv&+s`21Nc*!%~ zUH~uGjIG|FE!T~kxKQ`pn0^R$FO5%m`(GJT?V)>ZtZ@={Z;Tty!=TXEav``PW0VCT z#l}CE!{Dv4%S#B~8Os|$_}*Bj7Uz`%*NzF(%-Wtyqovrgxn&aDYOFu=HS@! zF5g~v!?^&EYHI!4zGC#-dqM)L*m+;eX?84DEM)YT!&+ilIpPaJkE{YBhGON zbEHNOyjLtYzj#Z$WIp#-L+cxQ9okV{+1>dq9sv31d-!-kJ;wYnv}5PAf@E9<7m~)$ z=5RN`nXl5s^QCCjq}J>qCi`=tb;_+J(E1lTKr(gUQDCOE-HUR%-wJq`v7RyN%qLx7 zHEY%}$Y;-W1%A%k#qd0L;6c{6JCuu)86qsHNqK-6 zCBt2y_~TE=mWoKm3qfL14|rTAp6vxZSTyYepCQ8a9)zJHlb^6H7gKUz7be!10unBo zb1gYSG-(OsCeeiHna$!G??#Lm&cyE)aWxBMt9ZtrU)v_Wvj2}2@r<0diyeHJcZfia z*gHiff4p{=n8nQ2Zn2X&ustHS4s?5k6bs!xv1}}y?HBF1>ncvfao^zqA-G5Ip!mjp zLGhv~^Rfw|wFY*HVj!2B4+%qe_&hB3PD1TT;@VIklSTK@K&FUGUr^BzG3N$Is;JHt z&7@;YJSxaAzk5<9Vt!Zrsb8AWDSE=QjL}{SS@`_gTWeUcp-#qrT&N-|9yx0 zt$@6nM`KuaPv^F|9zD|`>FE*!V6Uq6Xi)F#NpR8Uiyc~Js%n7azT13o+%Jted$XsU z1@+&-cf#C?=V9T_siCz!Kh7N3{1to-^6>{|u){zc+gKqc{<(NM-;1+_N*zLZ^XdYZ%__;=!0^w z6(_?sqnNF4yoa9uZ>eYUb6%(MgMsgBj?m^` zUsKn^rr&mMf-#%GPP~5^XRGEu9EmNqEaI8{$xoOpdvwIH)q{U;cgIx=zPlujjEMz}=32GT;ZUoZ7_9;rob!{MV+UyA{ z=aJ0Nxve`4y!#o>xIA_;v*KBoq1K3A%z=y?&gqhufdPT{Z(KC;S%G^0JrgbOSKrNJ zVbkyKcK9+&{RmwD>gBLBH}H;F*l&aP0Yi2{V(GgDNGlb?Gwa`Kpd9#lBdi8B?F!`J zN~ZK}E(PG&wv7V(kUkyZ-!738#GzL|0dIf210=(a4Tsj@5*H^N3;3M5EX2I_-!_fs zxZOG9Hd@`KC0FvhcIyxOZVtS=-B;9sWsg}8An7?rh2vhy7f|+|-U43wc(+2`rZYG- z@4t*=p!w>H|BWzRIGJcakNaIa{OtyG$CgHvolY`s*x9r;v|UD7pzf}Hj=@XM+IEol z%4mYJ_XdXaeSUH|!*mfNiM|UnA?epW7glD=i&6GJev0+Y;1a0C_@1yDFnKTPvNY=t ztyLx0B&;z5$2Ci>0&>vmK9CI7cZ5%ybXy>8*Tv#^$ji6zV0VVk_|U0q;m6_hXdFB4 z9}Fv}oJdHVgSbLI+=)46m-BoMT^II+2e+Gl1LJ;(vA@T%Q_y-EIpU3IxD~A(+2Kz} zy!-=z@s4N#pFR;BSAFmCyOU8D{o&JZVkt1ACveGr%qC82#`fZfI_?qg=lIUnz)y(g zotT(B1o%lu@_?UQ&jt7?jqd>Ce{>!sQ>*qsGOg!QNTv^Dls#h#uingxzag2mc?vMI z?Vq5Wlg+%{+}V8N=dCh@=lR#YAz5&_IwT8wy8yE&p2OJU{c9j!Qfi4ZK;(P`2^6z? zL%&o6uo*$3`P~D;i&Mmd!h1cO#S0&X zehFf{AGk!(m}%@oVmzao!@^PrE=hD`eaRxWHN2#Vsa)YWBBp!?NfjxJL5_-g{Br7; z_}CW)$AvF%XPUUfbjk^_J_P3JV(T`TpA>~0wlYL*4se+w4YQYjUuv69khiU0g=VzN zy$r+lw-^m{*!BjVJGQHUwrda5m<%zX)~s+H?9l>Q+Ad_+6QXoa#fq4pLaCmLp;Ws_>Wg?94x7C=r3I|Qx&&;rP(u6m7f+Si>x zPOoIAG$WZAteMfffuHpov$V69rT{-DoXe4OTW~RRUg}C5&+ouRr3HTm0kbeN3;0F$ z3GlYKBR3B$v44oRApm6j94PF*!(yrU)eEp7k-(qgTP8|5?+F%-G64w@SAW8FsQ7a) zkP)I$5V%NDjotN1ar6WnuM(Er!Ms}BsfLQzhz!P1YsD^};5zYJEOhw4P@MX06gC20 zqVVtGz-o?)~F18rtW|pU|5Af}ls;Kf(iNV19izDzliw+~k1a99b;`nE|)D&ovxtQ%3Rw z+nB-spz4=!JUBT7$2NuRk8IoXMIO?eV~$;4W(J40&jQB&Gq=DDJIDNo!zj1hWY=1twS{D%Z|>=fOI-p19drv9mMhQ01uQdt$C|m zC*OuQx0Un$YhUg8z;#@|2)a)9s&Iat>okC`F6J3H?s}D@X18DcaNOPF9K829vJ;Y? zv5Y-TFLQ>`S34HR{dRNeZRR@;$Nl3u`k2p6z_G>0+q}l9f8jb=HcN(QtK3(RTUT8N zX5i7!kPI5;1k7NMLGWf%hcB~j!47yE63tAVoxLL@Lx(arw%-^m{ol(ugE3{Rf?zl>IB%znUj|4*OZ+P!UB__R;%5H^NO$WlXoJU=M995{ zFedWOut(`*&dibTLXO6xq{hJb&Ax%-(Kh3t9kbXJ_^}1-z{i<#OT>7yZD{|5xZaRY zbWH|+lAfLL)wFvj2ZMZv&lys&+4@cwzGfO zz}p-*e%CYC1v47;yh^?c^A9try+CkoyzqSDe^<7Yy}|%1W-=_j`3Z#8apo$l4;WAm z3>g4lgL?l3`@v-yIJQaQrhD5&Z)k@^c0?U^&p5^py=Vc9{jyDH>#zggQ99gP46Wnr zRGfoT7p}uNdlmsR+=tl~mo^-(T))?a*3ES%>UFQ;b@2$v#j&T|L}*9UDM2kGPo$vq z>hcuwQEoh2zn6ER9sQJV^_bxW@Gy2VXEx&!av>jY{pr7VIDs<l%)Idvl;3wP7x_eyy2-8m(dMG-fn+cZ{7Mj=IK0u@@cxekL#zzAza+(SjL| zNxodxoII^LkW;2U1;+oGKkAy=CC{%=4(FduS< zho=B?{K4MPDS;_v=Z5!C^YCGIC|!#DVdVNE1-{)1(s1nFjjNL$w%m8`nU;mxMp&}X z9Jzfe{CG{}I=J_TCMbO_?Sz$Y+I1jDo%o1jzk%LBj^5-1?F}ZT>0z<*KOz9AG=`*FGqx%M7$;G`|A;%*z{q zpVfi$j@e5%Q=PNs0VH$(;f#J>Hf!a`yWblHs8tkhoN0@Nr=G*NikfTC5s`wplgX7T~xwpN~l~qfkzMSRd`2(t!Z$lg}Xu=VBi8Ie7K#Z7x z#s!KUyrWCSetc z^e3PlZN){BF*R3-((Vc@-pvYvd0RPIK%TYmM+SUKY+$aX94g)U0hvWSxuye|210T*UxwUb4 zFvD?|C<9vUTFl&@o6KyBd*Esudz@pQ#8b~*03%j1S2{9kF|53*GZONy&Fq*@z0+u^ z@9<@)Wz_HN&-`}8!RP3D{N86w$1boPJEZ|^$N6!_I^KQ?{7-mx1;~jTnm{tiWCCm_ z|Cog1DVd#d?C;PS$5Y*A13B#n@6Yr@{3v8b-w0UE4EO=O ztHC(59>?>0a6DdcdJ|f*wFC`ZZ%KvI$>+^d*0zoN*-@l9``4iJ0}G z8(KKK9lz(C^V>Ceo?BiQEu43;H*DumS?nRq_Hh-UfBFA1hl9?cHjB0|;B`O)HRP5< zH$Y-_ol%)}P5v2Ce(Au=9g?epYO#)`faQ3ryo5Ilcp|umyUPqVF z@Z&Vd1f{ci3?#!1oSVD&-UG&U=6B%TYTSbd_aNqCJg!%u^ekW<^=8Q z4}gbZBRRS|ueN}8_(lhukIRvCcyMk16{XwB*06P#4&c}$nX6@owH`67OGl-F@bPe=(zF>f8gmzK6J!*)Q!9?9Cp}$8rB{Gl4dL z$}J)mZMb?fU==qMSvoP&vx?@@we{u^zzp174w1{VD;M{4@^Q}C?mj_{GbBQSc z+nbiCZ^*!Az}P+82<=c6p9TB;Q1}^ktOrVGnd9g1_)hTVA~WCX+PgMNw|@pg;vUPy zj7J3L4W4?&aw8Oa9@&etc(0y}C%sor;~A!~&-I<^3;C$xp8rJ}Efc|;?ri}?-x9_( z{W`vfjoD*HtNjz$GV^Cla#-kJt3`}6&e~=$H{S2PN zk*z1onDhR0m*QZZs zENA+R(Ouu(V}R&q?}9Ti>%y%R{iEtaVxBn)HWr^+9+Ua+mW6 zyI*&~cBmttQv08rw+(Z(fW#p$49AX>>)_0tc5+SA`Kb*g!+qPKbQ!}4#C88ylx};u zs_d?+h0??OC2H~fjb}2V=Lh&7dC3Z;*C?)ndynS#ls>b%!RP4pe*!;dFt6a)=y52= zoi~NmcwKvFC+uWDG_fYvT_#!Zy_>v;Z`qVKoWJ_J4}-UtnX%_{7R`Yl9=;x0m#TL-_ONA)=2>$Hv?Hqe!ox_zDzwL| zu@0s8O3r0{=5e9Xw>KlsQCqK}^jmWU+R?rEWQ{q@&ojqPRDc;*#|o11`$BO%A(X2v z6VtifW6~V-Q2)Lce-8no?*JcBe16$RC)u0F5?=W}*-+!Cil-uTW?Py5k*fD%JYIGUEdAIAIN8s75nCIX=YYuEZ^6T-6%w`U5#Ohl>j*NH0u~!ovTIHR` z2-9aQ6Ux3GZ57J{I={DfUg&AYW zH1U>G;}fC|=ep_Q7MJHv3isjAWr!>WY?)#~AJmd1rZ5wIN|>wzcUlx&MPts0hVy{T z7QPI6&We_as69v2e-G}QIGG1>UU=lf?t9Z#G<)is$Uv z%R~t~!E&K`4DO4_=fX;bn8DfKSCJ40?whdWoTXAk3+$fdsN<>L+-1@aiTFV*JW@#@6@n}h36Ob55w-a_-q}eeL zZk4pL5N?y~kAsVq?mUOVcFB^Lb%*qM1%x}L3N%fnAn6zIy%&QwG+3=Y^AoYj_CBQ<(9Rp$&uyQu+!A6Q$FgV0TDrdK(6Zr6+u6 zlcXnCpi7qiQsEp@r1e~CKO!~yh~}nBx0&ugD&;Vbc}(j17Iw!ahjp+^lN>`(`w7W+ zE+FYrY2fNdf_9yJlNXz-&W=k>UusbWg zoDI7iX+#^?U6eL@L6|FL=fM1ubc8YFW$7T&L;rS!lSe|{p$iA0j{Z!;c6v|&XPqal zMLk^t7`k=!zKP1ZE#xKb9z7Sx-rYAU|Jr9tLzw~1lJfrm@|5JmdG2ZHz*z{-NDtCb zcebSEy5w0Yi?Q5!^s1=kf>gBvt-L6W;Qh*#8X)RK^V0tOU-Oa}LtK&OFqwE&l1$+9 zx>T2w+Z$5#At3oui3V-DDJ6!(^)2a&7RcMu?m*bxkv9DfXLqGToq2B+8;~4*$@^;{RRQ~M4H4s9#5s=O#pc&EocWX&m~(GATOle z1%SMiA{WEiYsuCCvQ@U?RDPShZXk5Aazz4=JLHNb(Cw6?xF>#>ytfdLJ@N%}xZW!V z>OuC&dyYUCC+B*B9FP}!qAdsI`BBg%$Ua7JiE^Af$RXLB>vl=<;!i*(%T6u9rN|?g z7fzKAodo2leDegjWAbVTK+@z19Z}y2Iq?lhy8Jiij2ZIAemIp(dEs$zS+Z>e$Z2^g zSB1{Vwbz5omMtH{JV(ywaCA<#SqI&DIe!&|7v)L(uT!~lP4?E8y8aW0`iT#hEH9gJZcNLV)>>w$Xod**7)>K4W?zq)^D17wfsKj6P- zB6AJB?lgn8_v|@nRv%}+tfps}(dg?Gi?ZL{YS5b1szBNQObKkwe@w%%MNeV|^nQm{ zSq88_vYIpz-mDi`p&aPS`N5zDpMe=%zc=c#X~GfK_B7WShAd`w*Y27*Y={1$$FY6i z<&Y11&ga1)joqPRXc4qd)43|>-1;574ew=uXO}Rp%eubh*|`lJfcCf_;d+3FwFRs^ zXT3lzBh1gC99hgco!9*Bkb75S9O5%tK;oN}h;r1A-tge(-y7P|?|6U5D9j9u9r7o% z<6^khIsOFa3==xABcHg8xq?XzIlr0QdN+_$rbfYoe`Z4iD?2O2R^Fynq876gYs4Q`;MR)K%#*JZWn3CuFFa?W zh7BTydoMPMF3ll~5+y%TAAYczGn~z0MLv8+iyS`)W5l`yc-bN@4g}dMZZJUKCQ6=w zixpe&ykok^p=T=mgs98RT)Iec1M;Ledl=>! zqTwKL_~1MMP0A9NM#1$dAx;8$T2y3!oDqK~LzgYyRRcLIYWRZWi0)INJBNS#27~ir zl`kr~Ahd;Wc2Tr#0oS>rC+oxiYU87IS!8pZy&^XL0_0UOfFF$HiFUsNc}-i zy_azIN_^((!fW9;0OXCh#hgc>&~8POio_?bE)@$6V~n?AA=g>n3+Dj1{vdifLika9 z=mg;>QGY!QN`yDV)XyTlCTb`Z8BIaTga_l(a&a{e+!tYb1f)WEapT@s5zQ}lz6r+? zR8%P%4FvaHY@CGVR*B0DOn-=gH8B4vq8g!w0IBr<(e@qSZ4_zPR#~lbT!AZajXS|{ zoCASqC0VxVvLq)WZjtO12rQ0mz>wHE+ab9ENADz%gpg1}4ZZi?k6uFWHS`i7bVC03 zow8a&rX0m%zxsYD1NxVtp}|i;g5fc zyd(V^Ux2rx{L42*9(cnQXzv(*6N?|~Kj9Ck^Em&mq(#U3SJEMPf`2qO0?+eDUdG#e z|BTguJkh^D!!%C97J5{+z#k!bUFaWAs<6mkPjl4j^axU!WoREPp?B@n!zaPe)~E`|rOI zojAuoi#+OF|MRo(cAozf2C$s(znhx(1^yj456k^4AI9TCe?mw&{!6H5U+lm5P$XXB zKe7>Tm-@H99goZWfiIAFx&ML#(ZUt}+DGvXSNiAE{cx3kZ2}-y`|nBN?Hd1Qj2^hw z|NJ{hyv~1J1m&*x*JY7-gZ}{1x*Pp#7&>#4|6`K8oBe(|rf=~trYL)>e=!|jxA`Xw z;&HqG&2x}@NYu3`$fO~ARaIId&vhN(!PSnEB=YJ>AmWoL-W^b{)@SD|8@Tb$D^`0{L8LJ z-kbgd$Gz^DF|1ISzD@7n^+e&#=!o|n)4r_|u>3;)%t z0J7SDH;ryz`V+KNf8`&b2Ku%CsjrdujsGWi;_sU{C|MPSMto1+nGvpl{7}yWZ9uhc{lF*@nG*zs_0&kNX;$-hNcsnBS!0!NZ zWT2V4$Weh+Q4~KqV9rM;jtQ*U8i~gSQdi*ZxWIxeM&kIu4Ky*I5ZHpNYF=Pf7ZT?O zmi->(P7M5g6&@!AHvJfVS%9lHQ1!yVW{kU86tF)>dnX5;+yDg@2i~`kcuHWSy-?Yb z!0BN?E)9J17C=r7oEpNooEF%N-*Y9R;h)0!NUdTpqajTs*D__-S&uGVpK<3S1Sqlp}FRSVUq`~yI zz)aes?g$+JBwD{S(7pi@?+R>n58mz$gdRo<_XMt`LH6FjQO_XpzQ8;hC+-jY0#t1Q2fb& zO__25`kxJK{0ZKk3nX$V{(K-q=fDerKN0y}42(-6 z@1?*76xm-697#dBXkAd&n@wPSqYZ;bW zaQ>z!a7gfVIzA2!=2**N!B8Xe4i7#>`Syt5E??s9$l!$+quHZ^@6erbbkL?6eoXKX zGW}zNUsDu2F1Q0jY>p4+IUw_bKjp^N`N5~>;qAoW>_4HhlY--Dms=2gl=8&F;9^=x z7X?>u0g#h}_frjD9BihRdrEK~5o}5D_^*+;H26#E(WeIgMWOw);Ft7RpB_AGD%v|E z_{J2SX3O*m%-e@Q#(vf$Gjpl4?Xk849G&I#^9ZhvmD_bya+UT}-cQ1$u2UH3%d z1;OXuLoLgLTP;Po3xhY({dQ6CAJkSa4mL#qa!GLBAJM|4!Pn@@yexRwJmg&w{Pa$= zcV+ND7)hMlF>V3gt`7Ri&aMgmE{Vsr!5iqUy)O9thA41-@XZxSydiiyor5<9e@A9> zQ!sEi@@@|19zqMZ1iQJt{??$MLw;MZc5n3a_TUm;X>mtzGs>TL1}7bZI`0ZTk-+2b z;MwG`_XIDv0FQfvCqIn>_XY2#gmix}GXanP2A3R$79I$mNl)m5!HY*D@uA>%)6kcP zgXaw+?~&jNiu|aFrozX9$5H}(Jb3&y=+YCx?-?2UWbl(2c&rG%Nagye;NMoEy{Cf@ z{t}SS1SkCtdCvwfrquj=@X1xE`i0`20x6WvUh?9-jBC; zgGLf{z88FMFFf84o^dCNe-O+q!`p{JUk+7&6tsSc;vWb1WNgYO!RttZJ`KLvgU71i zG!E-$!4(~N`#d<6CvJQZ^wB)AI@q-w4SgAW=MQ-MDtP7nczhk4S%(k%CTK23W#0z( zkE6hM!5is@`#v~lJ2d-4@ROtPwkEg-Ir@*mc|)jrZE*fw=)}Rsyp8cV#Q5nOD1N9h zie|mTjNknlwH$6NVSwNf#sV&jBaQH;$UDl|WHM?w1{Z3hz_CV#+U#-0;+1IOcw;5C zmlKR@SoJ*PGsEy7dkr9$8rO6FPBmuJx_+8*@X6@Q>BddmbbW@AC38E|c&`^#pJl}M zMwgZum+p+jvyC%2X6G229*DeijT5IK?>ysY3?4tll#R4TFp84x^WId#5pHCJNkT{ONx5nGf;y zxN+4<$a})ri3jaGY3#&kxE01KcK0dcgedZ!#v#V2y=;O!OT%&92ws$oBX#Mg}cKk<0o2>$`a-!M*l z9Syx{{J=WjGH&8LyluSuIC{3y_%|ihcZ?HAC*C#wnLuUl839@y-ZvhheD;BH4K?o% z4NwKFIODf;Uw>>&qD|=&`UWFLVjiZb`cW4HeO(R-xzn&-T$recY30}Ge)OS_4h`YTKNyg7Iy)1jnPUuqmz!t4H23p$#8LEysqo{t$`Bh5km;j0;RRMr9|2E~I^SUTDKMJm!a)Lo9LiIuSsdDohP_ilchEJrBy|71cq|QNX!<=h zv?ayt(?TawE;v23=1=(OGeUp65s7DpexTTNR%oO7Xm45QFa{N!9opqdbmE-QIoqSo zb3?x$50LXhmwte}^FxiaY+VpqTZdYfhu%64c^8Iyhmm(tXqdLCi$f=J#a$9Q_-W)_ z8hViq*2_W<()xCJ=*Cfiydv}%g{Uh-Ki?l9SB0LZ_I z9;cc1&d{>IqJ_Ic)Bc7bzdLjYEot|JPNnhn-q4b7Q1yMG%NR6#f2ivvl>2Y!u798} z4}^|*9v}~fI<7+AL!rs^0Y4ntoYvt-Lbdy&>PJJDlK?&z+K=(JkB25djJzj8m(ZZ` zWaz1WJXVCBqF(h>=pTQ=r#u~c=@z^_6FP$g_u0_DYtY^2Lic=(x93COEV1_oIb(Li0AmC`_{_`9uiH`C<+u_7&0b;txG7q=(%hGl zz*Xkw`=G$p=4Z4QTw_M)lepGgN?q+bbANi*t~a+n4UZelx45a|MziM$cy=+G4)O*Fe^I6pLs=4f3yuD^_e+}MVHz%Hgw>Qj( z=)8T?JcFy_E%SJ;{+TSBQ?ow?$W`Vgui){S*+ruBxjB)hxi8Ea9{^;vx${NH`_i1r zO_*PqS00YUug%xD1IRb#Za1OXZ_V>*^S})e!+3meo=#WR59W__cCImRz7vlh&6ha@ zYt4n<;Bj#Hw-l5P2_H@U;n48pZ&Bc|@QQoU(Ba{Uqwsb__#7%fM}|M49&l9n6YdZ? zI^1QV*<-?=Q)53i{KM~1{J8M>w3{9uK9BtNgzyr|*Ym<#`|vhDJlF%s6T@HMipow3 zf7*w~g7AkFaTbQZp?PCbctd{s$>G0|@huK7T!M0^gm*X+AWOnu(=N3%JoP<1P7VJt ziN|T-?{a`VJ^bLFfIK6-MF4MShG%l!o)tdrKy+zYxOpmypB?@WN!K~yX^j9mH~jAg z6gV%uoN*H8ho>0GyCB@L6ACO3FQle%Vffh>P|HQ(AbnyNhZn3y-X-A{nrkl&Uv)4# ze_1$jCEhL%r`Y-x;YZI$)mMgp(t*#sD!ew2I3M+a1Mk4gat?-fjy|X0+!W;XBVm z;+!$-f0yjQ|!Q`Ubq{Bv^H*TcKgsrE+rvtOg?H^XndhP=1J_g{~4Z--Am z1ASQ;{_t}^;_eKN%e&!~zeKa|hd0<0Zy$u0_T%wk_>H4c{G)L45ETD7ywP%id=fr$ zEUNxA{L4#G%c}6_7vb%*@a&WE_Ida!3QAvucjKnX)!}RD$o?{XOgj?43V$#fkY9&? zPdV$G@OeCL|J!h3BHq3WZ}uJXz7JoOLVG`iYhS_JnsD#wXz$1H-^rQRhDWo&!J{7M zk)DT)+VoiD9Xe|F%TWBVQ3G_=96qYcS_Gc+#j@ z+?caq)V1W=3r9U$gU6y#bBXgOk2;FB!^NZKlR%s@Y7SjDOGYKA7%d&O(^5Q69rZpv zaHoyhlDhWkqaJ?0rUqgP)>&{{6Del}Ut+hG1rH{spB;?#q6g;$Gh_hg1*hME#Pfq^ zk`-PMe26hJ%Y&D5DlZKFD|GZ9>yKJjUg;^CCK>n{G;@w(RC?X#DT# z9GP(aPf>PqC&D(wN4(@4TwGHVdc*gs4ak+gW(tL?ec^ra_KmOQDm1ayH;#7#9^rrf zI=EQ*<(urpi0Uy1QO?>XL-k?X6F)@LvAu~b@lF%qiM=*P$LcQn0_n+jk3o9 zc<>8$_1{0BOS??|5-_PnPawDH54+)a`tv0IW@pmM)ckki|G1`I(c#>-kKuRAZw|)q zzI}E?J^$&h!{5Vv_s;?7;l38MBl`B|DVG9fvk%D#H@|%o+2hdJzT3C+*MvUtZD{yv zLNEEhd?Hj6Iw^29-D!&h-(QZr(*qqyG|mppt@q2S#=d+#5~7>VLRHoc3{p+pdp=U? zZ{81`Z;1UJf45so?Qr|gH$rE2c=1Y9x8oqi>s{_88%kY%D}Fa^LnmWPn5Lfg72BbP zY161X?Xl@Hs{&(Tn zh(h4?zPc09`VGE!N!&m6ZDgV9AAGygpSZ?XL#_Kq-z%TuvDUZxY}9hFKSiDD5dTMS zquinXD}C>r+f#m%g?gF zpRoks1Q^e_+nWO$t;E|)fz{M2UJjf|#pkU+o-UoY1E>E9Z|??T!ch}AhvzeV9#})H z{UNY%JwO%&x3p2qqTtUj!Q0~CEczZ!3Eoa+acS_S*O7Q`Fiyqb!r+mNXt*rceLM25 z44&VJ;kh>W(N6$!eK5<2sTYE;9gVzKg0rtfoo@u+c^j3j4DL=w^L}u@C-L|=c;G%r z{4Dq=P4r&|Ux}gDKLmG9pun2o_E+HT$Kdz0I4a<6*`^-(Xn(MB**R+jk)GcH_)O^z2S!`LFPH zuW{l;v~a&MLXqMDBQ^n#M~vJNsPl27o8SI~vGL;|82B%=`Fm4P=5Oz>QtQC1sAh-@!H0H5EZQL`rWuK$By{SRc}2HyoH znz-3F<$kn$o3D#<*`2=Qu}eTupp6d7AmKOTGWde=?|p#wdncWQ>D})x#+Y91dyo|V zM*o2;@OG1btD}*1v%hT*e9~Gi- ziI4aXeFBZF4qizTb*wRUU-k&M$Dl_K7#Dtzw+D?gUqRkO#=G;;!o$YXj1qXnc%S;o zqsG#;$a~DV>n1Tv?@q(plg0o~a#&$J!4Qt8j8ix@PaB=IS3hGs^&zT$)@c7XDtpdY z$j9@>P3-Oq#>vzkUNrW;3*}xiZoC`~y=DgiNtq}b7^Dz(D*ouhQ2Vyk>`DH ztlS(JdR%DDk4T&s`lK6)i$d0gieuI`H=&{(HeXmHr{&KndW0f7Q}5aAv9rrYeiI$YcsZ`yz zyMY?*_P5j7vcp?lig)~JIqAt32hmYAdKRrrTU|~qZtP(69=0;&GA<*)EHo1e&4wbfpXw%qoEvr#%ai$?9nm;cB< zoO2_;`0GPZa>o#*v7HJqcZl-YKadkOUp^1eyKcZ?Y;U67eac6ao2D)QInwtUMIP7t z`Xl(g_b#-H57o3GWzPJC`1|jgIMNTazC=Ao`?750c;9zq>s)%Vt4ei=NKxtd*8h!e(y8?CO{4~)gpKJEe_zwlSG08-ueQ+|Mlik_ z15N zA2I$u6OTuYNA^NPj~N&Ap_a#u*%U5U7`rpT{3+vg8fKn0!Y|_SoM92apEr&^1RyUM zwUiIuGPc_WiEkU9769^r;e#jz3-gxept7%xUyp3EH+6(B}QK5fRIX*hHf~b5?388n$!smtd+zTzt53Rl&i6@0tu=5K-M;wg;3q$P`gBOLyP;g%y z`sZbMJ0)}uw|6ZG{do(#Ee&0|FW#;S-9f?l*3fZ}0rJ10U<>kAgtoW=t-lglH4~2y zL#Mutyl+D3wJ7jy=$j2O@!y61un8XDhh}k7n%tkH{YZA@(J^hrFcAPKEwSb zE6gi)M6*wspVXk-)8+>6pq6LNFCut*&YaE}d)^!*O?bil@ppiH(VR~8`z3R08aG}x zPn(9sSImirp@XlQKfN8@ea+ncT7bN6E?I-bH_YqrLMPrdr@f5I-ZD2J6Mow~n`pYy z{P1Qx-Z8u0M1gnB9jTDLXFhogK;Ae1c{uVuFk5Sp_o4YO+A2OWU!{NlWAmWfQ1vHf zVoy~4srm34JU%m@y#dxiw6HYX$zZF~!{5`MbVm3)+N;kD z?@1@p+2NPCQ|g@XT#A|JhI4zO>hr@BM$q~N;f6buaPZfEQr!5j?}C#tz>oO$y;h}P z>)ZDmWZdk#`&iJWUyZ84G(HCT#_#+7=Rd3Q;UDN4{`YOW?bzX=p0TMy^Vs3;nbEPm zL;VNz4GoW-H8?a7Ow?wrA#W|1ig`QWtQ^(; zfswwUq1m%4aZKb_E9XuZ=qhWQHPiWm;qR z_U+w0d&Y=8@{d`4!$=DtZFr;?V}=(jXNipaSq{|l?bH-rL{u0J1O!$#r zREcyDhoL^8qN+x$Vq4aRB#;L{0=w`V1jCskLf8Wb^!Feg1`K@b$n0UeXRudvZUd)% zdm8mg zfAonl26W#6AV@N8pPm_vm4(xm(zo{{+I%oIigYq4w%_9GQ@8|_AFibteG-l zXhgL(+&6?C%S5zNETREMMBh=z>|s^&oL&wiQbeU2>aW2M`8E6@zlOiW*Wicz8vamU zgP-ba@N0Ow{2Ww_H~BUAA-@JcieG~ld`(mW;+N(8im6}x8bWb7iC^+#kt9D>m6b25 zxO`DH$d|Y*EMF4tmpb+03>T^%=~3__JqmWDN5PHsBxQk-o_hU5e*i{T^#H$A^Kzh3 zryOYZTcDy^;v3-|%bzHVC1ZCF4EM{Qa=FOgJ%a;#_wR$>SS9^^eSLd##ej13VCfT6 zBpv7;A_#EZp$`DjbOs=O1HJv-TzI58?w8@d8GSt*T>#m`{re2}>6elInSBRz6YY@2 zm*H7`L^T4Iz0MlhcL;RY)t>%|=JY3`oI{3?+&u$CjfBoklJE+m0#OL$vZf}G@CE&W z?|j<^eSvug?J#z1bVq*>LXOUUx`z2Liu@~F`JL&soykv1cjjB{wtO~WcMJ|k5;eJu z-H}SiBFRKeK0D4XWb^6v)`ZN>WmSXianbuU=PLF2~nvm0; zjnqcxTC-Kg{djv^x@Cf$YmY{1)jY&- z*c|botI%YRZ!Z8Y*OA2^OmSPfB@aM_3k?y03mBg|E7|~D$mTG)?QP9DB2il*YuWi+ z0aNUqu1BLXSByhzKHHH^by~4xElBOq;F#X-k?zqEC%H}~M`XHyskLHtV`M^2C;QUX z)@dc>)6QoL*-l_dS2hxzi#d(Xo*}+77c1DARAbB@LD6#}MJ$oB)wuP>1@gJ6&Mp$lrcR% z)z+46v9p;k`WZP46KBDI1`bGa=JNa^)at8tGAqqb}ytz zy`{&jZBnbCcFkRdY{AaAx3}7z+13u5^G)19yOD+(t|D>{@)l4Oei$B>=&>O2ism#$ z8y!m1SVW+v_Dno(cd%F{H_1v)Pz5tsq>>?Vz9gdoW$CoRZrbyr?RwER8&28j3E4Ku zY~o_oPEJkAHreT1I-PB60^(U@G@PN*3^{YQJ=6!`d|=ofnbY6X2VT=X0s(aT?2$g( zuB|Q0wr$W+(k_QGWT$lEx0O)Mc;?BLieJ=PEZ*AW!mTP0vUo?UolmDQ9X2=#=rblD zskv^(9+zzcJxkk|qt2mKPN z`yQET&+H%2SZFnRVWHLFjfGZ&#zIijN%i18^0}*`s7Pun{+w9+xocDLcbn_?#3oFd zlut6?aOAU6B(YID@E;&zDQprI1v{)*wslo)1%Xo9Nxrph7j-1WhCcCu&Mk*%Qk_auu zG0@J=&ZbE&d?8Mkg$$7Lrd(%~Y%$f<(n+!biA-`}a^W$q-;o1rB$YwUIgppOuGS_@k!7bc znS7*HQ=(MYlz3$8NV0+G)jeZQ_dkZAG|zz5ta8nkWQ#RBr8PSalp+qcotl(yz*v^@ z?NsO7nSJ|o#~TvqxM0icf{N88{|6|mG4{Ve#TxzxsO10Bt+;5{Hv)O1*jVGphrlZ#=}%5g$aZzOYOVs|ftqriR7hyV4=f9p zyrLO=g}f4T2uM|VB{rI>PNAta)tS#tiNqT#1lFVwSY15Jk<=mIw041|6*{vWTF5Sn z`tDp0QQWf@F$zTB=2W4xA)@JHELI_s35h4BC7u#_Hx^510!a#xmAaiGDp3%X=K5w5 zmdP1#OweyI=j>!D8`xGdQtO>A1^|rGD_5*+d!HGD)4ONb{WE95m}P?(Xwil(sOBx` z6E&QSSd>JR$k1G9RYXet%G&L1YPe&7rg%mLvAwl}ef5yY6A6nFIRWv(QM*}?Cr5m9 zB==aCtHZ*zCMz@_kt2~e)!Bhr%huI) zkC{HEXH4%HsAf7T(mf_JeN3bW6Eh|P4p_wAShPZyQQ~$iTDM;O1<^$2<5Fp8d(f-F@PIEBCJGHA3KxlJt6<$J zyO3@vKq-W52D=9rr{LLoaWvx}aL`Q|G*;I>3FQijS8c5a%y&bZiC`JD5C&I6{XBV<5k#n)!rQcW##n5-Ht6fma=l4>f`$}udRxGF&6JC%B5 zMeEBuD(nx=nk^x`Ry3&zFVP>Wj_8@Ac106n&Y1{Qn4DK)depJ3WU`RSvv#&E)6tH_ zXJ@fkU|@l2Q}0kSw}B#kdazi#zfRB)^Vb0RJG?SIKEo4Ceg!EV@j(+h3L(+vCTI*~2Otp9coW3=w4KVeSrL#54>egD z*kXd#>vh8AA*9UCe7d7kYNk+arDodNRLFO>PlkPf;>Eg@)0xwUheihH^bBkL6#8T$ z-754-JUbec+Q{D!kfhy?FY#FMrI2v_c9kf&OBCXeH(RnWKf)@V?!aZ4(3j_qmWb3O z`8TCWp;t`-m|Qmry*@iY8q(uo3g*LtC?sqb5`jvyxO|ILvV+za2>BqEE$InT(W`f6 z-L*E_CxK-;)^|Onr2w*Oy&zIC3tFa74(mwjp+YH3UPVSJAFn6~K}PGVX%`}=9GcRk ziAs~5DWvnc&RiOLqp*HU(~Y7z?rbrFCH5T$NQ{t6oOL8*j5ZOltyL^+K85AF$Thuk zbVHscMN=feIVGc2kY6ql$rymiAiplNlsj3Dz2;nUb&qfFEE?ZP9?)6@;bGpwU9PQO zVHKBOYhk=qJ3E=6*`~G&sJhkYEyerj-D7*W#Z)U{m2*PPvJ=tuv+M*sBtkgROp!{L zKpG3Ocpm2Rb>-@@Er$`qO5FgYPuQUH4iVsZYTHLPU)3zatWtb#id$K!MoezU0#h<+ zNn$A;mlUX6a&QM>$+=gRmhbeUR1mToV5Xo}z`o{o*o|^+EpQu@8!$@D7o$fNhyg|sdO|Q=Nqh2va{tx8=q1oLu0XLwip;2=G5`2 zyxj@DE|-fmAKH$QF%p+@TrLyW%vAPG$Z$4X7f|NGK3bqc+rZx5!P(Pi^o@>f8;eB~ ziDWd<7=!=Hid%i#SjiTljoBSdR)Q#BXHSO5FOe*f)RPr*nqKzFqO4ZUx?<59$CWO9 zqtIX6;+td9tSY?OcHdmaGxYVAF9F*=pntf3djAXk*>)%5!L*4wT9>j(e~nM4N~v|MCg>AN_@X;%ZIPrml{B0m7am^;83q-36g5z%@4o1KdXOt#2-Z_y!_~ zJQ*H|%++Rc;=Fwg*sgNW`e3i;^g3V6cMxU(!bp2wA*HES2pK=$38Q zB1M&4S(JJ!d&iM3w6u3-as{ZKLdx{Yl7+++NGqe9iEO*2{c4_hvY6(BlZd4)*-5Y| zw8FO%(_`p3D5Qu#1@XATumelOQs0uD*JGSigwAgd~;eWigUsc~QV zH24wj7>1;5rb57K!-qgF#)wtT(lo*wfZS2f4=@(Jb`&}*p~t1vC|}|8R91)P!sJ{A zPUP{a76>11dxSejcgHJVEbyi*$VO3FVbo1LMwO*>l}Idw9e^W4I+$-cs8rWKfr^xtJS#9$OVGLq9Ss^w!5%STA>Z6YkNjVdLn=MO9U#q@Bj;53l5Z@ zVpFF=&)i>13>T^vI~^4QvtG1iLUI?Mkg45oDhqbkPE-m^(Lqe?4PLbN_khyn`)Z%43@uH0w zjo>vxrx7+!E7I6fWqP%}ly*1Q)Ie|(fps*{;a5vbexhVsb&8QAY6;y=IsGmM-o+cD zbZtn-`rtrSWCf4(#>HHl#5w3T-T*{a6^TOTP`N&&;WrkeD4CF=WD5+jAf1{1;ciHw zx%@RLI;07YmuBf++__8}?0Aey_H>LfjeG(%Ll^I+NsR~kS>nyb9r%FSr zwjgQCMU$0eUz4J*TG4Z-mFan|Ea_~LlMR)`9faacPBCi8idsobj;4vqn!?55Q1>X* z4Y&KVy^8;z%hTTW0yrSCK|iW2-< zhd#-5fgn+;i^a%M_S_Y_s)<&4vLsj@!%usjB6L-9Wl`4}ZASQkYuc=+keeAQDE#0W zcZ*7ya1lXvE`m6uNDNr%igpHt!Dhf26_Xja+=8w!{7FeS4&(2v!8zC}(0za-7F!L? z+JZqbOhQ^v#en%VwnoA0I7XcBCPb>hc7i@3$`16SJ)eQp(UIw(7a|AGk{yXBz_cYJ zZR@1z5tTzjc1dHEnR49RA|oYc6h-t5927zx(Q>9cN7HYQl498O+D%2}H(FS{_(o-M zEJ-X~y(I##p^>Y8i;AgQGMx`f)GgQdnu9t6^nwCF&; zbXLeC+EnaLmX+{JWX&zv=1wSGF7jNFCyNNlvB`=#sE~{#uq+fov_L<-_TwaUXj^HR zOv0gvB}*PWEIPL@ne26zycKoJJr=p45@sP~X*Yj|-3*6+ORmsa7Jt4sV$Wyv50AK& zwK^5RtOc2JD#1N$X&Ft3)kYUmR8rawD5mf>LpFwD29ua1l~j!=7b)>WKCs(BYZab( zaztrjXu!f~fY2>;Vnm9x+YpWmFj8?k9gf|K_;a9H#Hx2B!c;8o%mgT%cDTC}%NC`( zfTS4$1BA%gmMy@C>_P%IU!JXc?UE)~w$N4Ra20e58#-GSEG|m~Mggl+k|0s)Dzq>( zL=GqeK9%6@h~_FhkP&238g#gl5ZYr57!hJnCYL7*QV&H`N;NSLIJtuLz9g$b8*wn` z?tMuW8D#@po)08;@8ggHr|@OiSiLZ5K*6S28U8+6SP@(R224zb;#`zi8mk4$HLO2Q zt^r|kZas=IAZiCrsRHK8(8SK8oERtcy{!vUOdcMEiEzBl>>e|-pF1#J$xMtO)%6)x zw3;i8dq}cUz5R?12NByxgMW3>r6 z$|2yi$Vefmo-5DB(j15FSctJ}$&G8HcNn5WgIgDoH9OYX_I252+x0+KmB%z%Lj8$b zkz`!y3zc?t)Q+0+ zt*uscU3*)ZtG}{Z4dNr!dXEc!~1Svqc zl~Ou2grcVmPGXlUOuB8IS_~8Rc5e_5iOrEqE9w)7rx+bVJg__Kf7=QJ`$=qa?QF!6 zMc^#6hu7%NZ1#R~9;L`BI@3Alv0k5vXM)qBLPT--D&$5qalA zW}OeaKq?JibkTKJ9Y`(4a_L0%RfImm97@UEW-#gArT^gZk@((Z(PgOw1!!$8xz0{R z21|QZ#4dStjIv!QIorbRPob=h_;qnFt>y9#;uNJNAT zw%@;rezA1E$28=-~u$Cd7q09$+4Gvz`KSn&>?g zA+_McK&}Wjb(SSzix?O{b4M3<2WBGCE7`;td9f0vTBpV9^7t03u5SI~URS5(Y(aqW2O+6y-u1p4iUBHO4?R{2wPT~MgOLfJ zh^VD(Y64_{lAW=0`nvaLFb?< ze?`f}`YQs=`YWd%)@FWsClEXVwu4_#kO7~DV zz-Cb`r<_;2N^+fGnp?7nD@rCJo6nfBIi*{*-xz?FG95bPRQR}rKvm7h;$*7+ienR? ziB^jVz@ZA@^>X?l8$dWO-Jyvz0kYmR5q7oKEe^g^{JTS~=EJ<87h6agT=Rd||8zp-l5P^A)`@MK zUY}Lv#DyvcE;O~bcXs3v_f2^(qE#CaL|8@?l*?3hA%>0SjBIF#N2~^EWhjZD;K?kC z1JDTUl5EKQJ+@GJK?q_&+h_USQlgcxis`MKHoYGyIs;&QgDR|ccovg2Nh;4&^awhq zJtP*FK`M_9Ro{IuaoPfV%kDeOp6@9Rvv{PLdUAuY%QV>ukN zt(#Uju~UqQt&*YV&of(0qB0mM)p?R|M7hrg5$Fm^na~?@<)Y)~fw919tHQ~wiPbsB zq!3sz8$AK!2Fq6~)Lwo?Q>@Xd0vmEG%P%mTb1e z&SjvOrN%?W#{wu#(qmJaA%?}_tz}1TIV!Z+Y^aZNA|F-GTjd)dNzK{$YITusM&IH0p6cUM|nlZZBu*5ygy|cd&%e2b&Mqwq9UJS7U>1u$DP7HQT`wyHQ=z^aM zF9GSP5O}ctIu8?kOQ$-1Mi2}JgOrEkaw@PwJd^bjEzcC=<}4RXD`*6JSh2Cn2`O>s zm#wz(h^a=W;iOEvcQZNFyYppHVhppDZh#Tho5>|EOV79q*~M9XDVq0`6jHXkUpu>m zNazUvC7v{_)^eIEJ!zs=f!MJImmU#oXz@JMC+3)XBtZf7a~=5u^GwBwhTd=z-AI`7 zSux>!^0P1*)+8};VBnZV+0=-p&b0C#l} z_Ocv_D2lbXsfzUaxe8W=kyWr>f!~5$BLIxwfjGhrh#6v8pto_Qv%Zx*6Kr0Guu0yB#u*al-aoN_^!& z`ZKD4if?gfo#Mq!6YMnh#^%eN3U05A10%j#s5@ zSZc9zRt%&@an6QT$<(Q&utSSeN*$8wSX|=`B2=1$gLcB|2UW(>VuvpmnIdmE3pdT( z(UU>H9BD_adiGN30t}|L7=5)xg|FNky^lJ z2qNuma9n{?LB=ITFY3hD-o-c^5mE`mLUsSYhH$V;u}Xzs+;YvISq25UIB8|J9@@|VTcsNJ^>4eK531_XRVOii?4B~~-4A~Uv5lPUq$ z>0c#4dR>|`0F)gaodLzKu-Xz}eN80R*TlLF!)RjNUSa~E3Aqj>&x;FjTP#%%mnfwh zdFq(5R${Aj`BaIMf|5Eisx$;DS+A{?L=AB?PmV`ml?U-Ao12Ldf?0~#Blr2wg4;&1 zM6y#lq=tj7Z*%d#Z7b=DEGW@{wbGtKXpFrs-ORP@AxdLqIi#^7$ActR!bnnBG&czP zBk7u0HaHF#%Uj#2cnSoM<&j}B$^za}7$28r1{_ln6~Dx8a-m+=@f1_n!V_mDNo9RX zp;M}rt`Pd-dBZx=U-{oEGRhoq<@qi#E2Z|MfiUDD|8fkJb|LqviF8dPDsly3&=Ns0 zw4yoJ0wDzAjaxOLsOp^8$`k1a_Hpf|;vue1qQDicB{KTmA%xPL$$pe%i+;HIFV4Ya z5HDDDDGM*A>u;(oB`Op0m)+f5VP0{Bg!O~lw6r9#g6hhr(u8t zA$Hmf3|2XxbYM`P$z{$FV%OHTFLMB@_Px z5S+P$5{`XvvzXWeR4=x+#1I@0ladzyNzfeBElc-6)p7`qf#gSynF?+a#UDz{RJ?IP zqp;>zu5Gt2JY5HrMp!K_3cImD)&^}*u^a^+v{!}1Qv;e@;+Evn7K#y)Wu-20Ml-|m zfCbmy3F>E_C(9$$-$m|SR!Al2si1am7=clhCMF#8i{&WwYga*+%|y*6d>kGo<3zpU z%|#PJ&aL0NlgkIV6=B+%G^>*&i_B7FiaxVf(oU3~AhxQfy09C6w-!k8j@h;Rx#OK>h1#nLuj7{%iac)Y7BE!&ea1@-O-I;00VUht1 z1d#p$5p7N`?GTX4EDsvu0mLpztqTXGC*jl=-_jJdoRCo0G2fsg+RQ!)s+KbQq6&sQ zabd>mBXRB$<<_ePdxV#C;ehR4sgUtNP);h@5o#;v@6D`=lulRu{1St6WKyZM!0C6m$qVr0asPbuA)Nn0A?Pb{t+|d(0+N)f|j8XC)jM& zr?V(s)IP;tb?h@2C#Nfd<`@^OXpG@pnn}3ge-IP`ze#b+11r>YzML>JSd;;ZLX8#S z4mcmKOoX#i0dp{>@SyF zF8H-@>_IYbYbWiJv!QL+&O#E11%H;q97v(da^+W0?9vArYWhs-tQ6X$4zqE1HD@gu zbL{xPZ>0VeKZ<>c$Q_6L+FE%1(?@nkWxdYz<5Z{!T_s0Vd8!d zxs>4h;UTxVOsr@YYHn_*8J3s)$@>NEeR$CYZhacT^?kf9%%y}XhArZBm9Jf}MltyG z;p8$?Fa<8EEGf3wx-IiO$#Nx>q{|wUVDO_PRfb0LoO`k7B^KAwOzMzLMl+>a$ETv$ zU0zK&V6K%&t<$(7VCwz{m?U995XnDEHrhCYQJLj279K!yD2R>%Pw7gi7zo+}i8$C4 z5VO|t$;@4kJ|sZatq%zhsSlMI36ml4Cl}k57trV=^&h)caD$)$V))b1)jYjHd*rakZ3WIP?Ktuah;Jp zvu|e4%vs_J%NVb%mG2zl^g4%_xmMje^+^G;Zmm>+ta}y&h@1s&B;(EoM+tHQ;*(Jk zYAA)osHIm57O*<7LBw-I7y#yGaRhcAG29Z7#j3*>cfjV0z4R1xD(okUOEBXWv7q9R#T3{kowQ}j+oD_BuSCj^p0|0S@8hux(|c(~qG zBd&6yiSo~_E4Rs%`B`vBgI1^*K+A9=4+v4U(@k)TR7Yo5K8wXuex8jc&dN4fqR)He zc@R4=kaOyIhr?Z^0ma|z-hpR z2reoCeORWssgNKLDo5g{TQo*!ggZi}L~LfB{6wyNF>4$aAuKC75AlA=&d?Ms-(alw z>QrQu;$B5T*zZ7vDcf4wt|mlFpfp$w(84oUJBzF2%I_!|)v%$h6-O#TXBE^J4FJzd zE*fldL^OWA7k~%tstU@H7v@jJZ%d3aphaq(M$6JmhYGRMZd;Dpj7p!j+AQF#yy6*m z@M&{L6;LvP{V`ZC5&Wz$0my8i9;MY1B+z%WG(nG1hcxS3XWdyE<2=g>v@4E%ELL%U zqH@s2V#SF5Y9>vWpvUCqzD~FIM=2#i9?+C`BQtBwp42*h$MW_jss;Wf@ zxjf%qdI&r%LV$o#Xni19VCw^^!U2(JU#Yl>qM_QM=JF&94JLlJlJ7P52VAR~a`^Djq0P zkx|CP%k#w?D^Zl{QZ}cDQ+c?60;SnvC?|7cAT9{krbnwX>`BDZAn3_s=bzQ!Tn?oN z19yQ&1uioTQSQ{?aCx2L71L+&(k`i#+N5@rfpQXuaZ^HZ`O!S3>@viBXlW$}3Sd(w z0$z*6N@{6bm}NFCH0RPz34mxoeb%~1j$F{h47Eyx+E;qyU%WX>jz}QTBOIlHgLNF- zckKMJhPXJ@!`Y?c9I2I`g4j*>(fx}5xR2eEFtRstyrKy=`XqQVBgcoi6WSmK=hy+8>9kh)$I6f>~T;s@{C8=cyTT+B7hzv+-P;p6>QzWEG zanTc1A$jK$91-p-pde{N=j-k6?HzJ?#I!UdEKF`YIHpaBJC#{l^z;G{E2Tsxg*wl3 z8$n||lXYbknWCoV3^w-XYX`>6qGmR0^fo)~-8*bg?;jc7y>?*q*tX^jkD}TmvgMAE zgK8~ttY9rNB7cpjEbikfx6srJ3r%H|yiC^}sw^dXL=H-X#eID+$jQGkeISAI17khL zO3nmJAgCUeaEZzkxHNa_^tvvf3_v2WD(pThuM;DzFOv?>IWzWwk zZW|IiDR88#Td=lpnR=P~OUvl>>*xGdJy<`z9qJSY9uStvwxp)oE!ZnxB|{IiXSNt? zmn}jMShii?M;LcpfW@dZZlh?KAjK=!NnI5|QDW4J`xU^f2o@nWj237o#3k77pbh4_ zu%bLm;zBu5PnP*6DvVj!zKv^Q1ifgi;o-j{gZ7L*akq%L6466jkXIVJ{NzfDK)Umt zmAM`SPsTO6DW0M#INqDyG;y~ULQ){zRW2bNGp0rN;-QPKW2X>Og+)%OwXTBvi_{|N zFY%+-VJEjpkW{Pe@Fs-Sl-Q#o(?)S4J!q**Ia3z~$y?<_SZ2hktFb4wx8PnmoRo0D z;0#YU4s}ip% z4*H2T5Qw%Eo<9JKWv(3?v!`^b32}##;3M#1^SFh|!liYjl zv{8}cQF$edtW~NXJWhn?Q?|8Xn+>E)uT;J6Jo3bZE8!Eg1^*!h69_pvo?=uleh5Y1 z&fzwRY%_Mhl7QZVoGy?2b}1Xhe+o* z(}b;{!VQz8Gfge{XqhodEAF&_@?ihnWM|Y_+$u@5D=WZ}z|HVAgLaj~%~obsGTG(= z1&@L}rKcDGq$o|yIc~QkWG9x;B1UPTRiaLl8i-sDqVg1f&Qn6{H-Ks&wjJ=&HRz8K z@gkh?&Tv@e+Oi%G3jw=i{-g*L%sQv&Jq?JgFWq8UlBH3~yQ~;U*QT(hF6@^8!$cHi zD$h$tD1U)q2&+f&5yQJf(bsD-;>o;S=@3KBKb}FjO*qUV{ zI7u8%TPc&svBGX4dH#YE0)vm(ZM$iOeY=PHdZ%RuduGq<8yFd$)-g1=Utdr0NBf)^ zh3=7Q!-IQ|%mL^$p3Xy(G^c-LU(SO^Lf`b+`w039!wh#b!Ew{-kUY{#SGotmD~BL9 zxlGI8FhW@nd$LQa_1LmqDTg|=x}+X4*)jwdMh+372DQlR`c8g(`B35O#w08DTRia{ zrWPDj#S&uMewnRI5YVEgjr0E)A;n9N9GSJKW=GS)NTSb6!9qp=d|^UXNt5N*SQN9x z^l4ewIa&{sh}aZ4geCJMr(eXDqC0R36%QJxxtHIfeKxtaNyUo@bZ|>0farh{xY{%E zI1KvIX`+d?ID-uv946X1xmg|ulQ~NxstwDa^(AMEmuHkOjk5fr7%I*F9fjR&9>b+O z;ZD`dp)yZ=OL5ASaYU{RXVQx9ci2X_(?|&$_1YF+B5TwaUBxA8O?|zVHE>}K5e|{G zI0}$AZ$J$xAWTLbhhBcTub3lcPC-D4Lz6i(#bggkUk1Q$mEwrFhs)tqxTb+4K+0Vi zn}aUWssdTn!+q31_mLub3Fk2WM5GS3D2An|%@Y;NggP5=Pgs+!B<1RAf^Z^D*$T&! zX<19OhCjF_pnOvblJrDNL9z%drdb@up)OZb5u{M+%5|mWIwI`I2}(}6Qi#ytsoyqK z(Qg|Z{g!5sShN8mR=t=4Ep6yX8E4QH24dK72sMe8D2d>tShUf@h2l6xrK3R~zJsne zO6sfnZAJC|gAxq3oH&RQX&K252Ga_KcmXg$9z@-^ZR7g>Ws^AVAVbSfl_lVYkZZu>crdLz!QTl>f(|x=xFBnw^m{fLU$3WklZEalJF))Hh_du^bfa{gj zC8^jNCOUwp+*v5L(W(=^+>yOJt|UJW3MF_#@p#A**~Y~fWWU66fmNAj(DU+2aRfan z11ut>S8cG5IvA-K8CP;5F2XEjpoJsTF^BQjSm$=ZTuq(@#|YEo7gI_@Tkyim!*f60YtHNdY|-&lh| zLTrsXXs!5Cz9ClX#?iS&f3RK=TDS5tXzNn)TmVibt-C7Rr4N6{ z%()~(X;~ssF3lI;?sD7HpF)Q|J07W*Xjo^#5-TG!wAd+6dw}iGauAVqS7v*lViiF4 zL@EG|J}Lsnn@Gpb4E3d#ifi0>%-1$ zx@Pe|BV{CRSLW#T=#ek*M`{*LQ7A+zSWUT~rOxOaE93fPvdgku`N10d9GqU~X?e=0 zB@fh-6|m;ATWy@^DUU2!NY8*aX^w9Pn}i#pXiG2plF>8e*3t5@+EnBPBE|>Puk5*H z;*?_?p=(imF|?_2Dh$?$2zPQv_pC!ORnAQ)D?8j>UBihL_?f9S~Ud$YB2(yEO~Vof2pgxDnvlk<`L-&~JxFSvbh)h>IfpBH)R2(lYANss@x4A-94gX=b9RcjZWTC!X*t5m* zhiPvDta~n^Q4OSsIwbsJWSkEx8dgE|d0YlDjIJI!hXho4%$zG|x6DJMB=sg59F*PO zIsIDQkr_+ia4IY_`2{7qDQ@%;8lPvDCe2=D#l&eNeoK3bC(et9!!W6^ORJJx_s%iu zkZORcvNx1ysj=p>+=exdm&H}h(%mTs5M9xTi>-tyoFyp3LKM?3%@g2?+Xy8T*;p!0 zOn7`~%48h<0Ms6M~=gecB@Y$>lI8 zxywZR(cFopnor7o`3cyx@;{gAWa`lO=v*EwBYG>ix$@WuByf<_aXLlQ>Z&jB4ca}^ zwGcUbaMWak*Y1H{cQjd+J5mEz;3g2N!C_a6%6+1kOIMLt=Uj0Np%nm$Z2Y2FL2wANmOxWf#?v!3eP7B2`)$ z&;8-x^8iSBE`;kS$av9^RtY24hBA^TE6(~AhrrZ1vQ~+gCs`IzBCK-=C~PQ^lB8x zu{y#X$f;VT@|afA&WgL|OCX3PlGx$%qxNLXA2?!(8cwDyZwPdjrXzJ`+VTYT)}b6o zUOgv+OmR{b{Q+?4S#arTJl4n6w6|rlI1>Sv7dT9|8i<@U%F&u!RH)NLEZt3QbyGbh zY2!bE?bRd<*OJiA0(n%J^9B>yHW4U{F1eRqUHvK_z1z0}LW?ZkH3>A~x2l*{sgqY9 zX(iRvE9|QdC@Lm$s%6`mMu>wJij=xkku3_9UVqA)q2cB%A&hKQyURPYc0&7HexgHC z)&V1WQA%Fc11hWq@3X~ z%B{H792YT2CeQ6AMb$%Uyx0~)6Qt8}m3)sLRD+Z~QMNy;7!Opm-|{2@d9)OyH_duW zMX@S$ma?0wITm*;!&F2iag8yKzR;9V+k|i-Sr?tJTZ&ynqj!(*fo#xC;$o)AYH=G1 z++hQ#-6k)Zr{vqh}a{)%brHdl%+5jx1}?KLz10qJx@|y{G~Rg44Ub0f3-L zn-3TuC3eq#fuJSI%@~Q&kd#~Q{^q~$IrovT$|BV>7Rky)p(^v{ecy8(yD-lN*biw8 zrYJSzPRvP4Rzqv#{PW`^n*d!5C8cU{bh3O6XYCe?c>RH026rBA|9L~J_SY}BA0BV- zw&`V&AI=~pbt?tkBst}S%2my7f~O8Vbs+{ieu14HY_9H*pQ6fVW}iD{%zG!0y361it77$goV!mw<9&RytazL5zj zX}5=xT2NB%g%W?1YH#5%_0Fgqr~bKmprYk9!pgBNf>=U@4kdjC#Plk}2lC}M2b%M? zAKn`XpaA>#x2}lVe1ejMp1)bM7tS9JtDV0FN$q8OE2+Y%T=Z=$hh!T;JN<4W>Ff?$ z*hCGp!Uoj8NyOeF$bI|SwWukW^ptSw8Tdy~G7`~hgZ9>L_T@;p9$ZY}aSMYl{eZ-+ z)C6gEg9(xr&?gu3`=IJRjwQ}pp#s=L2Ye;?v(~3bb5?#&m1hg!%D0cVpYAlEnYG*w zW7{o8Av3vHT2dR-xFO7@IZ9>RXis;2jDBB8sIt%XD zH>fgAwx<+22+(nc?h$k3mJC?+X2@X_wIW)L4N~d$7U6^^@h|xWOQzUuXCL5qxPGv8 z*)^?$%mzYt^-4m)blh^;B{^~CC|2aI;pUl~Fx4i7M?H04kW1Pu3^shsE&A+~giXsEp`G$YI?z zaD`Hw3oq-GE=_RgYpMjuJmt%UhgDYEy!2@9MKXzNfwp;+mbe2tj@!D4oQ(cg22ZEb z@~RqX(wa@8sA}Yr#+u(Ln7A}5ftoE$7Lgu+lLP(z?BIBI25@$yk~tO3+Oc_;&>+Q} zvdN{K0+Pwm#eYb2qTE@+vUn782ET(i?a;Y2Pk9CtQD`^(QuEQ!-xp`-(Q`g^d9r%V zWkkeqv6L7a^dTrsUFU(FLF+>eP2&(iw)jtvZ1i9@ZC^i!rkw;AA-w{%h7Oox-g4O! zLD6CAgLcad%M+^x0HZ^=@k#1lH9Qv{`H=vb_BJNWuT^Lbc#vK%Jn4XSfX{UQ07 zo`2a{bWu>fq5u8DQHMM=yek!xkCFE&O?XC1mnT#>Vk z^qZ#bx8E`i9xa(>9!2W~UD5!L=XltF2Arl{(KkoC)Ip6h(*v7qKvP)ALaO+HOXAuv z_tgW#p_%#&3C5>r-@yYh&A#pk4!?xerg!9!?w2!?EZ^9rNt%P4lWIC0OCa6sMMXf} z+)t(i;MZ62*QKr}=_5DCp-opJBg+)Ee^_<>w?hwFWCkrRdwM+88Iy5Dn$#3B_ydWa z!-nmOqq9Qk1H5Zg7635YeDn79{q2oqPu~Cz_x&d^G_@V2RoH}v+iFJH zmmhBqWq+cg=6H83)I<*zZXR+Eg8GFbyOY`3&j1BIft;;gc0%b4%JGk6;&K-uFZmk0 zzRI0w=t0qyjG3Ib^iGp6;67Gd{P3kOH44% zHp(7Rm~6l7rou^Tqs)d0M<)bFQJ0dO90c!*u$}-ujDkSSVrIJ|KRAh6Ce4ks!;xVd zctu)uk}L*k(xM2jmUGoy8yuE@y#4#HMh?RQs0+wR(F-w7yb!TwFTSI;resmJQ}@M{ z=Zgx?q4>M@I~svrc4m@sjb?x1FVClU2dR|eLA?%%EBS!(P~j)18+Z+#tf1lytD#Cf z0h0NT{5L5?uU~gc?~cl9Q>mG>gNYh@O7DvmR2LW5e4+HRK6UOC!XdTXK5wyY07A%5 z0@ekps7+>LF`g9J=y(tiPJ1QxsO6+onu!==kCaRFI(0^5frZG-vRU%-reae^57s(t zxlDTrhRkpp<3d=;Vz*mog-y^QK>h%2-?_W~c=Ph}{inxIF9!U5AisMJ*$EU?q;efTQ9|}%GQNiM z{Jna@oNrwo8lnOb_EBZ_+s&usynJ}PzJGj)R+ZD52vESG_f{`E zCoKl$ph_X&cnZJ(q_%^RBaw47yparOJGqrCL&_jedI1&+6GwnP1_wzFh?fodLsUL1 z4+1|_;7u7CaC*6Ntn$yzZnNQf&949m37KHdlNU*_cDO4HyO>{KuND|QC` z1*I0$p)R#Blw1ftF>oerri~w#tj&A_rfb&*{F^$%@=aV~(yWMwlXF_2!F^a6t1N15 z7H=KxzL?P#ZtW&v9ID*8GD&YNi{?ZHMy!X9oj(A6q2Bj~9sB$S5g8HaGf=&!r^xm~ zx!v$9)0IsUY8r}|@x=F)bPToP(-GsC3OTrIo~0F?8XzvNA__H7OQ!keoD8`UEXbzQ z)X|-iyVoK#HGMiITuey{5`s#lvjytx9KetX}+OO1ar*Gh&VwAinc^f z-)=uY-v54$WRZc{RBhS;2{TTX3}l{@Z!(g^0Fv_z;W6MXOzj&_u>z{ygP+?kBYJM^ zcf@jBi3&LgxfT^bvU8hi8u}+D>oM^(hHwi9rrh0sRuDD2`7p7nNQ25)MI6*-k(2^6jHh&-gd@hHAa$^cjofL& zc}%@w-KwCB!tv!Xb-o|`@Ts{Jls2`u!BbFI&tL!u4j5XuZy&esuRlE8?A&|>UUN(c z!Jmi*@5tqs11Jo^KwZW?*w@fnonv&9mzD%iJ%4kaKZ z!z(g$>u~H;-?5#+v>go}v5vB4D@h^X%`l-jo2_M!eM=~P#?Kp2oJywB>m^Yx$BHnj$L<-o;vl7w=wf&NYS1u6>3g~FY69f zzO6FJM9J**q-~$*S<2C8dX~zRr@Lj!6z!Iou#6>LZkto|9FgCgPIxGjBa|GjVnpe} z$to}@F|rO|mf#h^Lq#5DURwR4cI1$OlPEq94+O;BcA>;r4CmoU&=5V)u>^yoX|RuV;@$iJ|Q>S_PgdBW6a(inAHleuJx zyMwyQl-(E`TJBKsh|F+M@D$Et@;(t(;QtEqqQhrAl{#{27)6PEgK|J_8M@t3BZspo z@BI=}|Jq&8iGl|Vn(P+Yr^tztKY~iDr5o@`Fxi@ToaUuPdO8`t(@kfZEKhDt3`V<@VeCe4v$2DZSEKHnXs1IEqx6H znm&SvkKZcBDBWNO2S-Pzr%=z;@S-b%=ea1YZm+c7vvb+ybmcR$_9R?C<;ZUL&Gy(- zIjo!PhM%OBLBKE`H11UK%he_b78N?;AlpHE2aghw@`Y{zdoL>)6M(4Hj%T9Onnon` zEyy*7=LCpjgP^lZdlC7^4OD9Es-rFP0(Hvnq_U_psyj>v-gG>e#=aTCC#i{0%0d9A z*;jrhI&&hqB>KZ_!vv75tV;9;3DwhW3`}LA23uJm>eZr;0NJz4nX!n=>C&n`vOcC{ zfHSuI*6~#GZv6YTMe{awdEcy50@1O1;^JuxBMoU1>a}pv-6Kk8(!e-zh$LdXrjnD-_QhT|3*iy#DU08(5 zGda9ID5sO69d9hY@%7dDIvmb&pmH`lQ}k#$v(>r>#ToWMvWuBb#AS0i(w$QrSYejH zne?=yaFWA-e!z_d!M&0(2->h@)zQkr)#vH*L6OS<>4!fKFHhFduhwLZT-c(_Qs+AZ zg{5DPi^63E2T-?`=ixQLoYL!+7bs`wqZ_f7odum2a?Iqw+w?^cu0x!&-=wGa?5z?+F_?c*HK-E!)MPOlq%gs1C5W28eJ`{+aGei z*+EriQQnz$&IBzu*f4+8#GCNuvRF=4>U4!k?$JHHy~BzwKel zL0{*}6j_v@_XWC0;WQxs)}!$gS+N{#=qXfL*iriJ1^~8scFN@WDbpv*@8ntbo}V(o zl+Gy(K5=A`tKtCg1;wkNa0HVVB3zll0-*oXmo~27@+48}*E~OkboIEIS+YlHY+Pr3VdNdn?0Q+8@qs@5s-EWvP;T)U;>XQ3_h1uWB1kOg2!`#P~ zN);bc@=R52Jxz+h$I(Hf`Na-LqL%RM+4`70VS;LqTIKnaik9%iWKws~;M5@VT&cnX z0Sb@Yq+1hUvTHY%1@z?s?v9GeG6Yo*nSYj?C9x9>>1)?{AdLZ2BK(;4DJpIgi`V|S z$#%l`JR^0`?$?8R0yz}C8(DO30`~?VIs;L6er_T)wH-!QtKj@ECWe&vhvx_N7=Hht zU<3Uu5CgwB9jK5%XNqpTM~S;&cV52LLBY$l+0F+AK>&=bDO&s>s-_A=3g4p()Qrp| z^MoR}sc{PIlmst<`BAdquUZwIDSFHt5Nf_XKF?Cy^8uYKI|?;}*4+I*x42`u#Xq7;AhnzSQ#Xz`NAOwmU?;AG&n3(rb%fx5HEs)p;1;Z z`j&WOwD;kNLmjd}GbuJA?3D(k`=QqOcyBVAYOn^2C^YOXDdAI*{;^kA$foV9hhh8J zF$5-rZcmY`&QQhm$tkOEnWK{OiTg~SeVD1~;!ob>`G=WKSI<5SkP)6+8ccciy)ozc z=i#JhA83Sb8_(<&rmVhYj_4Y>|APc#faSRn9g2)ya!GjpC>2VaPB$&t+SDJ6n z5N|SJE2%;;jR5U|I+Sl%MT*Lub7j}BVd@JrY^M;MsE|FyDQMB<9;|@g`KH^zL@#@6 zFAL(q9Q9yY6i;d1Y7kB7#ZoLKV@dgibY3i6gXWNsqpAJ~6HB551lv^M0o5!+WDF&2 z|F+ZVt2>pxy0oC@&F)~VoxoI(?P~tB-XIA1nB&lBQ48Z9iM}T4VL2NS(_O_@a8FML zRSV{2ZrxVAz;`#OI41Pg_EGg3OPP`&Lb!KK9GSKZJD1VHW;;K>I6~LtBIc;qT|9M1 zMJ`2|&`dhzq%IUD&+CrO1j?gJFafG@97dr(Ag?E~iojfcFgn-ua3CHqTdhE?L#(OO zw#5{LAU2y6qr_B_VuIhwme?OpbyF?hVDr55v!JjAgum{bitg5bL-}Ut?=IV-H;RBz;$|=>M4=D`Z;hQA<3V{Fo+JYB(3;~EynkVM`4vt{W??JzJsrK4_xVe6KM64sN`3mJN!@&e#qX;6J z@npdl_8#G{%PqXhPYyd3KQ&3{nW4exnmvV&@jT(1l~JV;=Vz5HCC6PU)0c9-*7=}^ z-CVbz>zv=k)^xJOSe(=&Ve5-_TA*dk&XUH^dsyJVU;loCzHWEF@jrm5H{THkGJ3ov z6AdqpR5V~%*#l=6i-%3eG?Ns<2>KU+bFyR%hKLdK2iIXYzvBhEj=x?mF0AEbh|ehW zIt7}rMe3uDyreyJ5>>7E2FA~kjEirezetbW8}obbSO> z*EB<+wOj*)caUl+3L_9JQ8UJ!!E{_r_Q)9T{O2@dUSs|>$YUJ<-@(V=Sun%?J zPIRPsW(yr@nmXgoVM%uLn39;N5>s-yp$xCcN^q^9KB#o99&4J4_|4E@qfuBHwd0W6 z`2sg0wW-AY^Mh_SemdwoQkx>%LAGb{m803o1=?(9F&De$w<%}KEs`B7@~ENBiv94! z41K*wFu*i&$hV$gubjqwlHMe6aAuetA6$`%FA((u*O!u0ZmFLM=9X%N(|XnOa)sCBS)5a8j^UzzKFs3AA#B{a zp3Bm57?M(bUyNLKXdXDXV4-Cu!u{*1v&ce8(5XLp-2pRL6G|5EBF&*q;Jf(r7}Zyga?@ser?Dhv3|w(DH?L*bL6Agw1!S0)~C7j8(R+HcNNSjqf(~_JYg1@s0dKNp)5C zilANQijXL!!+j`1;Wb>RRVbh8<+v$bJ(&FNvnS8zRQB?z*}A7uu7_+XLD1B_R;V19lbSH2 z0sxx?d47I*b`ca^^`+3N8IG99EO!NliWt*jiLmgArAR@!JQkLwscaqr^o9flCj)Uy zAab-fXh!fPlUo2$FQvu(!83sez!ch9nKHZ=+PU#JYv-m5!eSFeYTR6I6h5KVub)hS zK55lANO0s1b-e}3%7%NM#|=aM#9}^S&*0nq4Co@|ABKt)yaDD+kSW4GGABb^(FOXG zZ>b-$Vw6I@1XgD|3fj7W-g_jJ*!4YJ34h1ze;~jBm|zL*?jp3S7?-vOE1P0246CKOS>o8nj+`+}uEw)` z8dsSq+ZFLD(Hg{q7Y0;%M5Q#)!INDk$;AvSFcWS9>o2{dLUe^J&P1P&>UZji>%1BYEVPqeTWS*JeU)(cIzC2~c@*ubhbTAtKy2vor*5`cn zuO_9+AzggUd?U@D9}-aQn4s-T9v(vQfuN3omT|l}#)A*Q=B5BWOU|lp|5^j2h>wbV z&;Xjw;!|Zz&L|*y>bx+5GCWeBY(}QRc*zqJaCia*7Bu8XWnv;9>X8n`Bra;#6^@EV zBoi6u^utt!hjrT#+qP3*?WYmjw`XMwApk=CK}-)E_OgNr>%Kc2xvReX`otMq4%wN; zt$EgU>4V*3Sq)H4fY?B2T2|RG2gkN3@Y=&UHE$yk;f@zjHL%;Jc{2bDfq)-j7rH~0 zU8qdbUEq0+ilq0i;>#eqTi7}sU4jeg2S*BQ3Rw*`2%wkrKqjGzVQ0@gRDr6r=Q5+w zI4a|Puyf^ElQI|BgkBO)pI2IOWAL{5xNe;CcNfR%=T8oFZP-5OdVUIWn7nT9+kV5# z;$7Whr_1Z~5}B_677AS}y}MX_5;xd$d)v%DW=X2DbGFWb9TL(TK9|f@7o+ z*F=fP=jaaF84O)VXJ@mM^H*e$@W-zo--Z~TAh@33qUnT$ zwDEmCNsRaw4W2(WW%v0h&n6%)(c|Um6vbtO7`-XwSY@fV;6KSB64{xK+4?6wmozZO z%(Ug6WD1edD9P=bW0o{msflZ}1L@n(U$(dR|GmAryZ-gV4SN0x$joolPjBw;zkGhQ zk#~TadA$E}^XI`9j;Q(03;v=X;^+By3ix7a>L3eGSZBR5=wsl2&u5#Z_{Z>?=8T0? zaq!*8n~!fleg=-@#}@w$^w000G=Z@Uro-&^30q_WBFuGh&JrUo59QyMc&noR z;0jO&rC}_lu66{)6Ft`SXW)Yga35SP zzF@$^Mx@!(B4Gh(-l9`W3JIGIdl?R8Z@j37fs}r+*y~Xspq-3RxdnmB6+|j`8inLq zyGQ?U^XB;ALYku{8kNHUQTs?>faF2PV*tC3A_W|w9SH0Igyn(>kl17*Nz*B*utC{? zC_x&g=G&^U0f$KW^>T&oyKG5-yyMtGK4I3`go;wrJCzTkYC;4eSki~otp{9A;<_V3 z=WWL-YT+IvHskQB7C`^aB-6h2S2IB-eOoEJV!wSbsxH3{+{8bWCVV zVL%hq!Olo!;kt8l#hgBr)s9jcDQlgvR*;iUTQn#b0=BaG;H$u8Y7ffzVIjUg#K~ZX zd+)FYpGd3C)}l`VpC))B788siS+X8R_wjbAV`L8 zD85~Cs=8cQFT2Yj_boK7r&_s@h1@5+5&jF?)ETL4Q+G}zY%$QSbJWor+XvcfLG^0K znU5RC(6b@RfkOEzKgg6(tzZ+OyIsElvR~#=>W=e4T}jl4l>)4+ug;K_FRR-o;~IH2 z*lNGIMX93^lFv%NLfxIJhb|ZZOtg-Qswz|T+_N(>RD!ZuGAzsi&g@FNmNzdgx{RJ4f?(YWg$L8 z1QFbAreXj}++`@{gycjF2V){-9{sYl1|7M%8N3Zn4WbANTu`Yl%2au@R05vOC8`}h zt|F*5Lq5vFRI5z2N_OaB&JUHfMUWW2zGk~ztY>HDx7mev=wZM-iZrjWIXpO+r*=nYOpm0x@*uC7)}zGF-G*G%KrX;o8=FaW;{A@1a~uU z0+R@=Ahsn-nOzE~4;OqXrRj>&=)d9bA{$>bOz03w&bw|^9I_RH2D>{R>;kjMS&ep5 z1vALH$RktErgNE zt2j%DbM(|^kAPjbMb2V6q=Xa%7tuKIyNhGUd=blZbPI6yAk8n>?jQhtXVBV`8Dc^k zs)GuB;Cn7kGN(?@3?ttfJjk-y?!=|?;88)-!z_tdgZ^wk0CO>A#s ze7n5PHTjNt2(^8?JUKpK$O`O>0h<9DhCJTB#WA_P`v44~?Us(5(Iq%YpC1Q80SVCJ{`%7!#NiSe#Npb}Ovmuk$M7r0MC+Lk#{sXaX$K>O zqFvDmvW!0L^Ybj3%GAtqN~9q?Eb;&rbEI@->MdvoxO9S#OS4_r&ivrbDk0BUkjMeM zC8Puy5&B5F_Fj*<<`eY8mf`kz|Ht@Y6QBsla3xW51G z=1~*ho|xNRA1NL2+>nv@3_t&|ef#O%O>i21{sRp30HTNu6}E);N+}WVBxVANi!K(Z zDyg2-e3HJIa}3%n9qOd=k{XuTSquy@J)k8wOvd23+8n<+LuB?rdMDeNg_0?1d{B2r zV@Y2vqiijocfcYjy0El=W%$e?s!fy_p@NL3-WSV}^FhB*B&=Chf}%eg&65Er+>v)B z7{p@g1tQGKlqtWXMP#hfI!Ps)&^JZ5z?9q^TCyj1BP8BlZq}d|%o2u;Hg4DVcc8%c zH}^MpZ*LxU9{%|F^5esAg3J2w5e>h;|Ir$Q4}SdZ?#qvykJ8T{zaRWBOcn3@(XL%MIMO*uAOu~C*+qn0D-u408m;f!LDBRJ9P);RzBX2 zeEag<%bS<)Ukv=>;QHm@*O!C0@Up!e+`Js1bC+>JDiFboqUq(zFf4xu4jXC=1&M&U znei|u1LXVW%6B;`&q#IH-R`sW&GKdW#Z+0~TJcR6EiH)i z0~esJ?71S}BaU&o0en6dLOT$q_^zX#8cenKOKj+NU~!*5ny@^Z z*f2$Deqh6E>O+lDuzoU~nRa=@FaFY79@=wWo76M$6IzLat>FOD;9In2Z zdbUW29{Q1@oaMR1uz(O}TrfI_*p=O?$oIcHK>_0S?e&KbJJ;{t-LpdV-*$fgbo&lU z%?tVE#S0yIv18G*?e=WTyYppV0?#lr30fob#^o^W3)2Z%(K+AI;KXjOCnyjZGnOU0 zBhv-4G3T6>8S+?Evo99tU`9&}&r?yZN5kO(lxtLvf(fcOFF)JiGgk5swZ{4^Gi5(- zZi5Iy_P^B+lMyhPX;7*6R(-b7BP&)BFbBXaJ%SCT3C}B9Z5DeEOPnP7ceM;~veoQ( zy}`LqhpVP<>K?+v4P3r5s^pQX4%+nW5IPS!%=QfU9(0Fg3ur~bH9CqFg+PY&)~ST6 z*{&`avjn&J7n4@d>68xs`xj^<^%54`KiL!&Tm)BM&^$mg2NFB{gWJC?*XK)OuHG|| zCcp@g_M`+>C{XkL-2%m0XLdeQ2sQ97WMnlsngVQULw7*eN z3{WbUUxo_!{_f>q2f0hasb9Rn((kfN$Uk#=>^!uX4nU=+YEP~SG9(_IK^M? z&;;xqJNv$K<3Jt(rVHxLle1{4pUI$ZpL!bF5u*@$Qsli0&!IBA^u&|-Z z-AaVZYpfJb>}oIQ@>?hq%(I)E9ge^b@rCL`9qwyZHQFNK5Ic}Tda-Z|r5}JIy*X-k zzOVxTku%`H`L`E;lD}X4X9MUAXZv~g6hEC_F48kg&SzDtVkRv8?e)R1(dLAXUgDU^ z+N2kYH%!N3DsCwDf(bD@AeT^4P6XlTdP4RMgC9=_$7b9(fSt@DsYta4%>5uqxUt(VT9Q2UCN&{E~uu8NNnZZRu41dUk;nxY`ulye*!q?FuveWQC z@On8Nvl5kAToQpM6^)o>>%}FKBu|K`CFW7nvepytTf-88AaW==N%`chdf0w}Yg=N(_{D2;v~syN;z|p5Hyf98Yz(Oh#|S>*^cWF1UN@s~8SD;f z$}UgM#rkk|jQ+s##Nu~8Ly{^V7(d0@Ph14mA%A2VXPpW1*im}zIzU$lN}8Wwckfx* zwYx`VoyESOUZK-0*k*CPLVV>6K3EXM+*>6;uRQ#^ zk0WLpKF*TRU-rq71-(P?E@c1B`h56*qg(@XlM--2Ni=3Vo?ZB8QViC1~lRYJbdFcR~1j6f# zR7kHoM~Tn%e$jI-FYqJWwmVe0Z)K9+*l1E{f(a7vMV_7WUnMdxV-H)ifTqNaY4ao+ z`Wz`cAf~gJe7No>zcb3m2pME_V$tOBHe>GXZ#)wr@B;DnhZ?CoL17pg?~txF-s17w zcF*ZKf)(+75JA@@)=8%liC;B|Wk~u6)=q5_s^vV4+HJ8~jOdB8W78&Q<8V0@4U@SC z^DY0y>Y+(`1WaV&Dk;RJF&hTpcbLSgomGbP+oHb2=C;t6n1vK%d5qEx7p*HY)J@qv z?B8CXldPDRNI^hVkI9ubTKiPUqU=Of17cb7Pax6iXoX7a^OR6k5!*Fx7@j@qA*1nd zMkfex-sb1$XzNGdQxnzZ`y3rk;F$BBZjgAO{nT=*8hJKMPF15&3N18jy%+d9wh0{$ zWbeRUSsb_vJ<<83_)}u`NIFAkKPYF|Ns$Y}{Sv*TK0!A&jEUe;m`EDzkv;UzsH}hg zT-}3ofB1znP$GB*EUD^N=Tj=**MC2GJJs`PHVS(J(+k@kNfxR!1Iv)PqYb!EpSvD| zPx?tQ{$%|Z#*sCW68gs6TR5Y~@XYav*$R~Bv!mLqp&l7WZwWX~NOa1P{eR6zn*sSaV)SF__K~nnfBKaXq)&->FIzi2o zxdW^hR#1yPO<^_!BzHZBl3a-92?B#yDDL1R+&~*p(zzO?% zv$nw4iVB!JoAr!WCdju5(*UQC=Okc&Ac#gOt9+FuQgGsKFITB$CxBU+L?2cMCB9K} za+nTi$aB@+mZ z%h~B}$RZ3@QK-{Mz{SEfS0KvSvbemM#93h3hv!W%+lx!#U7D}W>(Btq7~gZ08F4&- z_K=+pf6@h%%*%_zEzI#P=rMB)AX=6>1`?s9o&i7bdLp$r4=jU>I?wtDelb$>0)^S< zCx7Knbj920AM>@T&X5J-)0(PhVy0xJ6!FvX608)g!9`J?^py|TVuD(iXQrhOGc+Qk zw~Za3M{%4Zn**mKmKW9)U)5>4v}awH@M&|K&4<#!fDS|)dG(rQ*K(IqIs~3=nKzO+ z25`^y26(uHA40h$Pe=&ss9i@3Bspfv(u+`{Qy95@Iiw3CD-LxQ@7I?Th0f9xT{u5x z*|tIT2uCQ444*Z6TlyK3Z_I)Ro?BFt2|=!eyyx1iihz0Qrm_k!o4F1#E&g!LFi{!0 z*lR{qJ0Zizp!BDs?xPm9IZqW?^A^h_E{_c`dwoG9AxTI;cOrL0g)yeR23~F=us%sy zj-=j7!Z%EGc19GAx4MKHq+#wH@l;lzNWN zkvIdMDM|Ms|GLr?C7md5#X?)4!=YVQ$zcPC6t_+ufrkuP zB1H%%cFxY3k>JTlf6a_X*=6VJwa+LKqG}YD?J>MEFa;sP%;J#*t=zRiKX5%NhyLH# z-TpCuiuw$i@2RrHa!lFLhZRc)-(!GYVmo{0I}cbnq?8bi6zG{+o{0i78a)X`^-t291b3A|U++O`Tn^gy zJMaf&T*=kd?A21}gxChYWvCF~Tfmy(JF_aUGRPe?hhMFHd)sgI?nsFHyG^;%Mk0EGx@4hSi8zCI+xQ|%m(EJ zQ9=Ca3qtX@5wahC_zO#laY1ZC@ng?h$ZZW0M;$M{mcLaxJ%ln$*-G^{&(oM8wsL$5 z9KFlKozIVw*u@`skh`0I{D^e$o4dy!0SpQR-j6riU%wz-)-+~)7j=%3CR`QIx)E}~ z7ohSvS%Tmz&lF*?_sK$?1+K3=>u>m-x~}~lC<>viNuCMpr$5Q>KW?`V@6k9R|GO#v zZt*uh^8NSnLsEs(-=4qlFUB!n4s0Ut^Dq2a|NsAy0XWR?p)G2E55_3^TrLl`yg~Xm zreuG&_+RA46qA{P_xSmuf8xccGU>1Bib@Ru`Io-X3I3l-G4UEcYma& zLv%vf=?KKYuq=m88&?a9s ze!JSFcChG%3p5qeBZpIgv59Xc%ay@D7QtP&yfgO!l0r#D`!HtqJPLB>iMtD4!fV8xRsum+l0*kB~BoJsIh*8+* z;1dWPvI7xT3{b|fv-q;^nPFJ7!D7k6*Xx$eRy4Q3b zHB~GQC@UVwKbrO$RAGMYk)j>AtB0EsI+}FbI!jnKIu^2*YsUU^Z;%`W>x2opp13GU z#UAiMVFRq%?qjvnG0ULCZkS6PZHLO;h$Bj5H1EnHNlj!?3M)v3hgMzlk^sRL*Wx^q zlK|8uJX`Rwo3iK18%Om!)m^GjlATjMzT|blnPK!G#{SYV>GJ6Q%_k}^OE6T^3$t2>%N zCF)0DTCfu7MyrySPMR zvq7FPED{v1Ca4XdRCcKMM9$7Yp>UPs>a)_rZXh`l6bPQ!^NZD3@on_P18VfhSRg&& zcH)UOx<&OC1cCsnG(R?byW{=wXm>bmp+)TN-u!^bq6kAe6psqPj>&mh#W%al~0FIa~3^2gjbf=gl zE)&IVmJKKcZnqb|c!}}^zKzQ+qRa=2lf($?m;*4DhxtfmNx** zNDEIXOOy_dfzX8#5vnuDvg1<&b%uVK{IR>^^4!WW$ARkvmKlXED$&@5)|GNPyb_&U z*RgkIqj7 zrw3)IOv()M0-li4TkjfDOkQFm%>}ZVF98t_6cY%9m8|{fGOAE*sT8;h)fvdOg;_aH z%?ANh;F32xUtj+5V(=AV*ufvBA?|UJMF+1@>q1Hw{J4o`e7wewU{rU9D*IiT#4SlY z+oRlAhJ|ertj<9GvS@jfS=mtY#Qenu$>Bxnz8;%B+t4L8l(cQEE|3CBqF~HHag&dQ z%fQVv-WHm&QXfKO3Z<6}NGOY7K06{#kqp)2mn1yp^MoMF2UR*lX5{%AxT8;v%DZ48 z$~kgdC%@vXDBXR%J2B;W=8a;3Zh@;U9N};7QOYF*|LBK0E~Pt6gXueNitAz9Q1R zdLY3{u$RY3`&}}QctDsFnX&)O42aZcXFPWgMBgZtQ<(yV20iQuh??rrfHIM|Cegs+ zuO9lar~#~_C!#m^<0(Qo@7{$pBto$XY4thtYfL_e^+M*z711O!3dBVrA(8fNOjwjYy?M%=gpBVW~+&!*<(iqTf7O$j@-|JiAnQUr z|1dFl68@LZ(Ot;wq0Q;~uiDbt)ov#!7Gw%R>A(6GsY1hLC(Q8A@BpXZ9^6a;EL)}+ zb1gT5#Na|iKQ+Bt49OvjSj{TUlmc{7XkiXA z%rM>`Gyrt7SW~mx@tuf!HWbzswr_|v;ak+l--hBrufer?<<3B^wjkjkW4mat5g4M# zG6H^=F557mo51CP=GiK!O~II%eMTBrkAd(G2=|0{u+4K|4dsyUPGy4Z45>@hg?L^8 z>KywOvht3Pr@OnW?o2~f4i6e_qGlnDvI0pPOOj#@rV*K=$fH<@FCs5BV)WHUP2u)FRTZTPA z9iOdGMklgd0W2^<^5^`U3H}-kFEi&!FnV7dm798b*PJrcD~^+@MrA>8+*cFxxJ5#1YUr*T+}df0P;r5Ty%|59 zO^gYWP8^#zxI!LmB$!UT*Hgts7<|%yh4Cj7&@e8=MK0;h;I>F6;Parpz|=$fXmH@s zofibHl#;wcZi8EB<^y&i8^?uWBY)32(10U5doQk++&v#o?A+lfqT7Zn6toXdujV() z2P@|7F{1OOAxPdr2S%Tb*inv=x5o1gKm(pu>0Ziz0!PiRR(NSX&PB|VUXhBiMsx}t zTbLY|ro*Nq{>+te*%y=B-IQ&L?Ic}0i>i)8R26Tg#{q0hPy3XrniB!A;eOt%rR+#U zJINvJonx{X49LUDg^u4y!eG+MO8Egi9KpBUMQK8M2H8}_B|YC9&}khk)q$xz%>*xJ zhK&Rj$I}x@I~Lo6GE$S~azCae)oBPeWYxKG*m16eaGDfSFm9NI03}~Js_NmJXD74u zX&|M(2^Fg9ovH*T%HFJLkD$LIz_S{Heor)9BU){yofzyMYIRLHAs~Qg#nh2&pe5}> zKuhGT;9cxpi|tiC3%8Us8pU;FDYf+tGh74hA`9`k-G2UZf71?%Y<*%k-90=CWW7>@ z)&o_(Osaws#q4N?pr*B{mGd+FN{UNnz@3cajTlg_@%dFhbe&J=k<)%F5?Vq2i1kS5 zD65jw0Wg9n>@+(LZq8EzcPX+&b+oeY^?ADKmx~ed%=oWBFY^~T{enQ3n7EzpB<;@b z7!eK4WI-IAyZ|~tuvwm%<4e_dH(4$SxG!~`%tjd{`0)Cb;k;a2 zfu#rTkbPM?$5_u35jVI=@;)bGC@mOq`~2lm`fGo=V~p$O9eql?20Saj0AMytKqhI> zoep37QdpB_xR@r?_Nl_{^O|rAMOc|o2)7zf5^HCDg~lmWmKBF((ZPSi(gek12naf8 zCP+iH=x3h%WF8d(suEllJ3f>NUssR>R-xWpo=}k5CHcCF!-uXSWZCzPIO$Zz?FB;L z4>!~JlQu|BwOi`s{WhR0nMcCp}SYe-lAj>6Gg$#l0#D+*8`J$K_D5F z)FdD7nV}y~at)w=qch^=F@U}SD2%AlYBR8?3cdIm8sm?O%{x*s!a`~UP+8`jcr;PX zop6sN{bHzq4!>N^4&V@c^X7bg02vdlmv-DquJbcHjtO>s)=N8v^&-+wp}ji|?eUE6 zCgd)_i@??ub1<*!Wj_LyK`J|zH94U#j$RGz#v?ww)vVbK1@Wx4R<9r$-}Xs+dWd<{ zl!P)xz}6Tix;8-$jFllYt|qFG7pp0l219*NvU2#N%r3|-=6XDO5zCv&2VfNnUwU;WcdF=)%2v>7APq9BjhTojP(eU`!Nw^39hUpf zL{eK~sb~wx%^(Oh9NAMFT zJfav;-r&SKjOHA@ct))#J|<^5+?<*!X}`g7N>D4sG3y)kW$L+utW|RGJ4ge5?!Tc* z+MY9G!e_|NKk2Cu)ib6ubREo2YxX)74&qag!}?zi(WyB_Uj(iB*U)EH z1Eh4N@muLH$nTJLTq1foFLDUvmZ;b=0oafGFwPMnx5U^u-#Q2kjWmdXn4?6X1$4}m z6Lx;ErYomJkW?4DB$sUS~ zV-sfx>{$KJ;K}yrbOG-v-W`@*12lGO-$AR3$}QSNG68|O(m^)DtB`OTV@E^tMgepu z3?uO~r6RGKiW8wrhvUVb3O$EdsY zl|e&5W%@nSWP$9wO+6AMnbN}n{5B*U%b>Jm$6{~vbXaJQcv>De=Gjz=F*iH`+94z_ zmk^+SDDY`#pmcn~*|ohwdx$*GJgNSP6O_YIZ=y35i7H8gJ90LhZCNg{1O>%68`)lG z$PY{Hv$9Oq!)5F}T)cnFcqi%KV(R0(kx*{P0p-yNhAW8QF?zzaoMm^S(1>agSjL~N zK3={-XO_Iif_>bmwy>?6LQ<4n-S9!_WiMD$!D*6wc^s`cP3rUb-3Vx1Y~Qe?1l~g9 z8A+mt6%r~xXeEkEHd!c#h$tcm#xg=Ks)mzEdB}F8i4z{TI$C+$`aFAFIP?}ot_7E8 z^lf<6teV}Q{b%l4c07fXNoAKt38>1gUhbp@ZC)UUm!8yJke~*Atlh9v!M|u;Xm+v_3Qqw-BEc{eno;^ zMqQo7}rRz<DVZ3p2lq`Xr%s_(=v&G2^Sg7D zUVRZ}jr64^&}_5`(`)%6q|*}@Chn#=@X*fi!od;Pl?t4o+k^~HR*YSqX;VA_;0p6| zFa2VHZFAD_ukz3!x0FZ3Exg0co8yCvqp(VqvC7%5&C->!lQVJ)AS4d)-~ev2TJ$#x zMF-pM`3hzqlfVcu7T3Lr7T12T#+&SFx%TOx(vKZUAh^=1#j35rP?7_g4)_`C4$B#H z4$;M{5)LtPxYnFQj8GRZD+28VA(QPP7KO=PmL|MBP{HGMK~O( z6yX7vQKkiCw$a$QidPIFibahos&Vb~yLY!}l=*o3=`JWR;=-f-6X&4_mZ7OP0yts2 z7!FZ6q{oK@>qp_@>T>Ogv7PHWU1WtAmFPM{Ab?C>CW$Rm5ty9r+AwFbth1CX26cw6 zN}t|d+xDL=p=%$mL;*h_)5d3IA+ExJ8JX*hKsE4ZQ*8@j8i94O95 z`9T;ArV?75w8Wt4MlrF9VcjdEx|ZP#!`X$!+`vB)d1rd9c)n!FR1$GP3Q5sg(p*A4 zztje>a!{fdaVR~(oe6YEl7=}TFySaPN#3R$(pQNVl_t$4X>l53O5|o~!ZhL}OO61) zLUs7X@nQiCRjf+TU-JR|cJrSObrm=4o&k5dGy1CNVjh(cCNgY0NvEimJZLE)-{0PR zc=yufm9 zY;)fkS1ac;5IAfkaog?;Ho`{^6C)QxxewL;AO%cJh%ks;ceu1bo}q^^bf=0K>_U8p za}TpP!}nx}60XU~9!t_fZogvJiiio#v(6=1IPi;NKq%1<1iwNf7V9@}mP>@})q~y4 z@FmWzWfK$MMMylyweFaIZS~Xg<#eoFwk=ExU6V|P%%wR(SeUp0%~bLu#f9*oH~Jl_7;s;L4RzrAKbIr#{C$W?6TI7Yl$(Zg>$1E#%Fz z-#Dp~rkv+ibcW@*Vdq(7t(o-2+?vVrK{w3F*nt6>^ToiuPfpw*NzQs_ioTpV_|lpd zj|m{xhM)KCS#amr>?-e|6fXOgbv`3+9ykjMTcmo15t>P-wl+%idLGSY0KcD<7Q}pls1AFp;2wVc7)P1>a8yYKL0gKuH=L3Ir%*kcdeW zdbL?bQP4X_D5Cd61^X5+uK$p_Lb-z0a0sv}{fjDa6j?PJ(%X^+1p?QWY#i_|3TgGg zUJx6lWwBQg8QnSDQ_sO@r=eDF$XY#D#+`Yk4QTw0lV0Cp&4+uct&!m>+ui=W{HyKo zjAm*)K5an34=y1OS&yIZdfkput%!Pw^A#E_z<07a4J{T1JKv)bCORvC#hM~y9BqHf zCtwBn@c}}l4k>zguy8HMHe8cj0gIWVz2{VsPSV}$E;1{{y0NXjn0!X{qbLj6)0>F_ z;KDf^s3>R0Q2%kFg7RVzzLhefIHGqpS5>oLb!JDD!pv2L%kHX*6rjQZ|8%6dOp;*kr@#u_Hjz@Qn z9uM8|QK%f1N^KNyP@o*Z#e8tAMXyMs7oH?Rj6>y1Qx%)kh?P_Xp~~y^WC_$|MdoAP zD<6eq!smoR@a(x(R$ex;b5uGtRZ&US(QM<0euQQ-BoO!tGI&jevB02#2`jt;bC0?3 z)j6{6xO=JXCj?y`Za~C>6!H1(eJ0Mw!Dw^3CGHT}a9PVZ zdz-R+>u5%w9wM`>o)T}dhrR-LqeWuLITi$yeNY`s4jGFKLvqx3+PcN8@7YrD7zhAz zKQk#O@iJ*&0Ns~S`rRzLu{#)pv!?Cb>-|xFo+?C}>1LN#?j~4)Mdzk3arMb}T45 z*LQcH9^r!C(ZMbH6N@Jo0C%rv@OHo2NcOuxb3+S8PWuwt*?M`PNQEA-3T+{Wfgk7& z^2}By2~|4rB|?g_KF7T8pQMYQsUv@kwpL{e z$X*oHK-L}Km9h-+*n5eFIQ=AZ2B6ET`X!fKgDVGQQMh2?H7wP6_|;B$F(@A}7cQ-g z8L~WKe}mz@PTlrkNajZ3PexI?Xez>6#rF~dtIi-Upq1ujuEwPlST+>eyZ;;)|F_F#8V>9?rS4HV!g$5nP!9sHiUT%?N z+__Yzc9F<}uHoT5NYLeR*nH4zHc;90&J?Z~_07D;7kqlqF_Y9k&3|Eb1pS6j4EmGT zrpWGaQA0wI9uVxu3B0wzmDe{#w^tWyK5CNs6PJA>$OGZu!{K1xJVOX~aN{oi_g=4Ym(!L-%P$@y@SO1KH}Zc{0Capehne_O84%?eQ z&_rmRL2V1Wm1y}ksLy_R1{Q&WDR_5(|8)BfAr{b?)v(~)C!r7pv4dmeX$(7OtftOE zGhdzpZeC6>kQY%iyBNiu)bYf=j{eQ!zyjQ@az-kX^pxP?M^|u-^(DDu5T(8SFgzGv zJ~MvA+;$prSbTy7a1X-yF0NM|y_})X?LsF2AqGuLb9`JFWoA*kiy9Z1C?(M-ub#4Z zP2an-{u*J}hR;tP>-q~4O~dmS*DKFo&d}%QsiG{hAf&mO)w{w|N1Fw0CP1=>r$}=M zl$l*uqKr|uEMSjK?Hh51RvQADa`mQT@?1EuIF#&xyz1Qyx7lBdfw zm}pUq5=*m|nTZ%JObQ|Yc{75xW|2jtd;sw=rU7Vbv?LHRUJ)a0$T$a7EAk54sUP0% zpd30n!cAi`VK-#fShP*sZZm3k<)I(7?+~?z8YXc&ge%WX4c?#CVCn~f>R9;3b~}(M zGbF%4RXu>J!T!d{h5{u15D;nTAjLBeGj;Qi>(9tI`!Z@7N{vYk$?u%fOp*&a8|e+r zd=7Qi%uMnpJ1KKy8c^?bL zPX}e~6e?WI4N5QT{*pmUg-4KWcpXJ?43jlEK+Xn2B{<@$*b=j6cJS9A3(A4Yi*}~y z`$k^2`wTBr_Xt7x5KS_R%EdM^!hr`B9;ZHBd8nR=z7-mLg`g$W6g9{aPPUxD54tcy z2b?N|c1Uu~R7CX3acLwZfnzGo2v06oz%>YJSZ7SPa(lLX!|rad{mvI?{i}H-_;gyZYHP_~?bv;;46fVP|JHrARk-cYSA^!FLGyF!z=fF$FyGk7ng zx!k_+<3K?=KicwYo|z@D5ijff;hRw_fkR@M>ZlA4k=uyb8=iR$m;fFVLYazsi+_n^ zsuDsojmT;6fxgukc74n=buFDyky7&@qQ(-JXAqbnU|00Y5NPoZoM9}0v6rGy7gM`I zHafl}yx@uzgyDF?@fxf?m3gPDbM%2=d(5t(wXdg^F!<8o0J!FG6)AGr2wE)Ib`+ej zMl$v;^dX60@?0qDZ&1~*UjpC|wQesrYwvWBSkc3MDQG4r2=3}>rRl{&1xi1Vq;i0@ zSzk32z{CTbgtM_wGU%}xlh3UP<`u|c~DGX-&bx8&Ia*OB76 zcGOHQcPFe}jJpBPn{hYtY|qV$zXUd7)=Yht@Dh2IJ#^mMLngxdHQ-&9P_Q3pk!0~D zNU38$|JZHaMk+?-c0oSypj#6aENkxFRYwv=mZaWO__qfOSQakX-|K&* z0g}DpoB@)sYZ6CCL6HJD_+zWTzGsp=iZci=h&npIjP8iq;FBC*!;-v*yl9e))TYU@ zPQls5f`ZdA-E{YNhqH^W^L#=z7~PsF^wzeP>^k=m ziY0^fZBjw#g%yci)OPd)w~GLw^Q>LO{5+91{E;Q9AP;0dK1kfDdjYc}3W6rRSRA8tECLG}0}fvS4-4N{omhDM z=yh*_FESYCCrx$_Aj zkkq2ptwq3Y;uKx20L=jaauh3^dyXdD^6sifl9#4_cuszb}TYZ2)w zXUVgeYZH25Rd3yMRNP!|-P?D)RorDU>_Z<4(DNBx2Usfwj{UGlieciAw2{w~hoU_2 z%(Me$rojL(tqJes>YmhM6s8$Q>4{s=b&+DWP8!0jay#7N6oSV_=h|G*uj;KoK+KKP@HTCJL--u%i820xCa%bdscY zp?FtC)^{>o7r8!-W03!3p7P!qmE+Yvmz!Cz`igz3 zYKc^ti999>cW{tNcdU{06XMd*AY&fSt_N3!LnSF}JXAMITH#w@QjAU8&cY`}a;r=b za-)%uo%lu@jRcn%L$%shtj`{Y4lfSynyubwzX?7-)tVRck!R9hRD(T&z~N)kHPd4nA0aq{S62p3I*YEv#o%nK^O4Vb$o+_w8Iox zUuRQUsM!;j>Vxr1d4`ao%d)5Nh4G-Kqw-y_MkfcF`n|KWb4knhPx9xB|7`wulrOs7 z>+^F#foWb#hZ*MshhIo7?((comG5#bS@mP}ugN(_pD=UDkhV#bL0XjqmGjh@!Y}J4 z$g&)Y4Zy8bq>D;|(tzQTGx5f8koOAAf#)29#H$NMigTWMjoy}rK*h#2UAl=Sva z{kfgQKv!D;!Jxgv1~gx)-dtca(qU{uH)Po2^?*|oYydR@xo`!)OE|v>7EjWI`zkt= zMuJ(utd3xyCB-viX<-u;8CjI>*F3=2I~h9_(H+dB^F(|8)nGNoRm=k@3%H2p7iH(-CJ|P@g z%7oY0S~Uy^*(+y0{WtL6rFTrt7Sr=NMcEY>#c3H<7bCEN=wsC&N(p9I21{_sk+fZH zhUJtJ30&&zt}{m-#H*R>NUS*EH+n3Xj}yL5Q$QI6(7wMU*e>a&ZzIQ)gpt<9WlQ1* z8QQ7Fq?kFG)iJmp2)|#@GSQIA_o1l6PS>@+QagG-vbHCtTV&UA)gm48*d>~4oketC z+TKjL;eQmXId(=mO0r@8)Zxkb49GNqt=!^2sCnA~5FX?=^gOsgLpt2+cm@y{YPZ%v zB4~j$3*xzo1^fr@t87KU*qP#f2HbBdkodItX(T-hO2K{Ct1==>=3@Iw@IQyt=u2cYF8S@%on?;3=(txsx=&7xs}Cf7Yo0 z{$1gN_qUIK)TX^0gj?GOmUq{`ez@tq>k~2%?;Xj)G&>fs<3G>^m5;XF8?@+1y7}Yb z;`Z%-iDnNy1ui4B((+gy=?+lNo^+$_irkr|)Pfa*B@JK9(G1_HKVMmL8ZIrPgv^<5 zh#Ecofo1-<{d{}(@yl2K0N(ot`X?N0F0Td&5eWt>5(aMCs%gy}RFNp}3a2HIr=0a! ziKA+@SK`apSAaWd`5e6L@_BgKW%De&?6OgKStkCY^86i``1RxC{q3(`9&fhW9VSA_ z^#tC2QDl)L@kiB90zY;`TpEvoqGbF&sZM3%Db+FHcO`BS_Du!xQ)|B)d{OKO%joPgsDGM^5T#`yYq(X3%rA~F*@C@7c=;M82U~QV|HE7A!U(}1tZ5M>qMg<(YO~=3TeY8_l@`#cgAId zquHvVks#Q|z_vd_`VHES0zVi=CxlwK0O0=X$J@6;1bfY351J)v9J87lS0bYpsx9c0 zfxyp+)Me1Ew513lb;Y>9hTf?z;Z$nAM37ak2!)Z#{&wf+_ELGlQ#RY5P}zL2h{$Z^ zK;@pDDRxUbQqkD%81AI7ONWR1hnXqYWOg!a3<>hPs#T2Y{>OMaGVRVb!1@jFq>{mr z6?TL@YZuY8*X_IMd4y#{&Rh+jl^=}$t(e;f?}Tzwszz>GdLjiSMNqQLj-oVGIGB{T z(bI&5WCXfHokrL8J>+=US1%xWjWs7xu5pa2m!0U#L7{mbE(hq8@7G*Gsu=ek#*hy^g*)}B?&Tmn-Th~B2rD~QXw^ZED;`5{Um z1iP^^l8CEW0j`l(BTB4 zB0XA+1_sUvSY;vf)L;Z>6q-1FsyRfMp;Y5sRf?f3-!w4C+F*rxs?)fv=Hx(W4y`!* z!N-=I92}Y9Bw(vdi2b$Q9>+3x<>cGSF#heQrlI<6ZcZdJrsf?E}Jw{WW(5k%kA93&3W3fnuaC!lq5e}z0kUS7jgov+p`ua!wwXw zM>mGgJGk2+9NexcAm{EiUxixH@?3ea!{JY!N+Fv{3R$4y7!0{sBe^k9Uf+ZY{q;^& zDvUf3P<5K(7g%I7vnraV4Y2YP_NvmwWLOm2wv72$#r4Y5lr!`p^r~l+Lf{`U_yc=YM7m5KZk5xM4m(sVVFi4qu8o(Z5n=sJcucLXOCY7OElXrmJ@6nB&^ z%GtqBTVhO`oJy^^VyB?K{ zkz!Rc+o`K!AVZ8Q1~{IU&J8n=7Svzb!>7N^72$>nCiM2_T5hlx5>sSzAb#a+(*(;T(c`N`ajXjJ`6{=u+GGC2{p=44^A zG?Mas(sD@YpsV<5n&W=70Z^7~edwjZVb+MgGvVczC7@56oAw+Mrf4RulqvPM&)&4p1`Ez2sVv(viod4&tz8v zaT+dl*&g%pxc{y+U0fl60_P>U`#>puW-b_x|SH?b}DmyxO_> z3d1`l<>OC4+V9BamjfsbpxbtPaB&Q>#nK5xfP|vtH#BQks095B(@PaGX>2R$p&=X+ zl*n?1ziH2%lEcuX>>WX$4BrDE7d~gl%knQ%g76t~N3C(6Vbh+hai5H5fJeYPKUw77 zPB{yj$-~3V{Ug^>>hJ|ZV*u5O?8B3Q17+#?hpn%}T z2wg%5gnt3P1V7=obc((V>zSAXWZQ1mowUA#@)?7euQ`G z=I#+c5a9+e&sW~EiC>dV8Bc#igUFkAco57&Uc=eJcnZFSyn8f&^e3x-r0IO**>7n( zA8DWXmXBXPJmwE}dWja_Pd%7?BzrLV$Wsp{AITm}KJwIqUB7#mtQHh1^vX0}!Kg{4 zA*>)^|B&h}>tO}kl094If4x9nZ`LmA$yq&TsrRmSqFAvfLI5WO)osH8s5P8HGo#NB zQ%klonjHb*ok`x_ljvcZ+Q;$*vcfzIDxS(L50I0|6*Ze-e>B(!sFI^tLkr!xgvT&u zH4l;149O|4Ld^`CO=8p(Bl;rhsxM*E-AT(hk?1?f@*0fBj-#>*-3I|Q#0%I7lRC9I zQ^1ETA2D&JED7lg<>v&Y^N1yJ#W-Bh2)gy* z0CBXL&Yprf!j!+kFYkh3*6wD4Au6CPG&GWPFx@(Co64xt2vr?-eOQz&EqN}7^g~9` zG1=k(zOsZGtK%t6E+~+Z)vg6|s9%g{Bou$G$eOvBp(Kr7xww@YAw&s0j|5BMB`Ry+ z)_kq%kSjjb3EWhFl;q`(S21y~`)U}ikV}1@QjJq+$PSDzg_<+^e2LQOF}Gx|V;j*Y z+3eMmWcEUmjHqjlsaX3CaUe zq6B_IAK;$(fq-zQN(anuQ9gnnD3KFJKIr0}{%Q4ft1; z!}3i4Lc3s3Ur%ynfF6j|F^`s*gY2bk6QJ`|~>-QjLmaXP&4`sQ=YvI>cpSB4W{5W zL8PcrB`PIgsnDtl)l0%|Bj!!gDmU;5U%zCm!XIk0ls8aw31=&ZvoDAAT#2e2^z1i$)|aDsn3XC%MNlk}8x;qWlHQLFUQe>Blw^CEozhGJ9$%sOT|O82ESwZFR zB-n}TLncb}IgJROI2m(}pIUg03m!AO;4JWmZ<&U}{Vmfb<8S@aZ<>d1wRtfKkY`BS znr#kEZZXG;2i3L=5;aJD^)k&y!OH|fo*iK2+?x$IlV@`f-$81nZ$TSmAlqX(Wgk-kA-{ zUh6?kEC)(mu^0yjz#B6W37#4Ik%SeL)VovkaG_ry*PQ|#Zhnh~*Ms4Rg?oiLoLFD16B=)Ryw-xNlWGNNq|BEKE?tqAkSkS z3InBV!VyL)MADt36e3?WYl;TcaAIvgOvcv$(0Q*O2fTxyB4VPjT1m7X^){7*DnU;- zi2GAnp&JK0ExK`7oKCWJO&J2x9nHkSa=rJdDN#sM4CDBrrLRAf3un8kQ)?P-mM+Xs znWcZaSgJXjBS)p9M$uL_X|eB$T8FwxhsjbtfkQ(*xtUPcOmKwL>(1yScd=3VR_9Ba zYbiu56g3Uj>WtN(Oj6O{T{!I7)$*jLgL=#}%h|9P{fw|&C9$`}NsfoCW+FsGHH#^R z$I7mjkChJM%*B+Y%D1siOOKHNJbeqr5sI#MEK^0kJhD<;5{zZEv8+{;w2f!fWfdj3 z?1dP%V5$P=io|e8RI^lAkSQRn%Y;d4dlo))6e*4Q1md@Yogaoj?jEi6U&vsq zYtz&kU@@I4mmFTo9vT>7l*rNc?Cuhe{%a6(oUT zM7JL*^?IbrJ$`!49)3m5UQ`XpzfS>qF1_xI;MNSKSGiTuli8%-NW zhSIMe{_ElPpErpc1+9R~)8WVo!(ou>EYqstWWTQIJXXlxqhl^sL}f+Ijcs3o1`v zG(Ya`?@jhcz-ygO+EKH+ck}O~;S`l$6flmajBj3@ED8JWtdh2kX=(e_l*RoXEp0!f zEGAr{aaUsLUTeTkUD@j;iw0?LVn?G6=!n4?@sBn8P>lG8sNmMVlKKfSZeS!U!U&QP z$A*$a_yYYE9O4xy`xs=nyStAQ%a>=yOyMgE4v6A<@c5L1R75K5gox>0IuU*23ztRuD}R ze2Em~gBdh%|MA>7h$@t5N%N-TRN4gt5d{R&j7Lz{Q69ZOuQQZT&ZRCK`s{$eOF3n< zL$Ox6#-TN|C%Dd+PdpYU*)(`SBXCnn19Wfrp)e1TbHMiSRN|>fb*86EX;YP`}_gpIw|IMurM1 z#CD_-3)Q$ONl;O?{9O~+doCTFYLY3kw54^TwuyG(}+R1SX~(ga%cty%e-rCyeu6>%q245U!>T zlUU6YAg503e6K)(mK8Wxm-xAVPNjmo*zE`$F8eX-d`2h%DlC&ctlHqq-NWr~cK`zb z4n)v4;rKZ5{ox4`rIOcdNes6}9#;|@tSC8IPp8Aq9KA)9wC%7@(ze6rB`xOUJA1Lv z(t6Z!c&Oz3g0U%Pn@@)mcz0Puo*f4jK$Hunnjg}q;%{IO5@NsRx>wH(eZz_eRlB4y zX^n$f(<#W8K#JW#y`%Fo0oNpS9?&HyEarZCzSc_KV&;djvygbt1CD0BQ1Yvm>R7gDw7kyuL@G zU1ih_d9_OeeN_OQLZ&{q7P>2DkRZkL)L zZqSWM@~#%SDd&er#pY^Z1AgJsreJ@LgGX0OV1_Y}+e}-gA(_68zR;x+CN)N(e^BnC z7zgEv`kF{$5-NfnZz@<0 zQl>tDR`D;mXC;R!8wa?`SGJak=1v6u2M)P?TNAwdaJi=5{RTE>!Y?~Z<%N(@)$FrN z5JeRQx(qjUGqO@C6{rnP;QyboH_?vcNU{a_Df!gr^Z~mdbw=)ZJ=Je=1A!v8$^=NN z=gi~*Nl?NoZViAEHQhDeKKI(cV()OXM@KHUDI2iQ1j>TnOII1!y%Ni#}>X4-1A=Pt}?Y_-=V6QG2tInN?N z>NfRviTOg|M8JV?GCzCySc&OmikzIV8nRYo7{v(^&r9W?G?w)?l+{UepaiSt_l&YX zMI#HpgG0@Vfbus>?seOs1ELqsQYb*!F|l&2p18Hp4k$m`mD+(E<{j#2CuTr>f|8yb zU3w9@oK*MGl?nxo6DH~^xP$4;%b)o7H8R{WPj)Vn*r2Oq?cnK(wF6Yn5Vc{^p9V}3 zfM`Q_Lqm7m<=(sJy>~1Qb9Hi}5a@AR$sO7>Pt zZH;zLXR|YS!7Up`Z#oVhx=cMz9CXWu2QDE2pn9e33b`zP1F-6^x+tSO@WL1wnFS(Z znXw$MI~Qt=Di2~(_oB)4JYZHvL|uj|JX$?JGpBV8z$)PG=aXmAi$mC8>LY9iF8W9o zu(}NHQ+&Ny;Md*hdUcGLnkrus>x;fVp$qH32bWXuSCuEwr|6bdd>y$LsWKsQX4qfU zo}vk=ZM%p*4Rw6NAi53U+|hG@Lh9P_Ae=e5Iu7S83&zYsegNc=)d@Ct$q<2numuPO zu<)C%uGY~Q7B|r^(d%^Tv+31^$Qqcl+=6Z}vaz z|FZw<{_Xz%e%`x=LiHAZs93$npBw!7fIq+B&#(A%i$DJxZvftMG$)oV)m!10paUg5xj$s_=%@>aEa`2=g2Z&vt`C@ntSti3-UeWCjOL}*0|1r#1{OqSDUV}96%aAI#M}NC6wuW| z6Z&EQe_!^OU`PP2{yk+{g8C6*BboYsq!PAP&d81z!s7V98#=nlc6xM3mdII`VCUt_ zQl~jJWId)C>UP|K3cAO9UurYtORd0m0u5?utI$*zmnTSb>fAmbetojsUbMC-;-(y1 zlw`o=-D}%jv?{q(P4kzj!E*$95c0Bzv1NK^k{_;Y_0@!PLrE(LG_#x z8!_J;U;Yf(Pp-Mw=g7weP7K{$V4qGHN`=M*vKjIjvM7;WTFxf0rbK*6DjG7tP81PX zq<~F^P#4uHZZ*Ta}H<8jz-{0h7Sl~A^1AV5qp&RtwW-FC6y zWSyxsRUHErM~i#oIb;ffVs22rSM^;p8r$Hj%wGwo;0JvI@l6K344LYY_+&j%;8shJ~Oa@AohOo}n&e!E^-H4lKH9{G^f{e&-V!s?KXZQV!XJyJ|Eqg8c>-pvoZ+UpUn{Q9CujHPvYBXv1*mgUb)h4UuWzfkfVY~h&#SCQ&iT39% zw;i0Mi?j$<=N!F-q>-GT9l{VX@zP8@sJx(;+=YFE-id_GUXaduNQx^)IbydwixS zoc?!48$@AjVJTeC?-gMjhffL;=l*IkfC3~ z?NGrx^-s}9MK)mRD~l#aosH@KcSXEq7xC<43G{mhW7jt%?}gj|oJW`d1Xih1@}r|6 z(Hf+oN}Gl&9$?g}mvhS|mSjfex3diX@`%TN^c@pQ9?+U5XP9ejI3$5O3_5BZ>UFP+%W^3bc~AhyPIx3lAgm5hd>>{;6TOz$iPM&*o_fp0m-`WiqD0vh+;)(MujZTi<^|lIOiCG^LA~mBc?_QLcnsk1 z(5j7fm&sr$g&|BrnbIA5pEfuaa+qM!!BmsKgJx3>RCJow6mDujNYHx*&sn)m4$%ha zUA6dhqx?Vs`?$TlkbNu`TTOBp2nm6xj*}FrUBI;?06$d%po@TLFOc09-j2FKyj*#= zVuHRIDKX}@>EpwFUDY4`<)}a4wZvhQ6bgqcDp{HE-taZBfnV}+kIYJF6xm!OXYqn? zquOrKRDl2Z;2sBjWdStFsPiW~E0Vqq^x=ZD-6ra<<|}hiW7F5=FoQ~1>MjFQ4eQC*Fp)BOpK%RD-4}R{$C6@ zk9PTy5937p7m61|&!0aGduR?t%vn%_b+?V~m{G=+SYKT3&K4U8Q8zL49^ODRtZjX` zvc4S?b&X?3M9tFczd1a-_;Gf1w8_3-OIe^{31XzO0#I1IQ?K$9arG5D zDl6Bugc54_l?YTru`WhKcfh!PLvo2*03K6wd~fnjT~i_>u{Xx1hzWSLfcrxZeY*(< z>L+8`<+*W%0j!kN9~eZRd>jr=xuG|?MV2>xH5n!cKKUu?UYQ&$5h*8Jiena}4h)!5)n_Yb&p0Gg0%QUnzm_ee%{d!~|$;fWgp-clep-dMmo7A7BQdb`vnRWit5lC99&hfJ+k&=eVr_sE+Gne z*KAP9fzdCAjsi1k+YE<>9uMwYErLt#U(jV}%XWe#3CZ~Aus?6-Bzf+g*ILllJ6SSZ=GSv1k2mBi z5M`2;BY)wx(QiAz+P*s8B5^mY2e%VED~?pwqdiC0BZA7B&gKBkKhPZjbJVUNeUrfy z%!>xIs3D?7wj2(w?RAXm=?r4~2$hKty#pF)85W^7SXqbaG+m0)1RjdvY*bF%5?wrw z7Ipn<+FvZD6BaIk^Xtp!&uIC~G@f2j|2PiPDH8yRJQ7*L<_<|@D=A(}kFJ^B;bd95 z1lmcUu|&jE8QkTdmGnro%fYA)P&x>BAM?8;+Bg~rwihFw_%Ytr^cgWYRGkTJH8pb~ z9ntNh2vLI+SPv3*TNaLH;!|Pr@;540_=|Y~Z@=Asd_Y5OyZ%2>JTRu4iwd0xEN%r1 zcl5yC9ieB+=J*Zr$&-8-at8Nvhe)V#WpZn-HgwO6qq#^Dmb+)auH6H2_HN(z{w0`d zthnSQbCR2`#d!|Ima=J{O87OP)myhta02j)Q}n7l!B%z}(;k1O6W*N>=siY83o?`FA8q-EC>+g3aS(P&mNP`Uht z8`q)%iH>C5c)U2Zyj1kV3*xY0Pd2YgDx|VPNjda6xIEub-TZp}?r*go<9c0sjB_I_ z3Fl~z3T;~#Qvz!a4%s;blwlFitGlNsO;mmO}`GD{pW@&um4r^!n? zk@})9c5vpw|2jJbDL*pkOV>3;ZtfD_8Z%{{Td#D;G&8@6MI>q`Up&r=C~bxbo|VVoRD(zK|S5UZl!Nl z8GTGS=Z8!YgL{%`XA7V-R`U(O8Mt}e=^P>4Gy&m9ar#0$B=yjG+t zh-jdav`nET$yHr@=CObNWv4ZaAb+HbqyEcP2$8Z(X%NQ2^9KpofOjdbov@7QS;h_S6!UPGJCE-9n8@3PQF4!0WVw~?lyH2|(; zj>y(^-6Yc-1jTLczy;l<&~73!LY)NdIeH5b;_81!F%moloV;a_D}k7))3}_Vbm{_b z5OWrwmzjXeE*1hV9gC=cca4;dcOm2v>#MkpCv+P-dpcl5Z6r=NcR8ZmU3$I|xZzeO zv={VqOOqfm5O0&LX4e#5%-!xA+yt{7oI|N=1`NQ?%2rkwZZ(qfqHJ}m1l~;CRWB7y zk&K=-wef&5b)Ch_exBc%NVE1leMVg%6wUK*!4XJdy;vpC1l_Nj^DN@%RE7Tb%rNw|BIczL>CMcW{;Fs0QzMhpqc~FXaxDdfu z5AqBmcri7A1I-|FJj4ONcR4IK)|7td8Vvg9-l~J9dxlPL^!#c`Wr%5f$v++ zmIsdHdmH^$TTkaPGBj;{GGu#M%ZZw?Y58E@l!AvQl|5zNm@J~LahA1Og`$@;luqp9 z60itwkgMhDi4uEMRG~h5zCkdt=^ONPWYXK|+PNB@tiQNyk7zi2ajlN#D#QiTu3F@=+sBmMt8|l8&U$1Ly8AIF%;ZYF?fvcjdTz`kcQuuw4zj`^^_3o{C_VOB#8CG4tJa7`kj7*M} zzhLew2lQ}>`P_OZrfTaRAv28F-eQHqbhqBcUdB!w5AFj|k8LNoa#8CFOQ-V?qeh8C zuADjgW}ZKriN)EV9%z^i+-+5=_43f5zmv!N9q0^wGdTlVA}*HV<`4p8L>!50MV9g} zNa$NFp@nG@ZkgTS!*YOxBIwT*pUh^tfESKQBRNr2CUnt7ZK{$6Q(E-cNP|*-izlx- zmjzBhjO)$so10(47EBvaXxn_#(y8E_5!Pwv*+ivu=Mi%=M7uBl_+3k)>6LCzp8Pt28rL-nt%26xK z<&}v>G1Nu&Q!TDO0_I7#n|qzAmg*1aSKM{#6BtNBQs_pK30PghV5~HA@wt19+8Szl zjFUXM9MadeFB)YYLv&?@^8v?Mkv7PVOH=g(eWTZytEVr~j6zY3ehSOGjngU;NxB3CP#}Yte1~)i zau4FZkg!`sMgeJm4+A9G;Bi+q!e;z*IYP`)90e=(^N*i@!LlT_hz-m6-_6gq#~_&# zlbr5x!}{_(5_Iv0fu*XezsblqO)|O&R55E*Wt@Ca$r_k@|k?V zCqusB*;!Q!2XMIvJW5$(GE!kq?K#SoV)X%v8dxhtdPa-eYamLC*nBbLh??5_@U z5==*Q5y}2jSzhrDL;tLJhi7C1=_jS>7vQIW$~1wHyCziVxpS(LwJ`dYUj-50N4zf9 zQw9cta`>hTN8HYrn?dcL?iESZs@Y+$*a3>wUZZ=@@G0Tb3ww4TP4r@B*P z%3tIz#&+EWWLTA>^OKd4F9W1xKuN+Rj3+Dr*adcNP=)w3@szMnGwF5d8#6tm}ep8DJB{;30XO5}pb~N@JU)&yrI8;r8|M z>hcJ=OlYK_ZJ$3CN1vYA+1rw_W0B#0yhwjQ{Bzk7%-_GD|N;!v7wXL`wFQ}oVs)dYoE z6?JcMgP)T1DfO)j!5iXQKz}jBMOq$-nxE#2j!M|8pT&r*BDm({C3yHDPX43AzPp{1 zNYxgM2FNbOGcgBQ1s2LbI-0VH78wc~z}+^tgEG8^TgutV$7S7; z2c|cp8cSD|W>6q@SX}?n)!~tjt3w12%|W9RiD#Taj}8cz_MlR#eTS~NM2h5BM3j=Q z=ae-9YGmb@z$>$>6(*UFb3If~@hr;q0$fKFea=j-+LIYP056*0UeQCBJ3e~0%TgAN zuU&0_M*bttIDX{eEWH+n^efb1&{fzD<>Iia-Z=*$srl)vX@kgv{t`NW<6`Lijo;b% zTj>EHUG+P)3EyMeQXda3-;mlTpTW!8XB@vy508Ykbwc6L9?H67o85g%_THK!v?%Dc zJS!!9*gvg^=rnOf7m93oh_uqdGdTT3r6Ah(WF_Vm8~Jth@6(6&dZW^;NVm_41F5N? zsoY6IQnTxikd@C+!eW~IyH0wRo2wIvO74552&^rBD|w-fQSe`U;Eb+D$FCGHtaEB_wzn+lHnkOMBD4$5xON0xvM4 zoH6FkORG7Y}th*EBlkScbl$2~4K^X);QkuyKCzhuhicD+V#ma7e&A4ELO zroCpS3cbQ8xa8r}3DABtBt^Kr4Xp?4GPJ1&4Rww9si=+15tN6|L1y9m)t(?#Xj38{ z*Q)^w_^P)Nb;^T_e%c$spKgI2T|{n~dR(!Nr~%=OKrB&(i$%pNx#-W_o-a>qp8O`p z`41${zu3Z-kRoaDa}g)Eg0Ae`pyJ@9^Gj;^;JhBAA~Z}OFo^RD(jW3wu(~G!FeZ*x zmo}7mexAFqr5gJxkF~VLI}TvCC3rwP+YHwl5z(3;8EW&bjpfvctmGXjLy;&Jx9Hrgm1P7^{q&{ zDs=?N?Yp4z-f2XuL}5Ws;9PkXy5`KWRrM*85u8z-LnR3&w^tg3?Q+OmvM3HA4|l|A z(8+qTrRZm+G@#u27bjO+#AH?|z>TzmvrC64H6ez2`3B0GoJ0Wz!n@l zMIQDk2*BbB83I=OX15YhRi)QKU)kE{b!j(i4~6zynI&Q5=~4krKd%+wFy?;<>ui&h z00G8Ec&}H4{Pe1%Yl(m$3OQ!8#!HnWl?>`>QVAoB4S%h7jwNS;U68p!$7kYba&GDq z^wDEL64|ds;dfh0z=_as_1)c<{T@Y9E#5pqxLHUo3c1C$S_PoL7S|6$9qjJB3MP({i z(}x!HXreu5_;+;PNxsMG6$=Pr=2ap>#{IirukRpCa5hn4QH+=Mn+sTzlV*ha5ba)R zxFvi&ridT3IH+V(NA8&^;s;Y##QlM5se+DTq|)rcUN;t)?V-*Gc)wA1>V`d1SL|xErlZ!~R*U{Y>RE%5t*)!q_1t|`4tr%&+MH2(2Q4A`2Q~9D4~RIil(S?X z3+Kd=9%YR=sJ737r-QzNC!I0C%CPzr2hZMp{kFTk`_J9&{qE-T_1lj(?}24UHEVWz zg}Z`^4=$jC9NJ#;7z9MDl()mShYBA=(~4_K{t5=U+Ka}#@;UMX33rs|qu$w;HO3j! z8G3xl^paa7WxD)rJ75E$HOO#+dSF_m%9u04Hj36j5oRia?Lym1?_jo|hsy}h1bY>D zWPSHp6UpC{fhNeNcA6pGw0;P$`>^uqiukanx2n06j#o;=u)g@5rTzN#JJT>#x&AW#$cMxFt1!qOgK?@Nj zdBTB3<#`APp_MI3%AI4mlaT6BvRW2uHUr@R{yI;t6giWB7CJP>)p2=#sGgzK`PBlM z1UnuilO>7b54$4vI9ubxd@%8bz{n--1Ke;t#|?rg?wU}M#+_415-^vAkLi*_n%r3202YX)-!7 zIrzvFh<=rokIX?8vM1*Nqb0REg|p$^U!R~~Gj2>R0_-Ce#+u3 zLf8AM+FhxWa z4u0)sjiPh(_n-n;KWbrzhu(tGOS@xvtaJjBd5X-^bj;tFDnd+2^%->jQ+mblnP+eo zSM?QqBTNOk+HQc^fVwGfUmw&DB38e=_}P+mdY^7Sz5DuyoDy@zU0!X4E)usO6G>+M zDF{PvES@`)V9g;DrSasN3qmAsR+TJas;-BV5o^iG*Elq_tG-h0F{KTU5jRPPLf5qC z*~MJV&c-|#z8Hqq?N&F!6{#Wkhs#($hN|$?@iDDAy5g?gg_d`MZgnNgBTyZ)l zXrqNgN#BmrXW{e)u!yS@FzJo~|L*p1_;Mb97tkeUR@q)c-U16wf>{}EAj!Q=0x%wS zdbRNuJ6ey(H&H4yQ8WY=8^a{XAg3)6JrjdrE6c~+UIV~wp7MKP4jG3k!!(AXGR&tj z{t)z@{#>|>ox!3Gv>sxXNC%t;q1lwsK;>G|5&S;`eU!LkfkX|VO?+w@hpCRE*?g-*8A+R4TV(T z3lzPudDB^MJDsCvpDU(O@a6Fm9ikNqG|Nt!O8_K;=7%jA@HI-$n-5cRFzO{IooH&! zbb^g@4&cz`)#fZ$5%yrNfBtfJ^Y9IQ3|J&D3d`k72~HVrmqZQ?VQAXId;{B&Xr5!( z8R(v94xB+Kqm-qo4TjZnRJiOOoamzTF4|l4n&ZmBx^WF4bEr_2HGUwal(N0j(dor&@1#mNwSy$!LErTTKMe-s{&YAS&+ub_q??-`hy57} z5otO*wA|8>O*3*ZcK>!}M&hzBNX9>u+~`1oxRFIcV&Q6sY99#1aL?*GRsTDmx2ggt z2pq9zatrN5hPMGc1_%R^q;X-&fc3cxDDwiehGp3p<3%dda=qQ6G7@uoM4y9<5=f^1 z4Bj0jDc!;9Ou4IVeV_RQIu{xrYc#$48}NxAZ?4gt6&x4U~}BJc4sebS)l>pS|P z9-HTfI?cx`RBJK11@(cYC!Y}fziXE50X^xq^|O9$=cfmOk7v%z>4ERO6l7-NgLVpt zoE8sveu4~pv@4|>9n!0NETwU!=H%0|vR{AwN($>7U$?HAvUKuj2Dn|nI;Y`7u#0?F&m0V8 z#=kqw=*eg`bf-nt&V~ie8Mz{60Udg=;H_Tuq2%k&rTL|CX{B(O6kOUbajC9MZx9hy z5iyi_C176b~27fDZK z7^Vk`X5H}P7-<@w^`pcT2IK>X4;pbTp2$5CLocLbbV14>m;g4@tw|-kFC##b5zbnl zU>`21W=H%@r|G5mZkwVvNOs5GpM~7{D`IYTa9OQXg{AKr#Nf(v4=W>jYbakZ)teDk zR@e*OX6Q1^rti>H$u0pp2-xPT{HskAPGf75l^fY^)z30~cCuXzS9{+O@9qI_I?`XA z5!z>jTv5Y>&kC=&vaS?UE0uG5$TA_o3p1j{Cb$kuP0sy80_l)e51g%>+AFgLJ4YQ^ zFsa}%5Hm+>zr~`iBc?Q0n%wb81m)*^Dm1x^>Z$AyZJf)^;r!SfPeR_F8zu1zPdg;t z=L5QT@nwf56^t~)Tf_)>Gms>|T4R;j@R^Pd9hh@89n|{PuPK8~*(E8yaA||ANYKd;Imse}DLG2Txz`&pl3w z|Nc{N57WcH|1Fsggh)PLE~Fpeg@5baexM80Zz7Za{m;l9l>eTWPw=NdwZ7xWF#F$n zf5-oR|5Zv{!JT4SQq$DqERBbPGX=S6LY&3V3=%kFLl-+;Ua_w_M-CQuz!;>oB^4&9 zc^S?(t%5)}|AYr>GfG7XuFoy;Sm(@GP0bYl~KABV-=;WHjC@zp0W8Lx`zgE5ACGPFS2`B9@ROH zpumi~LRp4@G^T?`20?Ex1rNXwadv^3KM*!f`N0k+Vq5h!xv^LgLE$@G&rnYxQTvcx z)TEwz(Hk_XsF|DzEl{P1L$31GCJ3##H^NxMj$Vlqpe}`GfXaLeBShF{V1)RBzz;02 zpnPxuhCl|AFfz+iC!dC!w*p0+)7{#ZUke~}H%lyXLOqYnQYuQAk@xT`aZG?5=Sbzq z@T6FiVa~&&Mt;W-cJ`#)gN0}D)gLpPqR&Y7Kb`ibs3ztSOX)aed(TGm+;`ImA`ln) zcIBe)ZhJ`fmJ1@!;O=VTJQIB&r9jThyS<3^g&ry?&|}&gL#*Mx5ibcRm3d;MKBsgN z2xJZqP~Kg|zETYt&$DvEr{_`iP*rk7vB-n;tzmi5Ba~eY*m=~5k^jEoB%@R_K;GvU zIMK)dF{gD27%`MVZ-?&XOWXzIKQDen-C4Fq_jAJxE=1H~d;|!5U-iJW9_c9Bnxo_( z9spEne@qq4mdrTN@*FL!ctou&4ST^E-~(A1=1f4+BHndpz|xR0sGS#eztscE8zJbG z+8vMr@G(6(V{R+D1PXY zoFC37$migT(@t2uAAbFzwG<>7DFavMJ+fS3jxIw@5ZdvwI*hd$@+`7~?k8d&qacG@ zBNYkUp2M4_z-3cDBxK}DdqtKg`9n1pX_8uvIP~LYJ_gOGS8dUs=8Vfv1q8gGIyR>2 zG62o+8GBANGF<2IdZ2QWyBxFFFnrMKS6~lUmk5^vU0aJEXLFozsxxKD{q<9f7dA8; zmMDA2S`NwFiGyLVmt$_sU>KCr;I7WR*u!yf6lAWuVQ|{Q-V5cqSW6naQ1l>C6pvyj zL9-xQXPEjPQ{314KnWdTInA6d4 z{8*CCH#D5PL`aSLtH5yPNww2>?#75420dTh4QP0O2B~TRphgYm%zh8D$xn2s4GMfJ zJ3crsGmKTR{?FhF2|gH&C{PFVn{!y+E$QY?Q|4s-3SQpKE5XaDCC{#LSNOPgkMrIR zjKHL?S5xThw1}&QeI@wxgm1R4>!XQ6p5``0yCL{oru{kl`tV3-9h2oD(zv9hUoOsS zGh_jh)07lV2x@E7KL~JYQB7L;hj>(qtFleHV>DzytEQ6iyqpH@eUe~ znM8N)q$`3xc{+eTLHu0K9xxSh_83AnpPpn#SxGQUb)K4|fQJufXINj4QClJ0q<4Gwbbj47i}I49ntb$o3E+3ex9aH>=Y(!U+A`!oPkM z<2WteU17EDIsBpw%Fwj7(xD7Z+)hfaS_;pgfy142F4k#kPABLIwKvNR!)ZqEN&qNr zvliGaFmP2?20>W?1EI1Kf*a*P8QmzxGrc?HYC-pcMf6ui(U0B5HE9t9i5e9boftBr z9*00+q1`~$zBtF0p>?oq926Zqf5l-ip|_Px&E1Y3>@{B592~Kp21^VDHc7IJG&~rz zqSn57gK>E5&dnQurSERwwfyDg^SeK+mgO)l^*1^RreT)Il3TKFJ!VscqMeHXpgKoy z2v+R75IK%yCa<6^NvlcqYSRl&RDp z>-VtO?+_`!LykFHC!>#Y^3Sxyh-AMFr4wR1`%SMqt7&_UWM6n0#3_<>mQ{7bgf5b`JUTS0=~{&_Sc3 z-$Kr9k&jU1i!n}4SE3LGQNNF|+jyXwVXMS|3x#3-$ph68e#lov;{f-@aJ^$ zaeJZvg(5#@{e>a@fcO!~8_X{_qe_yQ4XDG-smvxw9$JqXlG)YIq@^4StH-G` zT{`591$t6u&V2OKBBSh|8OXZ+`0eI7nNfY7(iXSg6Ngd)+T7gV-2Ha*9-(>I`Lfu@ zD}?RxH#*;WgBEUytW;T=O|~W0)fEr6a+_q|UG^oTDXo;sA*;Pr)IX?=s8B*p2rpe3 zm(`Dp;T5~m65Te+aI#WQA-K$w42?LZQERO#t&KBOD1+VxH30oSfxJQh0sUj>(4;tU z8G`T1qx|hbT@~sg2M4_atGVQLyNKBZj@Wlz3*r@n6{e$s97F%wJ>+`?U+YmoB9zHu zWPLSXO-wVf82a+2%5t;ZiX?U(-~s-+cAA&;@+YS@vA;yabOYA#c#qAL4OY*FVD*=l zuaWk@PQ=u#6^wN;HTwt3fX`3{95%=cTLWF zD2tkh5=~<7K;^%?{``IfxUMJ_h!~@gi!#S~f11?!cGnEt%;Xti%ChmRkB5Q!W1GpT`GY-uu5-BlZxjkV`)G7ouT zE-?naxcvozqo8!Cl_DzaE{R2Em;HO4yQhQly%oJ*7lc(B0>99xAG8G^c0C>kmH`Hj z|FeQ1+y(|8^%n_+i3|T2GpL{pn>a&J{y9s+`ByoXvy105JMx&xk;mH&V?oC#SjO!e z46ZWSw_psO>@viRQps43`YKiD==Qil-)hI}^&yKky@fend<>fznV|P0z#5ii1cM8v zHQ#ka6hayE(d0A)`u89VxDR3fO znb?v>Kn4uYGmTA30nM*2&n4K+{Yq-3@gSjcJ~csv0_JZ56AE8a&Zuyr?zvnIb?soO zz%hBVpbA9i4*FmaDbt6^ySTj8Od0+u&4IThg7@HzGu5NFtL}6D|(Z`m+ zTOfxr@kJ^}W)aM;5hufPTwahO%$cobS7CXx90@6d3fuz7&~(Q3XXIA0FS8LWMU>xn zLC57XqhEPeqgA4C4=uYy!CLM$)FJ#|f|7own;4w~>c|dscCk3k>6m)H1YC#ekw}n3 zLwd39|Mv00{C`q#7=MK`%W5D=e@V9mpO5v+X&Q z3b5A?H{Wj`$_ACLd!%>Jto~pK0-i3;IX{*#fu$08LN~%(EP~*}N-wYPc5iPVKHT1X ze82ZmtWlIIy8qk0Uqt;BopSHPa1mo1Ku%~HNEATrvp5&0;N8Rj3t0R8-Ec;KAYxRAl_n;>o=l2CYC4O^{~bVTl}9ItUHZRAE}60G$LMjG;wGxn|gr(kUo0 z47Dc^ZmPcNP=#eT+CYGK&`QBziGb_gT-c-VA$xLZT1!A@icM%?NUTnxN%Iyq%K+5eVc4>drbp z!M=*aH@l`!D%tj)PNdlgG4T3IQ|%!%RP~81b0k*N^hQW*(0y-0!!Vp!Mtd2GPqYA$ zrdU(cYYZO34TDU$STQ*UfF<>1b__ZjPFO+yd2SgQyh&85oenOR-lBwQ#cj%RmQH8g*Qp+Xs8MYW)iEGgoBb@t(=j3T#rYTE=ddDQ`fRI zz}FuFH;vVQg*y2cWCzhBGZv4Gv6S$etA;G$ML$g~G_k&Nje##}I7@rA^A zXXf{qgz&ZN5l$CU+3EZ|%Z^DiV5n)a(+j4AO$tPnqO(mts^2m7}Pb!J&WaVCq0B4Y;P6xx_NVv7CT!%6L&WbEt)_< zd)cwVRO^yISur3F1(@JTyBVKb&ir}t} zidl&~tQ`g)IGebiE;j(^(lJ91cXB^-Tj{VaUiz9QW}(G}I+(#(H5k%aH4-eF`>F^I zddlwhXO7#j3PD3;ewuK;4KS-c?N?ZyBK|_cPk z_59`f>cW1k&r*kHIiw43XFj-~BrZK*g(@IZE)gsMg&l8?QHu7KaEa^avOaf{oW)Nu> zS;A7vA>Eyd{jRJ-eT#~bt4$K#ksZ11>E`DcbcJCrrjoevh`@X!f!7h-i#Ypw8Z}J9 zKwf$1B~Bu`kV{9(bkd?ZR&eriH;(Hu#Z`UF?Q)BV;8udM41n{`)rl(eZp$5RK^)0? z$&JjAlQAP_g=Y!R4vH1SU{fZh>=s|XSm3YK1uu+b&b;bPS`wJ$P%{5UeUiQ>G`*>%m!jhK6*Z-QmTc4K|5yM24xmjB z=tX^rpJuiCSLcY2vi@2kHJV{dPYn*Bs~LKJ_jxZw=QTA=xyd(q1IvsD6Wk1bFPgI#uRu8-X0)%3DzgkM<>uG5X)z! z5zu1Oo5q-R;IEPk=OsvSBFSTE7l(5JLRGeSd`1Xr;KzvSfZIa!B}GsNOFfez@}wLd zN!W_0J8)O31LYBWa+G`W89IA7qOSt=O~C~d0KMKuQX#0xfS^avp7Q{*@SKlg3P zD{mrD&@mtf7;?QbEIX2(>dQCi48a0efMorLoqXbn(>dTELIQ{w>~_Ci-veHL`|;uS zvos=s-A^tOZ9O<3c{oGCeZ)^^Jl%KW$~sd719!81k@DJgbIB`sgOF0W7U#wJyEA5(NU@@Aq%^KkWaq|LgOf5A?40dv8&A9_MGjceCI7u;2S-zxV5N zF>Tkcg=el`D|g(X?5Ul0!4NShI78Ez*{l|8L1|r_Xe+KF0VPY*RoN5Ns_2+OH4`@1 zpirPj6nQdSPqBI$+`UKy%B!dG+MKn_W4N@y1ft)LjAPy?UmXFVBO|F3s?XXwp$ScBUqAnAw28C0kwomBi(Ch6=WiLhfY#I16Q^mUS+Cr^uD#b3zb zEWw?)P28Yb}7U|7)8J+q6mz*=fRU_ zh~XbR`}*yHEib5U@z=fY`#rRC`||A}>JehM`Y}h<3eXVwIys*O4}gh24z?SwTl`vZ zlpAV8E~S-+RHw*d2mM=GfC;<^K9#~Jn#&h+i?s@;(fwy8g|cDB{rJu9J3|P%{aDGM z?!ICia5KvYrAh*%^Cf!^kOU;ufeN!P&_TP1^I@UzU(PP<{;ESAZB0TDFp0c|KR)f= zefjkD`e6^h?%#g;`f(pEj1dj%p)&UUCv>Fw;U|pXTJMM6KXJVG!#;n-#oiD9Cw|fC z;)JrAT)|?2a1_c;>j=Pw#T8LAJqWO~!_8lNQk<_c;Af@sIo-hmOg%5p8%n?XJ@WHr zU~=&(z(KYGxGBWjsn+@|Z+KDWXxs_aIFe_--tNU+(z0z+($4W+4P8F!hkZ`)L zDa!SnS1Cr$`r|;3*01}LR7YiDqs=!MwX7a9`p}8|NvjWY%y3?01*S(+62s7;bVNVe z3q}{o>inXtrdgjOPwelK*p~T$t4z>W)skcfIID8}#AgXduSCb~RYxuVq74kAi?PcO zGlUMK@d?dYpeBefMotAp6&lE{)@SFNQ|gb9ReO8t8F@bX>0s2Kj3=YDg^woQ(-==b`70FXg~vZ()o=B(2T zj0fu;tb!D$kLziVBYMTcK5?Kgvwnqtv&O3RGK^k1R65VrnNnyrqSBZjIEj++8D=fF zzMi`wND%44Ex2Af>Wr%l49GzaMkjO~g$w|Y$bgr;I?|5ip!dtj3QBNoNOR?}t<#t`QogI_D641)vx33Q{kJrL|NoLr|Lp%=izQ7rC!%CnXP#p|Xv~2cVaW`F6`6 z!Ce$;2N;^fRY-InF$QV_g&J9oYwn8abf7$VVULYQ*%s?FRFQXkH{ZYB?LX}QadW@_ z`3n^jbJ*?P{rct0{mqW!d&%X8Kfd1Fn_6=V2lMVL3aIhomk)BU=li_}{Neq2xS`9O zsBqDDgz&#|Xr#yPE|W5!C{()uTj?xRi&2)B)b=_s|4Uzvd>%oxr>39|_ES@!l`?6P zWM=B1{)jqEIZ{((T60K@@!&$4U}B(b&Q!nuH3(4qJD|Vtf|YUOk_c5xIa0I zOiV)!bYZbpjb49f*me@wj;cZu0t>5>JP{+d5+38>%z>S?S^Cg!XiNPWvCQTGKAgHk ztZSHb4Y_lM2=R-pMtKsEE1AS&I5bg%ON|E_)W%!_XirYnur~s`azAhM1+X<=qAS)X z=u?o43eV|>y&C@UFpM%!HArPrA5X=u0v}ef9Y&k zUK-~5@D0os`8l%E)WbJ3|7{+w&5<5s?P>#{#}Yfzp!2)DniFYxIO>86A70m>xmQ#f#Iy8m;;3XGu9Xutx^5I$&x zHeVbAoU_?Vb-g~oAad66!a-fa?|$07!2mq1C?u-wGtpBZigYRi5^9&kz=6dcg2XR| z^^E9JV(#Ad<_<=3^k{$xXshtZFxA$0%tG_1V z$4@nP%Nqg7Mge;OhGF{;Sq2cHr%i*EF6zpPNB%JhDu_4Y#t!drZd78xEue9JYAh82^vo3RM>ib zA}Lgr5q&0{e8OIu3E=3qy!4oG%W^L)oimviR=n5 zx8pS3p4OP|iu&^f3QM6AZk@RE0n)&|lZVuoL2<*Q6%ysKtn?;4KJKT!bCw+DU@yT} zjgrzZTGb|qys5ysTQCJj2R(e4w5-<3AS)3zp|!F_7YcFFCU}4NXbs;Fod{K%6~Qm4 z7;q=(_Dn;`R9x^AI4x*_RPC%lIMvaL8dIOAWCN8B%$tAr$+7uMi+3L6a}Kx{+z${5>uLWlprjstZE2G>+#Ea_9ONAa{imlin3B;CSUVsHYbk>j zje}6=6s8Zn6+iZW8l#Ah{fP3(Qz1{!&)})VQX$Dv{Dh|OSc5!@ieEBoH zz&xO_hG?`^`>P=3;R!fn!%}&|w5ou+?HR2O-=D-gM*}h`euH2~0~gOz%&X(NU%Qu| zuD|#8*wy^Y2mOgu9EJ$t3Opi079|q_WIRJ6-)1xC`6=NjIu*i z55M)|1DSvb6`kb;(|lheBJ}e@Gal{_O5)j~2em!Ccx2AA0b>=;#H_%i_}@D6L5Yv-AxQG$zw4D2Bh$8p zt^&npmPf(9!9`(8nU{pE&Jn84q-#7rflGFbSNLStOn!|D*g#Bpd$5M$^cSPLqTD&X z@#v|PgqfryMEH7)#oCjJnXhtjj=De@>Z@yt?JGP2lY`#GDrRJMrziQfEmBML^R;iB zzd>XrWqs`}p2x^9?JO%fyYfq$!_F{6eI_MmWn2!uvDH{67TFzMbl-GYZuRBU z+lvfV|KK3YWkWuOcl0T)=j%5wK2~6tfltV&E%WQipspg{o!X=P1$;+1h2=nnJX%wf zFlw3yQPyP0)v>srIFKUjq$Vvd_nKjbr^6XWga&`*P_}Scb_m~-0@-AckCp(N$JS4z zOC~KvCJ8G@OL@{`o(WJmH>dp-1!zJquRCr~Uws3Lf|ho_OhSgzXpJDTGqeF3lsAU?h{|HIq`7 z(8ycf6Dd?K)hc*z$Nxp0kZlVsz=fqm<2A9@@{G2a@Fq2|T1joixRX=lsB#$MEFq_i z$Kjx;83oqUG2nMChjZF2kCEv)(%&S@YAPqnZLk|ATA+cf&(*FOQBd&>c%-t(Js5>P zwR1wCR|7jRe$bvE-z2iI9Rk#EWP+1cv7@JfwNuQG7@VhBQ4|aob;+_}`My+75*JXG zCKA-#M*F0rlj+UP`yF-k-9rQip`9JEKGPH%lwB)@UYw4oL8ZKn>`szZS1Es^StX+T zQQN+J1H&+gJ&U}lqAf!e!B?5YC4r}f*-yALi|v$KE6&_h>GLgS^e5prT~n0Pgw402 zRshGkX!W{JaYR3oz>7eG1pCcglbMUHK+Ess_$81l+fx9w-Roy;5&x9590N#JM)hlw zvk54*Sdfu&9lcze6sRf2mfBJlE*7tw8+HyTID2;r-}G|2R$f!hf7I{$n!aZpS*VpTB(-)xbA9u*tzvt}|Vfr8`~~RY1b! z3im0VJ(@;=LQKocm!^iUaSyOHq1vO)qa9QfNYwW$@_=vhue2O{0d?Px*Iik ztZ*6r2}32x4g$Pe0u>gj0k-053$K`vfYz^4h0OHKnbdi~5n-#~3b3e^zAPI!s0V3d zd5}mmYP@IqeIk>hdM|!DEk2+*Et!4~w$m)7l_E&XU_{Nh3!Bx9o(5-O4py069$tgn zK>W1YqD8F+Vi<8g=b6A5(FV?kozgld3B~Pp=ckAQf5dJg2aal+DJAMvT%V^|!sU=s zpolhk0F;(>^hOf(at2rH=;MApvF&7n-jj0FvpWk#2+Aq`gLvYOlBOV01FzDJ1ph%f z4oZc%H1F;S1T%Q8pI!1clU`tbQX;Uq|YmY$b$%T8n1SL+U#R8&(fBml2}&bdn6*l;U^*@obp6OM5bs&BzkmTU4Z06AT_(LtJxAvUKt?z zsqg|Lgl8eU^|y-|en;HM{sn%X{u2yV20z@uqgv2^?<4E{H(7na;)^5k5+OPC!(M58F)$Fa;@EU?9@;)fA z!|b~A)Apx?ktXUl9}w1=mQkK&{ih3-0WL<4gPK>UgrrfaI2hfIq4iY^G z&`~UaVjn|XJNRB2+?pQ-t;k}HQRsf$xHar;pGMa9X`J_p+Pp!r9fhT!3Pvq{2WUn0 zvhR0mP_`TFuN0htI8}5;h%JSb$j7uichpBz#qd*6h%!+#By}ZzpfMLE?-}D}L5D#( zZU&rHEFZb_WdYZONGhYcK|DqNERs$dG>Vn0$LWO_AMPwCm zf-9;#3O!BmV*JSEasoRNT`K{dPT4LQ~kaSiMk^)el6{{R&k>AoA9+ zjgHt*=}6@@+jI0ai=$`OfYUFEv8AvN**@)O%iyo1M2GNjgia;#;aJoMdPCQ}ggjIK z8~ktr>)XM5X?(;h0pdLv{3VjKRBi|TDGj*bhz-Ya7hIvTl&wOx7i+W^0N#e_Pth>6 zoe>XVe~26VQfZC^D<7T55JPRSvJKT~x)WyaHSM-i0>BFezcPETnX~|WvpTA3=9r}X z^Rs}e0!)C4>GAvwy-k3NZ6>suXf9!Gy!j=Qa=opx^~2OWUDyFxa&B?3C~Tb*H@K7_$L-o)khu zjW3ra*=h_137io=uQ|HeYYAW_9E8Hfy&yDZY(M2z^pxQhfcQ>DuAK(C`RCKq)#~Wz^mOYcI%3&S+VmW{!T;iE!wg!~Mtt zT8xUCMF8nsRCodp*w_x@rC?VyNQMu!Kvs}qta?HgghtE5-hrrsAae5LiTsWAj1E}R zcWbor{`GnK_9{Ch9aX$eHNGd{3%Lp9>rRArvN*+vE{W-$Aw+4ub*-4>RHtS6!dGTk zG)ipgXT1AxeJ>SblcvToRG`%=b;XeYB&e*vK4OCaa`o3IY!FU)!UmBkEmW2fzGQS} zWSYmsG~<)JDo3`H$Z9inqrflNpYwEq4vuGn#9S8zlRw}5{?qR625ppn+I@O^_wfeK zDLAEWGCRHH7Gb{?-;bKxBz9ftoF!)f=(-hd)tP@W8Lb{qzd-H=Odf*7mAcp z;t`Ds=KyaKrD@Rh#&Ga~a!A(6dV+I$$2o-Qpx~NtPld3iMX5gGikFbDi1&ovW7I*E zvp7d7Uk^RkxjZPvh)&-LeZRZ@_z`mE`r+a3_U*Ta8zlH5Ifj(ak=+g&qr@hp-L8O7hfF1N3R8fqn zSxB``#U9EQ2IxvTP$vUJ&^}E z%pC)+Q&flLj!qOwzAxjX5eZQY_#G>sll#ePm))n{c!>*YA8OZ#CV{^^vpn`Psxt!- z`B)D;S%o9a=rH9GV!;<4X#AvxgipO9x;eoVXnY2n?m;9Jhz;~P-)Saxn^)uePW1LoLf@~tV#v{PylDE8UUYxLyYCO7DsqBl^4fmh1~ zN-_8@ixC$RX!*Gm&@b@HtYQx8L3<0Y@QDjaZ4u#V=V-QliP|DAwV`X#Jy4)peH7U_ zuY|+}{)pos5VVd_eJ0Xx3WwXVScIJkdMVBSr|u|;qX;Z}hx$TrJO%1UB9yh8Hb-Zc z?pBOBpQwCVC@(rs`sNZc0xQoqcr)UlJm0E_4+f#o+61Bxl>>kM^82Uj&ws$F{s*%h zV5t6SZ|{%iKQ_;w|0{laqC_+HIDzE=;;?VvG=JX8KdQZ9tA*M3)tU3feN zs3h>XW~m=nlPPBdhK0;7<|hQkR|wY{gTj7Cmrpp}ih1S{=St^ubfK+7@F46H><`+B;q>8N~_zUr{=6@{Ve&sV6D#~Kx6#Ug)gb%!iF zdxeY8TFsRZ{{=0ouF*twfEBP>`c7L@=O(r1@oC{@g!CT+VS5l&YukvnMjM(bc-;|pfLY6cg>QIUT6H2ze-hf9i$A=3K$fK$^@E3>p0DzgUYY?zvm_hU7KynL0AeF zOEG#Dh6yl7?k-Uu&}*S7R%#-t7S;%mkVSQ+1GhVp`*lZfzwQX`2S+fuoIDb>Q+=cs zrf$4g@ufk@7bHuXTaB{n@-i@(n8}6GOQaWJiR62H;n=ki$inXz;O)8g zZkL4X5eF)30=G4+T(&Y9Y8JU!#HKg}(Z+AehdMZPF-cjp(RG$N93&USQ3eZ!wl9{% z)Fc;axD|dEH*y6PrtW20R!}}#pOSY^)GP4_*AvK76C;^X(ndHOFyO;tIX5++2MuPX z^0yU%j)~c#i7!cxnfzsf%~75y$B2`{dwOlnC4OPJD(_nES6zSN%4DCA`*7=t_pu3ix z7AjSEJ!mB?EPy&6kKts%SvSi$-0Q5qV;@UpTUMVs&zH%De4*=~mDQ#@i$z-n{MS5# z-Usr5V8RpP582n|oQ)!S(<$B6FUByo2r&VtXcZU6;0sh)H4sY2jK4fN1E3rFRmBEV zD^J-juxzpxRz}L2tq`;J#zGjSUP14N$A(h3F~yYxk9^P<$`42qUkWsd?RPQPr`(c` zPG;DQlsrjX()@@Pkl9j!?@SZj0&O+RKwN+zM$L(80bTV&73!6PdDLf{NG~K)b++ zIa0F{rVrG8Xvy=lt$K1%nE}3TWg~9%l95z|PJbp?PAEzAb+9feYS$-5hh|5?7{#$_ zP?9UcI46zcHDr2v8ABOc)By5#zo(o}Z{UyXuCyun!B5^HlIbjx4dQ4Xh- zS6}AawC2dm*kOrnXXLO%uO;E@&e-vU7tmFe`A8oCoLKCKmg+wE=|KKlAFyJuGKxVK zO@@d|buW`S;Ut70(O@JY&6av$vf1;pTjSa8XqMvU{2Y88Zx8i3=no+h-=0%ZB78?H zllg`4DFnkU=*=wMF545hlP1y-)FjuD#Y7(gP#4e;C9l!q@Cc7pa(~042R<9qg4=3O zWs*E8S(oig)-^3;=>{8dO!W>OyLC@00Td_?*N%d!bJECo#OgKHQl-oV7a$ zRwpScjoRP~&cGt^gg6Z@khG7lf{ny63XrC=I_9!=lso-+;6LpIsP;yuza@GCq= z&@iuHafSd~n#CvwD;`@!O7ak!IzNykg2ua72-R$s|FPa!`2?31!96C&3+^%aA>C;( z^V;q4)WMccbr=W)%DX@Xm9Yxp)@B(U&?x}bhi1(s?NCbI$*?!kc%Uaj1|XIlJPRvO zB(Q7+@d$LnA2 zd;k3B-v5WtHdT=S=8yA3a=75Qv7V~H&Onb=f``9xxwOVP!?eHF2}P3atUD%tSn!mIwjYgG}@y|8X9|w9^e!MD<{1b=k#zp-EA%`(BZaW zz#Nv>R#drJpX~si?QT(G^ZRw;i83FL7?*eg7)(K&fX#Ukf!MD=RY{HBsg@cv?!IK> z`iHRms@9Ioyt!Cz;Y21^2y%+xa^bvQSHeFGIQZ9@@Dykf=Hv~CadGME3|TYNZNy8m zJT*7BbU@b-g(e7-*>F@oLWVOupi@LE3M;}8x`d@>eEjnHm)^I}_qV@%zIoq62Z(fK zhsDayWo6kXLLeCkF2pn}U|1z@04RS?94G<*5G{zdWZDF97>MXC6vmB6D<5|NG#I>` zfmexT4d}$><{V}7;o%u+4pA&FWWS;D33wHEwL0Vk*)c(ui#9|c3)wwbt>rlm)I zH}1G&Adtam)*Fmqhs!q)f=BK04GMsh0YTGcHUD@35H<9`rh8Q%OFLI(nlt1(!wU1@ zsoSx;>t{NyA5V`#bbq8hLg3!uo%P6R4^Q#uL9zBAE80B1^0qa^b!Iy+fq?*4h8a^q zMT`hmb~P$5o1^TYU@-a~sX^g-Nm&dBnitPAl4D$48(y4(U~`F1ow=USHA~jIRz#!; z3Ql#jqT!o!2a!a^3NPC2i# zq>tZNC>@J?|NHe<6qWsk2D{)a-@tmoyC0B$=0&F8+Kf0RlSP+cWlmEhX3uW#{u7-0 z_Tl#WBP%NWcJuDx%iTR%{k;Ez5K7Wmo{LO95^@bSL7eoXj)N}X3<~lP*I=3_K!0?P zIZNuAA!{z;e+6QPTt_CS{0u8y8>}pEb(#{avBTiSr(Zj;Hgu~msVu8f5i?1wOhub< zSbt93RyfD&B3HhV>UmwTkO|s?xu-2FChNf=c`=Y4mPM=}Ch|zN8VZvYP;0&Y-cLXM z6w?WyUKH5`a)mGer$M{d1$?tuA_3OJ_CTe}i@Vz|cef9Jytw=F?dvU61(*&DA0?55t$29YXNC5czC_||LPM{u4c33Fp?F}kOUZR z6CBm*q>cwA&CyCVrsT9NMPq8|wnaw?5VLE&D3vS^K$od5rd5lyhd0U68kP@{rQ`pa zF%Vx^0>dhi${F&p3YVvd!Wdwzr0|mgoCo^zFBXr$r5EwnwYu?A_>O>n;E3iowy!%! zEJx*eNY1JQ1_nhP+#=)}Zm>2N@{EZK5f;(;$rZDwWEDJ9l;j_(;4ogiWne}Lq6&|c zVR@LgC09V0TZiv#v%WY{#UA74K-E+uPFT<2q0|`kl(C!$k~2z1~fp5!xHc~#2sxLAjwCxup>U)yzdfjQO!lM z1!>bVVhb3<241m3GYhzTjEVB()FHF#7q5>wJybp|yI_98X@;X7 z)8QLk1?V7~!#K+!Jy~qletrs0zgeHm-(d0DsMHuT7T$%3d~~uj{Z`2h4>kc5@syjT z=?@f5k}hP-PcTNH7?a=8u`LDVELknbb?<=(nDEZ)^AfXJjOvSv3^lMsXUvwqQuE*8 zguv~YWkSLTM2`|0fyy()0UlICG7YH>;u6-|-TEn@^5NQRyLx4!p%jz!`AHkm&F7BY z<1;_xtT-H(hlee%0fM#nsjTP39gvi@sJZM^>?Hx0?n(Mg)vvhlbq`ehQ+QPU8rM%NaOO_^aPE^4rB;44Rc<;zQiM!~F^HVWAD zU?^1?l@*|>I+NvC4JrEOvx|lQAsuu4?y!l7SQapnj^XIEeME>BeMQ^d5j!-Bg_mO> zf{izh25T(ds2vY?Cky@p(P`4EV}dik&S}B|8BQ>Fba8sIXhMezP1b=9>6t#7*)4L1 z^3Fg;M`%8wg1I*0Ps3Z5!nFufNPCqw8~Vbr?13e?Evb*Lb5OU%OaWX?&kj6 z$A{hDG|1~ZdA6?c9v9i6S$h0LMfH+o+e5X;Hk2nC1qB2lCK58JBh1U9&x?-(ZwG4N>J`51lLhxXt^a zJ(HviKxle~L^)8(iDEnjF*n1mmWj=9{IoD1LRRo-QZHo-y262nQ#m|bNdha@l(hx zWlOx%?NKAY1-Dqt=c8N8Rr&O)3-$JxJ!7Icz}O8=OyR_k^$YHkhw>_EBIy*~@%ghi zr|TC;r0nh4FVe}yeh1#p6g1S0a(Ohs)!=mrff#iS0X36`mlrRHzR>-~wv0j`2+(Te z@zP2^VK-Q|fenqU_I@1p;oVP}6O(7>tG^&T{Nf1eQ0I`ZUTs1+6$hiPxF3p-BT5|wKXC_IN#Uy9NkzjI`eb5$(Ku( zV$jYM*~Xv`n4;%dE&3o;bB)1bGEHRI8^RRR2CTyfsBBnVi>x82C8~SscOj50=6B11 zy&S{rB?*%qpc3txU+IQexTa4?o~NY>gYz(^%g7Vquk+nPR$L4!D;Kg^iZTq84^->0 z!pcOlvC10PX6Y)E-u1rDeo$um8%hh@qh18+2Ue;N_=sdWxxDc-(wYV*-(G|-J^uTbk?s{!J8Guby<$rrFC(=6xR7Lf&swBu0}HuJrd#|^!wkh-@bdV`HQ@=~Z^8bE<9;xq+YNkcRKr59*=`v8HYFJV4Sl zZ1iXxS!1cLB!eVLzowufr;veG{eYaH`C!2AP-bp~P`vEo=FMWGLQ;G9xGbRT406Z9 zXY?9r_{mi+03}K!u~X6{L~MuOQfAQ$d(iMY}XK%M1u&L>N?d10urk zY=bixT(MySN1%+(8Cz|Z9Xh^;g>7&y5KEBb0Bp6Mhr+|FJ`(9}T~wu*|7Do)iIO?% z%}|%NW8hJyPO3?BWn^nX1}ChpA}8dL8A>V10!WIv1XF4UB#u6QI+A(1kTmdvZD=`T zvXnz7%@EY&4g(dH$Pgpma)v&;wp2EE@b-vq9?|^{0X@|;`{=H8*m{3@$bNZwck=m@t%~e(vZ4nys2}&q~eMIk!(GUBpWC|%0ZVAJ| zjd{{3Cst4yKoUekx*Nto3dJZNCUD5u_7vT{lI3^m$i{W?ont&6eX@kZ$+*n$U|Q{D z6pXGcuXZvjx?U>pVn};O+|l91?l2~NW&AClQ`witX6yd^=eO(kJ^r|W1- zHaeYeUIx-`4^>vMGD#QCd}_<1HSDj;Q}wEe&sb?>aXhDZ6>1&kgp9nU9sW4Bi3b62 zRK@q{kD&26ziUNZAc`u8r?o^~pe^dgqtz$ta!Q!Pr-#&nW+o-jGfwOYkr34N>s1EL zPh|%z$)QSW^YK7erAeq$E~-}{YDE2lu7vG-gHEb!`q%p}q^zBsAlkQYzy2M+=tce} zqxgmKz`y@p*ZyL2Or^5F+iaxNIo>eD287GBCg>|wA9yCaS_=_eAo&rLkGr>`2R`IW zSf4;X)h6a7vPV`@uWX6JmVPob1`{h=ChT+`eauL$l7R)VqlHw#mci~!}?Sv`kJ99)rSZo z3APE_*vz+)C&$P|f5Ghe_%yiSw#o*NT3M}n+3^SYzK=pNkzfjjsL2YdY1%reV}_nL4(cO^fCV5D z3cdjdU%Fx~kfsDp@s*cBbH&pO^0piV7Eoy`Poi%nOi`Ip$Gpn2(v7$UJU%!o_0jFJ zlXSin9F$v86Tub}GYw?nIj|8z4~RQS2nKdU7pf2OmG#ph7}Pm_D!#IAo(#dP8x6s1 z*HRW5^@uf#7+E05>bIq$fg@{KEIp`9Z23hqiHTsN8ID?rF$CMnsC_BaUV<%5)>?LJ zhQsh7U+r!&?B;k2GJw{jiJ&`ZaXc4v2mK(BDHp2w+y`v1KwgzH5~vR)l4aUIAR{sJ zQhifWrA*yZT7Z`vQn)BJGO|y3Np;y}RBCjGfIuS7I%X*Kg~dP}8-5yEHP8Z}#iJE} zu`i*h#o zitD9eln*Cax45%*k&<#F?l=q_v>3LXjcHykGSWrs_^@lFP8X4VYoVjmuI`*;R3M@F zW)cGUBjgXAL@ii}{nZM+sl>|@)Z;K<_X(kHiYo9-%Ls380@A^{H&D&8M>jBINAeUB z)0FQ-pHmW`C85J}&Wzp{C`Y9TXyU%Oq-rE;b%daHl>-&kt2KqPL0!gyHLeaVLWEk2 z>`5h6Qqhu1X+9R6Me-07Rn_M&d8m<2I@g-2i=QtcP&$X?Ww1om2_mgBW@2gskS%by z$SMtF5zM*`v}5JWWd>9WhSAYX_=Df2LL~l;G}njU5K?*9`!gCV zVK7{H-uqu*L}AJ|&cO$hNxbyD_dnBF?Ma*yPl%n?+IF- z0d1jtn9itURxUfnAKUuo@bKycJRr#Df_T7*OhC5=3JG0~&M= z4yZP{y#&ZAxUBTe(f$KS{4lN`jm0!#3BK@(@`iZW`Xps46vy+_>oQ7&vHDjL7G*4q z0l6TJ-Vr5bsYRITh&6$=V%8r*FX1(lgqs(B0S?rDi5jhAs%K^Yo=&-TRL~XFb zsH@ZX^6qq6G-;Jx*GU_|;i(aNRzOgb`W_~;^8qftdLn0BXXt{@Ssok3wh#_lAI^#K zMB-(V%J9s)N^%?xr&oaa>T?Jn6jd&f%|0=kUs)}Ue%u_E3euNXp-{pw1W4sLE9uMu z>olf?S}fWeP+Hm`dpylSmqc`=H>NHpd=GmMLwaUzr+C@fQsR2DL3wwY1@4$Jtb*um zZZ<0aZ6WuA#uO~+j)|4cf8y3cye7E{{|gj!CHb~%M%3Xp#0IVm|4=0WXCHFYh%gOr zJa>6POMkL=z5jOq-TwRioBa>Zd)K{x`lq+}^A3OBu)bD1`3a- z_(@*M0SYd>#0C+CUC^*A1d(`3K=w`*JQCWcXpKIuDk})|4;qJ_nRxV(X76Tf6Prpq z%NQUM#{Knb!T@YZCG_Rb0sU(M%dT1BnD7>bzeIDL@N|$^I6dm19EyXoLARpt%u@Ir z=~_JIChH7NQJo@R8AeQbM^CFYe_eOop*2r#!#XS1pFe!O{oBpnhfmC#-2ZTUcmMF) z^&NhH-fJa;efVG}a`14^&RM|ZpZo_(^HeiUcab)8ng7X1cWrh9IOHLR|$-g?wQ z1(`*U4kDaIrz~^mjGp%Az!(uv1)+JOwV}x!oe@FhiSCMFzae~<$)zHXVtrH;oe!%Y z6x_#J@G8$wdhnVe>G%<_Vg11`A~}aq1wt(r zRlm_R7dFR);~7m)vBO*@p~wv@-u6^CO;eLJUO#`4HtbhP7zAKDBBO}3uvt+ zVTpmlgHqot#UGbQenz9*%u?TZF(_ZJ%&hDtgXC}YUkimEQ6;wHlnw?@AJhMzwRd4| zBe~KA^-~a@xD(V^-4}%i3GT#t0{}r07GF(}lG=`m3bsVGwN^_SiE6iZ=KlBledm># zRas=mjqR`{s4`Kg$~<|V-#G_!Y(EZRbsf$lcSF?(O_ex7Qj9-t^NqT$3z6O|d2T4Y z$^+p%Kvz{}!isb`D3pIJt^#k+vuk z0QMg3)vx>A`lE8Q1KzH{+XXtPAhE69( zQ>nx^;0~2ZXmI!VBsRJG9kUL9&kw>p{2lk2F66nunDaIpl5m2k>5J{9spG5#l@tB( z?$s7eRom6gRTLUwAWAeCXT)FyJ>|DDL5Q81n5yQ((x>91#hv%+*Zt)5M&(`7_D5eXUP-&j|5mp+T?9EM}zX$-AmJQGKw zDNqGecDHl59ZoIEA73sWsgHcQu=2=aZz5)B1=g2 z;6`c`T)l=>;AB)8F|tr~RsFDL=!FT~EK{sbEfE;|p~%E`#!yAzRwj|yMUCM`riz95 zh&nv~{olko=m;woss#?Oom&$K?6Oalo8k1_*_?6efU$^iXoEN{`eI0mrJ3vgO4)b1 zScy12y}8uJ#!_=!5H1H*|44J-0NTQjiOcTC3?Acj4sUbG4oV zR>h_q5$;BErs>niy`ses7NdfB-KQ1pDwXfQmF`AqVWkrmx&)PzYCsvi_64k!j>1-2 zD?Ky4UP$Pa!O-H=n-9CG1HBs{y;bcf_dWr=^EdP&R_Qp6(X2(w^i9SQ6Hh5)G+jsz zDbdr9Z|ACU6tXRgMr-5H<)6KRMIdq!*x3B~^`BoeE;}CIoZ|fD2S10K^K+MQ`oMJ! zd-d{A9=xkOHZ`vwcjAlQp;p34>eI#~Z60h-W)oS+Yx=rq`ZPK6Y|+(RKavwCj#su2 z!m04>>?(^q-^rFN{G1Vv5cUuW`{6v`!$3R)UlX4r*rFGE;Me5IS$V40b51VURXM%T zcgt_cVG;cW8$<)rTr~Vy)WOg8oW+hnTfjT?FZ#W^LvnZ9BoQjIk3-JK(wkt22dMIn zwe~fxzxxr#VLDc|a2OKx>xh^N3b;OsOmOQ-v9VIE^2^+K_%Jdy-4!#cuxs7b=20 zTby9H&j6~FD;j-f^)odef7iEUqsTLvYK>PYRHn?;I2&)kBsozcy<3XL0tE}#`u_1o z{gI(Iit3Fd?Q7Dg3K6NpiU>7X3M?7A=m9dZoMXzGqwRC}Zs$|^F(n~UOtiLhmw_X$ zXRSWyik-~n@bcuUCc0;I4`qPs9xoH#93t!4SSkEtkrV;dfO1vWxtWVQ5}PrZ_!N;I zY%HyC@*051Yw&YHZ0oguD9$M?JX8y8Msw852!<#A0(M2|-bY6cF6PE5n$WHHZT z*bnjGJu)J}qah3*yAbStvXF|GsBI2fWWR>%tD`L%Twh}^wc4g0awv^JYsB{xh0VsI zT9Rm`OqIAtw&^9f<;(4EOB2C^&(1|rqC#5*h=AcA4hKzW`ZmAp z6y!e^gGxCDNU=T?MfV4Ip%Y(F9c;YtQ)D_g+Ml}L)Jo7$4+I_?{6oJ?Oq@_}us&t4V-nRE4y=#fh7j{BtLD@Fc8Gqy_1VtNwa09z+DSqu)>$;?t+2Gw{?f9l80 zs6b^wvqFQKg$l(dg@U#`0R(yn&qx7%W~fGs_<68zf^eir9wI4&7hy}$1saQa-ujA!bT`=gjEKM|$g9K(Z{3-xMs9S@_FU$P3 z>p3UNMr8+$$|e)Hti$_6GE4EG!sxg3CI{OMMN~Tj2){DR`#npO?N9u94SiH z(|~`oG><-nWVmY%;jBG=vC3+wb*^#UV zX*K0I@DaM5nkU^zmBG|(CEfa$f8mPH#eQTQ_K zXC*c5vOyt?zCff2#Fr$wrv?|n6HR)oUO4DrOz)mQwBumesugw8pu@ymJ~2U3G}ovdFF53h(<>gO<)5a z*o?UmuwWraQg0lQBdBFzf`opwTEK8I5NBNI7s2Uk-;(vk6 zHGF>g3TY)=Te4h4hldU2krNyrN)}|qb)e-{(*B)S&6)t1|5a3-Q=eB zz+JMSUE%sm_ycQ$CARr;61^7zJzgH6_u{E;1bs^hq~t&xFfiAHC{wfJRl`lOCMfq@EZ+d(zx|X zS=zZVh7?4>x-&WWM8D=^N?^8KDDc^JI&!~KE&am6z-8aBOf@(5OCSCcerI{t13Af$ zh#Lv~$Zp|}O$)!hs_oe&r^N0++JfR-d4jO1JVgw*$6a0GEi*_B-067+HjQ+67EXc5 zF!nRlN&;R2I~*;!Y7GqZcCF z|HW>}lzh5uHQzjN#**!vRw*$6z>En%QU)+SluSXSo3Mrj(t46OlSP@!qVzFhdff@H z|1EhQ$|>J|^dOauM81jH%_h*=W7d_QPF|o2b@7hs@e(ye*9f;_R0{>^^BCmNG=H|C z$?}A!sBz#PJUaE*aO?AzyI*!}G}C@}m9AZrWII!D%n%>+H;R6wS655F}__YglSPf>sBCw*>K! zIOBD&R2$P@x_<4=(ent_NB7Fob!}5@=RL0h9pZ_3LFh9xTj;1pj~h(IBd7#nyiHdJ zdb_emNe%OpHtnG*VkBjr&|s(*RX{5?m%YuRR|Q*``NCC)l9?~&&dlLt*RGGz=ha9n zAV;+Qu3oG4q7HX?DPHdRG&Ej_%SHy!?@-c6Te~2b0JEKCoUN3fB|ams2M2n{Rj9eo z4>=Qch9Z0i{EeIfpx6}4Pkf(t?H?J?@ ziaFGzl&;YgF43A*)$xngrpSwls5Fzw0%Q!9^FIjZ@JrBFt5Aev02^^kky8bDx_2VZ zlRh1Gn_nwkLwSy<8Unf^TGrbScvm7z$Tx6k!Hk%KsJQqjmIqxRoV3&9pob{O@@l!2 z>gO5Xb;9V)(PO7VP(A9>jYWhYS!kZ_fEsZd)|z|{rfJ^7OuJX58Pt`1B4 zF=og8xfX9`o?!cSQlt2hj6vq(6gV&dp# z4}+s-hKxc+*8P`vEGzJTn9pV3ejud_WFs(X=rdN{zr4Z{{}5>AU=x&Tw*Q?GTTB#4 z#a)r5gbXT;@ZNNP^@LlmUhi2jfZ zNPD>T32h)?3CIuV^FGK;L`K?^4kS#(zIO%z8c{#<3rb*ky?>3LGWBVcn76Klq2Zs0u9eHe>dadYgm z`*86l?9mwKwl`8awf#AIX0!YhDL!q=6>v?a`MGi>03{*72@+{>I;dYGWr5?AR)p3n zeLAp#STd^Wr5n{KI~!C|fNxfN_f;LWsjr_nYH6>igfLeaee2z&W0+tup@TBB81-TM z)JDSf!-h983%_$UC)(v0h;Nrt1wt60^jw~Ij$(H5ADE@Tha=`Cb8J7DwQQ`Q(3`1z@bZa`xKKw~9X-q>ez&^wdTrQt) z1Q|2}7)R_l5D0%7J-Q${al_WJe$1-16{4Q3&$nH%>w7Sj>bS05=0Vv=;^XF$-p2rf zSih0MY4U<`22|5|c~#bz1@Xs#{VGL_xUE%RW9l(8>GNxpJHA6@D{*D;09``X_mE=Z znnrtDEbJOZ4IiK5yH}m5`-hCA@gXAfLtti(N@icFlw~3&4qb8+ASysN0nbjio+Q)X zlZfb?LWx2#P(ovDu|Ha97aCt=wED|VWp-6p-uQ~vM-?WjapUZlo=$P` zV2{AF{ef_#a1?j6HDO|=RF`MDKE7fWdU>DT%_F`P))Tk9@OrM`E_cS&C^2_bd zzufNq3;&e`G8$>yBS$-aK9GcCq*rHhPe2l75NB~f;NF6ps-E4Z36%RB-~f1b5%E+8 z9F-i{2R?*pCaJv&rj;@K0l!DSbc7Eusb3j%vU}NAT6_`3psX?+%VrP2R!fbnx|fxN zaaErcS{Oedal}DMs-IOR=w6yQj%YBpa|5@Wx~YAaJEQuWy0=IjK8EdlzR8(ucSyfr zBxSze$ccN!ggHD6+%>9FNTC(79-zMrVC`bq+oJ+miO7i_T*NpxSMXw7?OG{9bI=cp zDgme&MK#Kg87(xP^vuLV-BvAQF&hC?CNIL!I{qI@Q+}~>I*Va0vN5!)mqHciSEvEY z*G1|z-qH5B!_e@IWM!a4F`HF_^^&nDf$||;=459ZNzfIfRR@lwTPT_>x_DG}>|3Ef zAP?8b@9KVNeZbC6TwGtybQdgOG4J_e=;E5s!w*x$m*(N(avDPnoQFoVzDs!qXaYu^ zj9^q1U;l+>fzrv>`;*`de zqSR_n)S04BWR7qiy%l&70$}ZWrS|vWkZ);af^29J6YVoLE|GFAQ7JUZZnRgu>_62R zl%D}_h*tupiY!&Rr9fvfBnq|^ZIrJz}-$#bT!iMbs(JQ!R* zbo?;B;mLC1s6pHjXnAnhpK@@Lu9(#Gnx}eRm1_*4kJKiMR;NQ z<8s?LyLuWrlq=a82rC`moVVu}Xn1|`YVYIiFL%#AfBAI(>FJ1{NAh{(JOteu4c=g*f8C$A_APm0$Cpez z_E;b)n~B3t%8=wF`a`v!KDKwiy}xf^kbM;ec{!rW``w?OfBE$7^IymR;Wd*HIt1T( zD0JBDA6^P;d?+%AIgf@9r<2ET#5oc`1U(rLVWAaH&d*Sl!rLci*E$Z{aT=17q(ZFg zln;^&JtW~CJShng^5s!O;FH295RpYeroJ7Em<^qf9c92=`evim2bFY)om+2@)CmZG zn#STpbC4A%#1yd?0A&)(1a^L0imn$oNX&Q?t44X4} z`{Y*}*&XsS2&U8P*q-FCLc*cI53%P^USUOQR?yC>Knf?JQU>cWlb`O!%5mG^j4X@% z+8PNxp+#CG#85bSJpvGLR$$*tSwApCsli!TW@U>#O*0#J3?X?eWyT(xt-Gs5a!gB& zVq=YS_6%qwAJIlG3k+k!RZ^HM$cDzGgg8bb4#h|>JsOn>>5<%>l0@W23~B)I&`mM~ zJr~jdAgJLRD*(n#HDPd?{0>iUHsf*w?*s03h1GdCLW>XTsV@i1#%s-f%|v1xjwl#f>33)FK{s27x=}Y7ZU}F zti39mP++7bY6i7#k6ueN7)YWNc^0pR!r)r_3(Fus*Ha#l{GW$elnAa~U&2r-*G1wi zk`+!gm$^cm3TWmiav*87HbtCNexe6PmFD}qWTh@3XQfk8FH32|ETv3~r9fr`OCfYX zLffunq+Qb#Gl!cvhXA~p)a%3cyptd?)p1*+NaE;n()yu9I z^akaJyI{Jet(R%j>wd5Px8!C*DwnIN)(v4p0H^`x{w0Pin}jmdu*F!I2cZ;*)gjAFXBe!Y5Is(VqQZGo99(36$NFr1d3v)0>FbLE zFju^uvvVSO{U?-qd>3X-Pk}LnHHN3@~F1g*U+~&=SQ%<6m?=E0((MY z@VY74RV8UVTw>aJ2&i+sL^wpjt30VKdn-{prbt3ZfZiE|g`9by@fe=(H!!M?15yR* zyEz!myl=YbP59%YMI=oLAiEShQ6Q)<`tPQ?qc}il{U~WgbVgpkI>mn=U#D!MYlNI`^4mEY_I%Sc2!d&kqkQZ;SNH zBve#Pm3d#zD24S$DhAl1(+W|Wx}aHJ50E;Rbo}*ipT69WSRo$HKLD5vIfZ)dKmq566)P-e##xTku20Z)&JR&I+*u|}j}ap}f`-Ua{oL=<&jF+g4R4T7fOzeG zSAJ9@R?1hP7pIz)(9E56=cB4a)EehHv#+Y31{5#A9IO(;3vLxMLJJ0@D>NDHBUi_B zi6>LPE*>H1gQ`OG3tN|E6iCl6)fs)L${A;LjV@VvkIDyT>64hg0$4^? z*cIJ~TsdPln%nVSdlGe#=S|c!f6_JCTnL=xYt*e58VjZ7YB?o$vXc&*o(&sjRSiN;9PQD-TB7B)zhqex& z-)(+q*JuENvR*(AK=qOTlaR(w-hcf3?Y{ktTw)5P>mOVw0n#oql{yGu_dfulbJZ26 zU`EYsOgDoQ{;~;Is5p{9eWpA221>&3eNh1wO6GK+$8RSW$9Oi*ac~Ix;^$cJY%$)) zA1u$8@a$1G&v3F-_|4@n$VYO%Lbw)sN8s@ti51jK`c(@Ph>cM9_@ES%>UKjy9&i z4FvsU%2ai{ho(?}!3mU}wwo`clQG`yxEXC&l6kE;3Dy?EzdVqJOB|L!tueI0C{PGMWlUF{V_J^OUgvP=&jCV@M`}ggv04__JjfotXMVavQaol*{@e=Duy^8e~!LBpNH+Z+*c{yaye9XE{d?a%~~+Ff{kWA*gidT zNEKTzq=f1u=L8uTHgSUNVviG~F%h7E;6Z#mpErg(dp@7Wr&)ZOYXvtWrL013#?7+* z%O)r_a|x{Qy*j`}3>uqc{GaZBy2C^j>MACPKFk&(@iWgDJY*;Yll^{gOn*9QKUPgQ zjtuUaIm#Lsj{-8ZNK@q@piHnLz6a)!`Pu0gBDumdkJp!h`B?xd+gC)o;EkcgN^>b31s8cwfX;}n4^OV~ghQ4rJFe;g$>@^Ob`xB_+XxW2DVsq?sRqNxJ#aaX5qM^u4|xKBp=I=_K!J&xDh>$ zHj+uRDI1)~gY;BL(ltYL?ksHPZi$1jNWn=b+3rA>Zad?-Z!}>Oz~ex+8haICqb0#4 z_@`s8+>wC0GlU!!t8B^o2;~3f@MQR+`6cKcntP2GkoKaMfLppiy0hfg{JPV>RP`(9 zuNWDiz;ce#AUuArUrX3!dwzV;Zhp7{GzZujfMt*mg2m_0#wqV*FH}aA8MV@gj=2pu3=J0(B5z2G+`66p4VdZRZ1qwyb$vK*v8GEJv zhBI-JyroPH%|JI)2jj-JCv-MxOH*N5C~5#_B7jq=qxFB`WF0MdXpWDc;q!XD7BAxH ze}!;%-}h^{lf%V9>+3;<#uU-@i3IH-5|j_pT6ZqAY$j6rXaYk}oVA_u7(njQ~ShSKYPg8JW*pCP{~<1kTS zL;3c=px?It{kW~kXR$%zC~|&2P&tCVDZHbjkJg%yYFTa4L%rK#`_uGY~7om`8)V3~6jfmMH zo`%F@KMc%mvHsvzStI>Rt7@oaz&7M+o}+(Fr#{$t0Gy=PeY^VKlI;@A0K6GU=!SIp z`u=AY4}SBv+b^cd9T<&t84HZX>3S?h%&s;x$R^`nR9G+j>J@g{WE?%WGQR8Xh-5o9 zEb4_*w>&~P$km+nSTawIBTvHAM%P$i;01mHUz}>=yY-7^1SD@Lrc?;xWiqvNpCKhb z@ctmH>2&ALTM@8%lr!fy{)IC%j+S*z?o`X-XyPFBhbl**GD!~umrGfLh*X9HddWf( zBOtp4_w3ATbbSt(i)yti%rB64$#2hv)YwQ0&vkA5siOs}A1J{dy z0;+idcP;-(P?F22F)$IR-p=*P-gal`#=<|eI~e~N#5oja z;c#>KAcBKaCgaDaG?)^4TJA93<#leP8?uVh)IK@0&I7fXMwL$V4K){9gd(|`>=ZV~ z3OU$6rG`W3mnfLceu;+5s%(5So6>$nA-HQOAS5*P*}+br`oofMMbTGS?@``PR4+6{ z*gj`opQQ1!!X@iqWHNVEo8ervI#13NH8+M>8N$HF+=ed)Dg@E}t}J36NFKBne}}P1 zThVypoZ8mh>r7IEgU*j=i8EH}fDlNga)-Y(voOV9YU8p~rf5MgECITMbCf_R>18{3 zZv$9I&9w&xeIII5WE+%!SXf-*D-|M|D(~rvM0j=7hBT*He>`>?oTF3anm8}h-g_hI zsf~3fTTAXJe6u}<6up6Jus;2Zut8lyYZODLOuR{mEjHERX}E!Uk+%!c^S2zcdzyME z5H5rrdA!|Y)N{Ez8~ys}!|nb14|k)zKm6m}`#+?7OHVG>RuaM1Tw^VVE5tl7kuRzm zyOz4L3^n-ci#6xQb|%OY4LdMpWqg>Zjfz{xEQ6kJQ~W*oL7VsL>Ko)2?R6iE$<7YJ zQGw87O5hL+$KZoOaEw35yfH2aJ(@F~olVSyxO~9_)y5)9mA<3-{%AhOzTf}t+b=%} zvqUMix9>ly2Mb0E1zJ+JiUdSumayLFOvV<_3kWu?OhfeeAjc$@ffZy~Usn@oHyMKf zTsspS^$8|Btj(F}!`}6b;sWJ<`1|VYrc(v!tZ=X-)v7vLq0#z0CDBB`q93HAn&oEE zh~;V!VGdT%T?wifSPHC!1u^Em=FCzIA~j|%Oo;iy>zx`G)sTtXw1AGK;P$`_T}pyG zj=&mF=qOctW$`+BYW&IgsH4L=SM?5jNs)OLuIs)j-4+YUSo!JGrw^lcg+IjtW#5NBS1lA@?iV`bK>Cn;R9&sd9h@@SPr%@Lj9%D9w(z3Ne#G~c~%&a;IDYXxzaCo5d_WB%Qo0)Nyx6fmh2Kuvbtf8r6=V?n=zBSN_ za6Yi1U?3<4W+;h}W4o^>>!_3E-N0bU29hxBeNP@4KCYt1FVLl=LM8N>lsOs@h6@pf zx&uvsVa2~K$)&0VjhhZk7HOyL^8V8=@89fQKOLE;;J-%KNkq0S90LCikC>c!&t<^D zDU2GPh1rUy-eX?N^K)23R+RZ1E@2edN3s~8EXJtmKuQLh`*X9E?+@ytCl6Y5_JiTc z?g8ON>kf21X`OKZR^-K&lM)V#JDLhH0UXxIyVDl{h5tzfDOl-%wa!(0cKIDx=yB%; z)>?V)^EwH};xacKsWlXn`f!Em4@^|9Z$F=7n^ATT*k-MvVIg_2GGzrDlvlcz^P|xv zJwL|M99w|G@z`IU&QyX<;Vv+Os+aw2R0id2@VeFpbe;oFg5OB57Ra9yW40Q0=%`dj zyObJN9r6bmQpdW!EzBEB;BTZLd)G~+3v-@3nGmrM80Y`_iOSFIAK>&ppsoCFm zNl8%$gkzJ`ndW#C1^#nW1+Wyd+ByN5jP*H>P*Hcbk`i4vWd#r5nyoHgQSJkmeNFn` zkyRnTp~WVaWBQZ*bbIKb)}b%YUbqFSPkRO{iF;h$txE)LPv$@zgD$2C;|`Mp&y zt6;|8lpxZ0VZKS!JOEzv1QPdZ`x-u!W7MuM+kC3GiZ>OeiLXOzS9X%LZ1~_rRYm8& z&Dql0|7l|rXUUtD>sKXf0Y7&%r5g`x{2Gmi<^Zb8!MMz(sfZ{!&Yo@KSRVF!vwNHEQ;eY}DB-`Wp}x3h7ZFuAKXU ziF)J{|5bxv>A=gP4B!K^biK}BLNBHX+itIZa83sOhgv|cBzCC;JEolC;1N!-7EVE? zZjl&`&Y3!Cx!Ap7VMV4LG*dKrhY-@rzJ`9lp7nhtSKD2LIq-ntD_llN#^ve)O$OCu zr6vSD)II%IV4(18hX&dm()Hp?=NfLM^`#;{;mpqwhG=+AV==osCY&m$`YB|6vhyJOyJ8YG{ z1}ga}aq@(kc;+FiuC7NDG)42ErWbqkH75fcDoE{Ck)MJxZfQ0Vc;{}324rW>JYUnH zc=_Gp=Hvyi#i&TUM&udwg#a_NvtT|(MU-Ww99$P2M-+!opyW0|&U|n0TAXX8q!~#m zJ*VkpD66`WykkV{^;sP3%%>3(7tEYJnxsyIVM+7{yQ6X*(#yiI+MXidPmD_AZ;N=M z=)`U#cKA9T5iV?7EO7M}ZTZHpo6Ccf7yfs+=eyY}!kln4?6}%W_GZabc8{e>0jXa< z4yv}Q7*KUdU-{bxeI+=-Y#{(H(L`}@R^&zfwluwAd74ycjD;p)5Rv523)5T=fqGy< zV0crDtFp(iWV+vw+xw=QTsBtk%VpFvFiCD;cLj&a`m-BZQL?wJs4B5ifCMJ-V`_ub}}%3x92Zq zcVm=cU*7m*7Wk%?zD zC}rQXaGf$~!jV||6J!O!X--ege$GMrZxs=no&^$LaV8N_n12WSUmn%!&nV^eI3*%rhIymTv@;Iev zb-?ve={7Uip&j#h>tqB2PC(>g`id4rmkktWrma)@2GR@|J5z10nTBf^K%`y@!{)HXS6lx>qmDd(O zzkK@mf8OB-Uw)v$kJ_b8Dm4UPBeHyjsavnp&C3gl`P>aak*Kj4DN&BKZeB`}a$hdv zYt=cjY9)uZvp}Vh|KaY1tB6JtgHVll z;?WOVeiIp0Ym_@`UtVm{9Q|lO?3+{;r$2wMn)ZE2cRh~6DC``@BQC;>1I`JO2 zn*1V=<)?Rr<~WecIY>g)t+l~QfgKdL*WqMzsOU8nJw?LWgOY^wW7Z~qip-J(qedxN zC6`IhdltHu=j$VlSFQECYNFp2l?!3Wz1$AKT!bykK1k=F)piMEn{^Nia7AT;EUZ<6 zTHs{uJyf4|#*ULXdk>VSoh=@(PP=&gHjCeJn`Zy<`(V!F&oiAYP;a;8sCJ7*Vq|_45N&}!aMK&l6 z@TbdEjX-_SVU?IX;_m}oe$qUSWxWl$Q1zf0A8nue zv857{>B`g@pL_%Aj55MGWal{QprErfpGUC1J!<82sn5b_i+{%4Eg{skz zm(=BzVlUkPn%dsvI?ovzg=Ji^JEZ(jR%MC;#O4RG5W9JTU~a>?b^@qVrk8id{E!XJ z5TdELN3Xra&x1}>nI*T7bwb*l{X9NJWoI=~`2YZ4K;VXDnjEHc%ZWp2g5~xKHM?JK z*4KmM(<}wE98Kd49?yRy2RxLI2bAd>2tt7f#~_Sy+R#~0ADAD%$R$C%X~%G9w%V&D+_p{{%B$_NZ&iO#R@kM+ zqE{0~qW=pA1r+|cW^v%rf4&BNw(!nb;uv7_g#4+cZGz^FrP@7De=H8 zUCGS%VR;~Ef1xTN_}!p^ZGiM4(;GZPXtEqHo?8`7rf19+h)G^7f%O!PaOnKfb5gLu zAmuuEg8xZKk8v-w5aRCJ-MoTPh^Mupm>KjKZb^-1TIn%jYbK6~J*@z?*+%Gom z>h&OmG@qj+Y`&o~t_jA1kf+P)T8kdf_q4#Sasx&@)F&TR19Uw14zuoFXw*EN$*Zhs z%l&BczV;RDM!V#)pY#5p92kEGD{WADLfUS84B1Xr!?B%p+e&>^A;|mt@0P0@4lo;F zM0h%%KY+n63%s%O3OWb)2wcS#9aCk>gmDyK%HUaN-AbIE*(8=YJl&Yd;D|abvwxM2 zHzJ6kNLY9kk0R>VYysa0WVG5plPLlq{wlRH5eSt$IPBXrI7xPkn;5SLmkd6;{+PC* zHc7sXQ>Y$n4Gg==?uQ0BRYhfV>F^(H`@f_?oML7o}tQrh)kEd_UJfglzQHE~uN zU{Bg>={F_eZ#z^odiJN1{oKqHM2THi8Y@zWu=VMBRnIGD@O4XXxWip*`u`ej+=cH?B!N!o zRf5sDk5g-k!<559rPQp%AJ_Ek|@9-9k8oIgfBJILmwbjq@$_ zIrN6*33By9T>i|MvoG4CSEtCAg}7JadBVU?M^l6o_*yLOh}bQhl@P&2waNYCBiAk* zJ&Jg-KnvC{c+2ET6BAZ;q_A34JiC(=r4h#qp0AmluNgqfwo^cZCO6?xN28N7%`C)n zipoM$eI%wz%_r!(J5ZtN-W0BtdZf+lmU774Z!4VAyX-uYdsYtmx|?TMDk$iCJLONZ zYaOD`hAfEviukKzxIr!vXtuBm!shU11oP#gh}Z89R9?R~MPHxvaLkIw5AI~YMLckm z*}03Sl6Eke`Y!q6cQ_Ghv~642PB?d}|B`FPmAEr5ulNsz?m@J)ucUKWDOx^YW#fLnM)) z6n(J4{91p1e&vTJ-#<6hJCbBTX_Eo3bC^EK>O_@ zgQs_Ik*vr|>EDvQ21o7HW<$ZhB5l$d-t2w8|H2M`{Oh|vwIA>P@jYt0-hI6P9-g!4 zAfytJh*RI7E>mS$gT?p=R*q7Rc&b{6f9!utPq3r^N_BVy=3+vB>K@K8d}zW>XOWdu z1SO}@M=qmOF3nQVK^FFgqQh*zOy*Qn9FGDB_gw;Uj$+ywv1P^V6hT373YFFe=O{_i zP6PU7G?|2hcM|pYiuasE-SEOx$7MIwB|@LfvDL0oS@4gmyPrd#AB>N!!Z*42;RqEi zv-Oe9;{ojO3}~i&8S(Y19E6`n=5)?qWrp*E=jS)j^sit-{Q&p*|NHEh_a8}DTFo6` zFFP%Ngbz}tqVxdzPqm{zp=t=f@HEZX<9ESO1^$j`6oOzl8QG(BM*I;>N40uS!1}z9 z*HVjKverY3@W26IyBL?_7V56`{D8U}wmXdoslE%QAY@P((Fann%c>a{(MD2_Di96e znC`!E+*Cmma0PhkY(e`?Q351+=()4Ghd%dAsOzhvJmAp6H9>+A0QK{``_0E&oO*z$ zu}!^e8AwFa$+DZ03bS%ni^eLPnDb&XD|LFjw~tJJM^I&opo;5to=1IhadXw8nyzX$ zG~rs5KY*^OsL;K)pT6N$o=(1>Oy=W*>0-XXUlXW)ci&CN3n;zh{~@kuqh=J=8?!C- z5OQ;n!CqWbC@yxSLq7KO$!D~dfhXkSyZ1laQ=cI2_`}T>#C5(TsukxJeowS)Vq^um zBB;-^mLzx6C6BuuXp2cg#UxDSi0c=d^H4d;%N2#AGlBOiuw7YoNM(pz8^n^8e~$N| zy^7RClMPSYXu3w98g1&)kYWRL63Bg`x|G>nE3M}YIIwiP;7_G$e9LihxRSZjz24c4 z&M+gGr=%V3!qEnd=}fl3eM!m_r6~2~)mf}$eYmos0~2*+g|Cb9JeC~I!9z9HLuGDd zQ(Tbm2TM)WoUTAhb?}{6JupKF+@Dl=A|4GzKHwsPIiWuSVUFF+k?I8C@jqtezEJOZ zvw)N9K*AuJa~Dx{KByX2mPX-FEo|voiwc@R-6y>1)KdnUeK#&8R}-a4PTj4ig(h)a z;Yvf|1IkQuJ@fahxc|kE*>nHzhx>nafb>P&3BDVJ8f)O0949uvHdxvE>NMSW@)%nN z0ky!akGogKDmSmq(tCq(wAUBy>89DIj|%^HJwP)0LhV%$wTq0VmSv$GC9b~ z&3gA;b%Fu9YxO(3*GrZGlQCzQKbxZG0kX>OzMIXDByMXs6Ga>Gb?J=i=@wR#RLJEH(bv91Uox?+l$97q zH%O1WLV{W*A8W(<8>V2(7j=mhy(CSzP-RKe0kvQg5HSjgI~NF=!{gL^GDEu9)fMZs z8o`LCK`Ijl{E>s*Xd&6DP#s+3RMb7ZZgB!(3h8LeufqJMqdjYYQ!i&^ppu2fDu`q$Aa z9br;f8qFkNo(Q;M$+X~D2DVuEDGcZa<4qz5rp%#5OmBnr_#WBfH&{_&9 z<6fysrs-&s#!j=QI!U$)nv(gWjEKk6hRnFdLoJ`5v2Ld3%MeC+zC7DNF${x)`aYd$ z8W1rU-ei9?na5Tsad`i2mRj;n?2gpQO1>#i>TVGv^13h<7cpe17eMX~bU0}Di{c{o z6=tbkeUDi{Q*7H|iZVCF&2Epic1D%^h;P-g;0&Q-Yj4gxSCVI!t0%J8JH~7XDfgsf9TfsU~w7}SH6@u+xCo=P%s7Nn@wngSesT|vxU_Jhhapf;` z^?G$xH0M)azFJ_#B&C={`F-4pne{-PJVy5mlvbk`8~7!uq8d2`$7{6MGTl1_sPV^z z)&^l32y0VXA{R<2;IQ5tA*wpUdO&$ypY66gAcn4XQl=1osP08bxb3+*oo)cm9aU!R zZdO3I`lE_HeyUc|bQO{`u6&m%Q=nXyNw&Y-1weHM z|EV|0DLk8(&WgpkggkKsf;`o4$ncN$FHx5jy3Kibm4>5vtKfnWN;2$BC|y!gmM7I1 zvK(|xRNNQV;J-={hR=fSV^kmlMqz5SgoDwREd~Wp62X)dPVi(ac9xW{X~h|1;&~f5 z2N@PeIa$YsHU!0qp-&!x>fyVS3uHUsX)J!Q8W(8;2XtNYwTprP;3!%BCM3sO5pNB9 zLLm0OA)BOFT8WgZMK&gO!goXapO3*LsO2Z;A(@XJZim>##+sg@AznK8?B&!u9y(NU zwW2$f<9t+c%fh;3coBbDu@ud6l+5b7^k%*%&stS@ivuG!sG4~X&gLMf`Qv=0nS-(5 z$(?bX6(vQ})%yEp{m`^*|YU-jzV=Om=6h%)d$mrwO^f3m?@@~-4J zLe0V^p=x&74V9+ZSlnnZY-kU$3u{P;7Q9QKiGy)4N&Y z(#iu;Bwl_uZII{fhH%qU&jlSDwGc}~xQUQkAum+&XSyFp4SU^-5vs1113R%cLpH+U z;}MYNkP#59ze>$4N4LHW)(VW2#uHvPq>B?-AFiCsfr)xL33sQN1qYV>h3hb;QKy3? zP_MBwV8=7>3&rqidXt$VMpSnQdg?`z{A_?b>poEUmm1j66lKZyA^>~vtf5|rlASk4PwKdx|jJp+{zGVSAt=s=qnW+OkUuiM}%6ckT_# zjh(Hq*n;h!F$Jmnl^vu@Yl64Df%p0^rA`+FcJe!cth^=^N*_ica1u=h7)y#cU(&mJ1_ zIh%>r80orx=}&(eO(#!jiDW|$enmp&{La%S2M}#JcIAl{hnL<};X! zQ(4QRHXc;g(#HW_h1z&@@v92DYUa7~o4^BCL+6tHXKc7r&}TZi0Tad&1}vx7yQx2@ z>kc|>B+Vb%x1v8Md$-SidOEs=c>f9D)00a=dG`>hCd>d!W($q;g+<5e>jxQsI{H_h z7`T3)qNLL4(-D>$kEWT6$EzJG{qB8@0;YsEz4?2rl2dGTjU;xS(`FI0tbCboN&1e*Fr6F3}Apjox$5I{{oR&ZyW^(~fe1=2+0FdX)YymXSw-5J`ndnio zx#b_u51&Ys54ROX8&0U}kzTnr8bDN?n6?&8vd-N+3%EZ`G@j^?EN0yGCRAk0;8e1X zBJeC~2fDdSOfGY8WhcFuqNGF;UXA$YcYk{R<w)p%l@Sk@o@-6+N()o5 zb=~pKMX3$zq9`y4P^Za(5#yxE5Dh5gQG8!L^wfPi%O1awX7~Y4#|1EM6q!<82uI^!XEWLGqhCF|gp1eVs!(290 zCpT68pTh&AG`OC6-VR0GkN^|)GcT`JJL+zm>vRn06q3W>kN}DG$RC;iNmsEyrSsrs z3W0z&7wY9ljh@|V{A%wT`MYM0j~%GrD~!+V!`?$ z^0U&rhx|e&D3VIpp?iyGxY#$RrSEmXN69I&@V4fS&K9HDfpE?;CzoX_M0<3Wg%BSp zCwm^%GTSs$9$2xGr`s0@3f*i`+2Wjm7R-h0zbxFOmRZR7Pf@>vn+?g#M$Qz_{s4}D z><)LAiR8NiU~{P+*2CCfN!g{igyR_hsOaz znHF|VDVbZmngEQsE9YlYU4nm05K3ZDqrJf)rIP0HjjzT0D8ana%URhHMnX=X@>3cB1Ceobtd&pd7~LNV6wpqqzI# zxz6OYiyp~;%N*N@Q6zcDBrLL)1qv(+CQJC&nH0yioLI00xuay))3Jcg@A5el3wF@! z^JH-W_Yyo^LVJz92DYy*{Aw)ApZSf85#^eUt8CYBm$AIf?wZFE_eTi)CcHnhstjqO zCPV4{nUzvRlsT`W=5AmSCq-E8#UAoh;R1Ec+e0{lY%ozPmdkGvXjc4IZDg5)E9 zj;iCj)r?@FxCSFr_7}GZ8bE-6A4qzBG>piUXC71cN*vK$L5W@XD*UQHZtolNs2)v` zw`Ac0xQEX#;5zq4XIJaqkl(TPUAd_{rn06!ft!&t#;WteoG&4Wxiru<(0N95&+%{Q z51EUUI0Nv&FV{uNZ^o>;v-Ggw_9kZnOA8mJB*%;!iBzzmY{O!Sszb;a{y^@rye6Io z^08vUKonkI4AkrnGsq_Cv+!X%)`PT>QO{{a01JaUF^g^_o4c}Xj|tr60!|!60wUK! z&TuE}F5m-U3|xcj8dNz!99dGgVf4olIAK@@ie{^@qZrVa$`1ism@kk13=HNm z$Y*H8si!tB)YBCtW74*528)eko6bJQeKo$EgFO)K_-2LROqlE0O^OK>qjYd8pEgjY z0Uc0p?e%LTZ1HdGTs3D=foc-VlD;RZn0+1d^o86*=o9hqB!a_5GSd!H`GW?WhxC7X z>Z+-HFEA(Hk^Hw^~z5v4hmEb zscUG*(aQ+rpl)k4&4=n;_WkJ%%5RZ4JvC{IR4D0V=nI+32X*hT$cF%5LIO_nq#*W+0W*ww&-c zJ{UJkq?w$gI7T`AOES($U#X`Nh?;5fI~Y?4uUx!bL(h6_hVM{yo@^3V7yr{@2-GXY zt;w*c1Yo;cJME(N98~3cOIEwfTxsL|+%O@!Mo$kam8I@Bg!n8`NCUg1fB%^~p&y^8 zkEkuGJIf3XvLR^3v3`jSAtb>hciBNGX4F7o40mjIF-3U_m0OJGj#P!ui7GSv>#{h; z?YtNW&8Czi&r-o3{<(Me8$#^zrzpjqS%1Egu8|~3O?i@^S~b&rMOY}u?cVM{S3B>F zhvRD!75@tK_GIjxFbcB;m)-g07Q=FyGfR7(@?!Zc#Tb?vjiK~7proK99%ssOBczN0 zS&IG4P_fn%s6mh!Dfz|nXOSSOH8c2ygbC)Rz%G%yqaBqY*c|hHqER$wH_usPITh78On-#DO(LgP}nqcVOCX&n{0lXNcLrVE->UkV>r2vd{3uDd8$Alw}A+7w@Z~vMQ(t z3-xn%q8jey5`}qHNF=w+w<3Px1<+`FY9qtUOHk-Sy6$txOK^FS5~-kzgE*U@v7oqTkPbJyy` zSOn>u?F|z6cf7wUp^Tr7rO!IqO}x;J_wj_)XCyn*QXem&TV4ju!tyBaE)tjDhoaVn z$)o{)?(X;Gk_Xx>GI8tjuFFG z9QG2W1YePoqzZrj;n;bat384{YcF?yX%hl{AN(FX4-8;3c788ug2)qt$1GwZr))Wp zy>mIBud4SMA4CC`0~U&KvUBFMIiIqYLt4EKbCPkrA^oc`w6gAcY_2Ci1HR{v3jie3 z+GfaW^C4Q}Y&eem1Y9*-Tw|CzEt2E+8Ar!X^9)T9zN>hS&o*c4%OBx2_SIDFk}y|n zuU4QBtv;#|Rwg~5;MZo824NYJj9iKyOXr(ogopF$G|np=RMmI5CXFv+A4rk|g*lh^ z^zcyOSbjwz1P6o=JbUx(?X$aQ@1FhqbmW&ux6ejDJsZ6Nxjh@*JsZ7yHu||<8q8JEWMA)c#fH1idj~ z1-d`t`km8%ad|f`zTB8vOiD2tY_fAUR|lm zfaGW}7byWmwA9Ixz^~v*y)orSTp`D$TK{Ot$wU7#=L(6(?$44_EWhg8+W&^Ud?-HB zDRJX{Vq!p0KDj(&U85RwJpz!$Ohk?A2tYe6)fA_}h3C@8$b6#L(oP3W@1 zSKA{*hGotUPvCLE ziL(j5PPF?NBSzUct+4HU8C)B#50bX9I`!DHG5{h+Ld5V1Sa#C>6BHF`Z$F>_5Jk%~ z0c%~M*US6+uX`h28SUX3Fqk8SV*!oTvgbM~6hTtuyM@q!Kf8rbyQ4~dq6$o5?6E4< zo3CHcp!%n;SPry7HP3Hd;?+bK*Xm!vuJNGX?gVaEo5kNvo%M7E_)IFDQ2K6?e>tO4 zXcVw7mVE7Wh^ymzkVQ{ej%TAR>BSk9MFvC!X*W|1&{=uJJXj~y8m7p$C+PL82mX~5cfv)A_r;Yyl$ zTi9sg!b$Gtsi+U;~!kv)~~iQOz-NBMG%TK z(nENLa8?ItrHZ*ILQ%V#2+d$!@(uaXQpRpt7hg1n5ETJ$7O$G0B3Fu+Y@mU&QlO#W zh-eh;TX_h^7pj*ZdN{9=G@j*NF=!L2_kwMe*Gtv6JDh31OW+@t=PxL-J*S)Grqw7^ zTH$jkt{hS>kp> z5^h((cUild_Pg^9rAMsTD-;Wm6p*UV-$^kNdeOmRbK#OT%TF?vD#P-&r2wwqM_%^n zlM@uZ3nv)Dfb!or2f8fB6G#g@=}R!45J<4DP@wStyBm@P#`YL%RqykOZ7>lh!eYm= zUmDD|F}l|>X~tdZjq3&l*H*>?woO@?awUT&aYVnOPQvkln+M|EMx|KwZacP+sO4B* z?r1(xS=inbZY-8gs_?;cXR*-ha`(2AlDmC7y1;^gMikubg$t=J(TKnj zs;Q+RSn+FR%xojQsy47iE)kkCD*?UgI>6v^n(UtJZPCIc_58rdn=ntcR(Sd)@M(+OeTMUf;@Qj~v2a6Ol z=^eRDY&Z8BimZrLdHZ5O*@FpUPmMyVhqPv!BeW^@Eb2dmw>HawL#+Vlw03XUvq+N`~w088i)b10H5t!C}Y4b3DOzIF;n-X z=Tcycu!Df=hy}Vmf+=yeS+YJn45{!GE3MY1$oBK#t@+*l!RyLGk%%F!s-qQ#QlH1) zR^I@Kz?HG%Oc&&O=0moFig#w2Vr&FZMBpS^PZSj#e-KolWWo9CAJ|bP>wt&@fT}9D!y;w?zmzvq_Fsw!zXut&~(d^SF zFxc1(8m1b`-qJVM*r5f_rH7|!GzO)KK=af zqj#Ua@CNU3bxe)mJ=Ar6@zXmp#?Mcm{`=^Uf5hdd_U%9ZQD^=yPz~J`C>cfuh`;IK z>?ii;+%7U6;Hs-%ukpzEmJkZbP3s}|)(aGpNrKo(psr9OpOivqQeNe4eQ|kpu`V37 z@CsOu9S8=zUnJdU1OAY;VVtJ$>*5I{q-h5l8#-Mmda&4crbiqTrX%+q|3);VNa2g! zR*Dal3}MZKfS*q9mwDp-gqZfRKEW(0421}XYvLN}vzxB*K;!9J5)vT64#q^04p}1{ zex$EDr##YEU8ZPXb$3HW^EmNW0iPf`=D?^P9pj^+(dls!^FX6IlcvbnnT{r4P};VA z1@GKY7wO-Ax%>Hcf3}*63u2(vv`hkufwPLhgCs;I-?b|=Chz(ME+r3l7G`__+&Ks^ zVj-`X0S?6*K3tTIbvyP%mDgg-$+Y_IV7i@(lF0tLfZvGhxHjVVtc=SY^|xSjpS(cD zP@2s8DTLL~go?ZxoXTHHIbBO6Rz*P{iSWCFyf@JCkax$y(7M3lbLfvCrvp2hY=U00 z7&+iquK_uZXkLASQfYr5r|-XF9mdkHAy79yj70XbDnK8Pxu5B#s5% z6m>%4Taj&ojuLc;7#^h)*Z}^l@PpDp?mU%h0Tui#2};e0Qg{4x?-+6$S96i;g| zUHLI>_LRHA`Ofr~%kY>I4PO-6qyTd^q~vW{9>k|b-;fwWdmzjGJw}$aDLCl1-m?he zH1~%p=eROSPjK6QzHfj2cKhWmAT2-rQ`trN-C3%(hCh+ z9-+m?i?|iv96kW!V509P#`7bJW(j>wwDZd6_aEE0@4tw_FkAp_YpZdcU zIvJR#w8B9OB=Ds5I)e~(t`FQc`=+c%Uq^ee+_M}cn^6#yoiPNJTxSUeFW=#Sej#HX z4j?BRTfJ!f5Edm5JlrZkdUb#*Rm%J31u--{E?%7bU)o>Z-u@FCqW)hp1$P0%HbtT< zVUMtkZgwbiY}J=1UG>*Q9^BR){niJZtZT!hZE_hPv4zVS8d+vwW*K!3sq*w>ljE3p<|!E@Pr(oM zEu#&bF&NxfB83UYt{2yR#XF<2+736t7_oOa?&s@`!A(Rb6X4ky{|q4*Dry?5h^pEw z{$#}VG^Vs#-W;|3BW`a3yE9z*g@K9sBZ=Q+sP44;5&yF?=lMRm!#4c5UTGSMc8`I{ znsF2f@VLe1C4&^CMT9ObBGI@`oyBeloV4^?97`0{Yn!qPb6oWe?SDh|)O{nY&O(jH ze@nuX{Ej+&6J+*kd~7pq^r7PEGha zaK@HLQ$$Wq-GUoK7(?`QYLYRE-m`Yi^VQJx)wP6U%vZ8Lg9<=K7|r1T%CKn`j}r#1 zE)g?%Rgxh3-bjVs`*W1kGj%NFP6_yxa3pGdPEhN!!v!2+T)phe(-{;hGcjjA11Nq_ zvVu$FIkExWU3q-CWW?!gx>QE~#oN8QTCrw}mzW(M^Czs$C=Ww4^rkw82Cy{zQ_28c zmTCxR!+!HPP~A!L3!qvv^?@ZLA+bF$7Q;_YakYDL^1(6+XT=17|C7fM7l~0h%vq|I z7wBaHyo<6H8$#(4Dus<$HMG4{Q5{dyqxq99i;Lxh6=Q1Qi8NS|z-SV+2vo=CPE;s3 ze|>gFJqou3C6)M0H!7&}gI-Yd{D(Lw8L?NURZh(#U*OXa{&9W6mMW(bf8!=9F%*ss zE7Yt#4b1zKJW7DfN>}fWlRX~1V_}d5aotKNV`Y**;MaHW(R}%n#7G3w*78C~Q?D4J z4udo8nxVdsUROv;2XXsgRQ!#MlRg%|maGLD><~3TU z?QUTDLS5|mCctSBG!%cv^dK}hbBJA{pz8YgInGuk$}Kuv`eA)6=?Hs?BGm%}e!}a+ z$<(4^e0Ie+RWie6S|4~hCE-}BL<8Tcl zC(lA0&BQA!gepioy_vZAUfAil?8(Um&%rN3YPvNCnycHQ%Goh-{Im%LJB~q3lgyim*Y@x1V zY2}orG*UBp^nEm3uOJRN`3|A`+#@Xj87^`=ktU9I( z7`h$Yspe-usf+DPe06Zh$*eL#NX^-4Ba~KRSCFQk*zv#wzyIKUeegJrbK;UGK9J;eGc$1l_sHpH5?>hvL zx?><~Nj}8Oi$owkoTfc~a`aT2-rCLPqHe9}UP5MB z-2_yB5hTsUG2Y2gRZSAsl)?&(Y5+^K!<`f}-q+|<+AHe~R?U8RGP4`N9TcYv5kaJK zhbGy%UZL3T45L`ZL=0;x3W<0qT|p5MkIrbQ%TNZn?CV(VAQh5d9IT#3$J$P_iS$KC z4z}LLyI&^lJq_JGA%LfP2IL>l5OGq1$HIW+5QE((ba>^N-_HlLcriTMI1P!{0!@jc z)sphS|=Wk_O^23c`?1UfSc@VCI%{D0m!=e~$mPbEkval!Y zfXwrx!}7P$L?=1(4AWoFfRds|-&{HqF_`|qBw-|(R;X)tw7FicQFt%l4+Asw0ZBn7 z)+8X3M2?e1%0x#a%uC8S=HL4h9)Bae_>sBtpys5kp*KlNdWhDmRC*-|v)KX95ZBl% z*j}$eZ1IMj5q&ehwyQT?2Va$V#5as&jYs^(`Ly3fM#UGDmTWBo1d(~H+V-2sI~Q{$ zcB2oRvbDn*+FVrxE%K1Fx=3j5-nDJS_Bg2PtOud9N?MS$>h{kp!blg#6>1;{9hd!k z_h&CmkTdDY$=La~%=O?dN)hL70Cn`0Zdu$yow<`ah*m0QO93VT*T5!m@;#*!fDVf; zA_Z5NYF@yFWsAHbPp43ibp1i+pEvmbTm1hH|9^-7|14c3G?OXylL)S^2S`^xgZhB~ zLFBOJ7&YY#Jfel;qYkDP`7!=eLJHiG8l?WfEG2tnR-kq}8#^=S-AlKd!;WRZ#FaQE z7MaKRU^+UGl9W&&H}6e>gnrhrQR}IOsikQel>aW zLJLRsh-+?O_O7on+XOqGmp`3LPI!rT;Bqp$>{=H&nMx1U@z9@yhu8fH&OE zLZ`p%^zf94sk60IyWxjfCZ-nIXXxLB{DMBpo#tWoLQ21b5Lr67*~%Hs)1)* zz}SsOfb%)}IN9sP;LYXL4sPCHB!hGG7Ca03D^p2YvDYgRs6v`o?a9BvdqFJVCEMmLkRCnbg<%ypb1^=)>QI{;j?@mfaWS2*1R; z@YIE$R*LI9eRBWh+Z}#IGX|E^6%d{e<_y5S%vqg;fS@nnch7%hZTP|SMk=&fy+f<8 z5zWY4L7z^ql-iNe#|@hr8_ViMV9BSeC8`w=F*@SQ#HOjuqq;0pc(JS`z_4>zT1$9Q z9?)o@z*zG+Q+k;uCo zZ5fQz#Er|0LzI{Z|Iv(9WeZ}!jw_R606h5U@RSNSePFWkRF--;(q6N$l@9cyi|FLI zBuN_s${d%p*4X~@91w+2sps)V3}~4%be?h&tgtsy3rWSvSt>0j*3eqj6UHaklZ|6) z4O|4e0+qe=o}2O0e*ES31K{@g8u|*B*#Te~S_`)Fqg~=nzo2s`3rCKb()Edub&QXhkls%C8_)eJGz)G)m=rS8p!Wve@|n@)m{WwQGyX`OYrTIF$~zLW_>-p(a?ss2>{j z1*p!ECCH?%Py?l>+SQNf%VvDXI*LrRvO)FAOWFp?P!0}CiFmN&l!Txt!lIE;V&6S< zuX6F_#bN#1i$iCee8kjB;SfW)IlW|sTTOqr^WZ&5 zho;HWDEFN%qrs{NtF?k z8>$`3@S^k&e09?$%QBwm>*v7RXbDAMOr$4DEL8$L*+>MU;)G ztRRojr$&8q6m$g~G&=#6QT-Y>aUZ6ip0H@_lioWVxRY7xiQvlQ1o(R+>Ly|(1ywiu zj^i$2Kl?$|6b8MPOdz|3Q8J4f@bSIRm|7BWeL^x*uR$@r_!}fcu+Z}CYC8Y(>(_`p z3=sn-G>Zp;f->dc@hMv8F9m5WfoCc0q^g&Lt(kJcPF?J6XImoacB%XvxuqT1saci2 zTXLk}BjXe~uBu={c5Y#jLc3qlviEPR9Zda?mT7&e+(l)tvHYP}p>X#s-0V=cC6w~Z zD06Ob54&S$j{KOs3&>eiDd3&2GP3QB>046nd8kk{hypdRe|$tNgX%>S1i=)BZ---d zid@j_C$f_vL;4HJFqjV|sLUVFPnqL*@cy2}is~*Bfe|l8e;|HZVvl{ukzV#lWqFQs%wTVXxtoGbgWWOkEdEtyT>thI zsrt~$$o_j!vr-2eoF){J7Jm}p&tNJBLzzq_Aub24vIzoBMT?2dm-n zh=%S!YWL8qra6=Ez=!jEv+_<2{5`NcWEdS5!5K8rD!`PYPa)<=`&PzugY7H`W-WeK zO3h?sIXp>kf@fBOMi_w~P{I&^dUo9%8gZsm8Sfu@J~LnfD{%oP$78`5@;UlYRIT-? zv?_ck0o{R$2GEYn8pzy{bgD!TiJ5brV-W1(A=f8osL-hk_jeHEH97Zz0O){eIjVW1? z-Gf_V5r}Rn!ra6Wpn(~-J?&*PxR=fE*h|dGz<>eMjRhgw?qma#x0RVCl}WZJ2`q(L z*`_8w`RV;V3UK~<_vPyyK=$97eO6Nb2Hr$q=hMAxcsj`kIP>Y~Pk#a@!cpPsd89v& zVDS3#<#S;}P>s+B4~jtxMR{N#7)AAad=CSU6dqN!$zo7bI9dk2B#oN;{U^L#d;h6@ z^Z6Sqc6|NE?Pt91Z?5A@8Wfo^#nxqL`2Z8Pua>}VY%dT2L!%h}f$&OU{Q9p3dE}ix zg)Ov1{_Soq2}jtqlnQjii93aN>hc(Ii^ScMcLGetFjt7Z!Fk^~l8kI5)~(famm(3_ zWte_lRuV`EW5mgKKeSO@Il3|IE^ZL{^f+Ih#8|jIQDaWDunS&;8<9pEf-N*ay+n?|5A7*7 zOP9c?{6Q9%SSiGad1vj6thr~1{n>uP3?pVfVr`v~LFv0(Aw#e#ePtvLRbOt)iOLTz z4zc*c`N!|D=Yuu5C0VogOa3%8Q<;=&XVEG^AV3Zv9~jkz$++;rkVBndyT>r|m=l)e z1l7trvRRxhGk2pDn($;6;JifaGcVQOCHC$>m)JYw!TIuf7&GAJ6yzjF3x9N7j@?n& zacYOcw&=171UzyYP#v3bYi2_tJkD@+LS-)obFdck#}{=muR@NkmP|(UnTx~&rCGt5 zo>sOK1H8&DCsM84TpR}G?f40&$4q;`A*AMmcEyuax}{&`L3SP`9+V);ogeRq4Meoo z*-mg-gDwD_fv_tB?`jP{64_P`Io=m-lCJjgXdjqK2o{ezqk20EdAYHpa*xJ#e~!?A z{^|)jKn8{wBoPO?K3q}31}5rpZnu~0w7j>y`a#-%p&~JL3nbV=w}=liD$2wJ_(rS< zFacuri33*5s|Q2 zJd0swm*~;dCU_habtQphC&vD9*VE<7zI7((e!+y!eHCP^Fww~_lmsH?86+8>e=w$NxT%}=AGjjV&30-7?^p1d;6jv9p? z$*YTtv*K(g-jI9Y`qOmx&Nr_Jmqh7-9k4c|KTN)#e?R_1N7`Jp$Z9A>3@*9C(H)Q* zd%!vI4eB3AVF=Q#^q;jU6*3;Yo$L*r_+4}!oKnohq{;8)^$xfBf$FN|y|XShw%Q>n;t;pnJ|LtJ)_tM0w!4*5$*SIFbg53$$v z;mSS_OysuoTW0G^(Q|PHy|{1^Kmz2WzTe$BvfahSS6A1Hbq{_}8&-~xa^YjkX1Nf$GaESFYl{<*`#u*k-PqJ6)#t+TQOdFxuKc*a+#fkK8qLUN1HRCNV5&8 zPcQWfXdb;#gH#8$g zHbGXGKNR5|OVo^$)gRQ?GXKFeSAq^ksI+UuuNg{@^lFYiKOKWa*lgxKV)1{uF&B`2 zbfW&3M}HRjf{#PjmA(}~$vmkcmaWlc^Y5~XoqrdBTUr|vG^w97Pky`H!7HPOxzV<~ zc1hMr9gqi_%Zg@G8^ox3@Z;%PJVW4ZAX-L-^Jf#r%X0lifM8&TuCqKJ3e-(dp)O}2 z9z&}nwGr?{SE%3}3#1s(Qo_8kzNTABfp>>qRmb!(gu!~FfeX}xp-4J>(lT8hs(Y48 z<>)7EpP1*g(9h?Z|uK zs&DAj@bkyJx7NkUkZDETBoCX54I`eiTmzR5hG;h;ZzbKaYDK4MjC0j1eBI=oH{}?Z zB@0B|xs&4J$w{@LjNF`8g`O}KEy9+uVJIb?2qa(Ur(aHHiU%V=&}hXDXVom!$7J30 z#S(p5m7enxW)(naW>rr4cp)AQDF+oU=%IQn@azZ@t5=U@8M*JJB@{mxaO~MalK8?7 zp|i;BkUW(r)KeeR)dWZug^$_sMyseVr3{n;Qf}YAg+YieUtncKr~s1Um$aOK7h=IN zXD9j$^u~Mv>zD_#voj!juN)KJ5Jyy{^yTDBM=v`zOENClNkHn0MZBY^f(0$jQOG%k|K3^$EJJ+zwB1kV4{{i+ne_L;9uSJwsUS zUR~|3bB#ir@?GqO%+lK=${*XT0f0Q5YHZ6Fc@!d;kwy{S68#O;3go$%LCdjo!ITD9 zZZ(A6*!@>E{Z*YKAdTJpdw-AW-~ zV0rW2d&ym6cCJ| z?rE_~*c8kUs7}e%K5?r$+?)Q;sATnM{9=L(m^R_G(PI+a2^*V~`%Cz!NX@8ITb?X& zLNhN2upO)Hw$O!LEJn>j`;=k*wP6IjQTP~&6$N`|tfErYW|0`Y0$&23RVsLkh2UIU zWUneaK%ZPAJ9$vU?aZ?M3BVAxRXLOc&c_IaKs=%m5!Q~X&<>6+EUNUYM32dzK7GL1 zzP-Qy^8Tl92q(6CNIRCn)?WmbO-1wezmLp0P(3v&G|NF$3y^@={r@!OcY3U3 z_BqF25s?`QsLCW#8G%G*goi(myR#^e%#g}fDEwjqF^>#LsSqUepKl;>sgC8vB=owA zzV4`>CRxLguVEZ$z3eW+a%o3LzO>wSQ}k{ZKz1rKr-+gr#ossJg&NdATBoXYVY~y6 ziC5_AeXR*f({AC83k#PAK`D?0w-R$W^tgDukTHj8$}s3-4%1`mk| zbgR1y0c~W8idnsL^ff{s5(!S7X^CCS7olf{ew=L4{G6*->f=e3IV%Ic|FzEv1yGZY z2t=4(rtFdXPlk+MLrc3J{QmjF{S!(6=P^gmQ-`Y|Vvgw=7&(Dl|8t-Yvt|}Ua)c%e zXl@{M{AAQZCk>Btui7!aYDwMK;t6gz$n%zV?nD~IPwY-{okI#CEOiRXgYMFth!)nSIA?raQ6v@=e6*?|GOhqThR_9PXcTwA_1_BMGxp z0@!&c_4h<)t`{1ar=LPYo{;U3#Qo9frB@eSN*Q62NoDnDG zI48{nFy=OJ% z7*FFvDwaLIM~k#&{FJZO8r5&3ZZkh$L;C@{65|nVXXTcoJD0Zr(^L>gvxN9p)@sm( zS+rY3%t?@R_o?D2`3MU}rE~0g?POPHb~A?VC&OR7MdK{F_reQVjFTa# zV|v15y4R4IOe;PCX~C-SQ&i?fHXakL`=k&CcXEA<@8s1Omu$W+(SAAp^%kH zTqnqA1Fa<-ftCn{lFIx#2=Cn!T>a>qCcEJWB4{|(}`H&OQTOKzkaMnqL_NkePTEVdThV~*6)&EK}s(t_2S z$srw;pNqNzLLgyMH+U-}%B@`J6Y!mt9O%$iJIAO#LGS6ZXX-*Gj0xGlsXNEY{hJ2l zM8c=%h^nSlLtHgCQ)Znz8~d5E#Uw@R)tD?N`5^-alGQ;p26pdtQ=(fht?0WGDG#yE2bjR&aee62!?1QD=faoQc04lFxq0E z*z1INn@(AZk}^S3>l5@nleRVODDm!<*`LTdg$z&M zVooO$8Z#70=cy3S?mImB%J$Adu;vg| zAf#FmzO8AZRMAvA$R$e8k{nuh!&CQ5AmYP$ic!?aZ5PYkm`;k?T^?p+_2nS>?4ac1 z71HmUsYgK8g01MuMDeqXhFGuD-f`!Ry>}6c^)*mE0so3B=TtP5aa88WXER=C4pB(~ zu3q-1=?==Rs7&!S;efi*{+1y^+e1p)yiW_>5$j(WtE_!(maaR$F;1JP+h8cg^R!0w zkuFxq_<*-M`L2n}q0hP_L3zO&r+dp@&EC~E(Uk_fKA_(f+8EJlqNh2}tBmMJGA{bEFfO#M(9LBpO; z=HnR-bDtw$LTL^G%u*|23C6~i(b|x{Wnxs++FP1?sUg8RZc~H=jcL#YZcZuG_9%I6 z-xU37BO5W802b(yjF0Ga=b83p+9&19EK#xX)Yf>7l6z( zPbBK{p~_!3tfANY&apYuQq8dQD@0RRV|i?$CP-TLAt7sZs{*N5;BwaMamXSdmLpYr7 zRw&y>Es+0##xv_a&#)@1mdeP*^;swZU@k%_H2p6YFHZR)jMMXEJ2njk9r#N40XbD- zaEl?|uF7ZSEz`Sfd1?NtqUU7#`5gU`~uJaFop>E%P{^ut$%V(Nah~Iuh z9fbdNaDaakh$l5*0|ChBIlob|J5Bcu7j}3Td|fV_l<>v=|`jD%ZsI;FUE@08YTbD4G|ZoK_8j*TTH0XN$*sp7ugy2sGs7OdOPuK#CbvY zld{z!u>_`8f*YCkqMmq%&LZT=gvf;yC7roOUX!Suu34K$7+7zdk4tSJjCNlc1X65kM&nZIu^JO!bAeMwerK9L5ID&0D zM)bq<-`o?h-s>yi41ED`>5HA1NRBqUVTdwiH-1p2?5-HBUj$@}<^kM|M#HfMs?>vk zm7*gdC@ga>*iePUDwCAPM7i?P>)__#cY3bNV8q-6gH&2(PW z{&OExak11)iw`LIu`&+osur{t*L2@#$7dl;Q~^Rv%cL*d)S%+9n4HB7&FAOoY($i2 zUFO1Q1_j=xuAyPniToHg;Y&j*(*<-Y=KFmjtRH2Pup;G!5>6s2Zq~z+Mkr5P;rxm1 z?Tl1*w>w8SH+%cCZeBT{OPL*b4X6kqplF`y4YZmO80=P?&;kYoqVijLw&5?`x`{#& z5PfMJh{8qAfG84+@Dy^Esy?OaYM_VCbr-3fPs!IOy0tJU^oHmzq#MzxTa>AsavnMp z^vyC0CyJhd7edMYE46yMs&`G%o5)GrT(EA>CB&ycZa5d@akUPF_7-vSh9i>NDc04q zR7v0w9THKRyHmrcMduo#)VaT6!_mV>wV!r|9Tj@Q#nCx$W)A2@?1e~BK(#cDR`X|3 zr}mxxXW=10jEhes`=&u+o;}{kJ2{0&NXGGK)-Vf|kLQ8w#p=AE|Ll4X_2)B`_9-CI z#P$3#g9@89!f<$pc%mj^h3sN%H|gP2sbM4@Sm8wSH7U04mKionJW-ZgTw+iACm98q zpC90BT9s26l`t*b_Rp@B3^t#h&;l6>)*0lHs52(y`4&W2@T-C3<$mTgezg?v+t$wm z78?effnf5i*aiJ647(!wdSbaktnZpt0^`~VIONKe4HZu5vcA7RL)O#;p-xzUl~AYT zrc%F><3Up33wizSaU4IBa&6D7pU!+(A0jeyJIA7=f;ro?Lbn+eLdi}djVPSNg4X4T z+CUGn7gLnl-7vRZ!#}lf0nwV$CP#2&sPeZ(jgwcb&jF3+`FvLv2uGXAP6)0{*_|jN zQ+6kc*ca^t^V&DamJ&je3u&zB&p5^(lHLfNI z_Egbb`~{wtAS}L8d$2-W)oDs#v7m8~l6Mt4f?2VY8SC4t6Z9IERoJrn3xM$C59cV$ z%t-U^jrUku-F$? zFn;&p{0d(7Nu6=`;Q?CmCo~kQE(~(Hw|-Iue6_alUNQs+^uq+SoZi zaLBS(=|?4ZOXC>roffxPBa{|FUiO=(5_tm#CAuHKPCqEdpagX!=p2+XJhsq_h**3M ziuj@FBp$O(crVeqB9^P=cT4ARdxnxd_lDTn2vS15Da8!7XS9$qC7A{zhSZQNWN$$Q zi{sGl%J_YzlCZ5DUj_h$5zYbkrx^~8v(Uv*LKj8hnb7jA?u@V{Z<4Kk8SuEfjku4} zipsN?c@V#XGm|fonNrB>o4d8uCymH zHE}7TEPTZ|I%+&Et3aLOvS$sbGz4I^-88Q8M1Io0zPqB>lU-0CjylId@ z@@I!qM%sbb~kPFwCJZQeBl5_+y$-6;Q|jAFNU0CtV3Dy7bcM`44q^0p!n zpmLY`M`)oeWYw>pLcCOlxyhmC7hvfoQ}YpKuRQk_?k2EKCF$@kH0>Cefs>*H;>!LC-e{~xTicEarbe0GxjM>C5=$ADo&nbz#QEoYgGn| zAeRzy&n($==V>KITwY1bwG_}&Pm#+(3sL}{k3}~_cYwE|x&0E{OFEMR&*BeMR(k`c z>YvDp79O%mv#I1+Denf&P4()yRFX%7G1BElK!PEdb|+O1fuTyt2<{uAogK$}bpu6zac1i*@nD(wD^K!qTUzs%l7{py9|X z6Ei22Ylbt+Y_H0wK0ZmVI3tDDQjAo%PUq8d(=M>qAJRqaI0Q? z5E(Zp3JX(=@Mn?#FUj>x4Om?}F(l&Iyh4N=j;4r&Dc$L1eORoIxg2g`31_R8U)8Bp z1dLb0_;l2P^1*UU_#YQ4ZCzNp*emDrbebDmYrQlk#R;|@SP#b zSmjJq?jR4RzUNlUF1B6Ecpz!Ov<|cFY`+X@*&UZX<7*2qq2{jfD@x_5OJj6|k^}CknYXg{$S{=yvNdORJKvOxo6DAs_Up_tc>q~J z10cHH#SK3mpd)BW_-DvH-OgUOLD6}ez(7+VVGN$`x`Req{ zl@*Eu5cqlW>I%2NoUie>0+m`$jQ z1;_#CAcu5yhbmmSGKmkYa<5RGCD9)Fxen-Sx^*P!erp1oSoqm++5K$75`xQqjb|-z?|PuP;)Rv3Ev=bo%Ei1v#LD{E0LQiwZAPA}`Iy+D(qrMp><_U}_`p6*c=TdG7mE2H|F z?K5f#!mUyyINN#VFoaC|b8@ybo(b)Urct)g-9dc<4{EFSCMfl!g4PBr3saq@>yj&{ zq#(HUm*)U21_9J3=(7b{_|I9ykat0j;^r2$Pvb73=cQ4-g*(M*P@2vYfEh%3N(g0U zD~*{;l97#RS}l_=^NTmb$SX!qa`?dDW=8#7aW~`V&*tN0N!|48U(>HYpQPVW*;jqY znElrioK05SqrcvL>*AG{@N01bA-6Qtd;3~5#PY6{qi#6Iy(oz2?Bfv#?}R1e-vU>F zW2juL7}AAmctX0+)X?XgSXye~9!|lXW+wh&2nnE#S+kcN5gt)a5tb8#{&G`vI%%H) z{fgHDzHnK_h^Q@*_@!+d;en&pO%g#Y%pFlju0cYHnlDrE1PYf-F+drU1X+8GrS%5? zBg=Gtmi<2X|9_yFEoJ2ypGS~wwM6~ae?8v5fAbGvrdHxX9%6WxSNT!5Zt$lpm|+fI zO8PRg+MT24Pad2oKAIS$~&Sq^b@9*_q0@R~ydz_zt`jarr!qrw^vBy*!fMcb(GH}32N>c-~k z=9b$s8!8J?U(hX(@0;WfGQT*PNHNZr<2jxnwOoG8>|9Q+SS{8~=x`Xx^Rb3x=BfpV z1e_!|8Hn1Pk)yF1;G65yZS&&!-=r@)w^2?pPf&~5fRz0kGgOvRw3zB0FY$_A;&l4F zQ-!mMSk8nK9L^*uT)a%4hp=QGLgq^6nbJ5xa~SxM!vS*+c+lMz-kh#0u9zdJDtM@L zCS8FNO_aMf3L4Etk`SZPl(KOr*J6@vSW6Zpl*HhmcgPKeo(XbaPr@)BV!YUhoQh6v z0-Pdj2X_54qTtob{)L@E`6?PF2b9S(=L^)A!(4(N=s^=JBuk%Z zkLwFUqUkyULUx092uV&^myPK6sQVs;j?0#>2u<-=F4)AyGypYvfC3kaZ4+On&@ubnZuk3E@c3zTKy#E5Fnd??2xSIDv=&MA?y~#x`Rmj6%ll8;FK87ZKsH-0lAYMHQf|ys!Q*%}!cMnp&ln=<$*y;$vPZkA zQF%s2;IyO?i(gHgH3btmChGyR8`HPn=Z?VOPGmsfUUSU)S@r|o^kDdw zDX+y-p?EOBsg-nc9q@OleNNxU^Ik7jtD7bMD2-%-Tk>9GR6ex*B!L{4`lu|6ER5A9 zqIle-(HH<(f%Y|#`U?Y*rW8_txv^rpL%OeTGp?6k)wK?Xd)Z#vVB5vTmcu{}&y+C%ue#gesNd0%iuK&U+j19n(^F_n zulq)JKP5Xt)@DLT*AcOs=Sv8~`2vpiU88>E-Z^o9hxh3rZz%)f0&xSbOf_}TC2_ev z!xI9nza7JO8p1HGS}f~^wY&;`*BaF=Aqy#VCK4e+n{LnJw3^Qcd{-rkfi2Y4%QzU> zVQomiA)?uaiGPVulYPc}6r~aU2y3-`{DK}OU$-A0KK^?D6&;5FH+uYtzrB6Q!Jh_y zf`^>{{|S>mfBn~=BBW|=Bvda$A};d6GW|7$`R2ktJ(p3us%v;76bCk%wPJeui0RYN z^W9&AFaYt(Y~_faVc`p?4_8#Oo{1zKbDDVB-mP|h%Jx;ZsWq%?m}I$eb+Yz&xaw%- z+3NH3(VQGhf}J@Yp2$^G5u0Yx1|Laurt!)Vg^JiT5uHg4W7Jn;8_n(Z``s8cxw}B- zKQx|JhQ)Yvckx0f#M^Q}eC8{hHSC~Zl@m=q48@b&f>>MeRtqlxA|9`eVLfd6)1&hl zm&nr4ICW2ECi$q((g(9y_kphJ$&mXH%v8J!#F5EXG|?_c zUL=LLY<>$jGlW|&aUIu#y9s8!bCi0V0U-B=-B!_nPhq4;ZxMR&j7$}(B$*M@@ljf5 zzF|^6G7?#|qIC)AQFVezL}@CSB(i3p@%F-SW#Oa97sm3mUanETO(j#3GCU<%vtxaH z$=xWt;XE(q7Igpo?d#30lDlUpn)_WINj!|NwZaHm4M`P|;bHD!ITTn06e5o?LnzUI zV*U)T-9weBHA5IpX!vmwMqV|5E<}>18Nw=#SJ%PfD`OP~Uz^2mu8^9y><~I`W$whc z)&?tITb*X#D`u-YO)Q-3JJ}u4o#ad8+TgTD8`SHrv~@paOMV!QL@t4C7>q54k|@F` zD_K5-css!r3jA`!uHE1CeEzULR@mNNPaDyvK;hr`J+oM+=^+&ui$0IRiuJ8OP>jqi3w3d8~EgR=C1Kfzvc zjNNo6@1r3u;ydNn3H`!bDnVStf3A>n5>`WZP@g=YEdguEn^R|amjPfe>eJ!^!4HfQ z7gugF2+zwd>%D2)9}fq!;~%!jB|AaqE!dr}&%yfr->{aiZULS_(##+4pKvUs5jgDO zyz%Cj`>#)c+Pr;uhlGT;c+SDU{o8;S`4b|B9_}=VTeK&1+m2|cz^IXmNbXUK^D!KW zFMN75m>!A^0nLOR$ZIAaSAd6&Y9vpLxYUT(=oxU%RUMX-q+r|Oko6Xhq~5{=l+wm# z6rJ-7pPSdk)hJY^J%TLC@(DX)Wva$#trh)dYbjRg| z?cD+ptKuJs*z7xd=jb=v36cDwvh=p?ai?E7%WSuCkXS}&yIZZzeJ|y}Ngtih%2V@Y z^hB6GDgEH+D!g1>vOzQsuRwqVqyQxcPhtRuG4d5R2=oOHYhACgdE6J!2mhqJwdz<< zFNQk;!^6^_tfk>I@KmO|L8+JL-VQ!|{`8yl2ftjMK^x}Z+t;s$5TrPA_AklSv)?5i zY`@2p^=bSHu++_J0nWNw(u_L=Xy7?=E0?RQYh=O2IqDPuAz+a&tt z;vvyNvpC{;evra{>B;u^&x{Fk83>S%>D>+_T{X|M*ufO*PD(5=Ajm#oE<9559hjt9Zu z#@XkBflo(w&(w6nOQLeZ45xyI4wp~T?JQsbucm9a^JI|^J1R}9K2*o%WyvbAA4zer z{bj(!Ry^hEN5nFm(h|s=c)Y6cQjI3j;V;jGv}%kf8S(Dp=TF=1!Tqyef6Pju3yEk- z)9Qu+IM84!!CAZAz8;}skEETn&sn`JoXUWJ5R)MjYH`_9(acQ+fjE=(JiwU@{S{WqDKQ5{#lg22}r zSQG**@bl;N9?=IKXnOHrnH`1G!V?kS<&|W#SMV!f#YAVkJ%>8YuVA4=>J%3*WB}r0 zxw@GDvc32@(^tC(ACJ_{vpMC#ck@+ap%5SIYsitjGga@53Onkbt1Joc3V<4F>Y@+m z)fo(A7p-ZNG6sf!<6W(-F#}BiSl?3R#v}ss;LR&G z7)5LhG<^B=s}c@eeTNWLgzE83z#f;);7=$`8KqQ4l}>Wv4V?j|^U(>hQ6|1x*y&Jx zx%;-;)EB9TK?oxc@_c#l2;uYe_1l}L!FKz1)clr1P*?Ds<`QjWNW5lF;_%(E1c;)K z2Z~CkMjt&BT;1rsk1)IZ@vO#(d%>M+nr*OaX2CaautY#wNyNgLW@O+FLroK{TRhmj zvw8$oHQ~8GdC~Z1QD+X;gO~BgPbeMPK6eLA&A5RBbahPG@a)aAx6dA)y?gfCv%f!k z|Ks2u_UW(q^9Fz3;?D#Ayu+X0@aOON^IrHuCu*fk03=zuE^RTdl7%&YThO5(P`(48UKu+-w_9 zzgcyTt-2-eqTnvXSw)+hOlCZ{+uQR?7D6Zb8pULRr4HLSB{8|EBo8s~m298$BVH#1 z>tczrl+`&ViJ>zePIFN`^(sY5C1Zs&_4K%yNRJEA7EoK2{y=cD-|s(sdw^%Byj+nv ztqDp5d~h;l7U)??w^S*5$jWc(6C(_Y1ms?I78;fuhc!G1>d+gHZr`CWK(-J}mg>_KOwvJKrix)Z@!-Sr6YE9f463PYYFruFWVCNHRF zMU97LBvQ4&*7eG_w`b^g%T;y_lj1-v-9eZ?-Fp#h3wS~;lRq1*e1Gj8!f&Beu!K?r zKUJTG!qH}T(Mp-JyJ)3MIoeqrQ>N@L8LrQ=6P#sJ?slnEGG}+?ahtOLHq(p!-?V?q z(Q@xyj*xsnZxl8RN_y#LwoPn~@J*_+2P9YG)+2bp$QIgHbC!|DkPGVQv?}Zf$Yt!CPaa#>U!AW18gSOR99F;Vv=NGue?}<7-(u)k_u?&%Q`)dz7xFk5 z9EayfC<_mbvWa|l{&0T~^-BDwx?g3Ue7|vq1wv$J*Xv(+&@ZpALa9KJ^!$L~j63|Z zb-g@Z?T=Xm&wLA~n^)&cH08zvz(Gf!f+zK8XO*r?;SeoWpnZze1=;#T6A43jp#+5q zt!j@crJO%*fBW$H*ZU7lW_u&g zlTM{r)dHZ`iB9TH8X){_z=^CqEPG5HT>J>GfYXPS83XYQ#%%Qwy19%KsDQPr{^i{< z`FcCUvJR>NZWb4t%kC*}&(PhY@Po4#09$x>5PPyKQHs+KzMEyNeqs$qnF-0z0Hk�=E`obBv=v6x1g~>-nGo+V_KEol#!_WZC zYU~>rObGhW8j*k$&S5;lYkdM8eH>&&Z0nh3MyIdV=x zpD;%jitjx7;chWzz1;!O1PF(=RYe&+oFIV#y5x>2utoRI!7J>YGi^qaEYV)A=Rjkk zQLr3)NNT=Ro9`G~!n^d1IMZm4%N~fIIYaN!4d0Rq0^E~sAL;Rek+lpmJfI&6HEJ(b zFR9+C|HX--HbtI>7$?W-Y91nMN2;~Ymp9bj6vC`Hv3MNud)%&1=jZ9`I?k9CZcxmO zH2?$iZYkZ@AI+q@eb5u{qTmIA9A^BrZ;Emm^I=WGhlNfklZ!;BaoZ3dQd2mLhZ%7~ zgkhFfh{6Psbl_Ny#v;r)0xA8eF`_n-Vkq%NQ z#Ov2^&$^jZ%dW)+p={Y*5h?=r5*OGl)*|=UrRz@ewNcq}Oa-AC=Li5?aLM{`g-!NM z9Iy$IQ70x;W4!HVaV>0Ne>oU@O5uptUWv$^s#HtWS{0lHi zjPU_xF@Uxt2<`c6Luk{*r|TBr-WS%8U?lXQ4?o$9+SMF+1G^E=aef7tVj9R4=g)CvZYH!zwsndA-hoZpD(T6yOX!VI*@f5kM|E5+^w& z{9_MT6_UP1a~onV^zfDT(Ln2Es&MF53&h@rXMEUGa7(W{p>;ncWVQt+1f`wc{GCm_ zBr(R36~*<=mjZ9C9Mh6D+qW0xHLTh0iR8*>$FZgX^LswWYmSuGwPK85d7f=^&OjK=300D~l>63_D2@gxq0tC!5o9jz~Q< zOXLcT86gXailWD;y*FP&$+eL~R`;C`7veil9JX?ljQ8T$?1gV&jswD5?kL9IsgtS7 z&>rM7r&uzN4;qCMjC2F&7WWaZD0C)SkSr~4B+>OkOXpuEs zxl~!z+g2U&W7YYrJYz@@!Vhm>-+5vM1MbCM(sP*gi=#I05#FZHh zeYnu4^jVrt9|?D!v2}E(i7Y=Jmcl_-8`ck+H*j^iT4OgC zzldZ*C0$~?qE?#_wg;?RU=mJD$ly>U*M*Xn9ZGj{I=l$V}pPRkm zi=R=mWY^xw7wrvS;92&CFYqk;r!Zi^h}+ZOzkU1_CAp1(K{%t#Sr>N*4XIv~zkopQu61n!t2={c-CEz=Diablmk90moPLJs?2^q4yzOg1=haKxK z?^)@*2EHh{Ts`U-ly9Nmq?wIS>a$6WPEev~%Rkiv@0HeN24~5A!k{6a1~M6ezZ(U^ zaV2IV9K&o;&sxJuVj^d{u---_yq_{Wtk;Ncw#eT>A-R#-cqi@5tT7re5IxP-wa1Kw zhrbCHC=={uXRM$?QEmdqBDpQg5q-riq|)Y`r92i?qj6Z!IUN{8EwOWXGgCOUUT4pB z?`gsY>u?@q|J+Q9e-)qHl%L%2lhta(t7~8@GU322u$1@EtT@G=9>_nduf-Xi z{dMyb6K9b*>ol2Ft-~w8bs!7WGpb)hg;_|n z*lBxt@C}uAU>!%|9RB&^zfX}k2R$wL386nJLe(14m(C6cxR1!hyAuEtg2#1zK*coK z$fTzm$VHx@XAvf{2&xJr&dBT?BxXpvR0D(}&iMFu6t@vB1*W3zbT!p^{{#dYI_hP~Fawd?`3AJmE=tA=pkisYiUcug;FY|h& zl~Mf|{8DpE)_13t96chXf**z23tI|?c!($6JLgNSS+YW4%IHdkYC(OP4M+EAHZo3{XoHbD-Rci>qVD9*~m;6lKW^HA}v6*mM79VQFEuKLHg+J07fk5 zGYW6!2eZ|6uBdjhbDqAUhyqA~#laf{5do|mfbWYFtq|woz3UDMNtJn`l}V&1F)Cos zG%4o?N)*f68t)F~M0_Efml=cVo1$-VvBE2$83Xf7h>)9KG0g;jRD|Ih^Y|}t6#Q0| zVQ@Cncvepp?*@cBoWNJe;y(j4P~5@LE?z+@uMY0tzWw^__xlgH_wS$Izhx)U1H%*F z7Bk`Lks18S5B-QL=fD3r_%HYzJ}n5Dk?O+q|7xA1Xnw_*2^x8$&QUs^sv<(rB8L(| zCx8I+QV_0VbJhv8?<@5V9sNK@!rj%ur~8i&&+fneMmP7$k3ryM;yX4KSZ-LbrWZ? zNo`wMmtD=x9f$KzS2&jqcN<0C>JISo2+44U^q~Us5lqAPh`UM9iC#6 zJ}$+iL#g41SzU<_6uXpZFvvg{L3J;xEr&mIxDd~;GQhk(X7G*fP=#+)CMn;D^)k8= zTZvVdwK%Zp20LcydzKCY6cG|@HCw3qgzUpNCylUX_Hd{1qf(Uhtht8bt}K*cw-gG2 zEN8i|b2+LpQVoz{{o?X)hue^D)9Wk6nq(p-$*i%3V4RF=TBj;pq9&1bP%SxMzJ@0; zHm)*O*}2**-8zJ>Z_m+4+TTJFgD52nBSsa+Yon5I4Xuqj%+}r-O$-Bt6vw5q^F9MMl~l4}Kmf z8Ll1nNa9sevMh&=bq9?aRZob@KO!@l!Eq9%mg7O^JvdKB6QU@j@bz@|S$AnwDI3I{eqGzsA|Npb-?(1|_SYlm=52wvsuS zV*Pt(RCb_$uI_O?#A6d;Jo5iABa! zWmF%cba+763LT+=4;^qS-R4`sio``Is;HL+YtZV=s)LP($Vw$$87%DNVt)0a)8ABc zEc-PhW?(kE3ysQ@qn*l=OrgKHWT?RhkY7?!on(QFIl4Tm?VuT4p|3NzW|9_}tu9HF z<4e@*)vML&6)2#0NX`{3_wEEakQwXfZ4Wx#9~~4mz>g$;LYyMY=x!y{FU2h>iLAaF zev4Ex$G5hJ<>4sNrI|S;G_cp6&xZ6Kb>HsQjd2v#CJ)~2*xe<{vYy|bvn-35 zpqOPYD278TFm8B=?Q)4Z7zUOXyUI=#hNemXB9bPjeiQ(M0@ok*i*colcr34#s4lR$ z>>R&*tY#Fhu>jdLBY%asMP!7}_HHs@X-Vt${?g0y)6?Lm!DnE^N|G4{E3C9SO}VI^ z0>}kO;c+JxtnSqzpax{B`XA&I*H%uHM{ZR*!|U&B)Rl^YB7sQMjV_mN+{Ds}eL8O2 z&@|k0b4kx5EV7$*T8o|uwe{$ms%sKDT#>Mr!>|~ZIUEkA$4TaybA%?($%~<9s~)y0 zh{e;YYgt%2`~j6`Y)#N7Q^?q?lY0VW%GV#z+G33!3gtkx3@65(1nOfjEOivErEJ)cKwJXUV>_y&m0hXN^Uc9yF!Yha zA0FV)pKy1PFJe|wz^#1axWoxdA6fZ&_{|MGBwxLFPSQut50fNyIqYCC5a~e-chN$U z{qSlve=*3*WuWHg!9O4F{~@lSqb-1$Ko>*&$CC0JG(;FW3mbIq(w zbuwlg$TQx{#7D?}QDbr>K?a)hC<|Qf*CLK^-HUekVJ}uLCNGd>S{Q$^b<BEt6aoeit z-#^beLC;-fy)!8gN5s1O%0j47nlcUA8xKu|MS!vKq2Ks|NR0`8Qp4b{fW%TfpFajnz%(fF*I=>9y8j%cfh*0AcS`JWxX3 zS@4vGr>a+xz%oyn^dZ11It!`043<0ug0%M>n)fKWp(6t#= zXt|`%*Vk9qcgXeKGr^C1Wtwag&Xon5#D;C}ZF^Wg!z_dO`Fa7_DN(o;IT3hdGrdd8 z-8PG$O(kzxY)U>$?wv1|#_X7SB)13T9t%tUS1YswJm=0~Ux?mG^7YD@H1Woe{^)%` z)zM-S%Tug*r2LixwquIflASg<7h?z+oU0hp4`rI9RB4dExTfSh>Wf7~uk*!Xb#($K zFc(`#ih#~oN6^dsh8@;)Gp#?1U6Qw~dL~cMhVg9fo`mKY!YekzI~<`B%!gwg2&#fDF2Sn$TP4#ATux+lLZEuRY13cBBNg_;9>>00|W;izJ3ztnKTzdR|B|R zvVEXiEgR7_<&&7HWSf@)l^#~5nr_~xHUP?qGthEY8*-9lhK!XA<0j6@=DyBkQ-e876)zBo67d5XNEqGSO+J=Cai0;1^P$ zWGVpz_MnWu5obZQaXAx$c`6S9)g`eCTRRWBZ4)K3%eyowU5Q^y!P$9p2RCuGe)RnI zkB<+(-5*Xc$1^r(IOk>g51R$wm`sC}fo@4aicJ$b;B=`SA@ls7CT|659?DTNeoaGe zj;kti6Xr|dx58ytks)+1Mlgc)r*}=pr86sIf?ux+z!ptAz_PGY%9T^g1Rrsd>TIyF zJM#IsV;GT?7lScD6;dwSZQf-#t}0ohvDwZ%19vj z4-nD5V{trO%&+JSwlwD2p^!P_(cUS!hRIuf<7+d)63p4k!D+>~OOGyJkDTio6o_Qu+MMsX&yD7m?7Y$JJ8pFR9rxKy&HB)H``7vKZtpsyKmgL#_ugte z+P~K0(RAN-V9M}&=ImV}-e~Vy%cSqQ)%VQ#o^RVvBIA)(lHEZ?nDQNKbUgfyhX%je zzuK7eJ-7OfIs2&=sUY7$YWt~nvj3nRPWDsm;bbqxV#?m#;GDh7!%2I01CzezM&EIt zy<~Pc*-vJi^BvFg9b|?(eb0@)fDkWtZERNQ?a(DF^U$4i3H)#wi@H50LDJKhqewlMoq5neC> zkWi#`0sZ(@U)YcoDcS9bV{_UO+Du_EyI|<%o_5^|TQWRge%IldIt!IY5q2_>WUtXhXG_yV|_XBQ+`TA^= z`9I|GSLjRZlv!HRGNQNS`&ZXMeOU{slg&3yAbviP3at_!+3q3;GB7gZjgzRB7nb88g}z9}%(2 zq`O$v6lWVv4y6u_oq;k7(0A2Izcs2~(IJs9aA8m&>HqfN+$gk(wtMRv>x4h&^+jD*U5a0f|Iy|YIu4I29MEp zt)gLgvO6Ock6L#Q*AMY-9LrazgMN#@BUg3bg6rqWS=o^Y>=uVJ(W^76jXsmNBb)*!8n_+(@LRXGud@$w3(OQ5-HrH2qzq}U z9{df{hW(xJY6AturcxKG`(Gl0p6wy!acznoQvgT#>;sf;k#_*t@%-f~1tpNI`0ni% zJsk#HX;-k-e>u?Uu!Gl=N^0Xe(CIKLTqlv=Oggu4h{hz{hT)R~(FuOkZfx?8X9L&= zSq!#6Zq3y~WZ#a#Q7%*(cI`+QhnGlg12x(@$malaV2KmRHvI|1L#+W^V@VPtPl;Vb zX~bX~#y9Gdgg|-9jBw-xLZ}xfuo%;ALx~<%IDgt&n^y#MbELJC;jJPyv_71MpF!=x zZ%tnm{iuYx%{GhI&@O6#M>v~15 zwr6nH^)XCSP24QiJYhzY$}fTvJre1-3xCjZR*;hzQ-F|IY3Yk=q`18{#>T?HB3NY& zK+-n=N}R>(bcZT$RGFkNWn@Uk7VIk0UcCsHkZNO@tV*kGnuKyTTr_hiXOtNfqtvlt zRzUw+PFhJJh+71cvP|oy>n_gVcrB)bN0cleALNe%6j!uO@LB_N({AM_cFOqmI zvhgiNvHw8KCQ3Bj>t+rGoacA6H}ZF40JyrjuKFpO%4-qbO{>KR`T4M#=-F=x}*to zi7yxJChCf^jP7K#TCQ*tKmm)L4nN~~*03}UrAxc|sku^WL%QUs6f4jKJ zXg9lOdzP+f&bZOpV(~&U;DJ3%+P%wA2>aRP_8KT@6j{+De)Pi!LSf@QvlU@YjDGpgFX)gSPAc8O3|MM$-_*+5oV$2V#H%+2~mkHK`^0 zXA-#~GT@J~Rg&uICv%WUmOXZ{t)ONfC@qRmqdLl{3*oEv%OgHAn?So*G|aRF$xL^I{!D*vZ3aHf zFI~ML#2XoEP86?kG}=O7M)wzTd@qnp4-R?G%0s+T7%XY z^jS(EbRghNsP)de{Gmg4Mk*?FcMhM8!cVJpqz0W8uZbK*2q}0(OQ)uI)mQYY^HXx_ z7Ia2btAcCV1UkSe8`0dW?d`w5JorJ(RXOT&?h7l8+V(72

    +(vv6paWeqi3T-i0NyV153p!fQx#SmmYKh)3nI{o5z!)qT$HklQvb2gb> zEZAf^hN#eouV3!B4?*}#0n*7sO11G5YU(MvRx$_GSt1gj%S#2HD`o2a13`n1319u8b|V`d71`DzJZPqgB< zHbWF<8B=l-%z?fi1Ofj**CE7XkKjkJEyG(RKF!eLSYuyWFI3p!Y%ol)X#+9^#d`>g z)4H^M)F)1aySdlFE>~v)48^jN`?%?W-{Ldik0yDZxV=p%^%Me`w!h6Jrge91Fy;h| z>2j+fn30BHMo90N_qCLM569K3>icOzRU-7QU#(fX2Ae(T%V`RnT|0Ft)*Td7xh}6m zZrd8oPA#2RA}bcaEvd#D!I**tu;9dM(uWbAEUtVCMFAIw6kSTQThKDr*Pffe!u|dI zJ}MGL>3FggsL|m@e(_^)%8#(mSRWhpFhCqW1+=?0jPbsDyomSWx4!lpCN*n-C#CE3RRcpy^X#(qk{RMBM4bQ<36YaoPK2w3h(ScgHwZge zq;#i?-ktOv9UNJs6jL*@Yq^$@4tYRw$zJwB;?brivWEXr!nQ4LALa2RuIk|UY=-(a zsB*c*f6(~0J=BSizt9We0tHm@iK7`x$xsSdV~L;;(n5%bF4h8A#^$fdf#ZIL;@;FH z@o9<{g>C6?{TW`UK3sXXj*0r3PQn8Zg^C@vItyRZHKFoFom2Iz#H+!ed?Xi8to!R- z!~&-i9lWPVKv*Mwg0)aHhJ9Vnh=1U)(6lo+r!Ne7i4tK#qk(B`E+PF4jMY!nB>MEv ziR%i)6IG}%R-v2PEF~E#fOpp)Z|C|5c}=itsr;cz5&gM{U|}FWlNbplWL8T^|vj zc<)FwsqBvZ$S{l(3@e~1YHu?a^JT6398K#2147|0f5Dbo4KU1&;6 zSTUH~@W33-@QwQWg(1{%X%&TQ&YD96DAu;ilR`q!0nM$_0-mO{n)1v+6`S%>@JXVK zRrEuw6Y}!FJU=S;2PIXa!s1|B=l89=!bO;oP?RJMC zC%G;-fAez{fO3F(RApvu$#z^yLoQIJl0D+q7?e%*5Cj)l1PL3Y@;J$gWoR#(W4u9*wvaD4#!|Tz0Au4Kp0;?&%?FO8~K<&rYi*W zzS<0V#`a1nd>g?bkpFPn8wNO3Gu*33pxwwTBG6-xK#NNmE6VSVpk6%^n((^?e(n9u zhYv{m{k8W@>xGMFUuuSbyWahLb^njuw>J-R5jtO5QXIpuB{8l639VHU=Ry~G_wb*1 za>NJBS&uftKT91$u@(bEq=?LiD*UiAi3=Iy^!561O}#!GF8eA8pPZxS0myH$fr^R0 z{z6L@1R*WzPPXgC3{YOi%oB|bv=p!FA*Z|(nxl?rVnehRQlvXgCGj^_xwi@cy)a|mQUeR1%5KIF*LA;oz$fJq~ekX}T-jWYKZvf)kv|3Obx zlu?F#4EY-_pp5~NGT#ZIPMY2XN-&Zyv-BS~N}|H7t@R*N#ft!~4W)#8(zqi?|8pqj z7W&Wd8i7t+X9G`3kQ}+r+Mc6SLOlyl*=+xggzn|yINY=xsE}T3irtbr0zn6Gf`!dK zIM_ePOwz`6NU`@}4oT$NwZdXl$tVWuHL%!}K)6&p33}sT5&eoRY<;~K_JkvI4CF$m?3ska6`$z<4 zE{-ZF>+m=y00kHF9MByO6h-Xs={(jSEe9T>M*FPXG2-_u7v&rVswyFBftOy20lgGl z_ew=(she(V&-7|8fhjc1C(-~KM0(w2esl`pi4q8tQtDa*&>dD%rc6*X>H=L>J}Em$ zC+NeM?b~aBH_>HloH#?N#(Vl_*iYQLOs=KTvqePXm@dFIBWNxNv;hLr2V~6Qf7}O&yZsj z^YfLHZ$EG;KOkX$=Yv#E;;$e71(&Zr-ov>7X9?OSKkxlNy?*b1`VL&Z`;Tuoch@j! z-hI2fzxnNY$37*SmzSSqTztb4WA2Bg3n;NNOP!7?9l3|U^#K81?g)g8Z<;2WYN{>b z7MCZfgN=to4plm?8=?WRth4e69$+Ja=wq92H*>LQNd|io`VPc#!A4$T7pb!4LzO+O zOiFeTp(>`AY8(chIYAqt4hsC|0V&VqLEOB zZPJw9N*y;?@Hy;R?bMQc3VP61FZ87!Lr$PCJ*wZwI85JBqNSsL(0|%dk2w((05IPx z(d0#sP#&y)26s<0A`{DiLD9n=k0N<<*g-fzRR)p^^j%D-5MJk0CBMl0P?}FcgMl|T zGfUrT2BGE59{YLeDoWif{s@m%T(3M$IYS>puR4?!lKzkZDtHCpGdl(AK)~tADXu_N zCh|)}=`k0XqUJ~+!TGg^;v;l#$0jFn6|~U{7m7w1-KewW-?yx$Z6Z@K6j9!uoU0}> z5OdI@cuiMKVE{?^WPp!ML62Z>d-Iu1^=JnMCK>32htM6f0rDxLOuvQU@Y>FaxLY8nK1iRVSAAn9vHCfn>)vt@00g*|X#`BYx0? z+(Wk%OyQtZ?kBR4ZagPWW|qOz^2 zhZKhzQbgfsE#fik3zSS7BD#EH>q!8Jq?Pn(stGdLV@Q_(5#>UcA0d%&MyFHun< zWwu5uJ5isfo58UFZ$KWJ=|1IUeM+bKNf2K&@{IZ?NsO);rP=ij3>~$-=dFR93|r&4 zCw5=5wo?BB_|poz9u=LT*2X4ItgYq%TW1ArG<~q2)wDqlsy$qJy?C}_II1PqZ%MRU z;ma-5Z5(p0;w&xwtPm1Yccr1<;-r6me7WhtV!JkVTES**$JJo$jw_v!EKEPq04r&g z{i%c-xZMf{L|dJ?+5M>8$r3=bev{x|ff(Rqks8#Oa};hlq-4|9)xGa4=R438ohey* zUOH5=ena<|uVH0|EFsAPWP?V3=Xk_6J%DRi_1|WDc5(6s2Tdp&1|N;SaNb1@I(jUd zAzx#wV@YPa6(a?Q5uMfQu8Ass)!u0ZY@DMwH=; z1ItHJObwSqe?tAbFIa6%PG4Ps#Eo!hz3haUV(oJUJ^oL|-DD$k-)N13Eq5UBAD1_pl`c*tz}= zXF|+%z(23wJ?zNkT~>RgEC$b@|j(l%;d5?fA;ZOS~CMa$-j2cg!$&yyj^HM8J<Hpq)WlTd%M`bV19N1ptaLF*%fN519rw@(lGgPmL+Up)F?@{#Pp=puqC?3PNc&KyqQPzE|p98N2id4_6rjJgM41c-_hKn`gSE?wyvipSl; zvZrl^;=NN)jsRLSOO$0^X*0INbgtSbj)=gxP+O1C%Z?0ykt}SgiU&faEQ%U>WowHG zww-D_2iXE`o%^9m0@67UrtGTa#L;Ftd#qFyru-`+87@blbh*q^GbG570n|J$b}%$s z9;(Wy5)L&gcg|Q`GR;0Ohx9{6<`F`(gp)BL(du|gs0)gFWG`rzBa%+}7$Wg9L;><* zOdFZu_GoZ;fQyKtSzx z#%P6(>hqMGoU#KZG`^IWFKS*l{b0c)hJSjoFs#!LsNX3|QzGaY+#ziOxIsnaqs0b_ z^J;NH$l=kcA@H>KWZHCuPTS-n^4nEs-1Y8fmjFBDUaxB@6K)$pdnTSNCR%55#F|N z(F(Fk7`}&E#H8E^c##?{N_M#z&hSDsHsQY}BxQ?)9l*<&>bxVPOwUqtw0p+psVPVr z{u5K4ybYWrfn($%U`vvU^a!Cus+vTaCVW_3mn1fI#LZ|fes28Nd zL6qEhYpaNhM_zoMv{xS>O_X zW*Uz8pP9Bd`m{XZC*rG@+4_^d2=8OrInm{Ty5hZSA*q4rK}Z7R66$!h{HjoXDE85I)C88Zmr4DO z+F*tARi`QIi+bYJZ7NEZR9P$sN{z7?2Oq#26WIlCj{Wk$W+3(U6g^_-JIQsYSV!=| z!uj=Re$xc6e^#P2M)TiZLk0r82n?fR7g441yQ16zzh4s*=7%wH3l|gQ`A8~CMOk?p zwk$V-qB;cR9qbi-2aEW?_eotq&pA|nBd#X&t5xT1n9@uU;&)R}XhPd!D9{LYBA-N_ zkaptoK+|^N=T*ySFkmEYBHg-2$DsrkilHpfWArQne;5vCXO=Khp`P{}B^|XdnxeNk zJYw5Vd!s8}ts%(m~}8nb~bMIsF7NwJ9;y z#2?>!P-+dB7r9p!91V|^T`eCg9mI)@2(rqzv9nKyg@M)#8dYmpYp`}`Q!5~F6|3ZC~rBMIU7EJZ$Tnp*wf=Cq&PtS zTpaGnPlApVz7Lev+fVl0&0lmA`no91h!i>$ouL7RDqFBPG7+Ux zu2zq{b`V4N6L?^DcHV#ah7vl@o1Z58lfC^Riug|V2E%4Nx&F(rKRs5K?Z(QU`Q((si&t2h;`r371C%hv#OOI?)Gb!0J_7@h%7d4aQV1i~GU_i@I# zLIev@#79vne{B}CSiY}gyrRQ(&E!GM%@`9NP+A;~+_+GaV86;O5^Q)tL%N@#=1Ut7 zDFRO=jtncQ2QkT!E*9uM(^i%hKu(ofFnd-Dh7xnQUvdh$It^SRGZ}_c&re0oPZ)!j z=hV;9a1E=^tW31SaJ@=PToK>{_ahgx~`GiuCKnB8I+WD#gEaWg6!q%Q=CiVh};z?Jr` z-D5(g&;u$nNFK8L9w{oe3Zx&sA}tQ8R*G5OQd-(H4t`U5S!qI+YcfFjUUZCPJkgVQ zr5!WZI`)`NI@L+J5Lqm|F5huitOqT4uGn4JF$eN!B`Wzth7af3qa(=RNc# zi22lZK4%wa2&Exs4Iv=OeM1^GNu#txG>hj#>M(a%E7=@-{REl2Km{P?>GP%GKZ8)G z12C`LE`yriMD33uzRmF&RJ9F>f{I-RZn7c$E>rme$-*}W0KotiP;meh4vOC!IG4SS z)8-QN%fa?_)t%4h8p~8o6e@3~Toj}F6sEKSbf6(k#BGt}An&C`v~|KrA-B#*f*qCA zFq<~SSsMIPj*wXOp16bc^G}z|1{BQwb5fHco9+J5;j*8V)@S6TK;tC*!_qFYj0=*y z=zGAu`u=3svL&e&U7xL?D4nd39v--nycKl~*6=!Byv*8#TalyI9Q~#!G6(%GkvZr; zEiy4DCxDBE*fdP%_2|jj1;bUWS2ykNL6v5ve|BnA=uj58H=TYI=ebrbL`>RTqw1KU zZ&-1sY8yS`;W(Hz8G}p-6x$xuJ38Nzf~!nZS+9uBc(Gcmu_H!2w<8;KnrVGnXvQ?s z3@I*+0@Owbx3prYIeam@rOlALx*0H~JZm#;Wwq$MG+_;U=6(WJhUzu<*E^C3+S}sa z4_9~e+q6gBJXdQo&=Ho1LNmQ0B8<=d8*ly!f4E_o6ax>*h9`1cEh!fu;=!wMw1XXePi->HOIO0|^;RU;t1d zX>=T$xtAeF+@Kw%TaB0)3k0S%*(}5CrW{mAN(*bcz?s!1U za4l6C)Mjx!gziwe<6#sOCV{R1w|RzuD=KyMcA@dou zN;afLmO>2MeKgvqjGtYc6Ujc&m(s6@e_5= z`?SmtD>{A>jq`c$|NC3d3ipTtFC3pd#P~VnNg`eH0cQ|F4kW|EB!qLoEg;K66d`u^ z^7xz^Oy1J}&d6mV?gSw=LPPP3L!|CT8JS%7?`)3-wq)KwexC#I_=K&?eyd_o?h_Fp z?cL;8N3kQ35!b0|Ln^%KNfb%TCtVvUILmGz|y&YV>_wzoQ3 zIU4nOaxR{IxVlFzxo?2Xf8S*_haZ33k!lXV_x|r*A2l4d+xy=UQQ6+@K%9eiQ3nb> zh3DXYyFJYR+uwS~@j;yjoE!PvS3sC$(Ejy-A)bp1CTzQVW<{tV zM@U4pdJ0r05Ve@dGb}LV5}gUoSW`E7IJSvs)RL)DFf@}^lSFqsPGY0PF3|ubOHFh7 zjnvuc4<8ed!V!Q|;COcW^0Bhw$rL#%R8Hj0WpT&?iX$Tq<;uy3g?HT5;z73vPxE`i z{b?*Yg)0k#gG)~_7&|@u39*&ql5DuyM?P0~yIS61(0_VLBUxc?S#)6jqU3sFV&#-R z^$9tzxf~Ogz5N-L*%Koo6?Ace9vI;S5X7M`0XmkUE_T9`U4eIWo_YRTf%J}fLKl&M z2c0Dh{zL@>6fh9oWZs_ykP?t>LpVi4FXhF~I}}W3;hxK5xQ;0}#3A9OjOi<+!IgI< zI6&{UE|{y0xrnHITHaALJYn4Ufhd)&A??SS@QadOt+4we1s5= zaujSN0BH*bQ!<5xxk>#ArGLvcERj=tN_yzcu{Rhx{z5SAWVsq#X1|&>a1po>AqD7Z ztIk|?6++)UF;64|7UpDF9IK{m%m*U%xq97xG1&V=|y?UdoLYd!w?2rA(|ePsI_3jGY?L z1g)vASwc@-!UzxW2P>||L+soUNt=MXz|IOj1b(C#H39-Dhqqsypj>V8DN;*5s2@V) z6&mB~_Yazfg4cygEPfOtT_#+h;3G;#Uv3ToICD}!I1P!`hQyo1D6q(1WYoEf%(zu% zu!}*TJY44-eMa^@#Y3K7z0-%}6WT*6nKVsE=Z90=GHvvxv``Aiql*CM+NFV{=3G1AMoEt{P!#VyTO0|3vYl% zE{8M1f7R8d7Hyg92w1L{d#nsOv#Weo)(nT8v3Z2O8Es3BlrXsY3vNcxbrSa69}>b{ zdXRj(2%n!!I%$NgKJaliTXzj;4F4WZ2vlL%->b#(Y>mQVS#rPE_JVG62ti3Z zm2!nDXg1_;Uz;G00$XKx%0_WrmJCN9%~j+CF;N10gz!Uzocs~zQ^UGLXwKTlVUC8D z-o&9d8V;<26RU$95e9&O*ahD9e?#?K&Iv&}IDjGlebHZlMF3>_-zghGwcUV~L~_3q zdBSMQ85zMNgpL1q6GL~*=vjwkiJX~;w_DT*V_M?urf#YSHbcmc8)(SgW4`%(>1J%7Dn}Ty;1Aw85+pph&(#% z@7pm+UYTQNj_WrZpPw8qku91_=gw(z6E3J8I^q}@LHq@{8@q$)!}U4xae@9qkrfc8 z69(xH|1`=#1j})dhqNLhXVTfh71IdC5Ew!OQ^Un3bU*;4=Qt$rv;xq^L(8i~~17{N1@pSlGyg`-_Gc7?+CPWkF-Z z+GSxcali)^Nt;QVdY?q~s0A(8X#s)GIkp2K>om6&X%<+Cr_3CrOkjJX|LUoU+0Q?w z*ps3scPLO)EC}KiQ8|cOvISCh^=he&Vh|vV_Lc+=b@P$F zu@L~g413wQgXMB=dE4UB)bnX6JbmrB=*|a1iqM!`Pz8!GwO6`WtWeZP5um0F@e$Hd zIgNqCn4KsU2$pb*GG-ktghyuCk_Jt{E4|v=RH;yO0bMM?M9B{>p=P8PyGGFvvLst$ z`u)OC7=pnq$dshIJROaw=k3doaHMQl*1VxsV&+!lXVEZs+>iSj4A_9pelM856>>PX*PO(C}rgM>;>-s@cEeqZCHaFY@Gi}f;8WE zdlB($88Pr%Vt>eG@DkUKW~G0hrXmk$*49i;W~`M%5-lo(UMdj+}cAYRN1w-u`K$Bc6q<=Dmkb_n? zY{rg<5a>oMZ`jZ(1WM^1Wu&%fr-arl*V1XA=&AJk)!nT(R_C^jQSE-hf3(F zkBNRJG80QbnKwDUY)m)2EB7r+imU=lpx-+fGc923c`IZdU{u00AO}nF&yS9V1Zt3m zD(x;Vd4N&#-c%sr}^H|L7c|45qtK#W_j|TKB{zfI z1hadAQ%VVHB43h!UL^;yHKx0r9WSh8v^-_c(uQ_=XE8}CXS57=%Q@EXkmF-5=cB-Z zKC1AWLdh>k0DA()gvMOQM=w#$?DFXN0^0kl*?P8q0oNsyN`|M<+Pa-2gC~3>gGU3s zxcNe=LYP1^Z9MkEZJI$a5MkWG6iiC3$tjadI#5xST2r{G0USXO7`$KQHaTV+o)uN^ z(~a^20hHtB;#~HzSZp=o0mVrOB-@QJL3gm#b_zhHE#QzJZa#`W0dWb|N?3jHPg2c@ zmn-jGOwhL`mBzd^eKokJt17F%I8_n6vbcY&Lb|;3FkQ8*>||;_&PeuGEt#8;Swv z-!5D7VVrjVLh-5S(esDt_a|^?Q!<%nnsvpE?wBCPl~|o$Y)|KF2v|2w^d8<%HLPuY zxU#+-6LpPaXG~4H>%TcTIR9~adAQELU#nZ7DGb7)vI0KcK$pmpdTR;13e?8VJ8=Sp>3p z-uoYa6RcX$iuh&fR|6Noc~&kXUp41ft%;TjG}iQo38;6k3%a%UG;(VXz%9Oa=7wd5 z%i^V5<9gymw|~7x3vFglLrx({m?>o!=s^rA}Ckhf%J`PW++|b)0Be|QNqYQQf=l=w?vP}If2&7BN z;qFkHN&iLkt559gKvO{ZJCG-RV@wtn!6$Vugu5V|eOUcFV=l?4ZqdZsNrYF4{@me0 z@O5ra%?GfZM9V)y61f>v`NiC#%Eq|Oq&<4Vk&9|cN;rnkzMrGOp)T@Z|I*=~1q!D( z)>qB4>ymRI>yQm9NifvrfKwn%ZL8t>u&oaKHz`*Bcx7-#B4vj2_>Mb~bBd9Jeq7)i z-j8b-SThZvVywcKYqOLo=kW$*O-L;gnePc@lDo*Vw~E*xT9wjSNSkae%M>bb-r1PH zZ0pnVn!K8sv*9IMBb8Td&(Rlj;n^~%U|vEbK055r+c`;|d+P-h;MxTz%u?nuAEKjm zyxU;`_mZR>`GMO;AMpU5vR6kN2%109jR14h*dXPS zbrh_LRgVO!ny&^^@ZuJvCa*J#r6>i&V0uRJCQS z-%Rpwz$}YmA!SP+7Eah^T{OIIGQxJ*CZbNF<#pA88Mnvoe8Z= zHK8FL(OsmBkZoqBIO#h`iGZ;iO~v2BbmebUtnh>B0&lDz(OgvM zL?UrVVAP`*`t}e#Vb(`)kU^fL$qfp8L6zNKuF#+E z==|brO(pj0)w_SxI@s%V>0r-ovy7j^8A`!zTm}m0Ik<@T0wxr(GK&&7>BU^7nWbYO z1VSVi>&4>mCA^B!20-qgD2wJm3JPu54pXv7FjKMH`M7~;5PqYAgqJ06n?ERomK#lu z>KJgb*BTZ|A_piUoC;GI1v4(}r5eb53ag+Q@|S8aG3w8u^%_@0>6IF;vKc$vGJ`4N zvX{ST89R<@$qz~AG(9fGqR+Q*AHw51Jpo}lJ^GF$gT8cKQ{?6XBY->G-9i=>7@^yX zYZ}(+Dsv-ywMC}8g`IY!N66xqCyX z9U_bk19N$P`NoR2rM1${xrkhBJ1RvfBJxC($1#N`mdR0ISZ%~9qVh>428j^~f5Z<& zd2It3z>%x-vN@L5Qks=qyN8Sg(e$Z~EmFu!a#dG^3G=rfw_5cGqKp6P>Aze{(UnGK zgK&|&4=JRHRP$ZGv8Saf%QsYG6EXI6$|_&SOX+IgE|B8;2f-rxuSWi9`-d>wpM?FkgYW~m zC2Lomi?+w)Azf^mk*}4;#XyMGQnrMFKS+l#Kg*9z(M1k&9ZD)4wyatov~S0gRwwB( zJK3%mGw=ac2u>&yJT6|hZ<5AzsCu`>({%+Y(V`ABP@#*FM)KcSY3&7C0c}S>idHZC zdR7MIK`GebgaljO$Ck6r=4v)Sf_DSXLeb&i!307TawUHYWTl4@eGlK7#@QqSBC9jE zi#kU2qe)OE@OOmfRVxuavRTh)6n9kWo0rn6R;KS(R?ATQ<5rv=RvmlO6J2A z0oO5+k4^XL>w^^<-(k;z-}&v!r>lpXPuIPjAAbLE{ezn-mOLVjp=oab{vVGSmxQk! z(t>T(45-~2mgRE8-Q!1OIJ48m3P?9nDDO9e@r8Ylm`BPb z`xa?$S;5WLm_8bx*K`K(fItQL<;w0C6Li<3U<{8D4ji-!JT5YqR@?X2A8u}8G3Np@ zcDgt?cUEYdoe9!xp6)s-k*E)!{(S7U#hu*~fYeScArGal!+YbLyK7h%YsTQfzY6I9 z)|;nRng?ldkW?669NN+<0M-iGGu`dt=vb9YREb3Nl*^@mAuJZyzU6xrK^EaNc3a|0Y(Cfg(zEtFIFtke1^06&O6CI*f!C*CHpU4m>OihoT000AD5>O zFIIDE(MV9`NxIeHV6$BvbpPXL=%TZ|I73Vkm_h&y@n3M}Z9G_(Fol9p0Add(pgmMM z1(iv95@eG+)PtCxP8=A2+s6xh`1IxK!5VFo2fvPoPrGmH#mbjv!}@jj2Ji^l&*JG3 znI@$It(ah&p*2%!$85@86DlnMX(tU2Jj4%2X*ku`R8e7pTiYlEb1yg$;KamM0iP-0 zZDOj)A(Y|fBTh@TaUr8%!;^m0hIheM8&cwtgNp}rUIwIdY&cmPtSoGGnl7+1{+?#A zz@C}Cx5RF!2Ixm5+2^TU0rKMqhuG_gGOblprUPY3n5$8_Lsty0UE zhX(x}Ki-!?XXu*|

    u_{*H{qZY z!@&-84U!}e2$w(x5oH21kDP%JGh`YUu~;DM-@~>^HhA1sC9xSlT_zE86t~I3|NPI- zz2IV^Vd%qh?zd={b_9|+Ms=TFQ1~e+!yA-T+FxJv&{lPS6WG zF~6(hy6ZD~MCkr8qG0A-^2vNaKO$ltFUMkEIEIUP;83lR3TtZ5QJxgb8BmzO93uQY zXa`iC%=xe0U&w7Y2~{N_oMdsn24=E;-DPuoRCa+l)Nl7dioL%MH#W_K-Xdj8!?4mp zcx@KbliV!x#V)7l;$a_!!JkN}K5iH|ulc$go^iZ7n`lS@8RLSvgT$li&LfLa{Td#S zpylNrVkmMJv`sz4fJVgtdR$SQ%+>1kxoNo#MZM#-X3fO?iQ=`yeLcWg4it{z@`K`j z#B!O#{?$QFfays7b`Hji_1tvJaCo3!R(#1*vVu&?v+Wqrt^nvXfsDH*RH(UgsuHy@ z`L zVjK?|%tbktF83uSte$9_jVVuc*2a{-%Uz5syYtCVGlyr#OQUR$oF>_#u$!;|;4}2q zxZwwk9|8;jhy`M)j#en8K2OQSk8 zZiOJ(@)91kzA1Ndz{(Ov@#IkeyngWKiQ$@+KFPsllwu?G?Hbr+zoKPlO@zJ|ZO>^jflsSxA!9_7M*Gp^w-^xx>N#6HK=qGt$0#zC{Uo#W zSyC!K*t|YkUK}EW3f@;OOXJ5R8*<58`>Z@CU(nbcqZYDzC^{hQ(duBJC^DKNqx--c zh?$N7|DNiwd{ODc!9^GpzVxvWKguZ9WqLU!Zcq?Xu%~!ixCD7JMV{-s1+g29+rdam z2_!Ovf)_nhkYlxYsSa%ebREAGtpUZgmaF60FY*-0e{ki0!0 z>qJMj=wlCDuWRIK3PB}nWZnLDeRqF7-T8(-(K|br)8{+MW%SsTOBj?!DoE9WbrJm4 zs9AEPvZF4l zj}jXUS^bV(4sPCWAEKi^*>nw;u90-lwgb3_+O*;n zhaK-{FS%A8yEx}rKy=HpxsRarb$ zb2SG8l9(-LCRgprOozgYCZJZd=;bqwo^7+dNMms@0gz-#OJH%>fb?TTSg(a4{R(vz zbXCXee@6%oJi)8(fFZw{H1J02AEEC!E{4A2_?vyll^%e=)pOn^e37q&kunDp9pI2Z zD4)U0+GoroD83zumFtAUO+A!#$2NP>8*=*A9HB+(X|*gDe%L=_23eZlIpEoFU?X}tL?|W0 zZ7_nnwC2%TKH3o5?9P*L5l&A=^b}R>D!rvzRb_Vcl$B)sfXMQ|{q8P&Utw680nE|m zov(zt<+b|H4o?<zCX@dj$;9-O6CU1buy#u1y` zAnTQT8-mB@>)B?%P|lfY*H}ehng@rjuSXRo!0k7hLl2X|uEWh@&AX z!cA$^y1{FNU@B;+Ys61QZCs9^JiLR@6>Jv1Urh^sz4kxialIU{3a}NqXAv6V(nn{L z-Uyy_3+3n{a?8}qigiR03TFgjlqzg2DqhJ&f9Cdld1CY7H?h9{J|p|v`37c%6n`Ub z0eHD39N^9kDsIgld|y&b2vP zV=Y}b`B9etMns>HN_U@zs2Fz}d!0nZ#9jSr=@6pIh%%Y5`ogK{I0KJaU-Xd2IxF$Q@hF(Gj!X^Otg5pvc8Ez$1YvE z#+f_Av_qx=z@J~NsEY`5l}fSz(+Y$<+ve+LIg>~NhI{b!IAMpn^`i8)D?zr#_5NIJ z7AEl>4F^1iw1-;h&|3wvk35yG7JX``K@NK(K`spbUn95t2_BT!;%tp}EN^?Bu5zTh z9jUs3M#S&#zU->{=nd`Sq}`s(0yENiikFR|RIwSa&?!)@-XKZCfUa8dVk3DyzL-3E zv?A+sbW>wwV~XlQ>)Gjx6&eNy34>@xa^0!c6q#^ILHBG_;7URnhE zk?&>}!T!W80)OCIo}!~AslB_L1)a-YGW|roAn?ee0^21PsVh2&K~zxd?zV;T6`jW( zs-E}vQx_k!ef6QWuIGNVa@bO+L?esJK+sU4qf#?M^B|2AOF2vSvG9_xs_TWuLDcL( zw5Rzql3OInVg9yo zdsTE)?L9+B`*07{&$K3&@%E7FZM9|FMaq@l!E8Yf7ZLpl_A2Plf|9eWb+a&8jNiP) zva-XV<{=CO*T13DvvQd+dlecKX^F8<1LFuinT9mluGzbI}mol5)2%t{+}qzkB#{2icQf2)^}cdhoze zZM7NzrMThlL(6S^);LJs)dI<8N9@C`=b49Z1Ww%Q>2A7LM)Xi!E{1Ff#>E6UrtAtS zqkAT$9+?8ctdi`JIfL=ulXK94L~4o(U&6b;K1NC9GS`xRA^wjoR`f6Mum65_3KRo4 zpfw){A5#@{0;3i^VVR4>-+})|LlXc7Kr5q5DQ2+x z48{m3pkkEGvqy`o`U<`grXXkv7nDJXr_~4bgNW`g&VMogcJK4`=XYQKkW*qFyNk<> z&_&`sWERP^KLKIrjm5!d60A93UNxRv#D7SKtQG3udkEQMNoVI5m-I5>_sMMS5oG!{ zUC*6057&+6?Bpb_Z5sR>w>0srpy=faosY`gR=(Xz_Z655rL z5lKKR!ww`#y)@&Ly2D1lT6k*v#Kh{dO{@80QsA;-UST)>2*8lc-kH68u51x8)Xj|SrzCiH}>o=Vx!P7a)8MtB^ zCtn;b&~O@IGciunQ{~Jb38MK?Oa^?7YM-OfU|dZ20Fl+IGs1#71GES%_B7WHc3?-} ze!07T_=Z*sEZG<3E!&i_iZJX+F=xMif?;^p9X_*e=?kor}#BMLeBM%!~PVxO|~GRa#y|}WA|HU zS@eT(Xq1|97bQ1(HXw{-QP2%6v-XZxuU5z5{8q*)XSg;?&oRj$)4?RFuHkJifrEU5 z{+Fp8iY`awn|um7c8YPJ*fBOw>9aa>(P;RA*05{|Bg{ylTC6r31QM7_Bx)k0qrgA? zcW~(_xhi#3XUbiTtoqDZ(78|~StIJ*KLC_O7aa5^xx0S%?e6~Ox9c5VrXw1sk zr^n{y3`5BYp1*TI80llsvQNQN#f$yQxHH@p*P$H?$Ut5rJ9Auzkg(u1S#lzdv2^y{yG++V%F>)CIV z6$&YGre8C>h+YWy5AcrTXA)9S0L?Gxbr|T_4}sfU9IOy>bsC?C+xhMGElOm+X9Wkf z!>HHeugvE~Wmn(G7+#;cXU6qy7%62Ecf>ptC4fXrB|~+NuUgkkSvYw#1N^RDosoeh zecpNIV0JU;-f5KYjg~`qfmE$_*yEg$Ykn5{q1y}ex$MJa(BBL5LF3X=F*t@)w9pr8 zDaxBkOW)w~UL%;SQfIsub@xHmgc~$w>U(*;(BO+Mn6HSl?f_Gz3@WG=L#pob-p z5kWD4vKxscz>ngpvK$EHQwZSNO0`Do4%vw$4cu9b?n}6RQ29dTlBVm{wNDrSFj6b7 z-4S&{nthr+rN9W~4Dv!ojFLv5_Nt)aHR?CC$RT{rXU%>90l{Ome=Pc3u0)gF4CT79 zXi>Ktew>V`!2!8EOpISinbevMOw-JLm*!XjF_K4^?bZc2g9HH-jbB5gk;Lmoy8BuJ zLW%g5G2Z$F`*5+nTcUG1jWPv$+Z4S)vPHHCEEvx}5ePFHW@*!)@2j{)5}D^CRz~#J z(95_FBW$I-BE4lxpuUa+Ut^lLBcH4C$(dI=F%QD!C-!R*L9PC}HP zMkQefPevrQ<^#HW@pXq$wXa!nIC#xS8ZN|-coi<;`NfNKJ`M}kh+mzRK*nl^!!rv< z1h|=`$QyhH+i6}CPA|DADdq~fJluS~zPoz=e&^x0ue;yy-*3O6UB~+`=s>;0KY#p} zhu>fgzU%$1$0_mOf9dUDdieKWlj*>QQRPd0Vwv#E%4p95R-g)R!-dp;1H4_DpDjdl-lO?A0a+t++Xbr&h*`ide^h4-3rz z-ud?G{yOKG_=3PVEcAdg1C}{3?wW8sCN0~Y;RvoM6*D?y+oEm`i0>L@gQ2PhY5T}5 zrJ{suc{jom#)SGhIpjHVMycgw;PvpRL3p5+lec*>uO0UwWCqqBGn=B%NSQU6^d_mA zS(MrhYG!f0R5SD8#0w$~w~UyEImR6!L>Ea( znlGOcyd+O;&(R|$4M0zNV@OZjH{vnj95WA)2n=bY5ZqkTXHps4Bv$V2M&=Y&4qgAkbu3Ui9!B{oNeZkGeJTzi(g-sEh>o`Pn&G#L>UbXn+FX z3~khV%bV8#?XvR!o&Sicx$HCV2a&mD2;xPKfZPCI_3%Iv6R$U0ZOu{o67K-Y$v>tB zXG>-*jme2}=B@1xTj6QJiY4+zOy6Y_yzLfVSiz`<)J1JdsrxM+S-BD7Y-w%^yl(Jq zF$d`grcT$0(_dv=_9e0wmWcqDAe$OI13qjaOp2w5<<#N*!y!&^dWs8AI7wJW^b9=x zC2MQ@$Shs!^dUEzdZVi8K%41S+L5v?p}6>X^X_q9hK^ZbDWJ9^un&C_6>CC4N7oPd z;e3L84vs+W2G;xG*B?^WD@#GTk=k>0j+W2SWvGc)J7aK1FukTWL!L!e(A`FC))XXr zYosFA+jDr+6bNl9jYPBDY9Pnw(j>5ZJp-`8MMkBzD^C8nnT;W%)a^F!Pjc?%M+0hu zA3Y#gJ1tPNyvClrjRf2o{39soqy|J0lZFF)^$I-V@&aL6Kz3_!>uin_PIaa%xxapj z{7Mf?l;~rv(`5d}!7y0uF?DA!461JMm1iE~;kfSN8wQUt!Xm;5;rxL%*=4yK(N00L zAX?|4z}-9R&b`d_giD2RB1;QWfpU0cK86^qPED`ms?xdRBUP$<<3$AV;88m{Iz8H4 zK#7jUbyMy@SE&Rhumy1c2o=m5sV)>c9dmwoor^b@>(sZJ59sq48>iK*yjSuGNZPiy zO9(JHW0x^ir8ZbOSk-BIwpgPB&11$HZ#U-0$D&KlY++9WgDYLrz)+7`9YC4p0_b`J z&jYr81#!4JdT|PlhDtS$v?{&7`FMNv2`z^{6u>A1oU?owcb9Q}n3SfmnaCk(kl2(; zkVbN{LG=Q~4C72dCcZe*i%amCe?JUcvM!LW^lqtjd0_0dG@PhmvS zI0-(WNq@#_m}t2L)QMVqN2R!}RL#t;H|3#4iL zGxBX7x*OC&ErX`chX;*&9Pn|^LFtP1?>!yr-$SHd4js@Ra_AV&H6Np7WLdH)o5V`) zG#z2U&_Icf56}!+;UcGK_*0%j8^GrRn%2Vi3`nrdJ)^z&Z`#}G81S{N49ntb`1k<6 z8v=fQUN2AHIO0`J2QutTdC53-g~ztoy-;Qbx@cNk>7a`yZYKp<6?D-6DL^_G6Bzuj zREJ^Gm{D797)~?#bppp}n>EK~1whjv=q>_9f*I*ik#eB4SBvq?><_76P{m*+{j5-X z;c|4C?X?JkS5X<#i6M(0jzj#gP>slF2Wuk*_Ewt5qS@f-MM)Kh=A=iT%#YaZ{Pi4H8+Q8Il|F#Fc-6I zm)yE_i!z%c6zyCDIN2FGTCl3%xd?D1OnHfaNwG~zd2hdb_<*kPLRNaJrJ}!8#80)z zXma%zQti_hF73qPx^n}j;g3@&S9nxv6j*C_^A#E*NE7?i*Z5dd(@H2-$u@c5_|Q}Z zQfm#&LbQ^8sIw|1mHIubaGiro9}*V==0EP_T$QO%HGU zHTj8hNQEA)FkRj}^WBa)3*RIp|H@KX(4LqY`pLPnb496KoV|E)j2yzwA%FhL1bG2^ zc2qyGfVTKroCriOKtT7!7{{wCr~vm2b}<07HMfevf9Aa3RPlA1x7(%wOef>-}!HN&Xq6``f3-;V-PZ zT|=RhS{*ytw^(mjoaV~B5{hwon~bJZHZK%Xmd2~7oKRI!!^PD7%D8M#Tr{s~mXzhGEo2a~0anLUSaF(nP{ZXuTZLT@Q8*J;^l1iUU;9 z=)xo=CJYW`Ov6KfU>)G%_j0qIE~i13lWT8GbrK2{-QOU~rkqI+QwDyaaDX9dQd&rIN55^#!ZW z(H--y+pV_5<})a-7cPTh82cOfqW5ONa+ZY^gPW%{;E${_H5--(4$bIUf~+$bL6UC< zdvIGLSSm+ifF2mG5*XU`Qu)1PI`Br+?pwB|1-bPzXvzyz(m=Y(0)=X4;`mgi%=(~m zgexOXkL9=!BgLCDVof^3f@aAfqV51Vfps=zvHcmjmF&cHghF{}I^aT(%Vo|37hAz5x$v_Ue7bE7O#!eBZUyfH7$_COSA6j#*{^o2{S4rt=R`1KA2jJRS8RU-`;s zW$8iojB5c*t2T2H*1EgC|Muy@oQ>>ZigTM+Ddhz%(@fXiKM}Hc_37L7bNaNn-Emw` zr(6p?GacYpT($K`5NN?_?vf|DCd1l;{vbuRu#_ciKWqE*@(@)wFtt6Gp-gGmUOilY zzj-Jdg1TOkvI4DS5G+L$YZI4_A3iV>sn?z`Ao7}WSl36R^(fvZPINmfY=s`h5CYt3 zJ*8ye>EPQC!8Z@6;~%b3vlpoQZZDfP&t{8V(>&E?r?Xft!CWtDd>PY3}xM{ z-*`v|o-8)4M&U@+Wai~cxmJltM9R>ykWfMb^|Xg7GO032$(>|n2i{3I+1tvfZtb^Q z;CocNE#KC=djki*sJ?0XP0k=y}zKwDWOqJ zLeUN3LEw>8H`LiN_C=i3*)@GqN%KDd-Qt#eniLU3t*oTL z-L0P&ju-(!n0y@+3S1WWs?DrX}wfeNxo`?-4+6#I&Lr#Z4_#i?XO6xZuZDbd3cVUQosb$%QEOfRZMHq!@|& zY!TvD8X+c#7nMV20N?_zv07Y!HgNEd5NwdZY*Hn@Hb<7H{f0shz`o$NrUBbRMd_Gm6fMhL6F4aaiDUHM{eq+^!reG z@IvQsp&#>?L3~(OQ_lzO27*|$s487v&^zHmQOOh)CDUR>8A6Z*%HA1ziOW<|Ynv=% zf#s1558r?cOVeGAeg_c}I%CoZrTYs@L8MU!hGj2cSYp!D40%RJS%t2UZKXrHI~4;z zQj~X;s7;c+%?|D6Wc^DFO(7 zqNb)!J~wKjqtBFYkI7m#E0~73 zr^c(%hqj-mb1JvhWyfGlp|05X0TRFnpMWquhQB+)BOXWzZS9_&TT&65O@ z&(QSYi0tJvpoG`UBLt!AEz42ky$}RO!+XP^pLUV(9wd%$WnM59L643BKW&v^Ica2u z)H%6`7jMw_gQd;3he!WvEQ$E*bPiaXkQ<^O+wHGc_rT%be0sRKl{Pc*nvlIkOBp^? zvW-MFZ@s?g=2KT-^OWf6&~@|l5iZ`q(}Id7_>t$5B?JE2mCwm*N3Dt)3KR~@_j9J? z?Iz&dfo~@iom3SZ`GIJ}PV-6BiFq>#1{Ntyw6gqSt~_RAaZ9~&81g2qXSgihB&9;0 zy;|f~DVHNkh19AXwwLr`ih47Nwu-%yqZUW?c1}HE(2QbvK&=iS0)dZre0i=h^t|=3 zw;&cvi0Z8?BXkk?p#N6V#BI>#g<((Z^=Jc&tP2i^L9MoniTDs$G4eL7i4BXxV0`{q zg>sBby;dqlrzb~p8t_}{#$cIPkhOEjNv%3TUL@faTp$$bXk5Fg4e62xRg66*yn%~U zik&^1Y32Uu&eiVQ-FLh1cdvIp?0($+^?A<+dRM!>x2Wci^RwH#-tB$Z?S0(s{rX(Y z_tk58!PRT!j$2f#wbM?Vl!lAY5@TzeM7wa>yVQPd{;YRcr%d1vd z7L>y1m};id9EA~2>T{7_!>Jdm;=vJ)9IL!49N1q~TP@Lxet9>G}%1`!Q!%3jJZA$c5?@Lm!4JRC`wj1CY&s5hu5fxl7RHwsAg zZdAImWdlxK)z1lanVr&SlS%M0gk~C?6f;r)BG7RZ-tiL4q&?MBiCQO}Io#BxC>36% zOd%9nISAXz#zz%ICD0*|kBRo_j3N#yHJ6Vcs$v0eIV>uSdf5w^Bd-Xbk-ChmAQw0S zn1+MG@tf82h}vSf&NhmWt;GL?NlWOc){LnCeILV_svKC``VIR1+PnH=OTA13d}S5w z%mN>U^hktRN9yS$O`~uws0V4{?nMa+Mtiha1mO|*G=zy2+V$fo7Bb8{#1KrMcm>C5@Sm| zD=zs_QG$_7YZ?Sb7zsD$y)o$tFnwD9}#?ICIx!l(U!qreDgNb;~C@zN@3&9GRLTTrOB48jW0c;crB#i z+QDbAln?E0MFij5kChB6c_hJb;RIsjoXjpzGE`_p;!7ww`~oH9ir^?#693Eji5qXy z2J>)}@FX|~|M-k@f}g)$J?!Am-J8!}KkcH$HA0Z^9A4djMt7hee!>W@^?vC6UmWlK zu**MjvG>FOi$8R_c-O2RTQGDWH-+@m5)SaqRb<;KIzlU=MEwy#=yAXgQ{{8Ig9X5R zRvt(c`uBU}2hx!D;v<3QZwbg{ur$+)75h0G=3}%|hairl`!MVbxEU1l<^8w7f9a5- z$0#E!rwWwANLXRl6lIIf%oH(by^0`W>(_lrs-v>7(W^fgwTvaR7162wNn;Un%-)`7 zWynVp62s7eg~Wu~3r3&M^6b1Ua$27wPwelKn56lEt4z>W)k<{xIID8}#2E_@b_%f= zwH&9m2E*t(?Xs7LP;Vf$&_8&&Iz3w>KLZhfEeJu~Ezd_k?T`9<D-9$>6bfp_k#!u48WZW{^J%q!vpV;zdTB`PoBvJZka=nK5u&Gpv z)J|B_?PBNM^WHnGCkr5W{}<^Z%a^@Ud|!utv9y$*|RZu!1Af-TsT6R`}*}q-xXnbQXk__=m+JV ztgY08ID+am9`D1m1$q7sb(ilD{bTG;8@Hf?C#w@~KYY6Sc;EY{zxDnPO2c81*Z;*| zX9wENgkF|I+-mixnx|ESpdO|#WePAIpDUMHakrZ|HMVJlx!MO&r)}pi7#r);7 z55T-#4Ci1|oh67d%6g>Qh6&b9={%CpBd++=6m$i8Y6{#eOjspBn z9Fl!x1W-eme<_=Mc^+=GziOaeWhoKV}LI_qKyGv$HTvWSr5hyI% zS{LXq1+eV}Hl|9HL$VU|9$+wc;-5Tj3m7w&=U8a7c73S&&V zl(EUvy4VD&SR(($tvD9HqA(yWN@P4R04(Lq4|^k&hjOoUI5yIqF;P+L6Z91*b<7Hz zAJ0x-N)bfW`&*ZtAGSUtyj5W^Wf~(+WygTutuie4bCs&yUxf6;<{}k(!eyr?@OI;A z@a~{2KW+-ysj@6d#E>Kj`>slL5eYzqQmq;7qMC?*7gr>Ryh0xdW`@3~*tA3v87d)U zq_hU|1mt|w?&E8Ga4A39YZaa z@u{dL6m}uiPTK_Yty`DzjBip8o2VrPP$hrgYzz;8(HpMGNW!O?E3=yV~DzW`kln1j>= z0o+b-xIu}*kxUwM3CPRk80PM1l2!D|m`UlRHgfvS*d%;6HL}KKC$)~RS9<|rx7(X9 zZ||`jmp>=+(m4QkOKSfNdwfmO$l! zcwm0A>+&g(leHm1}JY1si0hW~x zkH^RT)OXI3!yF7fI^_`p-QZu_elJ)R!=PsAj5?ff z&yG0JwvZxp7c2=_V>h3aSt`>FKwWi5Lu?19vbwwL9&4B{9TVk58F7f>s!2#t3`Nm# z($hwkfFg@$8hrb3eRc|W%Q9h5=Cna-A8VYnP6T8uP6Q(-19v7v1)C!>-)=~KEO9}%GSK{gqruN@8&TL2KdAGCt=``w2tOCh+GchAul>m z9XCRF`T6R5Z|DBzKVg6B@9l%6$wBu%Bybu&ZrF{Bna6jd67rwsSXSaan1(*XlH&K*mDRn~E6SbI8;_pK zRGCVqO3Z4AA!>Uvad@b}oy~}BO&*|YitQ_WBYXS3J*%OTSzb%U>c<7^eO zFsc7kAFix#$3$IT=cg^VsC%kPcWLgcDL-abGE`XOBgs;U{TxShh3HR|6(DBt4SeP! zw2W{)aTfA;bAD-OS;^V8Y8o>pe^4ju$i(loj7eH%Al^I?_xW<(;uUi_E|hfIbPRkh@EHR za!ub6C%I;d8n?uTq6{*hFf^ZoJS7F-5Fw)i8g_&kFA4Fmrz=W zP!e8{mWyQ&A(NoMV@&!>O3;LgJU#!&pZDy(CkOS1(ks>@M?yybio|*Md4uwj4?@jGRYuW@dD5bo;k;A zWY{UFI2NR+4*NcIPLh`hLOqmKkUfXMQZUD)E`*dB{-9G^}_Z?LMdsNhL?&kl1cKKNx4+$ z`YpeV)W(-;CA_y|Cganxm8Qk~u#_{i1oKSCm2%3RF zpC&0(3UM34q4ZiIUXmdgc1W=->lw**Bl^eKaaRz~$c@^b8!N6DL>Z*F~zWwk{jJS|>G8SkvC4nUthHzj3yS-(t{c>VKj zaL%Z0>qYYH)MZD&$NaZ!wJTK$c|F(&qvZpq_CR>#=qW>|N~X4=-77-6x8J^sK=&OB zFF#nwbteA;;`!oLQL-gmuFw!)JAO`H+7Y_*e%33RiI^JY28yNN&ANs1{6(L5t%V?` zGF4eht}hzPlp2c*E!hhyvIJeMkzR*&1dKod%!o;hd>WtT4pXCj>}M z9JoT2j5x<@eNqU^mrKx;Q2aAvE&*(8Ii@t7%2Y5w4Jd@QWLl-$5p4AmSW8g> zs2XM3;Z%z9;E1s4bOj;hxjb2PU@)jhhX)~=7imUq?o2CBBuP}tg}}6w1a-$iTXFJ2 zntp<`3^dk^yWnKa=xIC|=D1VDc>*}`SIvk(4MbN=0F5HEjEV_FEP{ZK4m;U(P7?Cl zZqH7T7WoNVi*!M1US_kZXK;0vrf-)+N{-6U`FtoB?68(3B<62c>jUM!IdMkH1idHO zHtb1x>q3_avC&YG@ZMdgsq;r-w4?yiAjD|kZMv7>S19vBaT50uhzkp(1wwOpBs$eQ z6rl?nh_>~q-%WEax}H7gEm`+sH;%K5h31lunWE6btc4?ASKcazzhk1Z0hfJ_9fF60 zU8WE-aN0_;8Q8=?&N=(oVe0`(*j!B0a(V7$&b%fr#p{Dg6o|JToWhy9b9?pqdiU$y zmj|GVcK*4?e*&h?e^bzYxqZ000RjoAq3^r*yPq-Ve`}xhK`9z8x_1x3dO!U$FT_I$)nR3*LB5P zBFig3sEJ6njh7rPPT(k$tT^oc6n`T&rPt3PBhr5Y50(6LGs^yzeR7k3a>GyR`7*i* z@njGw(#WX?3HoHVrR-fEZNxjF4_aX9L6)jLwvH!WC@AIPgljkd(WD*e3Z#qViY}QI zEU(vaAcAgMY3p{~*>d|+!jFR$rVj`^O)G7qiny8t!YPaA##2j<-C&6Lo<_coPV+*; z?3>zn83`6AbiLyoY!;WRJ>?>MMR63ONUxsaZ&FPy9e* zUP|6G#?FF{gCgyWW~*2~bm`3+z6o_yMs;U+eEeA?3pWU()<{JTwdbe^0`)@Zl=cjY z(Z#nyJBR@ok*p$)ZqVJLErx~I!;A4F7mGbaBT*3xfbs-%9_J3H4XYS}E;ol;q-_Fe zem;AF_S2*OzP6iSLJ^C>KpL)MuO>0a(viwbw&&E-DHBQ@a3N`#1v!+PdhtlS2gAQa!)D%VA|m<|+JeD}6%OMz_(Dl8h?#OX z!ZfKA1rR%Oui(6jHm2>1xCr}0+}IvJM8alT&%{?y6GvDn>nxTPtqoRor#ekH$SleR zl@$u6Cy+lhG^@Z1o4sI=$e}r3OE!3)+A{v`hx1V#tvq0TUjBqrf0Urf$`eiBSjt7eauA5A`Nig$V?{LMR)Tk}^z#GyC&_7u%L@(OFDcJH{c zyg=rf`&`WF(i#^M6wSk^CYZLU!ox})Blf#KeFY*#4(7x4XLj0nfC^S#f=&SES*#MR z6o)9fxHyD3OQ@0^aMF zUrtVz%frKyla1%{F?rI7PhrcJ`{l)a92X`=ldX-M81Mz`4jUQ z(fcBuy3FDyaK~31*;IlQ`}q;O1~9OnpRj8<LBqP&2nx-{M$ZK*~ zKZ&e1Q#T6yLdt8;(O>jb(4niM!uIX;?>}wtuCH$&e%gM1d-v%Y4l+2#t~1-8&XCMm z!_GXuA2nxA?9bCVOU?ige@on|Gx=n1w0u1G1c@h{5z}0qkF3vLD9B2QM-(xf1H4HT z!$CzHL%@S_Tz0$89s407zz4n9w1QN6YmS*JGOn))`HEhUFoP)JMW>5%l=4;oOa8&VUA=b)a*}f1gAei?IsPpxu;^kXC z?+wpk^(PU_9Uys=AD_K?p|$|3yn#k9PtZFetx@O_#6_BVr(KC;5cT%@`u}I`O_4n86noo}o&wC&You!ndaA!s`YH!(<1agVvu!c_7$#gMNczoiXVR zY3pf%CHh0Vo2@laxZ29`0@w=hhYD97!S$1|ZcmnliF|&|Jv(`P$kjOji?v+!?W~b zx2%NYLbobArs7>IY+_2!EXkd9b$H70H`OLk^-IFA6NrKajU= zw4G@U%bV(ZKy?ZMpLox45+!C(cKedvA-QWAB#y(nAHwUJ;DVEM*+CPOOKq6C-u~IC z2TnCokE@b#Jh@$xi^PDFCEa9|)+PT$xTa92Y6hYn%1+JuQU~`~18r7eEWMVWg>*WX zS487Y+0$l94OV%(TA)hxG>}t0uWO33>Xcjr6n^#NY6o{-A{)A9M=eUs6ZxL2@jehVu;J96aL+_%8 zp#aDFXaM*MiV~+lfNUM3bs@G7Wi|A$neR|NS`x~6y~8@}QN0Pit3dQf)S9iO@w7Qf zv(&v}%-KWb+d_|20dzn6=2bGmQyy!uWW;58tW_x=3_=#}F@zgxHvZ-F-#*@c`VCI| z-kohp>D`d^aHoBRSxz9eRdCZ@(F{m?2)hXMxJ( z!dpsbtMZs;p~Q8@Gpo#w&kO6PNQp{<=HCHU>b!~Of8 zzCGOSKi+;o&=mCf#M@13m1^oM@I{AuumkJ#)4rZ=a5^eKsxLk4du3`#Z9t{MizlZ` z$Sp)-o=Ju}Q`6tQMd?NqZTgR+Pf!2#>U4>GrtN=frh0S17dyg0@4xkIhzaXApcQDo z=1z$J+QXAj)perwP}PLm+J5yea+=`agt9OOz!wIM@~l{{BzPR4M?|C>2>oii8cDjV zQwNAcoXQi{3MY_gd&bUa+m}c_ydVbx_bA0gWs0n}+^7#@6$yo)f<8*|p3_5S7`GOd zpYH+AMuLGC&g@rw3aiu_kw?kxq4pd;OK|^E_|8$0;ruzHDlSINbz_=XK1T@$I2N2g z)=&Gbl*3a7z(GVO zh+GeabYE@2f0%2;#REVR2iY=BkqgSt*c}T1+n%azjvY{oUm3Me5L!F3whmG!eh9c&ee2RVq~rQ_9|*FSS>3 ztXc+V@bT%A0>zH6n3JSMz**sDL#egN{1mfJK&`Uq?hCZh1v+(+x64w(9D){gPMhiy zVjRUY{*D_g=j6+$r_b;P&et+$%Qvm+Xs^)c9rH(xGO-o1tuqRmPn2p$_g`OyaKv zn$I$ygVcg3692hdmaq}T{+O85V%)wJ+838|1;wVWXevXH;jM4UyC>?Gm>u;5_+Sfz zD3Tc^ISI+os)k)37R!020ZnYUvS1w(vqcj|AtzJ*FrntvgHvu2Cx!R)%BBl^*bAhe zZ(m+@Dr=3&eMn@?7Z~=QfKHlMN8ivhg(|NX&&NNX{>K2t^Fi@uu8l*en;j$EV9T!N zs^`3)4n{66K*QGQB2zLp$(W+7voQMv&%?)76>)LCfYHgoU)E*}mW2)Ire=p}iM$oO zJrow!O_*&i55ag~lWi6=csQhd-?sPg?ltJrswnf*RRpmZ=ZgJ`65Nve{TT(q3b1`GUX zlISDo-dYAv1C(<3s6Nt+k$f8;Ra`?711Dt-95){}Q)T;nFmwaPpld-@!re%uCOjBc zGV#p-an7aw z*Bt+j9v8>JUK?cF0Qag|_38P8D7RN-PvJzT%LkMhh;?(qP~5b0ZS&}=f~k^K2ZL+x zicqPd%?J_$PwG0Pz^P#d5I{U*b;}SHwV?P{jZ3d-j)$mEkO%SSvvpZ-E|X6 z*xX2tPxOisnD0CuPq>EGsq9F4Ibg%FA6ioVV(9>Wffzp;DVp7}_#arXq?r7HJ=K30(9&ZobJZKsrzTcixQ8#=?E0g(y^l`K* z5U~8doYTOs)Ky7@m7ZVi`UWfpyqA(^Y0-TI-J-o3l99us2hJOYDoH)&%x&;lG@w<{NeLYw;%SXIZX5X%fr1HTv^MCV7ro{@Pr{U z1WLIAN#F@_8l3NIJ89(WqBOg>SOFC^XB`fOt4y<|Z}sV!7U?mc>|*gA>6ke{sH#dm zQEe#}s(p&V)Ed;&Y(Pytj5jcNLzFK~$CMKp&pTo?c^prDE6AI%pWiJ0yxM3*GAT46 zl|wVJ2EQC!p?YR#{izq{kH;0Je5waQl5hzF`BcU#^i!Lq1VjdZJnS70L@s#(XTXoy z0k!Z3MOSMI?-ShW@**Sz_p9@yMp&z~g>Jm_8+BKABp~v|_7hgp3!Vtnyh(&Spfcp7 z^VRMZV*Qzwm=C#T)c&qKCs-z{-E@l^jvm(dIAhR1q&3P6kyjCNJLwYWsG|6oKWBpT zg|e8ly%m)>)*kI@^B9m+2re+*sDc1KIjeeGQdny61zC$>z;mEqD-pv*xmYY!LJZS7 z2q>e$RtkA31n&nw2sK=hZ_NhLew14S#c?jjtrmo4w~H%xV3i9KJu12G``;eb{V$!y z=`zUaZADEzPEQY>OAa)AZWvpKMYa{aZrW!jhbQ~@D24j#ZQ|TAZ<5%gcmfzwrzk;9 zb_qr#wi?z^)z^`kG3&(^4rCr#v8w6QAaGsy>Bte#_GLiLk$B|Bum&Lm8eML$Gvwt> zV+qCm>}w&us%gmly~U;F5|BL%Pv{iUQqGDdgoYrc$@aYupFjQF`}XPU`=3AEz3sjK z^pGymuvnrQmq;KM3=YpOUd>Q>E=`?ljVW)&p`{ksz?m36W;qBDC5Rtq+5<2ehy~7n zlvP9ImM<~@rVPH(WOtGRcUi?E%Kup7$UdPh4IZ|0$SJR5g6se_rChgwQ=~k#1k9og zng-om>$0SL=<8k*WE?J)M<|StZQNV{kcf_I#yg;;=n+UAuawCe`vt`j+7K|w2WR;k zcid6lSk`?s?F~k-$K{s?!Q-}j-2+qxoCf+W8!80T6Lf;6Loub9o})v_?<%!9Lw+-? zFtiHusdo3IRiA6DUQOjV9)#%sNc*_Jzrj1}k<{3o`1_zvdyp3K=9k`7h#1jq=X1m~ zjF$?SVnoEWD^Gd#9%UB_91vJ(e9zR3aHXOsRqkdq5_4Sm8fcvyf3rgu*j(y&&62gQ zl`?69c2XU!=qL4g`~i*lO$NOQ6evTDu+xonIHHeSc^)KWqRmU&HrNL+SElkmu%;; zzwm?rx_DA!SYVPM3`oF~rbAQS`HQ~eJdZmHL%DjVUfhh4f!4|zQXx0pljMe;BsX~S z_1jOMzdYRD|D5~R!4T6rirB*Q*v4sqA>-f!FAtY{8vU)TcFaZ+}BhTj%x0Gt1DHzs_n#~-flJTh$CWzoQqT_p zLj$;<`HSuA&JoK|c^;CpIx$n-%gY04*ulzK$TKF6Lzq6-7dOn+l2!05Rg!-w&BGA% zc1QjvYgz?e%CJ04+hi+xkhKoq)n>K6P!->er&E-SzuCaWwE1zpyAB0p0yksz#1mcc zvDzYv16RV+7zyr*yEKD(!Wc?e)Dp&G1&ib{!zo|x;9$GabRVt3S;xq#AG<#0JINDM z%#ignQ(=-hXvcKWMr$5jdvjQ4IizO-@wDAF8ld8LE@rQRt7xNCV=!1NstwcdMZdLl z9xehx;xTte(;ujokS=6~LFVCBWXa#q4=>GBSg>x7Yt3j<_)F~FM5m+fZ#rf7=QhnHhL{dSJydnj9GNSJ#G>}5n zf$>UvbUi;KV2j?Nt<=X> zn=OYm1gmWvP0(05Qrk%GFXsFJVc04ynN4t}*f~vDBfa~^3yM>XMHBi|{35kN&+ajd zCSgS+dxI67pp*! zsdo2wU%!2L*#A|7wXS7n>l*K|9&d}Ue;G5Huz&ct|J&Q!-&9TY5@y@eP4ty$Y!S?M z(g;9ZNjojH6Z2;W!#sc190^U@uh%^Z4Jz>)L?BB+8uf;#(iztClu29#EeRNhTbXbi zVRl3r8yU-xu+ia=_3d@sGRX`N%rad-+^P}%sNH+>EJ-b{Cngh`mjyB_i zJ=uQ!189OZ{V`Cfv?9!3UcjP<&BZ2*`t#LhcX7RVp}#N|8I>1|G@ywZe4x!aZd~B{CxeT%ccc z`{4^Z&}39c{mIHZ$WygEHevHxBbD82&(ZAzlQ(C88&J6fCfNaC@blU(!Nkg!wQQX?B#xStg^;xxa0DG zLNqvNEXYI;jRu*FSvS!>h)G`!*tY0R6rBp*ZmUism0>}`BVG*YUzPFF)+{pv=zL)4 z)y;s2G_(Ai4Cz&Dm;mY8LaR2*jwIj1f*Uw6@VLu40q$52$|CQAt$qh-&K-b#TJmA` zr(wcHO4hA6Ljl^3frBicRFh_$$kvJ~<=V}G8A>V10!SjagdA!IB#s_F9mzahNE*$- z!b>u;kZzQ?=fVttgc1qUF+-nSn@XGOb$do1qbPx=fMsejdvpzYsH8tRCA=TpA>|)D zvWU=Fp1~xO>sNC*&|yu|qi$oB6{*dNyk4bd(ZZl1pP>9Qa76TY82zwYg~|}qOqsGu zunKO>lTInJghBz5Ao|Z#Q#Xf;Q9exIkg@qGT5%=I@6?fv>*8|!` ze@Ki=AhXL?@Jv&5D1FTLIv4?W6{KmCb!$v&wzLKcd0LK#5T?U-0PP_nmby=n>I&T9 zi0&WFJGN`tznTG>b2;0*2o&BPs;ppTk}jONs}^S~*kij(by$fzR%v8@KBE{Gq8;Y+ zjAS2H40Iq6&jT98D_Bnd3TmOVy;h(D5~%_YT1lV-hNW&i`hK#GrbHHedO+VdQ>lNR zF=Wp!gqc#mUggvLR(8&k#HFMt9?x}EsD!%YqM8*FN7OUuQrN!NXsgObeZ9X+%_C&; zKC_Si#0R~|Pcn)R81Db`pSt#;RO4pDT&pX*VXT%+8Q7YjuT*{DP}IHUeP1J!5R{L* zcMkay)+dl%wTU?irjeD@OG2U|q@T=;!Nih~30Iv(_bsxIWMGa4F9LoE9|CKow=pnS z(HL5Dg#Z%w)U>mh{^WNQ)1M%@Ue&N!pJ{0V?4q<+Wf0z2_)fOxulKNT&|7e^Vx6dI zA5~WvT8AA-6e;kL4fbeEeUs8ndOaldWGv;4^T5pKg9gQ4QIEzjEb!^4iqMl{I>a zn`rKXon~M@l(=DABGD)C7^Ye2Nf6CStdDskHKv&JkA`XR(8^DEY+}8cZ6l|S!HNEy z#SY@r2&0b3?vl4Fw2%dlxnS;jlZrsrP!u5Z{nxke(W~?QgPvxOvA9M^OA*Hi3aF^h zz}d+bM=n?l%6hrIM5Db@`~bHkahY=@L|7$9R0v?yN)Xk{4g|>QVl;G3DAHtb!JY0?r*M^Ywg=G##jsFTDVnYo%V_w&hl^m`Piu5=|{( zmCB+z=2e!Je#R|o34JQGDBrr0%fN>msJj#Ny z!H!bzX1K$m|!L%OX2Wnq-eU=+QE(lXmZ*C>%Dll}?$iW>NcgR*1A{U2fsa3h0BuN*o$@Gj=3TF+;@EG0&jV;7G%UF+=t_ zibN^4n7Ax1salj;Z6IiCMaYfYiZQ2(=R0a@en)M8Gkm+>5DK#GE*ySdVQjO8IM z2g#ReC};`MIV=&GJ^yhBIny~LFM}njP7ooMF%y#pK$yTuBRexlA<}_%tmwGRfX2b_ z+iS*ASx^}UT2a_zw)=SZ^X>6SQ#21uLF(?KbI@H1bI>|o*)V+4r-<#I#?}$#KxJ=Q zQ*>{Drk`Kz&t}LFTwNh2(CSqNrH?g{$fu|Rw=VihmqW71ZcZHwLaR}jm)TQ@RXx1_ zcz6HiQKxkY4k&AGY1BpP-;`;d8t;?z!oI;tIcektY>RXS29{NDEVM*9m(!0 z!neN=a26Z7p0lAR(wNU~F44J_>2t5H5wc;GR^AYrfLB)y_X!@Xfre``?xD(xgh4_m zh#@QEs8j$+4~~p#TXm&LdW^1Tz-%Z(rVC3UjYuQK)9%lDPtI9P>>U3YO*E&cHy2F%r*)c@R|%1O5fLtKj(3l|a@Fkny6Xaa_Mj zw7yoYh=ugRE6N+5veGL{(6?A;5u22gp5$nb z2NVb|{nRutVK3Lv__*u_rpTfsk`3iHwuz_>RycTd8eiUBSMw$e5rm0cM@d7c<;pblS8z$O?j6R@ zuMm>%MYYLz?#m_kM!6xvaxtQWk*L*7*5gH(I7s_jhDroOL}MXKK7YLVdVBxicAW48 zC9~OV@xnMC+D>b4LFqn&3bJVmx#W>EeLQht;Wt@e03tYZdBw}l+uGj|!lZ5CP9K9% zi1OzqsWNe?Clhim!E%Gz6|D4*i4{V5+#X;v!9;5dG_|%-LrR3d$+wm{_!XL_XhvH#eaAB?;SV$3f&Z+ zlZII77YSa3cOTupeS0q|gCYUHKHPtM^U&L+bHKYp^F@d={7b$Bec~6Xbjs5^cJEN; zOdQ7)k<7b24lS9&(Fif1?ji{36d?--oC?D-9> zSJ3>+8D4+8v*0Vdtx^e>WlEExYKb8u>`TD3OK99YF(7-V3YA!N=&<#K${G4TgW95J zCb9`+!MiQo#2(hpUIvK0aeuvxFmPm2=zQ^G01sQPVArg0On8efHyETFPLDc2hvNKf z(1M80Qq|vQ5S!;7{R*!lMc|Qv#nW$m&EOr?Dd9=PSHX-nse|nu3n-1l_sncS&#Dza zuDb5unkPR3@9CS{Pwzgw|Lfh+yN}F;eD?1B{nv+IZtwB=X|DxIdiVMMeWd7ALyM&L_^TAqN8NkZwLcsA!ZSH2)qN3NLVKd(TCLs7f=y$s55XfLuH9+Zmuor zp(G?M(NQ|*=q*wv2Q`n*UHp}YRv{W+fK_dGo14Y1XKbn1(J!}e{(ATJSr2*YELw7e zO7)2f*Nh-EtWE_A*FZ?R^Q9apRJihsG5h%xhTJuJu|VBjrp1aq(16a3{GR~*8Fa`F zHOz89)Il(%$2l3V$fK^AJPBG^0rdo7YQTw{R0Rn_Gxezl0!U9b?!(fc&@&bg=n*pA ztiEf;%Vk0H;&D;#=4aLzRDL$nd4Vywywp;`>vbADiUx^RLlQn3C_GpZ%|bkZiMqh> zS*~>zgYxsr0n5fRNa#*c`lt-saY_e+$B!xI*nXVA-a8owFSF_%nx1j?BXZH*_V`9+ z+9gkGmOM8UIOTzGTcArTQ(r|SO)~2OG@>vev?`LS3|u`be5|+?8y!~0Dg;-X#b<%r ze0F&@I~1khXfrw(f{-bP(?w*;;XsD{(7{px_Dhe?Ii6vTIy8zzD$R}`m|H=1kRjoV z)yq{ZT$pTJ7_2O7b(*fLuxF*Lz3x>wdTPsN5_)@@oED7&ILFDA*cpL68a%X10k(6UE3g z;CwRRPf-0{c%xv#N!TSARqDkObx@sHW>f`V0b+9Sc}zs1av76eE5u7Olr;)K22fo$ zK*r~zVJ6H$I0YwjIRFblo8+W$UtC~u@}N>ySBW|Jcvv7JHjamtpan!x%>SMKPc)m+ zpf^I5TY<+T*tqA`>f-e2f72Wa^r-K2?EXs6?r^XiO7*=C*RD)LOTEV@v8~?kn04}d zeh^mb@3_}+D$fO0PiRfd$DyltyM1xKHmiUYqtat^x_xzyHmv*k4f;#k90t{dG@Z4B zxwMq+$^@ZYW_PN!0p|o&DJ=zkRKM;gp*1S^qR3pm5~;CzW#YX#Hk?Xj!0%T3p4^aH zi`W6%SF^R_{_=~e67qRWF93BS-RnG2C)JRv!eO2Mq<8OWeITA_scJS7GT$|jCmRF~Rqkb1mblTC|a zXLWS)5m{{>6&h-7Ffp1ZVKFK|;$zzUt^hi1S;=rzCRR3s+MAE?8O$(7lNgFGLeN;9 z4qS9_6vq_AM`qoGA|h}pEx8j7n*A*8ds z_}p-6ComN+vW4j{Sk)Nfa>lL zLNWv6c_u2H4Y5lPUqZw!J!otx6x=Jx7ANsYdbu`=&mD0c67fExQuB zm+}**Xd((E9Ty0r@;uz|q;Q`H-Kt|s;9)CNcp(zi_<^Vm>6elxStCoB-DOeyVRw#G z1qU3|6uF|2bJj#t1N3)&OE!vpjOpcgolHfFHr;U4Ux9IPqC~0BDGD=SFFg9krz`bn z1~pNV+>w~RqlZ};yHsaIbe+c>mJ1^TY$&4{*yR7q^XKsXj)(GNNF9qJ5AP3xH0`Rbf><_2{ly$n!%~%|o*o?uzrwB)9 zLu$p%*T7F+kDm)-Tkjk%9YR z(5DPXG0oN%gP3#mjsScUcjR7e`AU}3(e$l8vzVHMV89ZL-5djlD^k!@4MB}wQ+?Wz zH|jn~*ez3jMnU`GlR~$eox#_Drk1XED|4c3*8+haE*zj3K7pb?GA?Y~g>5eB;;+sT z0+GX^IZ?oNUR;c(mk2Ye7i|HMZx=k$yl4wlT=~a84o-qjk4Yv>;2);PQk##DkbkB7 zp4EXn#>o(^6Q`&O?xuDhqGKF$k4FGx$Ob1ZB1> zE;}S?&t7^wb`V8>{Nu0qZ@&)=CA@>Qa4aIEWf3u-qlel_B~*)tTVZt_n0bA;!q7V= z^4(SAO2tadSJmT-b0*TbRn4T&Y9_9_G;V3a2a%~R@N^XAW9h;XW^sHI;_Hz4k{FLH zlWSn8IoubuTlv&-zk9uh#nT&Uaeh-Hp~Iw1F}bSiM}Kq?#Bl|uHl$x_nF^L<0*l!k z2h2AzMo88ztw8uk*qGX2Wm~G#bW;Ki1IlL|2>ACU5iHX9j;5+5H;(qFFXj>DlwGa- zYCf(%2UrjuW`8l8Q(=Gy83`)DnBn}Hz$_}~S$t#OFRte?j&hhGg>QQz!}f3Q-l1O1 zTV)Bl4ptIcd`p2h1ntj4y*gECj6M~D<$C^@v;M^8y3TmA|M1g0wh2~Q2tjp@#2F?L zmt_@&%(8JVj4-Ttcm%~59?hf~cs~kiGiL{T*0g{YX?qS7V4>s8o3Ti*@(`uBzA|mO zMoWfYlT^Ccz4NQU^!C7Oy?g(2WSOQI%wISdp-(@Wzk>sE+0}J*t?ojtsp`3NXm)P! zzN8A87^j!|r`mwlKPqtQWQHu9nQ-Ii#yMTOzJvPYFv4g@AA37rdoQhIpee3+*O{|`mHdD{f(!j^9k4bZ~0QVNo%VbL9rddEXh=BLk}Sj$M+c+u3{ z#`b%Rf@SjUpa)1=)a+unS^bdsyxW7ySHvQ#;TR=^NQ&HP&n z-XPl#?upJJe}UQrc?Z&x08r7S;2hNfze_Nw*0(@RtRRn7!Oz+W9g{HutO_OPK_wc> z{z#-D*D}Kv*_lM~ZET`cY@)FM6|G~y-=Z=sFG0dJbNi(`YqD!mp0POr{1=jyXMLtT z17RGTR8pu7?H}VFrD@9GB$Z7<2Nb5&87MGGVyhIyx`o($^`6RfTmdigChU=`W$>fULF1^Q37Uz zzDrkNk%^>(ZE%4kIK=P<3=vKIQ-fH|QLG|^6r zGZ^e(>ZOlk`wA2xBLQIB&uZ7uSE1$?qiO`e&im6)&kryL7S)G~8QesnjI>0(jF+}j z3`2@=U^Sco@NSJMak#&|?*WiZo;9JUer8wTvhP|#!}tYpt>>w8JcWY(EbZaIsZ>9g z4a6U-J)G>VOHPmFK-_}tOn4@;sjpdpwI$O)S+d+{Pa5Gd16EJO(a=9W;)b5<`Eio&^uJ{w*?wsQiinCKwo3H=l9D$`e3Lj!3-u((V@Ne{=dEJ_;^rq`YD z+TW7rA$9xqqbaCtB&>fC)p)#zz~`-OA#0|q_=1F!;AqQcJr)sXR<}DNPg$#eICz0l z+1V;lQJHCGo)S48hCwXUuusE)UDN#Sx+cpzl7Twsqz{i>x4zup{esq8$Q!)>_T^#! z_2Ks6E`KujlSTEqK_z#?eQ^sl)Q#jY7;)NUt;|RZ3~|_spE_sb`co^>41dGBWq|}9 zVnS{Swvk9*23>MW&Hc%%t_Hv{lDjAP`fB@a24cI(EJe=eYK8 z4DDHsMgla5#@^<&nkniimzUz@md``ue7LOK&vy+OLN8TX!quJ?B82-NwmyqdTOC5n zz(#Hm4t$pbQIoI_xfpeZ0)9KNe4GN5-eY&c6x>D@+V|$9wbo%|{5Tsu8M~_rUQf-u z0nnAsBa5&>%c(~I1o+lD6wbJ@lq7l})Ei|$Gn#Zsfzk2fFEB!$w-HH%OpzQA%_8wL z!U>u+q$b>v@ZX$hj!J2A1xIPkrYgLpwJGvq!d1*lvY>@wli}u$?g+?!)muWWtmy-- z9?JEOk^*6tmys3?%l%1dPO2*Tx*}Sa+)sTFS(*LmAhN(YnZ~Fv`B35yx|AQNCkC<;Mr)3qMHQ0jXP5RYA|!c2)9i;n_!x0m&%sH}#fUX@1t%6qmwi^# zOO-x4I!s&}2V7sj!cES={}&fGOZ0gXqx%Ng2&10ZYz~@2r!9Ad@^RA3`sg61G%HlN z3bW%StKRUHIa{_!+4~Tfsv51^>F`=6%YO|6Ta-*2XekZNYGlg zJE$1e?I6Fxjt7u?W+)5jG@*!M#1<3vUU65XF(H8tZr1It=#uj3?r-1k@9$7X`+L6N z!{@*5KiqzOQ0-3@jIwzLK z^~^yiao@%HVj-p6)D37MEp9DsShzNATzEvh%y4l^ZaP`6>T`3dzRXMuJcmU0VnnA$ zOQkS4Xl|&!({J2b#VMDD<<9COrzc{T`2+G6m6fFu@-Q0S=I!i_<})4;cs^*&|X`>C?VRG7wKw?p8I*P6m|}kPK*fe-eKy z9Je;+j$2wdDl^O<#;{FAV4%P%9hT05!^5_zjpXTv4R2yTf#Rw@X%ycc2zYL_;Cd&S zY%dOB!d&7lJbh!I9#sfMTVTL@+^S|7*R-NYHsJb8<$HF7?O0}xPI$%eJ9=L80l!~m zSnlWKgo6iz3$d+>bJJfOQm07Oc5G)b^z*DUVEnzLosP_HAhg0(t!K|sWuJ@Ip&Y~xwfFxyY+R>=UD^$>bP!a;+$DY3gv1|r(^(xBq0({B-vc!>%=C@eb1?n|Y z^=qNZvN^ul)tS28$VBR&Ak^Q3j0YP={qu8{N0K5IY>UcK$q~%;xkMI)(-}Pw2q(}3 z!9!M-%Jj;kx|zjs4Nfr{chlqERNL_QBDcB;%F>5sg2sRnRMmb)ZSrh$eRYk-$}ui0 z-XrNkx{yC#tl+q+T=exThji(Z$e=NVE!_4&E=E=W*o=aQP{>BUN-h`o9~)n;A)QzX zSTSMACbjM#wIT#q6|c0QrMw6f6u*KXD%03PBYlBn$-^&&z-=Gd(1{chfJ5%BoKA?6 zo6-p_uEKfK1eo_25r24QLv4akt%0rBgJ?_SrdQFldS^f2_b4)!_`m|ShEpsr`%Z`h zAsdthg=5(Cb=Xpfn;MrdFYD^#s_qALB7Q(th9iK~)~rm>Z8P2-g0ymEU8MMi7Nh!` zx{Xl!Phs0$WlgfmA^k$6o=X(&yaB%Aw=Z2jrZj0>#lDnS;i*S_$S}?>D!uzDz>P>n z)H8#)gubZpIJg$F$j~_WqMWXPz~MoGv_!XL zdHv%cL>$hxoGG@enS>2m6Vwl1RY#yyT#|hh66+KIXkmyBjn)slDk3;z{OAnU&HTsV zUpbiky_BDMe9GaD^m3QUqmP1G|BpSb`pCM(CLb0WDqLjZcC`eHkn7CGdmRJL>Z-%S zhe)2%fRxC24V);uu;Os;LJ?jc!>`22F9f7%;z&Zs*YHb4{R9DaPb9WH;_%naMV_GU z8M16`VzQOEtJ>LNFYZJ#c1_X4=k#tJc{25N+&J9#1V7~h-(IIA@lzHIN}ot1CS7t0 zIjcQUF-4!qyw*H^b8s63uJ(($TEU$|zKfL!vWHnLvv_iQX5~u)!chIRcf^%zUG|?U z2IXf&BQS_2O3RRGDnAtV=8Bb6b(Fyl%@YhA)gtR^B!>J6>Jy05!Z8`XCPKF3ykKx~ z=)_@s#lz&pQNxiVDsphxpR#k3u9(#78pm2)l}cT~n2`#LE((1$t-(}6UL7-(x`Krf z(t!ddTJ%nZlR&RwX*S1ZSO_m%^>=H3LtZM?ChWAp?-YYCvDo0t8H#bjT5&7@$}Pe% z(jJ%FhJ&m}qab6!N+BS0dULhEx<;Go>sLpgZa>~V`*Q#J;q%iTKlkKw&&SnA9+=R{ z_b2)PR!rw#1}ZR-n6U`&m_}4xx$ePvj51%FxBH*oKYUeR%d?NSU;oOik6&&-e7k$f zL}IxjenjC31RJIHhx$kCs%~VR`q2r#`{XFT0}EXLE>F%L1#9=`P|FZ6K$mkhIzkw# zf`P(&2jkvxD_5}uIe9dI@{)Or7Tf4qPNop;bKA;SXeK_p02smb#{9a|TYFRwQP9ei zU4rIdS*rF}Y>e745|%jtz2_Vdb$K4jZl%`>pJ+0KatpT~zkIkud&!TH-bxpEAjDvzL|lSA!W$4K zO>qsuPDO--F26$PFVul<248(p35K||1v|~OqhS&S%Hxjc)$QCP2^mVl5zqzgU}8)~ zBD-vl3z5+v0eXnJMPj)`?+x9)L@2s?L%k(Y9gslrigifzs0ue;FsbZ?l!{blZ8_?# zK|7EsQXuv8NBn>lur$p?d@HLhJJ$jI$r@{2J0gPf1yd_;4)gj7KgDkRM7V?%vXN;qaB z3B?@GJsOn>@sZq}5~brx%OVcYeK*nPgB;v#7VEGAVCU2jf+_&s;@}RMCcndzoy-6Z zXwGRHxF;YTYiLgfMt-`zzkh#se*_Ke>&M<7cD;mPMMXWFS;8wX7dH{KvVyWq zu#V6f+|$sYiS|SiU6s)UFh@qz=gZg9ZUz!e1t9!*>J?F-+ur`dLg6{EQ(*zkvx!`M zS6R&_N|`|#F;NQIyk5iF$`=*39}u+6-zJpHJSI*;unP$dz+?IEODhUwg#1F?qQF$<5R$I3H>~4K@^jB4G|-;=zIh zA56{+^-Zs*hc(lSg$z%>kUJ-=gQ+e*is8dlW0@R;F$g;9Fx+&8#r`vi<zdv zMJ8n|F8k|?n=R;FUvxH%NFRs0QD7K;UpSiZw&VzTR|-Czf2PmIKC^%iz|CuzIdGb- zZy;PLU@^IR+4rM0Xhfy)b19Llb#iCvqXZyn6BNauKROC(FbtkP>H$^k8XMOmJz}*& z64d!_2jzTqwS+yU&=8Xx*b@>1xh^R&xvD487@&k`Jp59aW+F3;!l4x<32ez*iMBFC zA~>Sxe)KIa%%h6Oa6fy;s6Gxz6=3dW(i?dvcTuMu)5^`&Gd*4TAlBHXDL5MPtGMXF_#Br2QoA|z2e0aFjz5s)iRD@0)G?j*@LOcX$T z6yosfUq0VI^jI7ozCoaj3gGX<2d@$qs|9?0n&C4LZ zR|sZ>Xkam-i(j|;QS=7Ile)*8e(N*nGmw*e0z2abfN6N%wud}#+rt%L@#TX)cZ|bx z_tfg?NMOJJ>xhxuo3vQ(s0-7JZphk*?OCsjEslWbO%E$pSR=pa>lYTdK0((xJw(9; z&+=J%j2O}>^Y7df)K1)^W)1(4PXHG#zbikgs)aHjsLQcVq#a4u5oZui92K@xRV$s@ z*9Y~B8IXb{Ie5X1Nd|7gfQ;+(j*)`ndBX*01Dc+y5bfd;xf; zNQd?2Njn5|zhXv}_C$cU7n~dH+gpceRYr*mpKbXUTf4f;)(FgAMdV;HQ)n$SfmJY2 ztm6|a)p%6hM&J^a>Ku+zgFDe*D zX`6%{emlBD`lEh|P(wHvKgU92)BZ93V6D1{JrBhfORaIzgvIOPjuU9Qa5SQ)8}<&p zLg0E?d;5%tLPoNX?0|;82P(jXv@s54jmDCDEsx-lyoRz*$C>-mFx&9 z&#-T!rKz+2T9y%v?`wIeTtsx-Nq$C&DR+-x6(AI#d_oh*EqNrjjhh8Yi_CMHm2XMz z(dG2AOss6!UiP=IyZ3MYYLLI}i`6S$?+yFN`e%mG`EnRF;nUSZ4xpaX-R4J_0(j0C zY{p{_sRMu;0z}sI!DPEkNRBq9%XL_pGG(ah-k~W}WpJ+O>AjepUuMet>1>q3MZo8Z z^2OArOvu=vF3cVkF|aNV_rL9L-~89N_xE>4yue~I_jhb(a`(_NLw>K_FddbvO)wGR zNX<}4`jypn7z*kZfhf{YTF){gUl1|TEmV@qyCEN6I^6UBy;t| zsIh+(j&|6SUM|M=fbKZz7b*ggSb&NpfE)*L-5OJ>NI6X~1qXer1~nVbZ@P^nsRsor z;4Bhsh{x5;bY@;~*rMK{G`0%RvZo$NUz+irv$lT=Y$A56Oo4V+c@R;vCQ|Di{4W?IF31T06=V zeH~KgH`qJ%Xm?3KG2o%{tGZ{lWb_tDS;4q(z^O@LRt58(qCh)w%P1o%*qAn#O`{9) z9rcOhNujE^J0$m_hNDy;uAHfkiChk~@dl{xKR|(h)Y}pc^#qC(i`eZoaDvE7roM$^ zBelBB%__7{+S0rs{s|HLqYG9qipEn=nI&5zE(p#34?9BxP<_fW>M@2>dmM&2+0{`qYq{wU`QAb`Z409Np^nPzHI;wZrTS zBJTjFgOqwcZVW^Ad^`-FM&Z*~3#l0?CG}+!=9BDSGC`@COCUb#`CeVuBDIXGG0abw zJRMo0xs-YYA_AkS2BF z-;rurh&9RB9eG$jkH3!sN^<{-s1>|16jcy2@ayLw|EgK+#iVKWC+oWkI#&sn#JtSJ zzeZt`ARdYlT_2uYlP5Knp2lKnI=UJjW3duSf{KT8efxpQbbHn?aSp5E8Ku)V*FbWx zQi!SbmeSBYPtGeIZFzo;B*dgirG|TJrHJE*z8m&3tK^f_@TvMJB(Tg_qO*3izCeMT z>&;?yu}rHORmTId4_a}!ta-KVU$9|a612BCPO&$FN+H__CrQ6IOq>?OYt6$ z6DBe6_JELP<}+!MYbA?_L+UAUj3yXR;D@4Vc!E9-ciYoc&WOCw%Y$9-E?+w}SZJ1C z%7!D;Mp;G?g#I^168Tj_U_z@>p9;&LavO1XPhknCJ7P9Q11|?{(KLXHcG(Lj*E?P~ zouMyW7mP|~C>o8!=S~6YI9hyQ;;txj9wO4H?KxvcoYr2J0I9SVLSzX!nHGxc(<7qZ zbQs(!@`_&V(r!*yhc35h-ZxW+50&<726n1w9Hf_^0ZGKl8xyk_iX zGZ=_ed34e&2TJ-Z##8TQ1Qmdnfjl)jDndoeYe{%c$6Sdc&6P8R>=bNlS?~zF|LXW+ zc#HWZ=op&9j2DpJpO(j(`zy*>a_f}NrAl5#XMO^-eqQ}(<#UwQ;FEj(S^^{ISEtwe z)ekqo+yE2<6buqMu=xDhaGF~Q29;4|I(upO#*%2nAKc(JwqWj`ki7-dB>&l-&4~Wg z;u=BtnuHN3II1``#}IrZ2(@#${4m?GFQNWfgiq5W%G0YF@xa7%mTVbaS%53i-%#;k zgy5ScSwA|(>7#3+Y-GZ*^(8LWmuJiEi?E+v6Dka(bE@vH*uZGD>c>X>g77xQO3KtD zm6DlxDC?U-?k$KX&)MdGELwz>v%M9J6R{vy*H2*IjWvE%qI9;neLJ~?=D8KF)^xKk8u0~*egHcAm(TCtK0U(!%I?=P z6H157;NP+Fb?<-Td-!&+FoxdeD2QSj7{lmi&w>=gP(qq}EO39mmX(4G{hZpHQpf)E z1ry|J-u{-Xxp=SOa01-qsFEwdq8l#yQu+%SVtA=Rs9%@8>!9yT zkQr0B?B$OVrs+O|XyBoc6_$cc$q{&Q`+L!sd{1MigODDI)~e`#As`Nz$*MAM7cZI~ z@U=mEq%;pNljx4e4Bq%5^#_>`hg)}uigZkah$)ZoFI=L#vaD-#QCiwW6R5d8RH5g} zB&BFBmogZUhjg*GQgy`dPF@L)SIn1(3xE?bPM~GwVEEdwzE!e5>My33&lia23T5tr zfZDSF(fRf1{FDkl1PqDK^Pnukpi9rVnN=FSTwU2N4c!YVk)p6!v4YQFA+4;j=*%C} zLa+W0bW>mtjj)w?WI`>apg6G5@;{0AaS`_tYZ4Y2N{Q=>RqASSu|i!fRn!AuC~R(V zy|T0A4Bb|^aJG~FpFo;jkqZttCkNpXoHFP?KBd8wpkk#y-ECUwMH&XH@QWRW6K6z# zM8;9263S*!y(}>P7CPl0L*YeIg{E zUXMV9^Iz(AP{B;C4!%zYz*66*jm!R-4h7vWhsk!%QA*OYGDD5Y6abOh#^fwnV%+@s zY;9ph78N(HOKO%W$DnU!ZHnxr$`lKBOU$IgtA@(nO7ROfj~biiMQe`- zauIDZGpz{OA~DoP;#0TA<&MIm_otB9H=7mM;-7`->ONTC6?$pHn*pj1A_;H*cd-3Dljf!JDNLQHis|=@d1Oqsxd}D6rD-qu<1ZSx+$C~Q4_0-VAPpK@DAm1W)f%qEHU?sC4-W!w3m!VFAx53L;U}D^A zPb}3NVr$~eY#2{nl{Rjw5E3_A0pyASgpL`?ZHcc~;&f+qR9Wv$o*I8L=IL0i;;P<( zFDbImLU84q(nX<}AwPZo{Gqp><4<7*43Z5LcZys z@=iA=#h1FehHC|1MXBzW(EmaR9~G6fs}&GFiN|!%ba_k>oqqHvVos|`5miMA(x!2N zfQB)s1v8e+peBJidftPKBpMOOv;HvDCWn)lEts@kEEOiW^IoG_^XdA#X1tLIlm3kE zzNoBhQ3VGLBT)REHq^nmqCxrUb4cuY084*?LZ1~Eh`Z-zC9GY}1E7WQN3g{}2x1i{ z#%Cx6kte&`C&?5@l4L3=1Tb?d-;+m%yDKZM3n(awRL{XkN)>g8yQzpf-GL^Q8xvQz zB#^4I6blPVA+jM;OZT&f&mZ5vIodt#ne*W9yb-w=s{cI^+++ z4J;-(Q48=Nd)z4R!s#U{Lb3(NW?G4xS1s(7F+Y{RCH~POarh|4&7< zOWQ%J0)zzzvRqG+;=es)(u>@-K!{@%=oW!%z$ClGE2-zhEyO>`*<5;}&62YZJG~2P z4DxvMR>r`Hk4PCk>rc%wiZTcQV4gwzZq8rBg>%|nw~K_6Kp}&qicvd6h|*pPp#V18 z_pL5x*28a-@%7c*m9(5cK`1zA()H&BUW_(IGlBjy>E{5MikuUI@%~pnuHwQ3L(=&e zy(9odH6ot(hje~u7fcsb^o+!$%j2%(qUQMV>>|u*Z6Q@C1eXE_DR$U~E6k%~qVkQ% z#62KofX%EP;DK4XURN)nTuV9)ye!TRp+r%YNHxtonkChEl-*1oVK)n5H7)s&BpVifW-3NAL~C_OGA+1ks0r*@+oiHO-+CyNXC*i=XQ4LZY<`WNfNI&2FTu+3 z-~;jlKq*m;Er)cy_|jY($81*mXA0MCC8!UWpzf{(RZI}QMQcLT3$+KDQH9qX8wPmN z?lmg)m?9N>7{NmXLqVBA={*}-^^?Rfhndn=J|(3joEM=1o>Yjb&8{~bM``tC+ruf8jGSq0F@a9n-@~? zV@Ux9S3~t3-kKr>FQc^HDGyI$bl`$1=`G~5#tw( znJtn~@JJ3VNXAU7a#W}|zASoppI;#CPozrYZ;R;SlXsu*-`wpHmHYJbo$ye%72%gH z`X1wHY~=*&ar9mPb+ewFz3{)`HnP-5a$&zL5v}hoO zBr$Fg4KX&a>%Vs z+DiH15Oyw1m?$-m45PYAW}ikC50*^lkW)x~0%?z{8PIUzFGzO3Jy;$fb5MzUtbe+o z>6?mfNKdoRwcmJ9&<;(isA&hMbCWa2TKLiJ%~qrcX22h=8!d7kf2<(-R7i;(MpHnV zlsG&Z_L)H)vp0H;luh)^REHqFhQgX|mOpsSKXk^@#L8pWg3-$>fG1chZ4LsgE((U&@f4jYZdxzSRziA>`Zr*022+2`bA@`1iOYK=Crco2Y)NZ}Q6V6914FR!T}q`m?QQ;p|H z)p4wK^HS=L2MtE~T6K=BTF%?;EQsEt=RsE5!(D{r>w1_+sol1Vd_QT~l|^Po!J#C= zOuUULwI!TEs7BoNXiF`>2?)&s1&sDDug}pkLEvafy5#DW-Y7d0ma1Vx9p|E$#6{6K zS@a)*K+Oa{P#Z+C`H&&q{5e|92x%6MIFEJ4pjH)|+4~O9~KRgbP`QdSR z%nt*F$Gm3wnck87wVyGO%0Ax2&-3_k5kD^D$CU~xhwzo+moh4h33-xG$bdjAL}1g5 z&@S8^11=;h!$$7Gu-3e-Q&e0q*}=R47u>Xdl&l*fJAO;-shO=!A6!C#_ zTq%xkS)j&|sH!SKeav5%G*`}$_ciw*&ow>BYPK|eaRw*?ZLfbJlIZ|GItp52JcFj) zw$<>gPgaaC&Lo!Qr+1E4Gmy(ERzlUSg?0_u06TL`z<(=*be<4oVWzkJ+X8 zDKbkEjA{mCm0Tu0?@9Pup05o^p6{*AR1?}vQMnL?+{y(3+C{{K?1QxJnV-)96JVXg z3}aE5APZ|MIZNZgoV#3IUT=Q%f=^Q%x1&RaY)9+|i353{j_qjrcp2O24Kxs48*$cD`=%@& zrMIM&^eLZ4EIH@urPwKA=W>D;WBDlLoApjm8~tPW|= zUfM$y?WHn_FCmtPbHVG&_3GN~_(+9qz0LKH$Gfev*{TzMv~S^V_G4pC>iQf#Hw=Lg zp|nRmNBwBDYq9{~CrMEV3zA6+AFZrOeV#6h8|&o3iLFiojlp$@+e%8Xgv%lR7<4&7 z+*;Tv1#q^i>;x_2dfv>Z|nDyuR?!D4ennXlhO8m#u!a(@QYRifS(V}2~VW(d*f z2{bcQInK@t{(#jR)pgC1TgW;gThD$TpIAA;6aX5od;kDFAh&~o8$GsTOT|OwgW358 zHNao47CVL`aBtL9qx-c`E;*pRz>+tEO0vEN&)dVlEeTdIb0Dn#pQBa`WW*SVtnM)a zea8wm5N=7AY>ph}Z>K}LHSSJemX*CU?CE4j>l5_Lg)~9FnZyQ%g};DFb+2adGdn5i z-q>Z|+V-IAh|8sEtD+7K$!6++h0KA7%Z^OoYtzXre^BZP_yQHpR4`SC{E=GUl_&BQ z2~x>iF?mkNfmynEiOa<@UeH-XHBo_xvL1QGt~VcXWyGIaXgD9wo?8z(gHWR>LY>#M z4T5}BM7z#A={{qdf%F5`8E{NGYIytujqP6FY)r5W6aA)XJi#ew7$#bpCgyo{*|wMi zC$5`US$QNkt+I=SS(T2NC(4T-uQ#(TEu73*2T<9hze@6J88+iOmEi)-lcD$q$m8Re z+xz{yPy2`c+q-w~Ki$1mpe8e^prh>0ppNmQ9)5axAo3dqw^EnckLK$2cO z(?gGej;9{$ELy?S>3SC5%y<%PQ-l9(2^KrnzJ+CMTQ2*FX%EVQ@prIF3za9N$;ihj zch*fhy2;c+sLhfe$t@2UCNNVZs!L2aWV(i~xJJ44;Jku93ErGD=Jbc<2^+>yd?^FZ zx-3h2dKQ;B0N`N9Oh$9mPn!HIui65=n-8h`l@y(D??`c+==;{Frl@tJDO@*@{c4E~ zhA}<^Y;%a(q{?Jv9b#XpT15<9ro=>RO_E(81%$PM8wsCXS&e&Gn&TCs zGGf`+M5_eF#OqacGM~X0&3*Uk?(e8d&|gSZ0_W5yWEW9X#;CJvIiF64;LA*K6Ko8@ z_P60pYJ-*6sZP^(;t7ObZV7*@$}20hlN5Z!kwE)(4Ha3a5ydC z0+K;ZRuOi-;?N3(v6!n1_d=+H>?YHjoZUrMmkrAkq*{ptD2QsbFWRG5r^uH@(9HYe z2rHAD>2`)p z&M-&!bHD$~?Jsvg$A0>mR_~Mj-`;+eQxnt`IUjh`UQq~=hdS+~h9(rUYK4hh#?tz zDp9$z3)}#nLYhSF>rS4vQ6bQjx9jn}K}GK6T(xpK9D$98j(Nx@^H__gZ%5ef8T$)``h=ZDtq_o;d^-LLQ^9Ziv+>?29=zZ z#UG~qC76*?mw3hYOt(c1OZuq=h$LybCiaK!Zw*Eg&E4TBWLITz(_!dzmryU4LX$Pj zB?7&Md5OmHs2)@_8V^(mtD6Bik7C{laV2&56y!nt3_V*1=O_u%rUSI6Hy8wW^B|NC z%-(Ylij`+(JubUhPtL!MrrUhmr~vre=I-Yp#U}u%V9kwdpY%|SGg%+mEFQRmM_^s} zGU9nw;Rhd(%qh-WWrm;s=T|qd3N|peet)(3~@S~gyd0*5r2f66xP@W9O;F;mRkRkq#slV4`cAP zvvE0IFi`bY)@TG^=3wWO@kyOuFaaTh%7{LY2v>BP>1P2^Qjcm44X~x|>v2$6MiX!w zc#>~M`%P9DCB_T5vuV5_^TTxbk9q;O-9Ump2y? z_BPP!+e&JhGMD@yDKzE*025<1Vay3K808v2*2G7Kza#uJMEJ)QJx>-tyS~}%QF%UE z)q5mQBJik)*Q2+ezu`5X4!$1@#{J1~I-cUM0d&E;?}q&;v|!4DAi8MlCe+s&v+WKp znn{A%2}*N%v5*u^GRDvzpY#l&(jRWlL2Oqu!hLa$;bTSLD8_w|1A|gT3rWv6g_4k5 z+_qzqP&ElvIppHS>ME!l`Q?hjQB2^y6pkj`yUhjVgh)wWE)3$}%2@ly&}Bt@4p?nR zFE*t;*o-KMA-I99lzIv7=YVrS$qU9Ni+UMbGm~ttRR{rkmPQ=>-B^Zj*3XV$v}DTb z#l957+?osDo8=1Z>rytxjZ)>D(#UqbxeV)DAFix($3$Ik;r^n7^(7;<^LX|3c-{T_ zaCiUd_QU=SHGsllhGa+BbgB!L`xkptI)ghfLn+@MTp1~z6opXWgM!VWKLUx5olmj) z1QzmtOi6yB?)+v7FWN-l2%2yh&;oo=HNh;u!y#zc;mbWLh9d7EvZA4_hDxF; zin?6*GKAC~`09|bfwJ0M-t;{y@d$C0w&D@TAs#WBAa@gY0`;l~OJnC`MS&J-gB41s zPE$G{^RZQe)HS?$Joc|B(~<*=v*E(XU<7d`?7LR>}YiXTA2k#n)hs4*>- zg3b5nkUKb;j*dq|bZmbdnJZ)gj(WmKNo377&$ba!x2t`4jYS;{}Muf?0&z z4^>v^-6kgzwJt_O_iL08UZJu!?ty};z72Uve;JIhEo~I7g{j0)!y=;y7Ii*>?lO!rC_v^Z$(T( z2`@lw>G{jK0Sw{}3YSqwf40%$Dh|u6!W429uKB=CtD_bEQlH0#;<3A2BTbw?4LOry z$5o0uZwbdF0fJl2yXbd`^+b&+OS->keYHulRl(e)ZJ@`+LoLw7?0_46_lFJnGz#Qw zX3sBKd=wdUa6I#SSF_6%bWqZ+KQpYLn~mPgK#GIo-T(>B=1En$S<~;xKBr&>T_bgh zlW)pPKvfiv4U(H(q>D=$64`ibYoK7R<+!+{!;63h^*u%babpw#D;O@z#w~si2^XWv zn8cUsNO?y3vFkUNo+-*>&DE1xj3K>7tp`}=u6XEiKS#Suye*gl_6sDQrw72nJ8mR2 z8%-pLo(|d2OrqE~M8HAxZiN3KvYl(FzU1LCnjjY9s6W^ zx?EZK4P6rH$Dc^uK6gW~$FFMcV4LR%HlZfs9I<5uGO*E|7(GN9!VG2oEO&v2$g+h$uu}1n50w^sl5$}F%=1*n8);>DQeEqNe(EM zl(G$37N-k%FHH3f^3-_ZR7^{#U(J_ z4gX4%c&aF>$cuZ*CfHx{{n-b2XZPQ}P!K*7A09tF?fnb5E&j>MsZY^x^nb^HscLU* zUkDT2D-fpoCK*oB_AcrcLq|Q5;xHpcB6)>;49gP~FDd5BBWavhPPArv9!HmMlyO?h z2ayJ)tkm3q4^>W7aE!{J09-SHm9c|XgZnDngBQC8Rhyd>IMLiXNuc>GGaf z4&M!J?B2(AL>vMgl%V+00nsEz{XUwM= zKhAwHM}V)+1240(uJLO9eUov0Uoj#KaZPI?7Tv3i=$p6}h326=d4MivRq)e`x%QNO zA!_NFT_DPJso|OBA!9CAbD?fCgg#kNNsl)G^)B~NFj_jhZI1vjyZ!j#{nv-5z3@?r zG$W;Zu>TXHG|Rk5PgZSAagSLUW_x|`tKE(t7wi-Zb>`*OI z#Che+LFo`8vi4u(@gbu|223v;K z5qr)CnIWmm+q3giIQRxRhKrzBE-^H0<%&qdB4Z5K{Rvy6@?_A6+SL^)Sl8bT8)U${ zecZHEa{=&%Qj)oS+=NJ9CPKs%hzDXQTB4VZ3AO@CNuJKmFH~nsv8T{3yX=FL$Ac@) z34<#PJc=MqvX|@oVa?M>bU4C-{6uAKYvC6TXMMPGL^~#O6QCy{Wq~FZ{95)Gsh9ey z)QH{TltRFayptBAx=wH)Y;Jc}Fd1U+;INZK=OiHw(-ZaF2=zo=v6rmm$czU8y9dH< z1<9OSx>B{LK2qRp3cbsxDAUImA-uKxz4US5zocM}RKRyiZP5q`HIhdbeLV4ibPb&V z@JAKXfS;v%3xcKgEnT{--!GyoD@}49HaFZ;HjzdlI26GZPf*J6*pLKd(B_0T;6GjR zLNWRQ;g)KGgWg1SJI@(euuRG2YI)9nH$0M+0l#x=Sl%d-O4vW+PEv=BU$TR|wzh?{ zo89&P&lhW9#7G^9N6-5D$c^e}ujxzU!sM=kTu_LWcGxt1=g<@-8}L&V#W0@v0gXk< zvR6O7e*iG#m%IC~cgG`zdmbHqL+T+g{SWMl5e(ua#8joPl4rP(EnupMJbH@w8 zEF&?hJ*Gd-V*0E;YBBvWoqjhaABt*C!@&)t1J*Xcl3MM#+Jm|wih&&*-9G#2Y3~+l z$4@BMaJDA&`G~4Cv=gkKtvU`Hb^u$UA7q>+8;%d$(@#+&>*8q->yF3KT+-9|7Db(p zzJ_A7gmW=!2=B_(rR0$EZ$Xy>thMvXA|BS^>N$y5*G@17DKTeZ+saoObnAvk#DH2w z%nO;eb0Uq4aE32xDR~T(&$ygFSmVLa>Tu4!FKF%^2xF-QT%-d9I-e)O-szx5Eu` zzk0`b_aca!P0z6=&c!vT*x?=+;QQBx_5FGN2ZVYJCPrj~CP8hW)=Kt$jUdn}DONcA zLY!d-HZk#PLos{=fX2>_q#2@qn+>wT_ zeuwq#lJALI;^jt@r^l3xu#$SiA$b~p8m!%_?S(dpv0b9$NHy9H$)-X%nw>>FK4H(f zBF6F#k#(12agm(4#~JdvQR3A0lPy`NxC|1OX8K@l;aVP^%0J>r>M%iVS9bZ73Y%xbL-V}RDe>@gko9Iqw-?W*3# zCZWBf&LO9m>I7K^vYBLua6mlg+n2hfcuT~y&tl`eZ5rqsm3nBv>=sHljG`Ao#HU*O zn9g-n4trtl05bGflibWRsgU_r#X6@l3Yt2OXta|_lVrv9~*k0)SnKBy#ho9t@gAH?B? zjSmQgi9^YtL(Nb>Js=dyf!3kTzvw3yDj2aMn=EJQ#b3DA^14us{eK|Wj>s5oeY zBpP!6SM)Yb1ywlS8%U2umc*T~z;c!z7Tn&XSHLpEqbn&(zzHyP!v@9;t8S|5B4hXi zH4Wr7@id6+rLv4Gg_@cG^6aiVin|A6%_ixy@L>xZN17I?qd5r7%$$#$rUU@!W1JE= z%>^7d-UdXjwZjpV+FrvmL+F5F5;V$mhVXk)tbt!ZOd9+(*e{9+tgxdnpf8mkf>+m@ zD-qKwKyj+`Tr!R==ZL1S}H~#X<0}O!_l|bm{H7XsNc) zZTSL1p8yv`!5+Ro(spL)gT`nE=>OuvEr3H)bVKcR@O^Nu1f9{dAb|wKvF4`;5c?uY zQDIyXCZa=?b<`k3Hc3}dwntV`a6kvq%vuCC1vWr`L?L&eIbYLZ-ZkP>R~;9!<5N&G zVWo0*Pc3Z*bFhhLKJRz z8!5k^dIrTZ@kLTl-2#Y3fDg*_sC-a2QEAnBeMoQH=x2z5ui-+n!)_Ks+>?LyS;dhb zpg_n$Xcd~&Xgl0CFcC~}25c8}-CDqD;3=WR5PtpqjlC0ozWa1{fBW!R+nRItMDWSc z3J#GJWhZq5NN}yHxLa6r2pnC%TtFpzY=%=&b)HZXcLe{}IyzKA=*jPk`ValTWf~1j z45Y)>T*XNWy_s*Yf1FxIgfi)ILRq`mc8QtWGgMK*w&~x0;@0TL=kX)z+3HpU@+gZ3 zB|5BM61qp~A;gDlDRelhv9QJq=Ru%2Q-8*e|AkkK+BE_J++G6{sXH$%2}1*paYw0o znfh&P6^F$8lPP$igsZuFjKQL?L?&zInp=<};&1R_7sDsF_(Pn}DlW-p>6-K56DFmBN z1iGJ+(jWTyy?wek-|a2{b{D_hejhwD;&$tz%b9`FT&sDkSYN`OFqMYsKl&TT-MYORlcpo%Br9)F?MHrrV8?U_IzcM zB>IZJW$_@dphweHyKW|~i%gYLUK!`{_foWn+tXsD)DJNXYNjA@ zEtY$7_i1h+WScx=*A#s`T_!JyX`L=vu=@N8CEJ$I>7o)Z#DOW!ajO%`&aLLd`f&3Ok zWkZz$#u93lLo=cq#=s{ht>iWVpTxwrENF4kcSh){l;8vR>+PTSF*V>Ahdz7Vq*hY$`}9MnTJup25$>#b z#!+#5vHlTWabIcGW(irwa%xkBU`2#Vmz7Be42s%pk~3`7R4kuL8>myPRa(7>YDUV@ z+Fs14VJ4giNspjD7Z_eLAgGKi&!cdQ3E>vc-aLEz?C#mSXFosf`Q_g2v))h7dT&6A z&w6*ydhed~ey-Pe@s6AQUs)<_X)F?QuhWm%+w&|nya6w>5kAz=Hlvm1g| zi4q^+;fWQ4N&=mraUT<|qWdFG7&=@oRxbgu4lycK6039M+a;q?hKu*<7&yq_5<|$O zyK2CK^8}M*Y!1oan(4|E*VXGa#QV{A4O@(=9+$Rm93dSX7K2sw?-KB&F+Hhf=jZl7 z5Cw?aB;`Owp^_>gVX<_rjF%?kvQVPon++e`XZ^7hB`hqqQ25=W@%D14a^U;{-Z_?b zf_4nx+^dahAIOUbbCD8JgqfYqh?onEsx_ueiYw$$JL{V+IhJVN=UgH3-($o*YFB+* z+hg+bL5JFt4O`DDce9MeVuKDp2p>{JQcM4E&5$x!`N=F z$>4YN7CJLC{}H2%R;iGqato2Mvmwk)7B+kH^&Y+3fBK5$LWf{;Q`d!JO}g>-a$jw2 z7JoOmY4Z%Fuo?Qqrbgs*gT7MmrAK0q$mV;z1A?j<+w zoTEQM^+}amAaoZCuu_Gtw65E9aEKtySC{LZl|L6L?A%jJ5{ezKo|vpIe=Fm%ae%brw^M~7q_aE+hZ$5we`XCCd zkOlYZfHL60mrxut*)W0C#P@SoCx`<^DWaahrn54F;n*0|R$CR!vUW8RSNaX1l3WU} z_AcFMN^y_<$hf{N)opMvGNc&X9gPB|E5FWANA>cdr}Cm&{ZZ%whTx^xz3XP6^sv;_&Mi@EPVQ{%qvW0lv-q zsWo0`nD{LoaXl>Tn)uSsa)RkdM`f1+29dqkqsam^dLbS7;oyw>wj~M&#XUyXuy-cgq9H43JfpQw*3FJ0dvm0 z6`i9zjsaoyd!N|`CtW;)ytUdd;>1ftS9M%BD6nYdDB#f)j4{JCFe8rWSJV~RpSa~9 zesxqD*3g{eE(rh+%gY^22PzBOnxadJ&>%n*2rC0O$3r3#q#q24_;^L_S+^VV3Hk#2 z{V%t~KqkcN#0jqstHu^UWlhu+CO3DN=B3Kb)1 zTl2(3M@=MjGwST1F=`%_&=5(K(AIO}oVAwFKRu`!@XJEH83a6`7FR>EaV3)nUnj_!UX&*7h<&e2lB z5{khT+#8smu(_4=QCq>6bPofQU19NW=RoHuFk}`PPhXPg< zD!EwSC!IBxGL}NE?R9Pzn!QCXv!9`L5!_Hxv}NCeKZuG`U$c^6knjI?cl%eQBEGx3 zzx(v&?&#}pAD`WRcz=s*w*CJ0>&N~6=nvm>PVW!BKkC5$NJj4d>suJ;{oxru;|>;> zwvtC|p7Ox`?N@*UiPJQw5~`rSMA7H#G7{T)uBl_{(AkYLc8`w0M%C|j~U2D?$wQS!() zuf%nVf7mLbdt~=2Mvs1R(s6DRelJ;+;}X8k_i{A0-bk#*&0@WCtR=~$0p%bS$2ndI z9!Ze8?itGG2u+aFr9apXoPgN#6j*_Oj~F;P-)@fHef#w0DeT?%Z+racy{D=72`%y2 zodqL}j80JlCGTECzgblp7AFHKO&8yj$Crf|A$fPi#565zxj4UsHli-XlSFgqES>o~ zDrd5ACO6J?MIgrTG3eCo5hZn+1+M(l8D#yZ94xEO`F4I>>AqJJfz9|9XHc{Fm;baf z;A}*H+Cr*>ftO&+!6gGPNRfj}2BD=Xl%yR1O*gyKJ)*%P-IyFd?oHBc>X>i`<-#2^ zlxFf=o)XIax2_GQe$c+&ze6_xmT@u1sVAXe^RTyon27y)zJwvNS3B$ms{A8}z3OO%`_$+0w zKv3X5T7MQ5KYtLwBZ~aqtb7$FX0DT26*FWJ{tsjCqTEK3YzgX9uzhW>QQg(ONB|_k zxidBf0^p;>R{^A?>T7E-mPA#_TCYn{-Bmj~`|W#S;%q%s1D%m@#E9(VUc zSY*8uJLZ}qU2L*bcos1{NnEn}U@WHNzXGTpVi$Ri zUOa>C$1duy`Us0;`E0aP{``p%MDn@kd;e=d(;l&?&F1}o4A@>{@aN6u>Eo9HtU>+f z^DqA~c=wqhmCbux9a7JDKltzR!O!o=QolTZ{vU(C`~{bv+o%8X7oGXPK|=I=pt2lS z4?h`c>egMznQ7#Hz};8BUSp;4DIpgUofh2gtQY9SG&;(Vpj_ZR(76F0Mi8(p1~|V4 z4rE?9c;R5UL8b>p8bk`-FQO~ifInny7)L7%H9UbtAnbHwL&sC7GkDBm-z$S=3*)ysHZLBV|Hzi_uXN^jY8h3FEm}5K`fqA^XT%N+e3HMuH|MTBJ zKKy!rFgYHJkD;eYx=aFsfwNi|GrWEBO1pw$(x+RIRFaSp2I*k7Se;+@=&tj zq(uo}&+9o8_JLYQ*_abg_0z!+TO~k|gLVza5Lsny#P3-dmpkfrA zYJSNXe1cMK*Nf3Ap&LgG4vt1L+|@JhE~AJcg~Nnf(Hhn7qArWUW?OmHiIi96A(z!A zSVWSHMy_q3#)S(h6#Uenmhcok?xGCSgJnJgp2GRC5l_H|00or{o8Jb{tOJEO6L@%0 zS0z3jxi6^qN+*aoUyp~a2nMoJ3lU0BxN|&;ZYu6sN|u@lEdG^=$lf`+W*#1E*Hv+w zv_Tj(vk&ytS&0$e!P+q(Xo2@*UaCJ|0;MOeCgQAA8HXeHNX_hpl2=w;Qs%prFVlu# z`pUW=d}m@?Wq3$=1A-C~qPIcE7sGR4@ zBt6NS&6lUmuix$;-vZY1%OBcil+8Id_n$s}CYAtNRyiTe4|C|(?2lrI@8H%hsGK$} z&IY6hToKT6(9Ddk2qa{YsO$HB70yZRZvgBm+1k~Bbx6xj5dM0~ygL>kbK!CvQ* zGtF{99w?(F->A#=wU+m46xuUuq%^UmHa4vA1N~MTX$S=Y!m?WC&iv}QE`Vr=;s<4? z8{sbqlYV`8M5AJyR{%HQC=yM*2v~6?VCx%a6YVsyoS#vFOh`m4(R*PFp`8^J^kI+* zSygwqLMlBIxn#-?DVt!>%;#CV9)2jh-gDc0hThMW6yJcYrMl%T*^Gjw?3f{d?e*;q@t8hyrk%;t)H6ogSWb+fck(_c5RsFjf}=K35^p6A6m%N_|SZTLy_1Pk;v9))Eph!N0A0z&MtkY_j2ISQH; zVUijeAUrilL~HX#a2k;IOtz2!ZA}g94i6@l#&lZ@t!|g+_A(nF%szdVqo#`1`V~Te z1Y*!>>9l+dlp-%H?2JGVC}MKPYZp8K3mqj#tq2+k`i2dhtfhci@dMM?(ht z&0s$zndFrLLXXR617{3&F_uVCfU)bvbzkw;sI0aFOfbgSA5^Uf?F*T`c zE1Nf~p*yQ>N5_~iSbYYCMaB)9qaO5O(@b~oKW#OUNqJ?JI3b;p%HinF(Q}b$a3Q2h z@UH|eQAKrxDyl7(;b`OPWnZ4wpb(SEL~|%WSA<>`EZuem%|SSzn<$SDhmMB8I^ntE z?Ov^pS$D>(`u30c6V_&wG#~xqsR5$ZFx>_a-4^?&8&b{ZY}jw!J4wC)#Ayx!K+Q-< zY&?y{@B>m@Z6A<)&@>Yhlveft@IZMCMcOExT0YV>+L!N^~#C-(=R6&K6rF2=GHe+I5fDs{RC{B{`VQ7KoWxGLT+xf{Uj$S1sF8W~lVtp)Wm~ex#;XMP+0P4dO z55jjmlFFL9_~UdS#hr+sf=_rGehR}o@Kbau)3vXsb({*s4w>8f^$CeCZ{H2%=Q~$= zt>~M$qFmcHeYhA>=1j_hE)6A zBcieuL~I7R={-x>D|E}E)z2x^{&J9!AX)e#=zb)(%rON}`##>kdHnoCMAuzm+utp( z_a)31bR2$XB>P$Xq#1b)h`2v3|7PS4fx_~~WzUE%cn-cnWN3T=td3-f^0cmAU$fL} zE?m`_Cv z4{Qgt`RBb(yWS~lAr;nuJ`_^d(Hu4Na`ev8M=Lz^!unM47?MfHK2|f^n~`^0XzgQU zwu_VY)yi~pN>iH8BK_qmUkS(|*Bk9R3+HMRU!BShKPYsBhx|IPNwM#c|y}@yF5Wdyy25?Zt8AY%XndU+Ft?L!K zZ_nU+fOLTxJRE{U_u<7kivEG-7?s52R-Zb~K)w52Ga70{lw>YJTeuidGzlw?XGbpt z0Zt|(XP5DjQds@?bGX7i-gZ$%%K{Hm$$HK7bI*{RAibu4-3-K6a1U_~U zdg9msaH6QVq+RgbqZMeh1Are9aS8YDnRLi=$liQ-xPOEvpH{$T^ELZtzRy418Hi7K z1|HS(6Fb5Z$iBtTnXs)-utS8MXU|Q2Ec8uEo<}*~sY>PXnuWQF(~Xxt9HLc65zcl4 zj<$)}d8(MArv-LZ6@qirNfqu0*rst!$pf)7fP!2EJQiAl$Wk^2LuNqGd+&@2<@e9k zGeBhZnWj(Kie+oq9n|%cAOce_?H9y>Q|w>^rs}U}NK8@G?{1vGSVBS1B;hfc+No=| zSl-U&XW6s$%+Lqqc{jN!(VHZeoGem;JbJL+P>`~0fIs2MH!{E-bLBzJ7g~ddl9cp( z&5u*jm?ZEfhdf?fW3K{yI|s1^Jf$_FZ^qYl{fPjCJaSSc9&uzNtz?IjJD>JlWK?`W zY01_ipc|QA7;1!wLYIJ^ztB+TnTSBv!q-2(K0SQg{QBm@KQ@3re%e6ec=!HSVUAcz z9I551BAbyeofUs-4(mGdVO>W)3>{g5cGg4Qe`obdx>QyuD;;EAc8@-oc;!dJ2A!&% z)5}~BPouhX?siazWM>oAg*=KxbLoBp6do8V7=h#cfJ(8DC=!N+{pOWuSbxb&3$-c^ zNvkKcdwPREZ}H~=f8OEGubGogx^ZB=Sx7F@qVtPwCtEfK+dopdQil=QL&_07m%57? z{t0XqLXP#^sNrWw8to9p0;Q(^Nmx14CE_@^e>hH%F~1UZkwOO8*^@Pj^|L)Wpes?U z-yDrBu_hkUA;Ht+4u|8xq0|J$nk?U&?h4hgVHe^H4ccod(aO=}&Ih*ISiXQR$tSol zsL@pwup(>nS798u_64|4A}?td*b-fQ)QM!9;l%yQQRFV<(N=MdbDsp-%VO^2iumDCDBZ?)NL;@(@A z$dXG5Apr#ioU@I~Y;}%uDfqX&wff(rsoN$17+bIz9C9+LepV<&S7jxg?1r1wiV1yJ z0ykkzpNvu@PTdJ%8#*xtD?+z+4_SDnd8;y}KbBXtm8i9Fx!Xg!UFQ384ByzZ3C9ju z4J`&Vh5v**agSY=U0Qy9b69GrnUz?9^J*wPpQDeHy+oeufRglUuVV6Q}hebXe(IK4D+ik(Oe8tnK{LdTK=mv%K>)~)CzG#sEnrE6Z065}yS zQ{AGUlQbVnaL_YKba>Jl7{EZyWz?fYx_W&B$a5)vgm@&GJ9B)@Z;`IDUd|==;|!f3 zSt}NcXR3piQD#dG$pbQ!=>69}KE3(v@$;w8-%#o6{^OSq51UWlK4zrnuS(xv*Hg^| zUpe7rOC0#HrAE>7XHSpc9`F$DLqk#m`1$H*_Pg#qTG_15`BbQlx}%Sw)~Cl)WHUBx)dA|86}q$9p>mBjjv_*{;-FfPM!<_$HBl*IK+MvRIa;p&<& zuvrj;i6Qj_1@G*iQsJOmCMzGMUM3ch8@eZ_JIlY|i-9MuE z?B?e2{@0K9d;i9Ng?haouf|ZGS?Bv{+{&&akCNnU``WAzw^i$})Ka$H&abiXFtWPuV~zkDpS&jE7Ni-v(Tz1G$1+ zoQY@I2V~cA?8pi7&*`D->RBF(PSJ_curEA;e4X&n_jzeCCqt}23DEFsfny*Y=qe}G z*NRLzLuF%-ujPv@m6HOf|nEw-ddBUa}MYO$6GI ztr}y}<7(5kaZkh1@u5emgC>+VmKm#6TJh`3Yt2wAnr`n8#yHC48Nvs83@)&>$#l4Y z!6oLDD0o;EDaI3lZ`J$svVI}^dV!Eo{hOC`x=UK+nUsE?~f5YA@n%};md@M>Spt^`rExw%~}&QB1c z0ZvyIaW9rPR zWds9^$IAo9-u+;pFL5pd{dLH3dszBhH{a@-2vb+RqvDYK{5#Rc6Q+ z!eeo>lH)*Wlw37dMNX^mmoB{hW_C~ z2We16*BA7W&&GXAO~5;ESy|^XZQ^76sI`-7=R!SXBHiOZ?@{%wsBS0}K&?;>>K)p% zS>3XBWFpc<%L+u)3s-3uFT-{?6eq%YlA9Akq6p(gW{!RK$bH+zho?vNPfw4WY4Q=* zXEOq|;i4%;B(y$YpXT$i(8=(3s?pAU5ZJl9xM2z4f=hYJ+P-PBG|G9$O|P9 z+`^-q9hl0fevEs50J~A7M(Wy>mI=Cx`h7=})RDqBY=l$=I|EGwc#1>N95@!f#6}&& zA)wUg^xFa%Xc6$??=1Gf$M>#sYJI?C3Nc3&2=xl%Cn$`Fs@dggy#M3t*9dd;(GDjx z)2(PqnR2*$ik4DLfo98?nn|y)>SbsBok2OFz7=xxuqDj$acqc-IZ1>po1)5RRTqVj zJ%<$wL*STh0snN02-2FZPnEl6d7h3?pje(T*bgLxXsL*$ zpvi~0;<^jLuY{jOb;i8>RAWXykeQGLri=5Z=Mk`+TAAzq`}08vjt(BLbZoeE=u8ue zNQ*xTglv2DN25_l*Iol|g!oj^5YZ|Qe)#<9*Ma;6fLK`}hScuyV$BOD-+|xfbb0K3 zGWdO9cSy!MDq=e5gjOI4zKc60;hhy<1CjX^9pSc^eIr?~toB`$pI`Fg* zz^uL>xkC+1oC8c;DSz_(6Zp<2DSZO{7^R?S zA8W3O66vdL_a)+~?!q8nK$!^fae2%V&mKG19;obGXNvBe^W}gUq843E=ap$Wl}WZJ z2?&NV<)&?BbhzI!Ph1M^W`q0Sf?Pp|9OPjRUZ1@@6&6K<6G2pzKZ4HPGZ3t!`Z>Of zY4C-ImJQ4p7!__uke)w+ggGUk@yi2qYCmt@eEG&YDqsI~{{=}Izq`30d1O?VDAI1i z?+F~`=G6=UlJjds(a^t#e<21#$_T63zcolCZ}}>$VFL<6BGC;s-SvhNgWf!GxbRNO z>k^-l$YIg;PM*ibnMg?^wYvdf|vpE#Hn-Wy^y#L=jxi2EsL zqxL}Q5$cR%ceKFx>GBq;I$CkEv7nV6{CCu2e|khkcHpW1^*1D7!`tvT^z40tsDEIU z32^zu{89X@r5Xm9Nr#jr2*bN^<1MThltIi_UV^Lg7uj55r`Te1@*088xo3zk+kV2L zBl;zRc&!nA1o!)@etnkr)-$-e&k*e6#r3H+y?3**W!wjY=^3vu-oBa2YFzhc~i_Kx5XPx-JUC4jT3A=HGa_=n(E1s8$`&0@&cQgsSU?SI+<($a1Jb zy{OauDk$0t^kih4`Aa->npN@X=vHenudDoSB$eOI-(hg$mM$_rVe$r!5#Cb`7+$p&V4)P=YG%1eB`Z34(4izg!F0tJguGGXNQ5 z@_?S@9YJ$dY=#G-Q_>wj92@`!3enr57~Y0y}3h{SR7;R=K3nW+3>bAGcqU%cL93vRf#aGInR25pV!d$5=E7a5h}7b9RV zu|$N46__#k2hn1-@jVrc6JaYo$ky#4dhWZ$o^+b0u2pD-Zzs+^$BCM)pgzIf2jlG) z{jKh<&QH#l3v_%A9?^)VKUPKGaXZmwhAqcZ1GSB; zkeU*jX|*|fWm+M%k97WPXYi-d-}e7D{8LLtU2l-BQ3@tpa_zl6Ae7)* zNn#GP0g##z)EccoJ5wr@+j~1%SH=NakU!|7FPZ*czaEd?{**ksz7Ue%h4(7*%YD=+ z&J#Ydgn;QIWw{gTD6$r%DS^ptiv2!;mX6#TIc*`|g98lbR-r75jj|LqXdV@oScDD5 zHFW>Z#Jr$_i>}P#m+E^YBc$BbaucwMX6*d6X*w#I2-e2+t3n*Y3*rn`oqw}6Qn(f? zWUA;dvFr8W%8vI;81y)DiWQk!B#UzEY^G-zz+cwRWA zffHjO89$&5l}%@gAzxaOr91p=f~+pTD5S0BtcLXL4(e-}KVh8fLx%&@LpI{q6fBm0 zsvnMQJhr&(sgik<*hWEa%mt*+otXr)#g9T?@Oc;?6~BADNi18V%jTbDi9!D?V#2gN zMk5pyY@Ypovxb*OpSRKO$#zK|QJs>9>X=2RuO;GH9Z2(dE}kOrHV`d?&iS(m8;05s zZ6T+gKz><9zs}HgmghtDz!Ay^<_yGRa+Rz-;;7&immMlVX2eqpD+ezyHFM6|_>SnR zj_G3vgXME^^{-K62L})-Ck~EMa7UgaLpPhmEaEis5LahZ&SU>v?nJl_n~@6`l=o*B z_0SC97?m?k4qFzNfCsd1#1BesTqxk?6b>T@Q=vaOmf7J4DsD7aNTPKe74XrJH{q*qGnrRujt*AQX@pQ3W7(edj6rov*yp_Zh zYIVSA$8*&yOx%Q<_cH03B@0C5x0NH~$xgMQjJBLHg;FpUmBE&=VJt;Y(pa@EXSu9n z$Ry+W^$dlwl`iv>Vx>`NIaN;Ua4LQdsgo71WoJXF(~=p97b}5gbqdwYBAuU$pRAPl z!hmg0rjjKXHiEe*U@YNKof451kR zbpQt;&5s%|1fgj?HpkrVtN_Z^p6IX5J(c6$xWb<1AnUul&KI~nq=Z*8`UDDykvg*(T<_Gp`km8d z=YzLa%6P~9+<&!gvfNEggIlKh0-1`xag{2cXgym0phYP3Er>whY<|1{n!5K4^xy9u z?w`IrK5RLSPJt;hm?yLzkYdwg$I;!~(6aw~4iMQgOLtNb2EgSyS0<(aK_qjPWo2io z1bqx8@pOie*0xb0c%0Gd99iL{Ktw2fBR&y5!kWC_Vl~Y8M%4?fgsbh19|wsESUTl1 zyviH?^;To(`$vOje@gSni=?^hHaxmMkYMA~P^zU+tXtw_Jea7i?i;tMg5DIp9H_T+ zimb9Y#p@PrCq47X&En2Oqng*w00yegV2=ZgjfS1zTHJWbPtnJ3;3^`OqhfD);>3&0 zR3V^pthyZ#5`>gYr-NpyZP~E?+KB^BA^aNZ5pUlbt0+dbS)>xLLYRPKk&r9Z(p`>* z7-e8mYckE?_j+}UoaJ7nR27?;Wn9iIOX*FkoXdgdL&QQL387kI?WoYK;j_Y`O7l-N z?EK~P2OReMr>DpFzkEYPaI=SGWEtG}i=gs7-a?mGhSDih=gr>E!^m9mG(>Pqz; zs3XM0*N8IA=g441KW8*`MmigiID7`PS8C((2#AclJZ!GE;3hfT9Bl{79drE^T znRoqIl;)qA^u$%7jwG-qzpe!3o2p~(q8p+m1BkXGBSWq9oJ|*=)+}ALU7G*CMe6Iz zqcR+nwOPFXdjAV@Mt}YA`Iq|-WbcSkfBW*Z_k2Jn_WQ^Gf`3b#C{gQbK#(sP6qmM1u&3lsAZ*mb@>&r{=s#aW2G_58o`zg_&k1OmSQ9;*qUq3?Ni*RJSaH4D64i&5{cx3W-FeSS)r+AG~vaBf{ zLvIp+P8(){JnRfyO^XhNnGQW#8zjAlcd zyGD`45BE=~ikt@!J$oH*83Dv}4IGPo1ODHEy1bfc49UTo%%S1o2;nE=5jtsjl>5bw z=od@+jFwbz%R!zup`5j(g5*<4Ej9E&?>n@pw07F;&$boGlPL$gryPFIl)IhZG~Ioh z>1_9H_P0tHeW%@1_7S|+>S;BOr+3*s<#4g{E{75&r(Cd8mbNzBsFhJWVa$q|HHQ)2 zLQolQI(Mv5eb1}=(M(WvELppVR+6*Xmurd$Z;o0F&(`b3(){no%>6Tj_zC(17TBvAx#s=U%9Tq6Qk;q&XZ@ zuXFQsBvhSwYDpF7?Px{@({3%jl?z?Uu>MI#k@N8kl9%mOp_8<=pF57CajG2iEmKfV zd)E}yEvDW};sC)m7U>Uxl<>=1D=dU0kBO+Sl7Q~ZY{A67S+c}Qg_t-rs;xpy_IDaL zll_XHK>FS)suV?sk*{~fHSEzJF}RiWV|**a#<=ABb%`$QI!N&C6I=)BnQ~Te3PDAi1=(kH>pJR19~zuF%j_Dr0Hw3L*JFT5 zL11w}RSckW`x13Ch+XS2V-PK*WV-E?uou4~E-EDj|(RN=OADM8+0Z$og&kUjX#>}D~_l1*05ku~+h&1$-+C))8Pf`{CI zNeBW%e33<*7(R>KG-I}pHV&(7DOLLQqbBni#-M#p((Zg`vyEktv~j9AggrL zeJ=9~NPu+2acj6TqHN1m0O@y~iVk#$tesy}pP=_N6013MiKR0#%V*ko5VL%yyRpVoL=wzMQ)hgZ%ceb} zL;cz|O;?6YJDd!n({%ava3Zl?C&_?W3#*JJQ3~prBs5f@RS;B_dNVLS>erq1bVlV~ zZfNdPfg}ntvoXQeNnLz-hHY^?HkV*_=g0-gPDR_;KG+;zquqwmG((ECyRuLd0;ugP z{)nyX`hYpce%z|Vo6z^DELNPayU}QP2pcwwjk}8F$|RLB{KrSk-l4-GIEP$9bfi%M z;6#2B5S&%lyA=FioL^_o9R{UHCbHz-@&Vgstt(QHZ=&Goc=Stc|8TaJ+__+VN+{_K z%W1M2nKJD<0@L5~a8%zET~0cyz&B<8;o=Qx+PYp5rR^Dfo)maz$uxcb22=**JKT|Y ztj*@vPv72b{&~Oo@ct_*>5D`e9(ci5r05v}f%VA{%VcfG*H}XF3kv%#2J{_@!>dy7 z{20Z}@I=f&WX!c_0^=tU99X}kCH3Qg}+{`P|_OltI)dmeF)~`3$C@T z2b&I?u7J2B@j&kO27cX7y*S0y@}VG3VAT2qea|#zPAU^1Q`CYdjfFZ9zsBW|`P4|r17AI5`ADVlu zyW*kxr4r0nIh%m0xR|iyr2R=z|I6c*EFm3)oRNP%ULokdnM&BQCP>MblY*aR_{5sc z_KrIP?7fT7o3DZD4)~Y(?uWlq`A}M|%#qJ#98L;14;i(o`B7cvf$`V#1} z5t;Uo5+?7{LUqLYSH>!9Uz?@t&TkB|5nUYYAmnysR3GVLi5w4jxs%VEa2)!&J7SU- zyoEhWT=SlqwX5B_D;;BR7p4<4s)+0*{;$Y zT)L&|!!nMIE2y<0eapnKsJOS(dcAdbijbhObh^&XZG~DNC8zA0qF-%);oBiT?Ff-8X^ z5N{EC#C`!0K_Oi{{Gy=kEXZGfKdcPTro-Xlp5z(7*QpVD`0FkW1~LQ_u?BhT!GDH%UM>`oae zCnLvPI-Q3Xlt&j5nM9UFW!ztl1nG51MX>-=3pv!vD9ohkp|Y z$d`tFf-Xr@2IIM3vP;RW8E)}#RG5EYyxTu82E&DIphsALqJoNk1FaijC!xI$Q6)07 z*f=@^ma{ardS)oS!3f&W3i7~u7Zfex9A5&MoH1l$j3Mxi-((YIcP=(hAHRIM|2RMf z80XCE=RU*L60vh8#u=srS<3$7t=loswboB~=quIrYJfi55(pr6v zJ|ONpFmGQOf-tj7M4r$IGWNRKj~+{OYY)U3qV%l*lZWqj1B>>7E3)^ZHiXww5AkYs zy~?zjz9~w1Z7L7Frae$mXgX6!aL=E;``70$51)X`dh_}7Ki)t5u-UxBp?&x5Q+av7 zykT(g=X=keBY&T>ei-b%`||ngCcB(ZGNf7oQTNjjR*;C;a*CV-x$bVhAe!Mqv}RqS zku)q2sqZRtsMyU=x(AZe+CkGuqi(hWLCB5Z(#_csRdSKeT5={gtBEH$+&R#J*;>Y%!s-zr9m+pJheeXMTcT>J8Vk5kL6dPs$c?ahY_5Kk>NP zwaOFbqxw+hrar8ON>QJ}L7YY4_Ao-FkXL%P2~NfuuV|#WE#v|1rNeHo7JmVOVmpmL~?Ft$iFjZf2d!C z&&n6l5oFDi?T4EI#{N`m=}yzf4Qc4?J02H}hQPgHds9Kg57Q6XxvqZQAG9+n-_#Ky zS2%F?s9yQBI!8W@a;k6$iTb1qROH4WY%5mGhl*3epOOD3Q{y7mzzXbEGU6J1KC2cdtLrHdD$XqT^ex!WDdm|jkZ>IOPED4 z0PT?@Di^8~9~s!W>LZT=faq)#*aCzTJF%R`opcPPFBG^T3O2Kfy!22z3$r$%hZ9t% z^4-n(3@>&%H*m(P?KqCd65k>8o{MLZIi(3y@KRr}<0G(-P?lgS55@EEwX^V3v=jb? zC~1+K_jA2{Bsauo zQ`&xasIsV)NxGbr49@xID9COe7RnywLUq9`J`y2ypcfzZ9jcQsAW*1 zXCHz=YX%cU zgwV`zq@Bz78;s2{U=F77=BE8>r}57j`U2qe7r;mMb9s(7+X0I*g*AZtVT3YednIB0 zBHTq22pHHLTe!)pQRt7Lu*_S4Mbvr~aHEw-m&zoiF;UCrXQ~!LB7ooNx%NZa@e*)& z`V1!*d3$rZ(IxH4{=t0{7CS5I{<%}BxY+V30H7sUk@AB22c3C}a-22Nq^-)+R(Nw_dpjc)2GX6Qo149TSu?L3(51`{yarZe zK~ONybPviW1PZ&ACA3%pA*=k>z@l;?v+frXckq;OR9`p%X-VnvkU6sR4 zM;%pt+9h^C=m)pMI(4is(isR61&T|KH6Mz)&+mut^gjy@0fJn7f@`DZL4!O#dps1k zKbDH3AqY~g@MzjFnU#;{;p_SGyrBB*dJoO#GnC>f7UvhU^UDlHoH=hgX*z2GqF5Zpk?(vJB)BmfAnbXv!EXaM|>o?!$Kqqs00K<=0BK znom!#%?u#xZ1M1!yoIPTCg1}>gS7?Jm%EzNekI`@aBqXR-AWD#34$-g5+i({aU4sNaBb(U zpW1v_A0qO6JBOpBg&F&NL9cPdp9&;9k2Jz?9t(<>gK7gkBwtKXns;;Fb`Ag3!UkUI zwDAUI8`Ls+#X20&cFtzov>lE%ldTwCnX)~dM5b&{C$TTusx;X*?VfWm6SavnD)b?1 z1^=En!(`LJTb&-b%tjBHXs8YAri(&4LxU7}d6hPS{y2NFMwMjZJoGmbRs#H>MDgk7 zr6v*(ew_CR@}XYCKF`GGxE+!lX<|0W2Fuhbr_5Lb1Y5?Cx~ z9Hivhfqq~XEG5V4_UagIi)9ry@CM9*l!yMbK1VrbMw^#^9gi1L^ha|+;$C5$H-}kC zaM+8gttdW93J2r<+Fnz(8SrQ>wAs%{7NDCRWl}E8eox;I4dCXl2NR5}vc$~gwRL96 za9nnlj$b5$7H|mM_3OKb&+qoW&>n?7`5ZB>FRWbr?!*1BU!ilO4&d_o{&;Of*@2b-P~#SbkMRH1+H4~q~yAp^@gL6TcCm^y`ajKmDFd$!!Tf3 z@LN@PXzrx~6Ur=$VD)RckWZCiQb||~1&+GOtOW$!E6=?FHv{kGBcmm_04t6&%n5Zw zePl#mHk)5QfBW+LA{5QSdj@Ub>>1Z z4=xYKC&b8EpjZ1Y6Q`v~Iy|-7Rx^BtM=O}ep}$-Y!q9dzro$)*O2w5*XD7Td5m75=$(Cbcx1n=#(qDj zXm;&v=GRu^NzB_12Oa8&FhdaaMROg+ym!T5g$q@uab2oJBpSb&?m|jX+_11_G{*9c zKtbdyCpXVFAAkA!`1JW-Z_pR~3C#xz_A3uZV?9o9#($-yr4cGt#mRFFtfU)utrnI)U<9I%9m%PVP-m;#^bNq9LhLh8=*vFN|(4)9jA+FwG2lg_9_w^&fKTP~{) zG^i0zN(w68Gs-&06Nb}4SVd(*5Vzv;a6Z@zQEMwC2MV*gz(nnDTR}=uUBk3a`82t; zNdH;ZUiX024Na%d%6~WBHx&w*i6sH^0m{}3=9}p9wZWQ)?ZlXzdQIQkTq@dE^Zm-o z7nz5UIAl0(v>OTZr>qtZB-Cc}>GS(fAKrgLX&jt{vTVUiG>R# z!o>i@&GjoNFRDV&ils~o2C1lHT`h3{NJ4Yr0H6jdI?Wh4i7sT0DV+>EmYHBCO7c;C zK2lae>4oxAj8u3>=hJf2F2>d&UoI%eAr_L<8t3Q@_J3;W7_N(t_jhaDWAH|$!?AST zMItb>vc~W#e|dO(|K&H-Qva|)RhKuYzs}^9Jmn=;pfgfgf$kh04P~8`I*z5{ND{=C zgQV0Bqu1Sus&ifUi~Pi8ujsYfi#)RZm14x*L1~|nKt&^nX?pJ#&I%u-o!<7$On}2hJd(1`72m%L`;jcf5}r~LVtPfM3abY{R#nj_@yFfru3>FoWNE|Ly_^pD!miwQRNOKcy=Sl3bVr#oLwv(N5uyshl7=ev9Wk6Ca7*2 zw15pFK?O-3Q(^o*khL&qhHbBT(R83s$m$-JbK*+p1N zwejPXAunK; zVHXZFoO(Q4XSCcHLwMO~>ETEcN&s_``53u(+*CpeC&XR;9{u=)GNjhutAHteJb zk7ABR=CLJrB#pp`Rwo5bqT!>MPq3bj*GT4VQ{~}M-21t5W5<4tbIC%1*}2~`OAo&& z38O=33GUB<(&lR>SRL|7WqfSvnMn%Yq>G!&tDl)C5b?hLN&2N4;A|%;K-~8X2tDC! zRfc8Rj5!rnBVawMsCt&`)N+0n4Vwd{I>rO^5-qL$Q{c|zPMPji{b;h!gJKAr)K&N8YJv;FdkWz&u0Gsq^%uJQr{aFqdA#S7+P~Y1$Tg| zm^1@>HxP$#!S~Ga)#;flD@2A|VdG#DT=w@%}b-!ENj1Z}2 z+Vd3Ahv|fRSb!ct^*O|=J5=G!l}UVH6>fzdE$|-X?>;*L7Vr+-&(<*_gX{U(65%H6 zL9;;_IUY7uRp4~FbX_G&T*wAxnaow!bZcEok%@p(7OuMHXzZ>!@d0}l70!`KThip9xh z-#tA2vAO@GGr3Dv)I2xjSFf*EG*H?GzFb!}m$Rj|%H;csoC3)Om%p%7jAq3#Q(!OB zTo$vl>x&e+?441ez5cmMf?mdi?2JSX`w#{{Tzio|(KMa1GRA)z6w%zpfG{U~Q3)&t z2BYXpM32TxRN9Al%?_eAf`Z-=BBeX1YYc5-vR)9`+zS`x@YYzS+(7CZWxJ9XTvEhz zW4xfGG0CnnTfK`su9hct!P$+5W|1k6mFjD@50xbmw`!8KvWxo;W5z$B_|O*07P>pA zPf%)lf_+aaYHhGWi`8jLhPm>}rH9vkalX!;t3E-WEs(^2$s&rp%kc(ICDc_7G71$g zjp{Aj=S>UJv>l{w!}MNWiHeM6K|rWPS!OoUmWVAC!CDQmquRk8A-%&MKJ;j*)(=lj_Rr~0bFI?{urL{-Yg9tj*i16)e z%{9wgVvf3z9QU#yn6vBDBeD|)kADk115m;x!rsbE}=5v|9^*BW+KhYpkzsZn?M9p|T@a zz-CwLLCehl3&0B4QE)|^4D)!7 z#_C31U!QE67iWK${_osIVFf&fEsh1T?BAFn!1P#%TcG25UefiPjYpR8~`Iua1(;n9s zgn-m_1aR#J?-2R{MQ1i*-xCVCMN%cFSz`#zVP3OEiv#o6&e>4YenB#u%4c5PAONp^ z3H|2i zCTc%MdXc0H?z?SPSnKFU=hnKj?>_(TmH;BSgS#kU7%tD<-0fV~Ck6iSm}y0)4BG4q zW5zllggifPGi6HA$zhq4-5fYZDBjS`%!5=5nkS&z%?1T80nz(moGHt?ATfG@Q5=5)LjhO~2qN9cMsEUd zXxsiF3*5!b+*v=;nNLTBF)G+_SpJeTl^N}aeMJwN!<1s$yPAh6dmu5RBFW#~gM25SjHf>%7$wITh6v-`)lC->hzJZX*Y2*exu|0Tj@b_?(1lt%OptU>ef3wpLZZazMI z{N?@;eVG86`uY)ndpnoCKMno_=RE)a6DECr{NqoC!Z~(N7>ceT-57arnRXn3YNP^i zDLi9S{KG3aR1~l_nzrJS`iSY%ur1u>ga`zY+U$UdmTKXNs1H|EyPk=pB=h@t>EX?* z6+!UXHp{NHhII{-PGC%)oIW0|I$C+Q`aFHKI{i)^`A>+g2ZowS8($@Xp~h=$6sl&^ zL|`asAEUmq;pqLh+jR(2T^y|&0@UxW)^|5tIG8rz0&uU~U7+*^fn^=zM{*m*01}Qy-0_08^02tO+(w`1$ z$oP`yzEU7#>W=i+e3m{ycB^1x;FH9ZWzW84mfnWe0#(@lJkrT<#DW4`GutH=O5mpv zx+)3W6qUuvggHX=QAl}zxLmLAkfSBA)_t0fBXJZG7kohz0!I@-uN#;Vdw&I-B-f;9 zg^4NV$+={_E=z$}cjN*fqBC+X1L<@IN}1aEAm0xgs)g?a0UNvo%(q~st`H`?0oO43 z5jq8_04##}(_jr%6J&>PlhQzfSnEnLWfCK7n<1=OG8u9of?tc%gy1{biYBtc6Gh$r>Ak($E zHWRWDxNThm$X304;_%p)v>aJ8_^ zB4)tzVt!ALV%)x7-zvF#x~Byx)DcwL!Q~&btIfNd)KW6B7 zF{R23VM<)Ya7M^6fR&?Mh7MBFr32xtsd9*r%2-8!)MoLUD|F`FQG|$FIZ*MfwZY2Q zR;Stbig|5C_p}qYZ)0~vw~;TAE1&y4%BNm;A<+GlE%`z8Y`H{^*kEkgs3he1nN_xT z9Z6rL7|~~P8zm75(B7ICJ&dDjLc}BRS$HK(KI}4P;VyFA5t-rbad;UxR^?c`UZx#r z4b)b@Jw;dElnJQ;?FTn;!Fdq(DEjSTW7KiTHaL8udLWBL*GJZCXJX_H~XGigPmzoC@PWO6W^ z9KjzrZpO{SUmHN**6`5HZm;pU2oVUwMaz1S6lLxnf8r`mygL=hBk4X6F`rZ#A$2%_ zRa2vzD0|=Tpgws3d;;8q#NN1=p?+}ji*ZVIZKK}El^Zj{9<$4OZ+ab$hJ)$Rvkmg^ zj!|C*7V+zIhzS2T?D(r&K!}iN^!xiK7EVD@?^3#UL({y2i4UV`xkBq+;H&1h*P@9* zdqg&%G<}CnpZ8_`a{u@QXwut5j__+q<Ss_N9VKh)O;E4dLXT&pC9$o%jG4TewXNwAy~HqN05Piw?=F<7^DG8{CZ7> zDC8t&C|jf^44nnI?A}=Vuym$t_5KWomT7}96Xdx!gAboS{VF}*FPEp#ule`p_3I&| zGmf17OL8IYcL|}}?=fX{62HR6yjjlAPtKPMVg*kC1wTXP>SB3yjXXuBprH4nl|bWf zmS{|_9`vOC7I)SrMOnQK3P_0stq)h$wP&I(uc5`%cyK#}j%hn@!-$km7%68Vh0V85 zggt^?DdV-`3VwbQK(D`$KaLlWEpVou19QRnrNFk!OHQ8UT%k+ViI1CuNSjC@P68Z6 zbYN8_hhG1p%WEI|@{855*+>nvU%tKn@bvyuepSr`Lw%%-8!-|xhy<;t={}=RRIxPn z5S@2sH&M<3zkUxwcJ^ z#fzFg0B2zlxE}JGu3Djz2GlCYM=&89naEv)#BjmdRmp+VbbUY`jDs6!mkS3zAzfQj z!wHUw8WU3xC=D6Doa7u*x!|g6wy-XCl64(DBvM;}u)(c51}%$zffImY!dznf#nwaW zf|rrg@NvuKiO0T13M*?*tNxbGnM~qW$Mw`BjpbeosN8XApC2RJRH)%Y&2xuey4UjgYR>f7x(bYM9u%0k?UfO5Hu<;Cph&Bc$l z2|O6Ajj>3LAsE2^clqb@|2#ln2(C-uri3rxi95)t;R*SITd&3{mp3bP9VTgnKeZokII|A(-|s)teZmj>vILT6!?n0y}ZK50pw@WD|p@&C#|( zqL_h(3oH_hiLJ$o3^p0UU(EH`nFG^(a`5i#$5R}OC0~=j- zls}>CWK>ldRhr5PJw!d6s)xt)tC}E6>bD@}`11~be#M{P@aMg-g-*~* zc>qYRbhl$33O;2IRvXqw14b367a-8Z^&R2B8-s3Sk&{v(A$!UOWx1Jdu7t;A^HKQ4 z#Wkh8TAwrnQB;&&lUS)T6<9~P5iHr&r8^*&29iJTGd0FtOiNb2ut{V|c& zAEGQE?Sf8mFsFasfB5zQA4_?;B2!uulnBU7$!A%JXekY2r8*=_@@p-{CmW&(igN-d&7VH}DX6i;9W+eG zEV^KLP47lIeMX|$C*=`c{&H!g8$~R0XQZ;y-8s70!9(362uLnS8+j;(j7Lc7-6ze^ zP~C|-4I9j+%89M(m2Yp)(C?P3Y=7TE*58JmzQf~4sIRx{i;loO;aybk&z$P8i2-*ltI0rRwW`q%8VUAQ}_^? z@gxUjyB#4y5&KHH8-$U;jWyedYDFkdCY`PA8q5i%?A~5l-;RH;HSU1d?;h^5j}IHb z8^NqhcA#SJ$>#VJkd9QG zj`J{r8_@JOg?7X92Nhy-b+Y;?P_Q#OvVPgOzw;T{e1FiPW9y3tJxV#xdR-`BZ*UYI zB6(0ODe6G72qAyC--ik${!_ERGEctW4*wkStJ7=rL%>D}e|n>sRLsv@FL1z!68DMPzB}GZU1V3|cgtfto3@7nJD_O*jkj4m`irF(roc zubW>#eEtQMwve6n@c8)c%hTpT`Z>G>eDKYeZ=3gz|FwDlHC@ziiY@}s9Pqoc#wj$W z-|oNSR&PFhd;72lGl*fu>=Imayy%9qVDIgTXDgI$MXn;>yeS=E^B34YK*(fl90rc5 z2!cic&)%G)z^YbhV$@daoXJ{$x@yt`{@=%I!a>sz?p zqbGtNbxY7D$P;NuZ^q^5MmdK=T1~~)>QndS5@nB_!jzz71dtDp28YvbTI|Lx20GT9 zInq9qUBb@HSH<#p2%?;!%aiTnlC8rtDUEzl<4EEdx80Z8L;j^`o*-4u0fbYehZHRu zxf|S}WU0;;tyW#!UftDwoqQRb`Im}80~as>n>8;mNSF})f%SC(xtznygnRoKs{S~v z3H27XMk_jXeIEB6mJnHNJcw5$)@Yj3ju#&B$8F6L0@@s}7n@h}GgLBWaSIau@|A2} z1b$K{x^|L|Qd8zqgL5NusgWka`Z+^tEoc~4&0JBQhtKZjV^$y@00DpyCUQp$6oHH$ zOprJMm2}G#Sgkwf;1zbxL05W7(rB;N88Dd}oHMnI8@^PVHyP`~yY!7XV`-1e9wbkI zV)*Eb@G)w4+sc(cY|txF0ct-r_DckKcl(1iB@Q40QNA+Cd_ zt%du*?rfCDBGgr30Uggx8>PL(?V({(=?wNcq*Oa(m} zmNbBK!A$GJ6>i!yk>7#f1Ay#%vo{g>LOP@bCDg4#)vfUU5lg_;7mJobR9%;mhE|0( zBs8{yOH#BaBC0eU5eSL_v@(Hnqoa|+x#=_1b#p-B{DrlG7-f#a$xeu`Rtzx28=)HK zSMYJ3-~KFM4b$I8-7F@F@J2bK!q7k$fMlJ$#6BFutKAH%P=LktDo48&ORmC#GxUU! z%)x7*k#Qu5JQ;Y{0~Xk%v(ns+msU1vEU6a}%x(frgx8@li_LMc#>rQChPYIcA zfB-?2t2e)~b($pVIF6#Y-ucprGc8L(ZQow>;4qB4Cz30Fkd9+vIUQsxZ#*Hd*&oz8 znoL-8QW*yl2MEk(QXzlF!lv>tV|A%e);nP@QCS&;I*f>>6nX#$JYB8_uXt<_r zqIVIt(e5OCHong`k}jN~LH=|kpN)NElmOEM

    BSoR*(OMS|7$bLAT`5DBBA-K)JfUqi{YkwaE@#t-M>j87c4a+Hk4;@IqjgJ6yW z$XlK*#`0+>s%Ft1Gmj72vl4oA15_6G5ne4p*u%Ai=naC#+6^}7nHSL~EWJ(5R(*TbAwO1~&&o4~1fdpi`})qaFc`ou_8MP>Dt)J?ELPJyl)kI{ zY7rMrl&tF02d=(vTM(l7ad%+m$7W|>=0{Y6*|vA`MLPpCc$Qs( z89dAGDGY@$miYABw~xP|lDaWVC?aq=m_9$DisvCc=zi-nUHF6jeN@E|egd|Oq)FoM zRr^bR0Z*k}0D5Gn$TOJyNas`J^q8)ckSdGo8*9~e(B?u#$5Q8OaG^=ls_Ie4pnMBm zIn8v0;;l{UMuXx|8~&*tc(0o;GdN4`6UGx|0&40Zq? zwnR~~1IHq{V#^VI#SJ9j`kduZ=2Y2nSSDx~gOBNNH&aDb+rOZ0dE*&OyMaF=`{#O6 z{Hyrny8PstpR87sUS7k7&V)l|9fuajDgN|8Eh>NmhA6cE;cIcQXMf%Nm6^dv4R)H$ ziVorxCB6#pCojoD0U0n*@LDIuR!C7XDSWg)Z%3& z(ud5ablrNw+7IA0m0U&zKoVuqCa6V$M^2dmE#HZ%ML7DZ8YoLpZY`8F)T4j#Lg?OO z1MXT;DNrgK5k0VoK#7*Jn?eVU(*h$$(LqR~Ou?wk8#U@6>;K#Zfn zQPZBJduPcDqnhJ*ctl-WE$^Hqsqr?T%ReQHQd@*yRo-QqnX6ewDyD=ON){e6QfarC zSvu`h^QLVyO(@;MHkux~RSG=ks0Yuv4?HKd$yi$=o>EAzHKMDM9V_rHk*0UY0BZzy z?E0pPX+qY?nlNmSJVDPQOk@#U7nPp6$PAIDx~7LBu=@44&yP>S-@uMEe&8mIKbd>> zL=*2!lIOB5AJ(>fLFXP*&VVcm73#R%Siqyw?dzoi)&5v;c6Y|XfOP(n5fY6Aj_6MC z9FYVmVP3&)P^JJ@M)hM1iCBRG_sz+vmRN{0v(Ts!gn>UHB%f|>&n#I&@OyM6LqNJu zY2xS(rAel2SDIwXcBM(CP-!Z&!1cVFA4v`!Gd#jqfHOzkZ}ai~_kX?p8c|9~D5>>E z0p-S+E^zRTAD8H)j74Dw<1n8`3TET3)tuPa3VgeTt*p-9%Y~{Tu6oIRG|iI6 ztvUiG(vDL+w8MR-+sS!B!w~R&In+^MH9yU*PJ~6BnXU8mwMFtk=*;)tAPx%<>HuO! z{DXz|5Ef#0NXV>AovlnFm5B`lTeL};O3>L@4A~??a5To+;Fry~THh3Xi;E>*0nIs> zFhexq?27p^_@fdN-!CfaTu( z+qaKD{PX?;?)}ft@87aL?ViD@Z;P2AOqs!-{LpjMvj6Av!T-SL@M(b>ja2ofYg;Q! zMO$Jovc%>iEpY;{8eLP2UcJdL5Cq4 z;HeyrJJIT3LzBXS?4P6?55DyhHZ;)peT<94&Cyo#iBm>HOo8#J4;4^)C5|}~WAd6X zTV~l~bqM%Q=uYl$Ar&7lu1^^*$`L!C9)5qSA$fS+;XLMxYgx-+ zDj&{4g|f;<5)S4#B8$h0PS`FU_w3+dYgWF+I#q2 za&A4|;@3A{WTfqE;Z_4z#C3!el(3u>j?2Mz-9e*F)fu81lE{x{aFhhArN}Tw0WOrk zoiVC%g5DOH(FkK8nxedasQ#L)&^wfw?+06qeIydrud9xE`B^#}H9n_Xq zyBM!fT;EKkx-6D4?k!F>{*LNoW4J9;QCQ!uQO_U0qj01xM^I4X zE~-r=|5mJj?~KY0^v~5j@Sqlv`tUEoUYFngB7G15e@1p3Z;=sHBAtr56KNb8rr!6A zyaCDq7U&KHt}_a64=HWC*i2dD3755>i#>Rc=xGq{5(}H;9%}w$T}_jeQ+tgHbY;R= zWmF%cbg4M-5Z$0?i>RcVZ2+KxV9?xAE-$?jWeB1b!sDo(V{5N+qi~hu;8h_sEpK zUVB&`j?(>hjvzRtH8i;($>e?-PltJ{#W(T)Au9Ojvtl#A*qfLwqS z9(O|C>e(FvQ2_^o{RjEPwUZO&0cw@b2sro}b)}+!WgrrDqsyfmH?eeLtB%|DG>!P& zbW*B=b#}8#Ytb{IwjO;`bxlH_D-7ZsZN`Yu!EmsDlw_VcN@)HZzZiP5??J1;T0E^f zuZ5+{u4JRw0$<>G33!3gy6>8=H$X6&)~!MHEdlJAx2Zr_oBPstpNCCf+}`qdHpImHIp< zo0f8ILtAV%Z)edIl3b1mf;lNU3M9ma`Xwyn+a7 zobLVW;r<`u0y^9Pf(jfpM0+e3zd<99$*+PA+1oTDmiO8|?h>zemb?pCgY&+rvw;p* zobJZ|pidX$(08{#CDTESq}gy3&EAJZP!Lt-&?u{5>AvmM1x^_xTG&`2%{kd=X4lC=%#W>fHLcmjngI0Rq%+k@`S8BK64CoiaF4&Jnug_Y{s9!G63-{(tb0$z;ZF!j>|6n)D6( zm*^XU9z?NWlo=MUH@vzW-VFXafRWc#H|W3To5H4*Ek^0`mKPaPFTESjJ#M&uK~YQ_ z#YF94M~kGSJ=~9#F9Lvv`z~p7^_1ngW(&a_Um>n@4v!Dq^YGPzGk@PaX8}F4WuY_H z9%sY4J9y7Y%%)qs;CM7jj9#eoo56&-~XUj|AlleiOPpH{MSHvb8Ge}UtcUau*zXaJ!2JGKYf zFWMT{b1hpm`o=pAka{_L02l&L)=d0Jh{#x zdrR9l-%;BhbFh_A+<*j=r0}eBP)K~Nzi63~P0~`dguxdRbnzWPJG;6<4h$N6qbM(z z^lWu~b$y3y=p7TB8&syrHsM@Z0S#C*cagT|d)pqC&oFsncD|ZJXi5Z*ZfOjlGTU8R z?zS1kbhXfaV^i{3a_@YxG$g~sE4e)~2|$UO7_Q0_9PqGWk(&kv4(RCCVPA;eN%Hl| zv^1y45*W~8f+n=m*=BKqH4j&QIbb`c@G#kFRVrARFIPB`#$y4kX5G@wps0Bu)qSyon*jm?509V3 zS%!poBu=AH8F2k+ALvHQMs!X2BxV}f=A|H|cNw7SZr-Oh*2=w4Wd!60&Uz=*}e4DI_Oo z%PZ@y@rwsI_=RLcnMz=MsBgqsP;Fe!gn*>VLqJVRm|bhJ;a^%xJY)@P)~==g)q*|Mqr+@)K{qeL(5$x1HRg zChzoWzQ|EBg-va4j;eZdGG+=76)wBp3~_tGKAQT|J8R?8sa1i&uUF5X9p53H;_qOX zQ19*hc{Vk`S~$tdn@c<(N1U)a+iXnFJO(|govcPyJ6=+}q+@~5fx?6`{o|^mbj%YcwsE0rkrj$q@l|BtxVGMYogU-4#D&wq#7euj-0ByD=X&#X$bUc-}yQk&SzKjB3m|i?R3eU z@o481bi~|xmz!6Y$Rp9L^ZNH-2HL>yo})3K9+q)5#BIjkahvh(+e~*nFbEEdx8sR* z(&6^k;-rHeYm7Muligo-FxmZG)2&}cri=I5x}P#<`xEPw$<4Mm*Ev?DtY=4U&iCBs zM)P5|-e~$AH#+)``)sFXedxR0>wIvxbDdFV0g3!OZ#5q6UhDB_f7f|7$= zXy;nXr0==a_ssd8Z`(~GLQqg`tsG|U| zWkFTa-|+wc+;sNg^a!GkfMN2k_=7P9NAY@2rj@d9L< zU7ap#*^3Cr<}N5LDWmQw3B3Tm z8C+44iEa+-&Opmy-5xJDS3%nS(Who2W^U3S7fR1)9p^Cv+#iE=&}`>mwjfOQTDmdj#7`OPO-fu?7i8N zNb0$JM^R@~!qd5jHIF{m$KAovdly<{ni?=ULbT1zQf!oB+A7|MUYnylKZr1TA1I@#hMiMjb6BE)9cQgm zpE)^uX6QR>`p4$wHHvF9;lt8C;BT0%PS;ufnmV7+{n=@-w11HY3CLlrfIZ6`<(UY_ zV?HexCcmtcH8jq!KO;#mf$ zL0g!k2ihoS4p&B2NX)@^L0L8~FaHhuiB#q%a}SWQG61t&obnc{b2fD2zrZnd0sCk8 zsi@A26M+MP^Kkm`w7LKA{?|`*UjFb2*++xb+YJQD*S!I+4EAshQS1Tb-}&mNPheQ) zo~pDl>Wta>5ML0%%%s%VhZL9_O%9|Uk2!tIWJ9L|KR&I|^c5-yMwXkfdd)5?<(9K5 z9Qw^+%hS!ee20#^6x>0jlrxH@Fak_c3ui)0jX>lYheGKfdY?yLe37K{?+OjzrwM!p0P`V!Z7K}H+>_u>w+5| zm5`;R57Z92>~%69qhL|)oq~I6K4jU_`^FR6?a;94%aWiaE$|?a0ib-1jO76 zmk%dy;`~(e9eACU5!5v~*JdM>yOk9kO{D~rZXbX&k~GO)4-Bp8-Z_4s3(SflS!@t4 zmcd@q@!Dg4Kx;FEY7(4*?m&|w2IMQpWI7mNR3HRjyuxvNDS=8nMBh+6LwADQGm~@z zN@F2#sgBh%;P>ke%k2#2(gs{hf=!ZpvQLl`vAsFP>0sJihj$S&2i6i8WNqw9@#}bx zpP&lYM1v9ylq7uRpZ5gzlfwD?jewmi2P9m!sIvNdH}Gkfd}l%>$k81r)PU+uSEtM+ z{kPu(1XMH~mo!a@*x?o~09jd2zjiAg`+25I-if~($XQE&q4k&dk;CfI-7q<* z%i;|NigLB6ro0OA8kY!>XS+m+TbrVL1tcinVt_I*@;2D6^OwsMEI=mWySM0hguX%2 z)M2Cl0^$lYA=e%4bkITTNh!E-9qn`w)xMK-Zzi2vc*tXtZqpm`n1ER)7bDa6337$Y*#qm2$7cLk-K733tA6u=@@W*e2>iG5V9fd!C7hRPa%q;CL; zIE~lo4prW$GD%;`$dJ4)_?G0p3g^mGts2t_l~&s{39WEAZ)VgAAvw9z*sxTKA%!cY z$Z4)S+LD5DmjM5&xsvq3VpPwPoD1u5zh2FeB%GbU?x23iILSCVARZ15v&ymBCyIoB)jg@MR}M*f38A1ulS1$$sp&NbffwS=wDiujAY&13beJe#6dGz$&B4wG zaZ*qL+vuF&CFfhl5HGH0TH&V=vQlD|RQHrX6O@=}43tP!-fzaf2}x8IY4esp*iX|_ zp=qwaq|&0$yW^_^~#45##5;)LjlpQz-pYNHl$0Adc|d_GSBr9EC@ap&zUH4td2@*K2iD;xn`FdD>uzzWMO~?0tjF zg@66e0oqbqBWk<;-%v=n@F$reCJf3RjKKh;jAxn7q?+uXNqUCh2Q0~sRTG&dV9NQi zYH+OR%pqOiWXaFOhTz}!mkO>)<)B^wpglzw+}YBxFem7MFd^2**QnCsMT@9A$hNec z7^%8GcV+VkFIG}`Q7WFon`!QJ2`28I2OyC??XNc@vFPATf%SNi16UA$&v~6K9rMC$^ za4wMX4o-Pa6!jShb$)TTU`t7p6y^YjSJ<${sODpOvfBfT@fe#Vl|SMU`Gi~M$%9r( zz(9s$qk9JAgyFuGVYwf@H|)Es+Wkr9=`}N~GQn0%3iOLuGWyZ_L_Gu>)d+o-5*pFA zI9sCGNw0xWt2-kVt-3ph&qiUYr8!a;(=9%AI5JCLr1;dA^r`bxa(d@hXdpKIXDni6tg?)?S-Oxp z!*OIHey(q?bHTJ_mhLi7rV~ljs0*E}GO8b=E)GF8*_^yM)?m`46;}12GE{~J|5J{5 z!eJ97sapw$oq;wxYJE^+Z%2oNBeraE)?9c>rFyyX>|(%=U*&VMr6e$2y`fomE@;|B zN&9Xp!B%GxnJ5Me@a%38CjfrGK1TzVpRZ<@kfgHG+-`lhR#h8C2eiOl#jmV8WE!jVwgSRdWeCV7>ob+&6xGqn zp|8&?j=gdrU#4AE-sG)hlCH4Fc&Vgso}`vCL*`p+(J?B&wS<#$hMFa(?L9W%3_H zvBZr0IyzEsQ0{}!87NO|+(3nwHI(=d6saH)9>PiiM`I1_VM@6iv%0=16n7{-GDM(^ z6gxuV3#@A@&&F-tPV~xWJQr42kHx!n7VKoP1v;?AayZ_s84o7NSKLJ9YP>J%0Q`0My19V?c=00r22_o|8ai0=>CygW zc8r=r5+u^sX^r@)tb9_AB^6-v{#kWLa`W~zze{^WPd4P#{Coif0B|pth)o{jS9wE| zj9u?uSE=vL(PNacGTYQh$Os*4=u)!b^P`M1Rfi+(g&cEgvRQObr#FnR+*tKhiNuy!o`l- zA%I*Up$hAgWckAySRHCvRVM*a@xo z9zt=Mh>?iHz6aWAZ@RAQ6;7@eH`iDV_=@Kw{J-Y7QI$drDrfR!S(1F+Z=f~ zQhaX1!FYnowp}K23>(+`-7$5KW)B4W9ZFN5z$!v1i{HUniBbIe+xvG<>aMUJbMqHo zEyulPa7Gp0_XH9dLye=YK#7mO29dpo+(?k2Ezsz&=E)l!)E8)U;Hh2QcrYlXtDf># zqe)TzD)MH7m-r!%kqQUt&QZSM&)&+Ove+j4E<`!P!lM{IzJc|0= z?=Hj-)tbUr>42j~?M&6o%6BnstIe!T6^Otr-EVlY^)7>n`CtpOQ6B9sv|Uw>L{+ z+z7lt>8Bjw{>IY-U(F{+o1Y+Q_%Nq1eCU$^EMd>7w7BfgQyY{=f}J!WVz1AL!r(|V zGkUBnPS|Q?ae}t$?Z3lh*H=t-eT8)u?*9tQq1ZBE*H@^mh+V->oPrxmuJ^kyMQOVb z7)3QZ`T@(_`eK+Hq1)}AZ)tt2_$CA#BaH&Zm7l($%ra8TfBpRU{^4s$BNBE&WPxNd zdUmqdpjOiIcJI>j7!A8Mbo%oSXoG@LQ0a}Gv2Ma|hY>O8!Fw?~mI$IW4O zvGnH^V|-e-Gwq4TS|&*XF0QV|7C}>NDYD&t>dw*43TdwT0CM9^vTCfOJ34etk1I*VKNO{S;?77#Sas*<6O$j0QF-nt_y;r2C*UAxCyS~jXaakL1;jMO z2iJGUSJx{>y-_oaM$_MfA2!Rs9_=qq(tZsK@EDwpwq@<>tzByk2Nr*^A=HXRr6pE9 z92|Ch!U`TGiBF%or#!>FsTECjAF4f2l3Daf7%CBhlK=`8$U}5}ASad)Yd`{Eh?u3N>H>QXNWkL+WiwUFqA16q zQJ3RtZjOU^U)b_EwM7pP6kWKYq{-^Dpg?*QD_6*qDDmek@qC)$1YH)pakLvpT*_I z4SntB;a0X9*CY$cL^94?bN+_2Dz`5j!)^Xjo2BP#hORM)^(d*VI)ag_8Fi_fU$v03tl}kXjK|pKdc$DDp=@<&;??1=HQ6$cRgwhr4cL2h=vVXngP==Q}g zFVJns|Lonq=>77d_s+`(&ido@{>)KSG-NFBXc9KD-=aFz2u&T{FFXB31}N>6P%`xL)f>@q}HBrx4uF<9uygC zfb#J~d#li7!1t{>EQ`TIl6F}?X7(1-wyM|te(h1YnUKfs4O=}c=aFCGmJu|yV^mi) zEQ(squL-h?U`GYWBspStez8Qua!8ZWs2#I{1_$5CoqxV}_&Q-quLXZii0luAVNteSlUA3cE7eTs>u1lip|q zfZYT=o|g&~o=4qhe13z{SNib zjb~?rBAK{K@U@rcc9u*d3kKNhV~ITdl2ETFb~lN7DvT7`%xF)^_o z2xCk9Ir?n7&5~z#zuxtZ4h;I^bxsM7$v_M^xPTxaQYMQSLn?9!aKai|f-S!uvG}h`Cpl_`?a|5e z6j5o$h!&D{+fvli)u7fIE0wv`a$tsXuA9Yh4R09hcEJ=Z9qTuX$!Lv0QmcGXodNoI zY6>FXWlC8~0a_Nb1M%p6TRtwaZ6N`>%Ho`@28h zef;)t|NGt14?p}sTZR7m{q5(^Ux1<9AyM6i<@$qv?)}kz|9S@LbB6l5;2=)=cJ$V( z)rLJQbA_X0k{qyfY$iI_jpwV57|kPmlwIIOY2Pk{vEpxcQP5o##J~>SecWQrFPg}4 z7-f(<#&ERFE^iGKhIu&-BTkyf7M4IWd6h-U&|<(f=Q+h}SqM^{TSH4``sQoc8V(<- znP8{0^R?PwL+tta4rM;}uaSTb?|iR0If)R5hEa7L+{mP*#p#9D=L@1VhL|^fsi%SC ziXfH4Ef<@oTP`-*a#8cJ%aE1Z?ZSy?XhK_( zUR=J}O})pv3gm2t+*7Z^S|L_Pqd?7Unk2}Xu~Cl_k>VL<3)F`6F&!5hxbi2sn5&G* z=gf9$=;Na&t2PwgTyg>+pW3+rQ6p|)P^J(^6sk)fMy6Tu?ReKvQ^>eXkm2(#b2;`{PX?4qmIfyet>AY`~3LB{?jjCAHV$L-JU*x zI}KZH5Vwj_v1U%-FtPfDC4ls!O>w${K}id9v0aFq!N0I5e&*i+q@a{Fh{#$B$VV&_ za1LZn>`qVsfL9}4MJRboU>29G+mIMVopWp{6AS_=ATh( z70d@;k8d0F{T339!bZO+_kt6s_1VR4q|Ua3;$FS%;?@^Kv&m_5yT8puy zN7$~xG%>+^tFnv5Hl<^9_w3L_UZQsZt{d*?FkXi4#a1sFs628^nGywdKLkoJ6Pty` zU4jWmmn&l=3_t?HC*%iK3LaP_$gvu_GN{^^o`6gbx0@E4iYb4|a(ZolPwS)(ncT=z z#}ZG0c?(=b%x>PI%?t!CoY`18#GT{+uzFkpeRr0Dqj*g{0AlAD!`h)Y_}T2@0IT}- z_S2`^J=6H`TkvBG$aAp>h9L~bNw71GoGe6QceTJ06T}Anbao86Drf{pTsCN zBtfj(+Qy!Z7M*&O5(yDQU9pJx_L$tCa&tWBjoHwE)Qy9H0|u8tj}q+uUGM($$NSHB zfp^dQqxdM%V#%-O>>&S0OnXnfcyRd0DMcjec18cvr0SKJbcEkcf>#23Twk7m95kOm zY~?_O!dg?5tbA*d&eOnik)|BCwKe36Ui+*pyP6A|n`=HToCBey?y-CnXare?>!oR| z$j~ORvc!DFY-N~Ln;Uu#AO3Os8#0jp5JNzG+ryUPq?g_0T{w4w^Db2?w7h_94}dOH zrh>B$o;W(|)ZHbAMci+1VL#(VDfLamkg!$bpNR8hL6)`}QkkSDjtglK;@UknKisWh zS!6AZ^B-Iq)W)&Jgsy?i%6E@nKdK2sbclsS(CU{G!a12$m`vnDF{01K!~)b)lONit z3;p;!a@qb}OHippxZU9HUqN>X@6z*jzCfRp^W7H0o8AcJb=EMYHZJSIRdUq`Nt>eU z!gk5gjdOI5jxli=@PQ$HYemup+5*7y}h|i;Z0P*>t z4mA;x23Y5sYkD!~f3x%hYF9fmHkt}wYHAu28Z}woIe;3k=-a$QuqG6O1_#UR0O25T zFi~m6KTy;=2AnBXhV{5uwBBpr>LGarE_al3fRGq84AU2bR2GR|udl@|p=_fo<&I8egOs(GSY=j$r5_sYQuL{5n<-C*b#4 zMss9S)=JxKCEhXZazk?(2dR&M1hyrG|%G^N1$3)fFnl_SBvG0!_{JChE$6cqJRV4)XwZlN5vlSL{Vnx&=*(sqCCP#l9NTMMw+ExgZ1m&X zx4|ZBG9gJk8hJtM&df`cW&~fg&N;7@PuG48}@8JzxQ^-8oV8?lD&qYBN9} zsw5XMid))>VF=*c##4N4!ue)BIwvA7q-kk#Stn;Ezbq+Ft_G3?!|u?eijjA4I$sd7 zNW(tzJdid$C3gzXhSFHG1sQoLtZ-nKz9dVYfF_swg?0zkZy!nGf!{aC_XNh%LIkNU zcl{PpR9zD&%wtUj5((F*NqM~(d+cNa$PQu=L_lJu(q|#cf4zIW{rW3PNUQs|K2OyAG$3l&5fG)H+CfIv7M1QrYLjCR)`RBl+qVaj>@i{0j1ML<$dt*` zQ;wgWayXs}Uv$!di@uObX@^VS9a?K`o{_f zg#(l*$c(m(%IvJKrJ3`RN!i8X2&7{f;3=n;Vp7@U0cH>>Z(3YJVy zY`%Pw93OOzW_S#I0`wIpQE%`|7q#;YGMPN><(t6Gr$}it4Qb^AxCGXI`NXXpxGPzp ztO1BB{h6({v&2NZ(UlcS2$H5cS`jhzc`947e954aLHPg=eFI(tb3`*ak`U@ZPdDtx zn(S~`=6r^3ath%Ezu^4m>q^mfDx$cBK>XKlRZB&=tW*r9`3>?rUI&`2y7LB2@DGi> zqNrI3s%$}+tyaj7*qI(r%%;@iH8#~1f{syrwSdI*r1nLQV9;_# z1+Xr9q=+fh8dwenXb3;sB8zi(ElQs0F@Qx^SIK52Dvk{4tKe!Asv!=DuAn$S-M!i` zUQU?ogDM0EI>}5W@+FjO`f;^^oU&a~Onnf_P-aHipz&1k%qYWUce^1|nb| z(8diEncZvAHyHL%f{}4p*}(p{uZW=i&}UkcMm>+qGzeVXBOEvF8AR9E1}2({@4LLHG%%~~A{eFgG`8F~rId|`$mSw0*< zGGH2PyBkx$n$+E=qp-JME)kTBZbzAql|=|nQcjD08ep_?0=Q@>)}jA6)>cCBh#>$3 zKaA;9vQKiF;h7KYE89gMt+229JY`>0H|4>YIPg{)X)~KcR4MTK)$3~^T-e;G`g5aI zfp0=p;G0kt7_H&ttR!eOB0xi7kMy#h6P~_f?Ab6wJ?Y|>c!xn+dW(mKd=}BNfVs+O z*$LWAQ0~tV1pP(|f_rKV%1@cA75rGi1Ut(H5e)?=oKUAlgs|t^P4lE zhafZ;W-VaE2cmDQi`7if(#l9Sq`_nqJC5v1uZuuE>W#xu_84$w?j#g&Xs@D#(;Wbg z1I~>7{_OH*u|9vbLKT^F^i{vyUt;qaj)_+#24l-cpmlYg@W9rXt`b>e-13#DZSk^o zz1lBjm#O;jAxNtg?qcMZG z5pQboHto_=v%OvOJ?4|8vt@%#2^zbGX#hKXA5hTwRK$Z`uP)>3Wmok%D$yyP5l5Ns zecXe}*qkl|EDTs3R))st_0`!~)|f}U6P|wrw$0kvDt`tVwG87J#d!sQPQq$N>^_cF zZW-MToShC`vC>fqU4>Ix4pdHQYl@yyGH{VH3rTisMKNi;&x#2o2g~CLqmVVft_Ar? zDJ$nO_BDZOjnj*lCezj5Y-bzp6vSO*=FM?SaWHOR^sYLCEhnA~u1`YPC%O z7|2loF605Es@RmHLVfN^)V17Rq3ki)EQDDBB3{E$jJ-QkAW>!Z%>b>&q%7bN!nqTu z#o)ox5Ex&PQ@C`w1|pJ3mP}z0U#;xHeu~&Td1XRi{Lf#PKqCGZ-`Xe08}krG4`lq1 zPA^8~6ULuXO|roA#Eqv@?`bElvSNY`qTad0+;l(ppo4;Nmv$$1TNyRHsjr5Q(sr?MjNM zAPC#U#jytw?afv5W_wNVS)y$C(atBRj2CMjF)>KOMd1H-wga76*=t=j2)5(?l;OF8 z#>HSO;RGP*-4zlJdV&)KE&CeXUtU{~Ga!9=W#?hg&`TbMOLZP*$rB#UU_m{BN{Z_h z5-DdWeegVxMi}RYG!S;>kOdyMN+E-D4-nM=QYv*8AMpn-$N5cSPZ}m(=#fKNazWCdV`xpP)%MHk=lp6p5^P+e6 zqW9rN@7EW-zrE<)zv%sMzA3VVEEio&f9(UUKJS`fc^K0C3u_QCh zCdDIZ-{KSvPSJ8^W0vGdu@qA#)p44f*i_SuUe(Av(soIKaX@p652TqZ`jfP6!9T5R zdr)@73fiOkD$YRM(qU}S)s=SSWr^MyAYjyRdH5vOh4!S0x))0A|p+8N%C{Kf`JnBNp083nUBo`t? zSQClC_NyVN#dqfh7hUWeRimMZ?tP#3f4;x{Gj|N=r^E2H6Gvl#9uIjy^vs4eeaWFfA6AF#q8R+9m>C^$SWfC4MPUfh7CxTL=t3#fI>vG z1_%TIBa0?XC^0O!xNl^YG>S4MwjgFpDy+!a))b0Aq?%D8lrcS0I>wGWy)J$vX`%4# z<|r$+X5pTfbN&*`6Lw5+>g>D^pCx>YW$Fh~J3d`e&@c~c?xJD0c-8bq088AE)kR10 z!s=~UmC9IUVQRBEE8c9so@bMPmw zM96_lHlls}2~XsvY~>E}fXY1-S4*{n0B|@~;ow_3i94DzSrcYbKQ~cVl7ciN*}!1& zLd8RQhVU}j+hV9fSd~diTegj98fhAQ=4-%W*Qk#4mT5=!o}d^;gVkopOA6JBbZ;cy ziJs*^w=veKzv$CtQv|^xJ|bH33BOgg7QAggL)682rRAW|)QQb#QWojV3ya@bjTmJ} z7*ELY%8ELZEbe3!Qd!n(DCS|)I>u|?BTHz_YxJP;PWC;nr{-DP4n}v3>M?6^6<~yI zu5v=IlENORyEo@>*bqE-BSJ&ZvLhApW_)a5*1`<%6gpATDLPp-68|zJ7))bH> zVj;oSVR2rbU8CS#N^DBYY!w<_C?mHO-pE%bdQ)j@MW;t~T-S}1DwKv6hiaQutuN%L zbXsO(AxXS-eFj;C1am;~LcHNV{+=OU$_L=D!?CKIZ(I*w(`FIMhgcjE!~(Yy{{7L~ zy5iTf`0L8rGfjFYWVMdY)+|x6xY<%OKr`M_g`z~+1yLE*5&Gla5ZNb*VuKCd5K@DM zZw2wZ32q$lSE_wZ-zk=*7T8phYha`&o9jo{tuUXW`zv?$GAXAllLEnJ&r@E`o+cX% zERZpoJhpfxJ6(|&hoe5*xR!j26sBB_QaRy%o=Hn)yu3bJLxl60VXoPd4rBTzBD#QC zBKsAJP1q-<@!?v$B-`f+2t$+sFPQX<8~;(x?>#1Yk=i64G_J8`sA0-ydSBQFX5wL z&viMXgEoNwQp;461=85-KBAvcjaK=JC|jbsj02pB0=f7?avFU&$Pf~}6!T9%hD`OF z9ibh>KCJ}Z$(dl-MJWVa=%dLJ#C|-nQxYCK)`cNb0xskzWMxFx4$@T_6EZW{6DyE# zIZz?r))XaOmqCY2akRt%6EO$32s1?D;N?A5%yc1|+adG~%uCU${35tXn)jD}K)AZQ z4ADcT;Ot=}a`&zfriN8#3v0|!(c-F;qyknvkQX2~QlwOoX5KLoEUP1<{tsZtLYLNv z8ex=TR|R1e*%o?HGk!p~6ZYZ?ebKJAyWX+)dK>mrUeC@Z=X?%Q zW}>W^Iv(s;8JC0Y>~Y2z!K*de*P`W$fDx(YTaG!?sD4`R>+A&8lf;&PiJ3osm1g$*F@W~Pg)XnAn-It3Ci~5D!?=a>*(Jg+k&*4vKRWL75-t)~ zxPSNiknAHZD6m*kJ-!?+S=ws;m?nB$tr*pVf(258dMrr8fk5ho1XAlpAWui~tl36;w9aBrS;`rX^hAkBwGbP1 zCWhcvl==?R5y{zFaKdtsf=bKYPi~njZ$gTZ$~tWfC4?ec4eogJ@JsL;kFmY1VF6hZ zWM7K#yY`=QHPmK>{%@ijEM!4EFHO7bplV-#K0iz_%(E1Yl_8eec$AD8tYgo3! z{TNLtN~AnVKZH(YMncn1wNufRVBNsRDW970VWSg5M)K`R@EZ7hWWFoE?9 zUG3QX=M*mg{qvqobp1k$sK{3%$eZ~!sS*>mG4&f{pioH4*rV$N)|It9WLZKz>z8k! zJtZVs8C4S1GdH8I#p>DS{0|TteZW_n>+=g1HGg@2_L45hwBzuX-yZKj-T$AvqwgNR zV^fL9jKXWsQIZ?k-IMg80+rOgK26>0(;PdQpanTfg=r-7@P`pd8Ik^z3HneBrqjvY zzYeFT>4GP^AkN%6m|BKn*0n~RsfDZiuYP%W7V4Mi^XhOpYM%Djc#MJ{HkpI1q7<>I)93cu9p;yz&IUmKa7bK4i4=(Ks z@Fo-!95g%Q9x&cbM&%5pB5Dd63S#_ULn(6&0tY?NOQu?o z15kU){T!_+N+lvx(8)D1E!fF5V^XSQK#Y-f;A0jzkl2}STXEad%lbOyu@fWbubj_h zqHHp6ug6lnJq|wu((W2@(y5KhEwdLDN};)kav1WWLldn4_d=LP6jhNfpgOYd0O=@w z*;phU0WGlEa%d1TZrsdh!(1;$9zZPJ7nV(bdD9)s%VDVds0^-O>2i*-8D!Dr7xAQO zZdoJ()*j5dij_mUb=mUh(hC}<=sQzJK>4Qm(Nu{H$z0=7UzS)~3U%dOnZ(d-dz`xi z^V}I@GxSNx0GiA-$V*kEqtvPx-(_qCu<Pc!*Fqh$M1BX5mEm{a;VvPnjve7G@~N8oRSmR+b3dgLs10W zD+=SB3L~jJ3IA!tb{Z>+82~5RQ+ln1H-#Z(Oo=%axn?k-a2hn2fm<%D9`H~^l9G$M zfewTrtDWBiD2XD8^_Qcj2&Xw$(w$W7F={k?*oFpQi_tK|dWN8VSj7Z}9hkr{U;;3nkZ6PS&du%|AfK4=BkcY$;R@M^}w=7|DxQ z*dY=|_6X+x>zv&2AWwcwA4deUQ?=Qc{o#ayYu|CZLg`R?D0z2^e0}7JP z@OA?3h!dWH{tV$Yb8do>$~(2^=nK$d5;jQeIHVt-ZxaA4wD3fRR;lx{?3(I$0|+6f zK>-NV+{ZbD56No1B}!0IxSJ_bVlboJLx{m>m)lInjLp+Xn!QBL-z8jM2`zy7WLYwN zFz3-bo6>Q$ z91hVSnQp#7vF)J>$yO#Q&5A!_KI|v@5U~5~D1sMUx(eJL(C4e9nKur*Rmb#W`wt&) ze|;E46N@|-dP1%Pa*e~*>ZpGFoZ4DajU{kBQKIFC%O=nItxS=9lyjTLe5JgvHdtBY z>NH(u9sze|`K#1a=)S7Z#0}va9sqbJP0A-I9i0`x@>D?-p}MEEt1RvuJR=d1Yyz(F z=$f|$L!~+@3$@<8Y>vK7M$dZ}yO#s`P0>wHK-`^f8{9wh_rN+hZbme$oX{<5UH^o# zrN1=(3*xr)3Too$FDNylzl?$Iy4eiplK731nw4s6qBE^0*h-Q}qU8g$5L|XNL|_$2 zPnK60Ua^E=$R)p4&hOc6bn*mx3VXCfh9J8F$iv#`N8vvWxsm#09u+kXGI$1k5Bj`rf_`^DOL;4i=;SC{isxB~h9?h;E?rf|)k zMv<0g{fNAbCyv7Rj-)IQ^3R%-WjLB+8xmc8A=rj%;MgF08g-!4Oo!18qhg{J zRFoJ1l5M;iF4<~Al8D*y2DI?4#8jz-Y!KH9DY)PN^5x6N{o~hfcl!^wA0O`aw_oq} z@9#dKCC+=eJb7P+VkhKB(BAF?rcZ&2`>1B*?Ox7i$bbW4ihb!Aa86qp7FNp@6jPjf z7!CBB4Z>r^M6V9`{aT+>#?6F0Qcl@NO<#+FAz7AedRcc=EW0O~#CeOjzz5-oG7jcc z>L_tJQ1HX@gU%CYjg*TR=28_TPi@$l+%;O$xW?PMu5ZItm(_*3wOS!1jhArC`R<&F8@<-+~vPjqc9kbowYRtcW^9k;BhR-m?Z4ZXF|+bV1c50 zQ2AV5K2;`EX6W;vi!hWR{_HcMgTF||1h@{dqu_Ky4op_ovOYoY9hg?&{=)wy zllbB8-Lo9??g`?JtaYGTtGAmY{tHy=c`tz8Ceb8LLzEd1;@WC+OcK?}tk(|JNdwl^ z(1HLx2b2RSe-6Y>JFdmZH#A`zqFT~1)}ek78SxL4{$~Ja==BW*|0Vf-oA1e9p?4DW zCQ&5ClIQAJEiv!(*REM1_tMG*bK>;;4@!IWvLEu+ps@_~8v=dNppj%#44)x4^Zks? zxk{l*t~L^`76cnyiHM&)ziMNgm`q(GdnCz!4}!lvR1yD`Nqni?gDsI-;b~P@=J!vB zG-xWfkmTrMF*P|qrcHzOR6Z#yx`&E|F5Bj>fv03R9WIx`5MFBMqn9Y{X?yQH1rfkE zu&I{wpJl*JY8MUADA`y0{7O@{#GtN?`CjLAX`c@J4SQuK$5*#pJJAVi%P;%g48*lT zdr1KqAz?1rdFb2c`!An+Mex^ex9|Rbhe{>4AJI$j?)_222rxPPI8^ZAhrLd&RW>;t z)!kKQIcq}8POPT%nQ@NUm+wKaQBn;BeIPURBg@N&pke?7Fr+RAt;9zRW*5>q9nuMpoSo>TX!~;(BH6%Ne@Og=|=&s;Vkw>Ji% zDdUIn;5h;9LZx9O7AvKlU#qR$jH$1pev}oEvK9kF#JR*2KD7@MAd?v+d~##1uGr(D zk55lo^VY{Lr26dM+CSicG< z$G4!IP&q=KQ{!1O#TiNR$sUkYINfGq~ddJ*d&$n1Phbsh&RuQbLy* z@~;GHv)Xtdai|S!3L5lL7wyFi{YE}Tbk%A45Kzs<$FKWe?jIkHKK_3D@!Q>tPqz<$ zXXk7E^Lg)||9kKMA%+_lQ!dwsu|=+#X<#wn4gD7BJRnsof}sugKx5SE0$)rbE&C0N zqKT~yB|pF|eH5;URlLWo#3Z6PgYm$E%rg`RmmS4F6dMZP{!ygFswx{g}TLu9Zvt^&?ms3g}T0R)V5bYdt5nY);`U;AAEkKEK z-#5QL?sxB;CErn1Hbhl%;dFxInAq8BM0vjF%biB*ni^jY`ZIKXUY-GTItb!EI`4F| zn7`1Q0kmid?b-DopYDFWos8z_|AzfMG^rU(IV)h`O3Q({I-jO$3F{tjOhOK3*ybFl zLva5B3#r9zGEtf*4g{m(>w0^pO!JwZhy+d+lMap+8CN7Id<;PW$pO#qh#@`M+_ivx zp^h7@Ik{EzQqBg$6rp9ZiXOG{dLizL;jmy-al#{Rt)WDb>3abslRj+lw!C2_L{b=W zk`R3k5E1crjteE2@viH)eR~zv%+^SSTes)%rn)OanOt_OW@2Vp3=~fL{iZe>)`xpg zeqJ7z#-A*TgezzfV)K30hV&i%w1?Fc?@;b_>zt#v@FSh1kiUF+fs|f5v&6wgE5_N; ze-}I;`s;F+{C<)AuAGm?PvCs|2RYyV!?(|DBNJtggaT=AJ@YFz z5R&R;IW$=|%GZ-IZn?2GAu4X_>tNK1Qwk$7R;f#|MS64qtp-idG9$1gH85bM9Bn>D zL)HqaVrxc=4Pt)C?eIs7+4+R|Uf7tVjH6S6YnZA&2o&RpRt&~NEFSbX)kiFq*~=yA zWbt|6$JO3V5((XYiwtoEyvigZN}sL~Kj4*d*^8(mG^SGEBy=bVaIjHH5S7+)0~y%( zRzeAY-sRFuFxbIcI|Ky%Io^(_O`96?Y{!NK=c`K~F%p{I9nWz^LNR&x&&(b-Ws~~P*>MB^# zRbWS{Nt`Xf4TD->8h#8IMz7C|6{$M3p?FT7wrfiMtP%|@ipNI32Qmg(HLcFI<7TQs z(`Fo_N6Kt-eY0Hw+(5M?z~ZE2E25hZ`AMxzkdvyN1$t9-|Jy(O`H9^5_S?tDBmDm2 z{?l(CU;KXe_5MB6*igE3zd!o!2aMoa@4MbValH543;v3Wz3=|#|HN-PKa`ZGRhgEQ zFI><~u-HoHG^)V0(wW+G_zsjX)?phk?stQiQmx@V#=4g&e3VwEkQFYC|z zmyJ!j$9&VPGi2NSQLQEym1H6n{Kw+uOg~ma9%)jYyj;NxiGNKcJssj}b=(I&roa3+ zH@yQFFO%PYnyU%vED`Aa8apFW4%?M6S}5ws)+3{}10~TKb|x2B>oiBUV}`Dy4LXQ7 zgBwo^t+ADXKZzOG8Sp4e_-2dLS~Tgke*?|d1}kJ+ou-tl+y@1FQTteNgM=X3gZ470 zgNZnPEu4V5EN{3bM2P)#Y+^fo>%U#dS~0*%50x!TAhEq`&1SB3zCWx=uxHs-6sTs9?L> ziN^@)^2xElw-*W&sZr=L?p7<*#<#2-DEF-xhjz;HO_Y_}D^{Dof`0_hGSGIV8ISa5 zmYt<87=yobV~rK*5e){S)Ci^8?46>cpCRID$N*}<3)!Z{TF($yh%#q+p5lhZi0)vj zB4MGR&QVxleGm4q2y-g?c;Jrmm3-b~3l?D;4+Q4|_l`U_ECC|PTvdggxmcitZ5vQl zi$mRX+!SsDQrNxDeLq7)a9RuzDX-@nUKVa~5U`oPL^Lo0H8wyjQ7t$XF(mw$M`o13 zol#m1+aGstunGAl5ib~M}56Kaj?Nd>J>OaM@_X*)UA z{7Ta`vnfgva?-R|iDP6jy9YOgo!W$w;NXbThBc&%58X8mcC|%2fIMk)gxFWp%>a9& zdQ<8V0J^mV9h5q|1=QM*|;Yu&-`sOY>)`|qrXFh$S?(Uoe#{-wZ58DnSIL!?hL-!I!a$oT|;@ljOFA z==uB+?=DZIz1|zQOc9x+86K5-S4{YQkl+{B88P=27=}O6H1&M=A#@Pt%&B z4kK%d8z=y>^xDyqt4~W4|Ej-Xc>#h*Vf=K&S#))rOd8nV3cP!{%OVuT9P;;q zNrhh}eBD?rfo<0gLhWlY5m%_j3QVBw8EsAD%Mu4mHE71?V4b13cMSOJ)P{LKd2WyC z(s(2A1a=Nq8Np&pYewy#m(?CIquM5#puf$Rz~z>OimCqY`y8w8|~392L_=;bPLIgm@s)(5=?BXnZs zci}W6%m9sKM^^B+*xz=YW!rcR_`28VLN+p}#K_h=W$`w7V{JU7+5EE6z(g28qTU*6 zPbL_Lj2$snF8Wc>a&SE|UBEjfFzO*M{U(5;U7mraZyEmn;)@DdC%pC=X?M0gY4-U} z+DhzkDv_qzk-=8X;bOSL+Rv(Aiqje-FVO$I-w)Y~(70^dvx~)+r(>DI75pBlvXxxS z?*qqw9HSZh8ag$Ix&gQ6g0w=WVxC|YN#$fxenwuO?9TktVo&e{T_Ep1H%*oR;_L-+e>^aCyrFAaqozMa`` zBcC){`<0dbBC*8y)W|v~#;RaJMb2 zj3kfPdVbYuMlNj+Lapz9`?kOT`d|BZU+*99-yzEban_FjrT6c?eEa-(_cd~N;b5@- zaQ1|*0^1J*`b2+3K}{j4Ou~@uQ90kwACRJ-q1C|C#Uy`4$Q=t?bJCpiNGQe5e>Bf_n*@hpC>_$r))@ zo2)_-81eFItqmk#l4He^eQsJM8{IpOW%JyzE*XfW&E6xJ$;1IYwO0GjC0MSOmzW5T zZu@bep^$l324u@2YU;y6zy)=y$Ay|apmPN3f@LaK_d`-n@UN&(ldq`izR;SDAX(+x zl=}(#RwXyWCd-LMIjcmYtxr%&O5!LGvylc;?BR*~h6E>gRz;*js?xd=(MGvpVeKHX zN+9pKuWHC{-WMDdLCoNQYbD6ai0&#SmcJt!t708S4s^F z6e@Y5h6QF=4GUMcOXET@$|)@B^>U85rWNXm!+Qk_4$Z87I6>c!@nkffqOSC4G9KNb zM=nlba=N|KhpVTj#QoIOgPK+T8sg$-oPtKb3=@A$U=Of&QF!I)s3M)DJB<9G^>Dw2 z^pM{uqxlQswcS2W*l+#T`2@W!K76$i3@n()!CED{60TNE)qI@P^ZXgI;D;d#zOPyE zuF1~&$IxWK6Oa__ifneR&_9#ug%L*@WbL7AWasa+?1Ryx7@^?Y4r2)M7Q7p3AtHOy zqbq@K_Z%>e~b4m&<4h}>IN~sQcoKl3(QBbIa=0+(dFv1bR zjKQ zIyHbEY^BQ`^;xn2PBlnDVVKwMKlWpq+SSXxmhC~g6{VX}OjiAr{{PM)zh!NL+?2?x zL?FmL#e1r#S;mY_pE%LZ!8^o22WUOHRvfk_4&}g_zJzFEqySd{3R@;ndW<0Rp!Dw2 z?^fqj%(dYV#Xz&>HAWe_iFkbrDJw&3iFc(^+s=&0Xs(bGutStdsJw(NqLerOzp7mq z-guoU8xb|HrX2EVi=>ehLj_3{r329|dG03B!^UqTTBC5rdD|J^A-9 zA8#KKn%YCL^1I)^^B30Oi4BsC49fIwBFIpmpONgsv&C0{tw*IBlo*R)dsI65PG=HJ zX-1an1Yp1kygDJAw3&D@^I%Q*woN8aZ`%YlA9joN?jqqj(AOAi!l?U@0us4R7**lH zJqU&gxO;fjU|t|NM*n6u@*o5h6_`I^OIh#NU*#m=xICIr|=dx!KNkz^k&bAjV&!xg<8wlrUD&L+&v&Fg6}k z5#yE47Vyb(3njbEyt7gA^iPxBL=a@XMb7ByoVO9^RZj$vP{Fi{hX%qhm`+G_oJJDc zoRg>G&0?4U-fVa(af!98D9~WgsyfCi`c>CVasbnxQ45?^)XA9HWYL1a0f?(4qTF}EsIP%mG21Kjj3L0oT^%X4 zhz{k|&%~D?g3f-Y(DK9KA;HF6wFgx_|uhH`Lv`{gq`N_kX|t{Qd=hxqtu13m@Shi1qco zMlX0zoT>UPsGfRYLvIjEdYi=&Kv6_hix=4&Xjw>vBxi&JlCnkfcT^5XZI&Jk7J}*h zYrj>aik8PEMhwthjM##;S6NX{!bHzO*2J9RfJ6J+@WJAwc> zn76~aUYdRAnu`@4NKzee&=Bg3d|=1APRM>)_l9~U04qGf8?-C}-9%Hu(th+2vl;Sm zff4Yiut-j}!r{boq+@mSIl8iB2}pK=ct3eIUonOJ3c&(5Y-qzWSHl6@*;II^`#ek& zLbZ0mSu^d8iqL4t9WmcUa3MG9LRP%cYplFv(VW=Tmd+eGK;dX@u$iX%138SkdAoM&gB8%2ZI|H+F&NPebPb&Z!L8JF9Dh#fq^TELH=$uwWcg z@aoFrB$boQvr2Xj88&!@40a5%SK|Yr1ymiADokyT@(-Z~Dtj;%xU=%L2sT9zFI8aw z5#n6QORUJD;#x_ZtAM}PJ8(9>7E17d!9h$(b%NeIR8o61M_yW$%wN?gs#4!31lXca zlo@rtS`wwv?1l5#mJw|=Wawgkef37csFh+vNAeF69zWmx;|C-(-hF=jVgKou$1neQ zw|^k((@0=gnrKbV+QIxs_DDiE&KIbc`g*mHnhlI~HU5Gu#+x-wflX&9928;24 z#-4z9YCrM*9}F&mv9s1%2v$&IGJJ;A%HF@K#Y;0TdbR_PkNPh(|&#lY*rA24D7V`s7;h5^*}2`LQ*iG($Naw=j`?D9Npd4t7qB9 zIxlkll)Yn(O!a$Iw`VmOim_eN*BQRH_Gs0QENZP}NW?Wq!Us zN0Vt-yk|_7zS^KB70krN`4aKor|9sF!0A6gOAFH=&#|29E}!D=t@W*m%{G zv|iFq4>aIqEtuaf5u=bc%3aCo6Aq^IwBB62T(W4*eZ<@nj``hwNqpra!EO>LwQxtk)~ zd@*@-uC@BkW=lRnk=LFM$pV7kv_2~bL*nt1%1c8yCYLihg_UT)pjFxw1Osr^QoU3? z7ZL|*#`hTo|4}Ttf-(WD#1E}l2 zxDATVAq5sEZ*>w*YgWN_5Ni91Pipm=;sUXO)G}zK+IxiD5sDrgPls7dRCxMxygNtaHG^dL@>X7}-NuR-%_twyN5 zpuru5?UlWP5_S{dwm{d=qeyv?4KB_Xi(GmOceKko*~Ku-*9ry~i_g@@oym0GMII2w%)M{QQ+Ogd#(r}L@6MrhO#Snfm;cGJT^ z!H!6Sidpf3Fxg?M5-jk|XoI3WXUsOA4ML$`5JOrSZ(u00>l{?l$m?05SMD02VkX}9 zB~?)BAZ-y!WxvcM9MAsP!ZSo3<@N(M0b&Qd4(LP_v&wQ1B9=BSmLofrPsd1o)yJW; zynpzD8egB^qkf$+i*RT}6B-f+Wn&S*+~{=Z`pfAu52mDQ2IY|dkev&cz@Y`BNvqoG zdT181J)@(6#}Yu11jj&sNP}be@*p@hYead2%@RB-mC<;RY|R*}>()}TlyX@odw`d= zqu(27h+s~;&?t%+FKF;G9Wu4TPADm5d&9h=;^w3B!nnLJDK8wC7j#o&O^kJbLcH7x z-!mJR!%UqLwy5raW+>+6E@1nez{H4pR}X`Q%NhCyzkHJfF2OsY*PLnb{nFX`dI9t!aY53pNw+B9qr;%r#cpJ%SKRRv2Z_rKKY4n;Pq5wG8FxZWEN>J=#xs?yGF}k_9e0xT zNhBo=2AWPZ(1q;XiV$p`6PG#c~m6t+I7$FNB#7yLj zx0*#W`(fJWCd&P927|#++aUV3!?gg`<;tI{i&_D9wLGJ!JVgxwN$_8uIX+ITkBlYn zX;O=)>zXB}om%86wZk{S@U|DTE$T>FB4Fknsmznl@4%PY5KK^3NH+BW1(md~uqX0! z=kdT!LY=215>S#N1ES3~*dd1e;4J57C0Rvgs~p1t*30c{&l{pdN-rznWK4r1NF~o6 z(QjZJ+RbmaMQab!l5Lsj?RCZ-vDfH}SL&iI$P#R!D_MD2pFwb!^=h`oI^btGW5xB# z=_+UFxgrQ+?qCTRLZn?RmlAtu;cV2ehefV^O6VYU@G*TJtMelyfAzB8tu-ij;;w|F z5eNysUC}wPfKqC*KyC!+v6#7oM_rrrC2z_8nqW8E>l+1Vrlm8J$*p!IEVCzlZfK&C z{ptXL%J~pb8g|Yf-cpq$*p_$wXGDG)jF*6l=`7tr(}hy#+u|FBi892R7wwhtx5cWe zLtwX%_5KU8t^Pdw`sLei z_n&`7oZ%5obUULle`p5JCz>1a^MjMbv>CJ^DswOn1`(#}GHozNR7>59Ktf10j0aSh zyzm^`TMIs}%@DGT{Kb7IZH^^`E%vW43V5W?F9gO97Wz!1vCXDX&a%2{6taos2#*~j zlUxOOu&sxd;7t6%#NZ_gRY6Hb;C4*w+_wk)w2=a{&&z9}M=!qXW<$RtVhhkB8gpcd56nB|n8zGvZaG6vRFXrbaru$5_Y@|LI-&`a1eR}aL({F!C2Dnz!dz+SwA$5w{=7grek;X z?^L3H>9pYyOQe4D>_K{An4a}ghan6p5MgkuBrGobezXSVaaxgJ*HFJI7yT}+A-+>^ zHx7oOiaOkJj!LH&OGG*u!+jW(#DEF;akWp=0Li`6kfV|GJ!qFq)9e1Y?N7;DSGZ`x zig4I6m1UH6nPWUXw(62!J`=)Q2epP#`I5Om-uV?uOXyo6~q;pv`QBiaQw z1xG7X5Y72csL?;JrAJ~)xCh6uTlW9$VU1AfKSCsfahEr8fHZ)oVpA{&L~iDHXz&E> zb#*0vSlI0}#o`R{sumUneR=BqrHfe$7r|u?!p`(Xs?vQE=EYmb?xJy`F520XI`|I+GFqmh6CXT)ssN(OG|zM<_)4KE` ziiP?xe2r*vwR5dJjY{(&y<1BpIda?{un*waxzeMuR+1oyMpg7Fferi|Bk(7>{KtS@ zd9LBdcfkyaP3Hov>eiS4HVyk+8LMn{ZI=L%TyFU&nwSi*i^_1w4{3AuE_!v4=T!jPUqp=t!)&n2XcC zs&cV%iH1~U(b>saAt%C%NxpFgN-&~$&nF-62dR`;X zo)GJb?}+#yJOIZ~)oDUKROiOi-dF_?H8p#)q;aQm0~j1&rIkY0i!n^FET)w$A{2K* z{FK)?a(y2TTrI%R8c-Uc1W_;WZ2e}2BxTtAh8!tPRwl@@ISh%?Kb0^9L^)Q$*M5K@ zQw%0B#MAjg0*Rz{r2ODUXhj6-{fL%>FJkTzgXc26tgj?Otmve^f9twwd%#b5^>a!h z8mWo%fy5ef0Iy#$9w<41@eq}h^s$7&&HIy>$~Wo_QPpmbFv|b>cK`M6VgG=P3PfF0 zL&|kb;>jQU6Yq&uz(fkXgi7t075~F%_ zyX=4m;GrEusTfZLAZ@GDdfj)a{VCZZBYbrd%+Ngy+Ai!WM2_&xAuSPEiMB(kON(2b z!J;ACl=&TIWePYIsIotfwikBMq?gG*W;z7KTlr6rjK>@cm}|H!SR-q08ArSVWY1`nsid|%JifpGjQWmJg8~T!^0#U> zLRpM&dW+x+_=S}<4*FJSVetxSH>?osJiywx*g1B6E}TXxcI(9}4Z}Tl7LR%qZ?+l~ zh{Nw$cFMqM`7%ga6*rnUd@r`K5v1Ti5ZhFP*d(NJCK_~L7S=YB`h9j{!PW5ptY7Iz zGu`?XR9k@=EY>ioaq8nzZE@5d_S6G5Xhe;T4K1X*~H*3GU zfFsk|)tq0RUt8G_qd(C+-Ae;Vj7#EXH(mhBJ$36%^*OQ-u_BX>P z<kPBlY3SOEL1fVQesh@cIS#sH8; zz{QJFC4`d<{Vqmald6r&>Of%{f%4Gt=JfR&I44$+Y!J89DbKIqRPZ;5NvzW1v3l9R ztUV|@q33EC&J~)qdnJPy8amJhSjg`A^7&Wk3v4?GFaOI|C@@=b;^sK+YJX~nnQ21G zKGCuTc<2R6eoev~#pA!)#5_gn zI8H@n0&5NOl5*6W&>{gpl&VrG9ST31g=db?>J)h!YA1mYSl>kykO<4AhGQ+fUEn`Y z=fHZe;1N>Iu`;6TU_vb--CmI~h|SI!72(-Emv5FCACgRXskLT91lao3+f zOJ<}#-hV=;r-z5T$D_yJrS~8I`TpM@e`hU90$y@mE_}lkas}La6={_)X&R9-{~1S1 zWehWUAkSp6sWsDj$?foRR5pmVO@sPv4g9W{5VCi72s6oeT6<6z9bX7(#;jFo@~XdE zxN@adF8quy5zatRV-G#G^u(y_!A^G!_~9vs-UolOzBtxRG?njTgefELspp*+mp>8yMEsH1OK4Awl!Me z9rbzqexB)o)`TpXDqh138mkk4ZfXTkV-`m{=Z+zKNy42PB7(0S^@c+aTr^oC)JXJC zdDqyqRFu~Nln)|lC6!N)llTomvjhdnfeFDgzI?tw@9W!NKHi<9HR<{Gr_UWK++-A^ zjq=xI1~tr&M=~BxW9m;0(bp!JNZVPb=sQtG(hx~i06LdWt;|=zjmX1Y4 zN@WY6MSFRi{vVzOXc7Nf+Y~oN(>?{Mn&VKTeB2wM6oc_;Mp}bGU#)Mh&w(AM>B9~I z4kF@7&ZV$2l5VmEV<-$Scu8vVD4s*=SBM|QS4e5Y>?{{!9VfY*#ifc`xVSs)0I;+a z|A()ZMGnaUnY~6^jsL@}d{reSi#(t8S+kde)ds25rXY$cJ0s5QE*~`46aYaq!Le=VL?np z8K6&u{qIHcI|2_NqFwaA=1H`#G&WR@%2&YOxg9jnO1jeHIND5RhidJ}l;fwTOrM@| zf+-4%T2N1nI%-yOklJ{h7;W5)>W~v=eS$8tW^S5UzA&>KUQcU_yl9R1P1P{Z#&uOo zRZF3pS`l<7c|&)kID&)$!EZbWUStW}AEH!N1x1(W9eE-U22XSF@@QbZ=`oO#v@a1? zZF6ZtDkRlE&b-yb9s>Lf+023^w9kY@7R{RAK`km6Hwbs!6Di0Z#MmqgW7!#o3Iywb zTutyyta0B7*0i+R3|U^dtPy92rVrH0P>ebICf;$DLsNcS@WONhwt854^RF2ukVn}e zLM8=w9$yL5nk-}h%whnrJo*w>Zxk2vCpTVJnII32o5TN_WaOh39|^No5Clyt$)HZh38s{Q_D$kIex0)^YBI}RdKRmv8`1{hf<%LRPNiUeF#`D=ynk#L5>M4Et_hn8o-t*391}Q3qZ!clx=KA zBUW6CmM6nL@TtVQsf_AtxsQ%5OFE2qXyEm)X zDH2&3)h{JtWL`>WfbH6lr(A`^zM?hl@;!F#3!7=@t1II|HZ+?f8_JItF$o&4nk-xA z9DNVP2T|+%9GPv5)%~G48T3yE)06SIe>`mlp3|_*SvSd}^0EK3P zWp!u9pq>;paCd#49yAC^QA{#`;*GsR@-)MG(4OR{ZZXGEaIOOt;sFk% z)>o%PO6C#&oA;^}_Pj_@Oi(w8QaM{F)VsoW^6Ap=jUCjLLht~Iaam9hsHn}^E@ROuD~?^}n$Zzzp3rjzoluN7!MSiGn71)Ulisu0 zCQnq!#{%bXe_GZA$HwatPlshhOBneJg{G%0Gz|q7TF5koY^S{ef<5%r;hpv9x`KtV z^cy^6cy-hHm63~+Thr(eq>!$FGA@Y#uU@Xbw|+)mTMHM`&IbUP*D&N?97vPZ+m%#o zX;4e$si<7~KAgtp{h@14qM?D4d%vx6Ag8w02h*qXdM?3zYY+EyooQB?u6wAu(2d|@ zs(emXRjVt@(hIi@@p;cWEkh+B4~}t zlY(yaF=qQW`~@Yj&o9wi*s*Dv;tNEIz*Dw7pP`lA6~M&Fh@dfx9405{q-zHn-s4#-n zx!TL3Iy-pGsPW)DhhTuf79|)xH1WAdH zM^n~u=WLi6zhn~Kp3dH5vB0}h7& zd61sVSh|tSc{-FTH8VOYk2dZKHbaT7V7-M!3ZN!xo?8%W4GuL_fYV7rARboDbA>j} z8}+Rwx7CP)M;Xo4_XOr(ep}0aJasanPo1+@ChJ36=60e(%f=lVJPbZj0sg#B zl3STL5h)&BohompS{@)rI#UTf;@>I`RhuYxNGuQw!|)0wl@WbakN5Eo1VTCWudPp& zFZLlg0l+aU$Z6Mv3ghdX%5Mr*7Qsz`Bj8re9sneQ&7e#Q*a2xB0AB!x;;n=R+<>=5 zsSyemlwuokS5L`|V@VN(P+e`$ua=ggD3Uh~^QCzS{;8f;N;5?dW0G1B3FSa#Os3nU;v)FgtRN8y5}Ai0py#98C9Kt${2-cEGzeb`R8!*c0wKu%dA5hXy>bl zI@GB848bRY>R)PKLH{oYakb*3&}<+Bv7BHbl~qcA2zA>&wvfna9acUTed!E z=jIso=a01xgXf6NHWIN5{}IECl)PDQS*tnRT&G5IkbvIvl@Uq+8=1?C-yT2h?;ij` zA@uKJgP;W%g)@}e5$g?k-|?!HUOoEl_TAqRbE(H1mFNH`o?mR%D>U`GMy$jEsGU0l z?NYM-@H@bF*v06I3h#*i^5fjF1YEpKe*bCy+{!7c8_`5TZuBM`9fT#ag4^N-v$~{B zDxJFNi$1mz@tPJ@4m8most`nFl2SvegXm$9W;SvV2FD?e#`M!QdUtHl6~oe!($rJC zQ5qa`oz(Ppszcp8anm9Eoz<^A`!&6oQ(N`{*xMj&>O@h%B52`ez1kgpzWsz6r3Nm^ zU%h|&C)r6>k$ll(?;K1NSMiIU%yu?gY5}8D&4U^D#-p$VSJxY8BGd&@W(7JkRVfL$ zL8o8BFo247&lCD~8F4i}z4!fGvc@H5$^$YZ;$^O78;p(5c zU@AxmI_M1y4hS4g=p|uyG!*hdWp^+_VwzWy#K6y^>fi<)VpuIkVMRu1CLR#mwT>=J zh@sa!7*ws6*HhsFEt@DD{1X>Y(=dQo7o(YaS6LLla~Yq-I>fHB5Pzt)gN)C_h!SST zFXBt*V0GMta*{r$KTRvYSc)eNJDw&)Uc`eIDoac57xWxIY~?_C;EHj$9Nhl)IOd$E zwT5NAUBL=>Gf$WM*HPa(d=QQ-O_-DJC$<8E#>3i!-o+&tga)@6K#a>CeA0#_f@Z6E zA4vf4i7q-*O;F|n^|D@HT{06{NMm#(0t#uKaP4c0$xU{kvylqh<#EpEaA_>Omjyvl zT0#HuCu}onf5JZ>uJ7OdeUB%BUm9e5kraHSU4!!Us?%6Iq7^ijP!KO%v)45!Nz!D` z=*@<)=t@I!(HTd3NVZtH6^gN}P!U#-8);r-a`dNA-UC@)i~Jm|W9#w-7DDsEz_n1s zWVZ{8TU@U!Z8<|17U6#vyYrpYwP++?Yg&RkskRB!Q^uAA_BS zbKEqcTtraO&>}jySe)N6cAF5+SEsLZ*- z>k231P2+ZHd_A%vMxz0pJ}MARbk_`Dw$3}r(q*y9t-OHBs4i9-jwe;% z!a-||RE}DE4xc4PC}mOhwx$e0;Myr^nRA#>OiA&8teS;X6V&>@A8sEW5tx^j|5a-f z2(l%%z9h>8E|a7UmxKD+BthkuG1wA+hnMdduPi~=OkIeK58`O=E>NOKYGiBHvy##E z0;%JmqH5{BzLf3@TtZ3Mgkt}6vLX`=x(^g^tYi$JL2yze8+^a&I4H)DXkhgTx=xnq z45CkPGQ-M*rYusI-zt>~v+jN-u18gAJ(|`PmQj$_d}lwL3;crS0Lyb^OsKU;;EazT zFU%id^RlazEz8GsqbMHwoAnLCXGBbRJBtg5KxBI1YGnYtxE@Bto_?J}ex5562fV`l>&59sHr7;S*b2Lx7Bew zw$k9CRRb3Jcx9|6&jQrJ>SbS@a!?+8|Lff&Hur9iwhL*O4hfeO0lEM3;RE_IICEk* zC^l_iapYgvXAEw*y(Dk^^6mcPeBs+R1EyERpquZDb52z_4Q8;ss9T_5^V0iqwk*3 zoWc5x5fwLdeqvFi-1;qBK?qRW}_nDB*|Q%Js$FriUbvGNAJfZcTOWJ05{GZ}$D z@Wb2EXOIQ$r{qw!#`Hi&g{OhS&-M(Cc?7pvNX$eBZWaS}%Ss``mPS__*6$+d9x9N+ zd;o3i&29@!ksOiE;=(+#L8Z*1i4z^YTJE&n=z~FQTOV{)*a$Ck@Seg?BKYDX%Gwb}CzhE(2UM(_$V4gVQ2PvX3 z5EU@H*erPwTR&-flTa2%s(-m^6~HX$#Gun|Hrj!lSNR{yt9i8!|Cimz?WP{vfg%V}(t`eH;A?d2eu(N1lOkPctL>$}JIp0*hCxMa+xm1_Tl zfpl#|QoR~p%vnEdnx!+sA+uwU!m*H;>-F|09%-_Rk%iUxuqK>}@6|9JcW zH{A7-IP^<2I@_ONo6@rp#Y0xY+Lu#LV#g$T5u;N-8d4oJ(Y|WK`q(1a=ShKGQS-}} zVK><|Y^?fqXJhSA+idYEvL-D0KEJ?^5r2&N15MNsrVWp=9&QV*l6DX!bO_iB@l_0? zr96-~;wpljz~zjJGTAOU&%53(HrLgC%xCCgkSs}=kg{X>i36Zjd>H>`L|vaGZ|b^d z^al*>z`)Rr_;f$3`w%M{m)8rFx)?Us1*8j~7v#2z-3a)MlsjRha_rl4_zo=xgP&=8 zdol}@qjUFz(SA%)6;AfrvX>iAT@mF^U{uXqIdMBSEnXDL8hWWQ-b=INr}{k}S!1x~ z`f8RI>#L0F$54j|3hv+c9|4r+9Q}HGeg@}5X=e=%I*P*KpM}~T^(!je1`ug_nv3H%$!Qa#UbkKRLMp9K<>`UrO^|CXQ_F%Cfz&8k?32EVB!D6hRDPG zVlGd!G1v?O4fo3h=6tBaIB`Q=Hlbcwg(*5WAM<5>WzI}bT|NZWW;4rHgeZ6}`Rf4-m z&1Nbl{jivfaam5uHgYAqK6OlLjkTZpOS zSlPI~ONoQ=8NHgvfIyjYHjVtpY9{1YjOhJ>e1aXs)}(flf*UBLImxKJJT(jABVC+; z7#o5Lz#Y|;>5tPG-7#yf`3pvzGV$zzKl*syRssmSkk089qML|Wpg?6%qvUBQ@&ttj zv?P;JA=LCB6L+U{>=gMQc-k=@84sKg{Q*)xG6E+XQs$lbvHAAI2=6{W%8Bz;+AmL` z)`g@Uu6sJ9yAj`;vA|^z5^jzE$w`i%Z3pLxNU}_vWLZVWF`haO#bRPOPCvbvGv--3 zR?SF2Ix{j7*e-O2(ArakxZR+>{OQF^1CJYcrh7Qf;6HFEAhrp96qJryYBX|lc{sQE z^>K4~IJa45NN%&~A;Uakk6~mg8xluamZLB2DI7vf{I^J`;8u}$z{8dz#CThdM21e$ z;?143%}9OB*-QJ=a=hr+kZ%j+cp%Iq07pKkXw`mCPV)HYZ|Em4KW=|L`uqLo_b>R@ z^IiZ$X`|?iH}F)uJ(jq|5r>QltZ z^CvJVfaW_^VY1g@Q6wrK;WVg$={;mbM$n=Y6>G%!TF?-~E^T!Y1`8C-_?EqFIVuZ_ zFCfkt>+tzs!XxB+G>$Rw2Q_%e%%<8aREvxBPu%AxRzf5$`1M^kh*ll?|1j?)XRMvm zV;Ie_UBhXK{Fdt+~ffYW>+;F(xPpgA5!ux zI&xu!fF%~Ovm&x877t~ofVw8uvv0?;8AN3XO%N>)_x}g%j)^p9mp4!uEk`pcs?eM; zIASQV5gsa@UMqJiP8S!21Qa0HqX^W{%N~A^#~DmQ&^p<97NU%B&cMr!^~sy6mh07p zip9cyI0KF$iNUzzc13aI)NPLXu3DBU0gxlVOo!sn1)!1U++HOeZ77B@^%04 z<=fYHch95<>F(wE>GcuI6M_&)ibvn>KR*Hzetgc-@cN4kqihC}L6BcEL}p3vUwTs} zm{=u2go+)kB#0*2hk9g7wZX|~^W>x=qznot zHSJIe4b_*<;9=J8^X_Lvd+9(9>TMc|M5_fC!;j3q;A&B#Ku-wD7MK>{f+js2wT>;V zksM`uJ!4%>K^))}%e|I}=Yk!J>?)x#^?$?m6qe6+-RjlhPx_}63PKlGcMM2E39Ez! zw?=!YqS;g?sbZ5nc_8Bp{uI~tu|PBy6QB2zRS}k>IlKyrNsX7 z0WCw`??0i^t%wBG%lLflToM4c71zXwt_jhJnbm}JBmE~!H_Au!Esa>Lqs7JI6#_9b zLh&k&(%8cY$OAMq&K2Dyt`{$pbv-c4zBGmw2XWit2h-;1s6G_d4TL)m`HaytWm|%d zSa8VbjuEgfM2U+UbOTA!>zcN^nfG*~1ZzcfTiBUk6b%$JNhpo#DDt{?*FSPCP&^~9 zR^~^tFW#_ztSY$fHf7 z$;rcMCa1B?V2vh2dN)MOAZNjfWKBj6QBOihZofA|M}bg?5T)l?z~^6B!3Sc=kp55r zh>L`fu_VxJMhjG)5Y-#v8PN~&aUfCVuVg|f{in<)i=Ko8R;Rh><1{^Un@M!YWQHDF zx1^%8yl-ZL1_!fo&Gm2qqvE?vQU!$-uY-s*h3!uQ#MB)3Q1e{VjNNaO#8*L>v+=dr z$A(9@$N7l5RByIuPO?nGbB0JA$x%MAiOm&Qqb9J(QHJ_iVJ*`WuomvO5tb4Hx{T9G zmB6&xm=Xgw6qz`1>IW+cGC>JW76Ct2do<^1U2;vO9FTY9-Y8zO1^$g}Rs6)$R4@CD z%E53WBLhY#h82#HWCA3997v)b8Acn(xwjB20R`kswD8>2=+DF*I?6iVag=5I;qN`Wx8HtP` z6ah}dAi_59)<8yO3;Tc2hm(j@$-q-2MBMX1OFJ;9qO2X7P2!*`al<~1Kf1L!?QZ}S$DB4bNzlwJYZw*43N4Z%gd28WVrKwRYn7r2x@=9f@VXb%ORBBFzZ08v zqxfbvp@8&JRDYt*`HJWi!BwD?EU1HGI$OxF#n0Ma?MVJXqr5w`Ap#oq z?oTLg@JS0}qoBbK=A|$yqssW4PlX=6!3q#8?!@UTC!mwi@6f|2X3fam4U%F68_BWg za-f7ai*a>jQMW)k_!_8#k-Gf6oHRcR z8!HfL04$6!+jDezcIbr4(2a~Fa?TgE-sSb#8l@1DyJrloHBrApD8p^Mf)5mjZ+=ED zx5s4aGO{uuWM7X~SO)Z+YrSM^iar3vM1h#Qc&iQRhxTxeF4!~VVg~wL!tu554HYyV|~47viK;+?JLt{H?aLQ_l>%G0QiwlI(bqe>p|&TEjpH5M5b6sdCAc^YD| z2gf63G9dB^*de+hL|X)RC@Y68=d=S(PuZkn(O*bU7PuJ|P#gsJIUb(c(LgM?nq%4; z5cU6HwS>}kn3T3da%=-F#9C=wp_COhRG@5y)C5IB%51SfW zQZVRlzukvll%YAJQMZaM8y4W0tAJ;Bf!}vWPtLFVb>B%p_)eT(&j(px0ct!byKY_2 zxpg_LR1_BJdJ97`@K!EVI%MpoWir)KJ+ria1B+=P0|}}Zr;!!79NgSkWSJHP$6JG? zsSvCTxsa|;ph?eH(Hfk@F~&hg6J~ZK zQDsCIlbp|LO0YnSus#-#4)E9HbS@E~I~+dc&JOm~FkiXs2eJJb zc`i6=-XK@5{|5j7`ZZFYk-uPw3CsrN<2=L`<*v2^_a@ges#D~{PzA2G!RxKs$ovYW z3b#lfvzu6vC+FeCB)N_6xJBg>#PldYX=ea_DSE6#*5MP7kNy#1rDP1n+6z69AY|K) zPoT*lBhI*N2>Pa*hCE6XNUtsvj*@&_RVej0-drwORykFbX{?54@Ns2B1@i-_D%{VO z#kQnlk}ONqYgAL>Yo;KwuYoS9<-LH+`Solpr1>`*-#&N-bIhbX zY|0Qx8J&y}oCSp9z!aDaPtHLzvM1*tGg<<{_!=n=O?r@W?n>wzgzn5>!R^<}ErFvp zi!Nu#SOSyYI##OlGnS*(RB+Y?r$x1IZ-Jk^}+SWtUw=L_`EdL{UI+K?FoZL_q{Z zL_|cy4G|F$h5zrI`c>cVx_6T2c^}{Z|NnVkc^>nf(_Och>RL{nI&}(tlav^1BTVdT zZ;Gb2E{#rmvZ^+Xxo&Inl&fGFP8zW`;Xq$$uVa^E_%_Mk#ld!CmYC3nqZ%i+D677( zl}MK^Q?>8ga1DxwK+utR*X-WKVv*M|27H;g4VJiW$mUmVhnd~4F#X*X%t-0(bfcFl zO(3>wRWW4oP^wK=U!dgLepB=``473>urMP6gDe&q-QNx)4sp2V?4nw~q7oE8*rL!R zPD|Ztu{IikQSHiTv9n2@>)=k@zl-{hd{gYb4g+9!+Bdzu^b81`gAt?nnDrTRsQ7wd zY5bzxl-`NCX>;j;&~O1&n~bmK|!uex?+S5!b^0R4$c1SPo5a$gYNF8;2NfjLl`4E2}%}9 za}W(VL@8nb9phc>o|Vs{KT&Iw(OVh~c|x6GORj+<#FeaAt<^ESuEy%4D1+i0ItA)} zue~!ytmfXf)+ST&39?Zf0BCURCylj+hJ&8IxZA`iDNJ{e=rQZsbh75I+x}9;_d1Icm^pN7&=AzzIL+ zN0RLUqjDaLRTEv=PjQ=Bgrk;`g4ug2jRIq5&>6x;27d71vZkt0&YC=t5tqATVKf6E z<%a5#39}EECTR5VIEQx_b|>hYlpe*u)rWs;G@$n3RA$Ucv!kWilM)A=p>+J5X&g)k z(YFwL2I$9#OetulV$+DKipIv-EDkLanYYLIKpqSYiL@iW56`91{Ilh(FOGm5@`|g3 z>SEa6TUM}2$HGr39hVt>VX33I)nc)=*vpgi*RuLP)CG4@1wb##Vkx~^{5@hO{#Xk0 z5?TcDIPslFl4^IW6k5Mp)ChT}M0ljB=$4Hd$;h;{;U`sC)iaX`^bTg=8+ZFL!yglT z#J;&vTcaE@V{~ceOn8E*B&8CjnaGkp$vy6rC+Bp+b<7Sc9OXpEk;W@t#symPVjsjg zV?KoBW~5)(QK`7b*j}nJ^yr+0c*R`ip{`bNM$xRYe%@0w3Z-eIIZ9|g-^k&Zr=R&# zBR01c;-k~}R3u_JMBR0w5ZLU{Fb^#{@3kbBr->F9S?^4Qn=#Q;%mVxR6*KIC&Xuov} zBd#Yva}`C0jJIL2gHD-?{~(%;Y|L&ajFXKh8QmpfWg@%9eHBJ(n$e)} zEQDEUL9CdzIBRlIx+OU7%-1ON_}6L!st1ZcfSW*znys!fHV@L%iwoz@Q}tUO1?HoM z`WUQZ@pY(+ug#}4JwrF*92IB@>-3`?gV9)Yc1wZaA}Fjlk-Mlm3(Gpruu+Z7dSO_Q z4`*lZqVR&r3=ggIK{CU`XoJs~oyF|f1%qQ`zHL5fa#bEpGS1k*qi2iFwjg>L9&Q%G zl^6SJ!@Yz3D+c?APi`w>8ZCK9<~N~wOvOKwFw&H4?wK_eItschiSLpt#5|XqUy_c? zc+GW19}F9F(6O0|zV6Oxvu8xxIVJ5BEz)W6Fnu2Cpz4|ENTM8woKw;!RCP;}OR~>u znM7|xPind?*l1AEJcg`ClU6D@8KjM0Y`KelMA!#S`vfyAtT+oXbd33fVG72C-Idl{ zt*Nn6;GSxf0i$-<_=}@NAV^`>24z7jO{{y@VYHKR+7pIitxf2+YA=ydj>g4|+Vi!f zY0;psEwyB~c|tU;Civ)R`^}n&B6t*057ojjMV5XiziHEH^F7s>9d1472%*6`JLHTq z&lP=P{gBcVBvz*zwPS9NJV{Ez|Dj>zgn3r|y5+T{W1qK(Awlh=SN9sGQF{qr+=t8R2#NB#F0LH{@dbX6t!SQcb86+gBN4kk}r@n+B79G zcZ3l{^jOSZ6yfuOK{O7-&fTa>R6LtIQ@LQnPO`vG&A5jf^+Ph3K?~g$99nS(YM->= zCbFI*nJJvk zRL%r1>_g}o+h?K6LTAJVIqf&iPDW?ey=^Wwc(FD$DZ3ge+K%$H#A}f@hs{Itx%_OT zs7TCucBWVuq^5=*F)Lg} zLL)08uYDS7(1*=kgh7|hwRrG3`*gA8&b^&eCl?pO)hzbqgDAdHBbtBI%OFIk=m642 zOzE51vfRqhHuhQ4qebsYryJ2AWEP=a^$2W8U#bkQP+5KA`nVH%%g%QLAXxo%83>tgU^uJF_fpj0r}@nW{62^RUs+w4^zkL&7GV z^yL#{o)iQzR?B^mldXPdb2I89bkkz39H`MzKd#2_XjBM|hq{i;Ut48XQ%cmZoX!kW?o=m-hHD;^+zvcf8+x(iAi4iljIofFG<8 z7>hUsVTu$4Nfb>HLRE>hyGAz^=DCx*#_zS2FAC!-^LBPAxy;)ctD9QiHQ)3f>RRdv z6piD=*xpE@--0cbvFJ&&VHQmkvCacFRt}sHX>DX+ctU(7^*oJ-mu_c@32mAL6B8y; zH2H_2jm<__Mol}ErgK4e4}4+Ge7(#$$bV=uq^8KHi(9bbF|ov;)+uw8jCQdn+6c4o~i@SG}Z;g74eG4Sx^y4R%G$z!vI8NCl$SADwK`YqU%znPoaFy6#1dFjhmwG$>Ldz zTUeCErUkb)U#iu#o+SNFt*uP(q3OKVd!N3JmHEPPlI_+n+(NN zT5mMsqtAGE2H7Z}Lu+RYNzu1~J@>d9%b{7+Shw zaJcQjSRj%_P$`}Lk92tVlx-$kB+yEPATts$4vIc@I7T+(ot;sP^Bu(urS;b~yo_(B zYpmtFOWR$NlYVYy5wo_D~tT$kx=go`?EA} zG1Y@jm7P55Or^2VA4=i^U5UUo7>R?>DSY{0ZDt4?KZiCQMK}|*WzyP*K;eUC1B1y- zm}jZvsI|~Oc-QoX_nMh4nlWA5%ce|4#?ac1&w*h8{*{ch?%#;epv#ldS?kn@X&eJ| z>e|cTe$t(&N4|aI=5YB*JDh0%62VoqL{PKyN7;oI+t9{UJ&u9>#xAs&An%@2F5(@T6EOSY2*!Ma6V~zW9AOQ`YRFJAru)(F zu%0CjI`u4mt|`dfkHypKduUI%P|TTAuntF_5Mz%>YifD6 z#n)(^&U_KA+SG34Z>;kZKN9Pnwit1{i*2SE9~q!G8~lc)tx7jsTXO7^-L;t3pF3%- z@^hnEtNh%$tyM@P1qpk^qK!6B-aa(GjS;tt#iiJ_-s*SLVp~x}*+$(jey&BPwMu2O zOvdSUk^r7@h$3kUES4d-XIfmhIgPohZ45cm(X~p}65a9~8x3eWcAB`M48gGs{&(U} zjSkPuB`0lbEgiK3@!z(+ZcBTdtRm>1i;r)Y$uw@+Y3yuy?2Dt_0rxtGetaZ)3~g0n zw)kFDhn}M*>uh(FeT^YR>}-oHY@LoDN0&mxR)TPqgO7Fn(o6NsYIV-Obn6t-I7?R` z_q9>W8v!272ZLDz^Xdn*FBAg`$5UdP@h4}*f`S|q^JNrIHg0d0OIyoUV`?($Xk4qX zTq~OBz-&iM`EXB}A`&%hX>8V|^}T0yv?{1GanNbl;^(6N$?DD+eT(vp?R}!X8?-+& zy1X%)pno#6AYl|hCM^b1$!Cd6DJ{EDT(a=}MEQc2f&qM+UofF_C8fz>&5&5`B2}33 zC4NTyyWnLx`b5_|uQ!%CYe{AD$=tetpuXbk(KRz_L|7%wrclZF5RvtSC(V_tzl`~+ z5@Z;cFdj4NW{A1oxSOF2$nDIi764s~E&oJSqcP&-zO`n#cGKKg>vX1SNR38Qp_vY< zmAyC@G`qO8JY_3J%iqdnjb#B5!(;;jD3p_AReQo5gIbVFb&A`7He*ejeA_tVjnQyy z^KTT$R=?6aH{LboLB~ElFAEVHMli;B@wG954W7$6S|rdbYe@*ul)>O4Q)OrtSr|<9 z=`IH1k6kP-s0~yRtdNRG*NTC9{}L#^L$$%mise|RIW$}vsMLm#62%h3Icpfr(K0Gw zY0!`2ppfKA7*NF5b?ju=D8pet*%VT57Db$p;p0;0cZHe^7(X?p&k@;vD ziP#}PpkouQubqiN2oe?`vdXxp>}^{l(7VOELflry^OI-8!rl6~y;_U2dNuttoR!5E ze{51UP~Ji(e5`ZUUfI2ZURGLXv5>0!7w<31=~DHvUacJS5NA zyK6ajf31&zywL^2rU1zv%gH0fV#8S#b5ZuybzTAU({`ljo^Tp__lFH1BpYfXF}A>r zqjk+mj4jeR>+>a_Jt|F2qec$JP5-XKAz2Sbo_M!O2ybO(9@#n~9ED@w^TQblJFiB@gmM{u>$nlvo@}93woGQeuY9KX z!tfNd7KsTyRotT2a?;lA<|JCNwaQzM*>3fib@y$z${Y43NxU{bC6{$Kok-T}rXNO% z9F178GuTLmoLP&VFyB>Y5Ao%6ARaj!HTHOxlI&=)+9iuV=oUGsZCHFNMJ|>paGUKx zOT~bpQC{m|^9WL+8@>?yYcf8AbXBH4g|gJq+K!WMBHFJ))omn1+EKNM0SR?2_r|in9E!U`roH&K+ka!iLD78Fnt7Fqi z&CJTmkz)VgNyXYgsl2S#KEAEG0vi-Aff_fAo!duN4)aVEYuK>8SM88CcpuH2)IMJR zFh7#ti+oz!>ZNMZ!fb_h0*(2@7C!A}whW(R27LYSD2Rz&YrHO=iVN1smHRUS(6$wfO3uai57LKDT%2}#n_g=(2(K-D?GTl zH6orwv02PiWYm3Oir@4vY2=4_h_HC%%bUDNhTqsCWma+CG_fTVd;4^# zY&;sKbW7NDtt4uc3I+!*3WHb&~EZcS+$z7}Up{>t>K zpX!De=IG0=Qr&*K)6BGuHn)mP_`!c6O(mfW#tw}g)3B}b)?jjvo>yxIK#B8Z%F@~{@A;fMY9}$u{?yM9$vF=>VOD4iH znRq?T6AU)+|C~Kz?dG!8*!ifDX$;pSTDTo=NiD;gjc70z)vt!%NZ@X>?Wdaxr!5xg zaNWfsjk)^dBo6wnS#YC`Z=Y)uXb+1q{S;Zok3mu_yVb~rEjlABSKJJ4j$5Um`B$cB ziAko@FC<$`HtUG{>vGuwGjK*zzt<)66*K*$y9uQ`c9!+A+=NlCaAg1mgm`i z+Q4GwhYPxO_h(rpX$E#dDqcHL&I06pG?8ch_nb`-rnRJ}g3 z^~i#yX+2Y?!Ej{$h)TlfNm`VNwIpWgFki#W1JN3b1@&3PH;}lt(7`eO8ciRP^XO02 zt7sH;NRnV1YSDB!3`*srVD9izW~VNp#ca7S^#Wnlu<1E66Jdiy@zrsLb#X~WxzXZW zi)?6>%Dlg=v*JuDO}fkrcA)Zq70fJcjs@X~@=zNN1adXu z;V~|6nu&5#$ScIn)EX>KJb1;q&zh*?2a{&3P7__u{J`Xe8aShVM7-l;tw~gh6A4U4 z+FVX<*uWueqLP|?#<)W)`I1=(S4&+p-C}(RHi>NRf?&FbR?^bE>@;;R~T)1 zSYh3(!wOg&#*sjie~5T9HC#gy6Asa?w%YJwp?jh)2--rRzgWjLG_9!&8-_Ph_pbMMwwKc)w^(}7F`4i#4UAb zCf^~2rT3zzLkWu<4Fa7uW&flvjZZiWLzx8{zJ`~P6<>|9)@Wmvb&psuUXfcNZxKTy zin$ZbRjsuinwP=rrV*#3vsdPU&Hh-vZtRjxIzYqwNj{gU_OOV|vW9c#sL8~r9b`nF zMC?6y&}ztiGvH{SnCi&P)qiZy+nH*Z9r1?Im+CA|pFFuY*4#R0%)PZd+UX4q2qNkU zZL>|sZIjgeE3JR>B4%<({SkYkuE;&nqAzMnB3F#mho&wQlXu1oST%^I3X2h2H%g6+ zilf}MDwj5qF((yWp5>>-21?r#T{vxMu2>$9k|I$L#Z|mSS=-ns^b1oXI_A{BJh5F}1s4Y#wcD#{|4#TVRwR?SbWS z=PsHwH$EG&mQ?0Rjo|?S8Ab~M8)^txjvSyy%v!a)GCa5}nwYn-{S$YJylb?i$coG; zl9%Z;&0{x`Y57Zb4s1%$=)nFn>M2{KTPKiCb|!|#5@Or<-XHP?r8pEi7*=>RRVKE94OeE6=I2`+Omvv%`nmzqaa<;7OP({NV=V?|IYmf6OJ zOwa*Z9JKG*d`h!UN@#2p+}Ng$rUzM)Ehe;4)9F<(1J^ydID2jnmOpVOJmx|s&Lc4E zO|eTxV?9z-3U&0zesPlYh_aYhHd>Jqijb(i*nC8m{Y|00)DS|0o z(hZ4?JGt64R;^o{Wj$;;myi9ev_Lh_@?&5nvogpi)j$@QEdwx!q8EVX5)~)}ignG2 zy6Z#ad7HEi=U^sMWkVed8ahy;nDePxo{B$Ka{?*m@?}kjh1PWT3`}6aC)&i@HWN+K zo7)D}7WjsXCBBb*J7_B{+!s=^JD+IRk*06VO;)#Qb;^8zM!%m9?b3iq<)T8HhLPR+ zxr}DSMw*7I9vO%6IEY|~k0P#OTC_DHKZ_1mjz%4bKD;)P*z&InmTLJS+^VSv#P<-i z17IUWaS*z1DRg4Hd$Muj<5IlUts|+G`1XVG@|a?mma>3)y^LU*G1O zt|`^%%8r zkciiE?SynMn^+&wI)QmO?LXi(EBXj3VB;@&GV3y$%C=z_LIm2WRlVNg@bZ<_{=v4r z4#FRMVV(-&g8=+)DmY>SP#=RwFLzA|y*KjF{Y_0N4Cu-X+YuDr@qE@^S%OBwb#3RV|07=(1 z$uVeIEt>wUH2ucEg6qTSa~8|mR;NwIav7<%GY=zz+V@^h(d8XeDIZCR}}R2$!RG$w8isx2?qs?}O`Vs>JA z5Dvjh+Xic^`iJ^g48Vk42!q_QZ4;LdFCAH42AAPWO++iRYzP8eQVy$N31w}~#O0}# z%SLc)NzgVC>*yv94Ob6aGBARhPpl8DfH>G+8J?(Lrhi}w4%SBoD#N(P#G#rJzpYE} z7LzBgEDe^HqkCI3(d-=q3=IrT9Kscr)eb`-BdeFncwepuA4$#N<7Ot5_P;J}_ZrsXD%`f~;xNJ=|9g4`LH{lfG^5y$23%8(Q5zj0|em zgr}IKse_SW!y_o7jg&|&y-yTa;}Db7A3Z5z_n3!a()8#_5jZ80G#EW;&`5e(TY0cn zI`I%K#^y9@DbigVs~gEwT_~Q9AOu#V+Fg^33IWOh73XjB^4sNR$~s9 z!A7kHm~B#NR0GUf8%I(D%x0_x$niu5@p7QHpjX!buu$M4%>`|pc+T5ZY0wXRxZ5=y zvTC7oLYjZ-HK=QtyTo)+>$yv;QcTQUHrnv0m4-<)8pWAG#3+gMuBheF$}P6xx}X+X zwXO;9iVNX@X1oNZV73!Z04v39yiX)#31XM0Fhdo0TXWU}HAYLShw2u;XcV%r#f^H(R<>3N zwCop)E9!Lw;#*N~mu`MSy}z~$ZE^Bon>lL6Yf|w!NYjju6hFO(d$xt{*E*d%P0Oy{ zG?J)gI?iiaNT&gYVjnZK8?CxSHa{q=`(p-$#!6jT+JSaRLwQW@>(f<}dBl)G_h%RG zbhTH|Z=us^Lv_+J42z4g|H<}Y{`6@_MI~B>GP2rlJ`8I;bLR}8+grY(ID6{FJ$E7R!CVFAL^>F#wUv$)7&o>2J8G_Au?6Q--tkr2~BJ6 z_cgSD1d{jLLKwVc5Mx)vf_zXk`=)FePL{?;%_#11lVfIijczuch$Cln(l%=xhFJ$f z_`;%AKQL_6QzgZ<>(MPSB$6yKasCi-IE){FpRn-|)NK(t53Vrk97 zdQ%M|udm+ZvSwB(TJPNginn}a)xz9GGqbVZvy6IVCnfpbW(*YVY3Gy|b;Xw4x2q%; z!AEu8GnqBOlT4QV_^2M4`Xww!O zzFCja$U-X1pQRlVD~1@HLj4!Hh5$;&@hNG1bQ9?f~1l$XZ6j;C|CliV3tU;0S<54l^`^kEqIJ)lIS&LCr|UJvLsU8#C{^#Y&9kQ zRkKsF9*%b@$=_(EtnH>)B)itr_R^y!(mU7+*HDCJOj?W}Zhf)3F=`rHmtl09+cOE9 zSc;Z8UpD@Rx`oJ%RFl)`rWtFUY)#vcWeGJIyXIImSqhzTi!R(CgrdDnPbppzFmJ*15$HqcCaU6`ommQTfb*f(i1;-R3( z;eRL=S?y)CO^;P41ZL@-jc37jmu&SK?R8y0jV!^wNHegvHhnvnhy4LB_-7{$&Uzj=fCxGO6|w(*kbHA-U`1WxYmx_XHY> zco-b_JTf{SS%iI}wgwVdByvKCc5;upD;+>JlnZm5F;yC|?l~|Pw|3(wAS)XAaT;zx z7&gbllIRG~u3nXhb8-Macdd`x`qOk)>y3OsHKC|UvbP6I0Hd*6CJI4fc~V}MC&9KDcJ)FbH#@QSVkIT4>b6la=Hl7dFb{hn zo0yzA`z3X3#BH0S-UeIbVwnxs*+d)JBUp+2TujIqW^(CwqhP0U8XrmGoHgDoanO0S z_&Mn$<~s{}5aCH?q+%=W;Z+;;cl^LDlW2}N4|$`hl!m_@2gBNpkM}AtcMW2ZRB>bg zCh`+&)poO~m^}{B6T?>9fhGnBr+C<=V52C+QGp9gqoIqnK~5suuA>z8&F>Zcv#+?Y zbM7p&yMX<2;+rR$OOu)+Q+ntJW7n5T0-uduvB^%s+~+*&VSym9?R6IRwzao9YHM-xIsFdop`z^y6U|V*p)x0$ zp?nnDiD6w~=WmKv%5~AA;ze=Dq@R!%fE5|zZ1j^|GgG}Y=J#Py2AU(R$L(sfMPIc| z&U4LOGz;!=`b#H(nQx9x zT>4xL6g7R?>e)^U1Lqdjvb5IhC2zlLP?YBW>GWyA&k)mis!ymZt zHhRT|>j7-qxW1ZGtQQ@2IPqH~&0)h@B#m|My3~{3Erp$k<$t)P_AHa)UO^$eie=i~ zd<{4YbaipR8Z-j3a3zz&5=#?!T$XTXVQ=kD3`a2uRU=o6-=2#`be`L;CPT}@FCHzc zX^WymNj;C(uci$!t>VpwDE8@_lWIk1d{h>?UfC~^tH8JjjKzX`utne7d=9Df`LyIQ z$~KEN_AY}uEtSM5;Jvsb4|wB#9IrX?Uiqil1tsH_=5D8hiYw2h1ISJ@dyU6JLmMyo zi{?p7v7i%i4`ja_s~cI*q_revhG8N?I(;)LlwiRy-)jqy##9v|W3oI-qXNA&*3~9Y zm@k~j$DZy*(u--UG0KUS`On|!^Otk|u$)GSjro#E(hnN-s9{pDCDS}as}$ygqpy%4s#7{=_RLruHJ#GCG!D1OFeib@ zBdZ|MP%DKO4Z2!rWu>wdN~3LbVXQM(9EIh3=De7mgIw|#M8+&Mp>D^Fp-hu^I|>u} zj5_ATsn7CHy`$`!nY1XG+rsBJ;Y-4Wi#8OZcsiNFXOJ1cuKG4cEi}CMYe?&BEJdMpG%!QYyg?~wwdOkr=esysy*)2D2!Hh$_1h} zxpog&*J$@>m`oT!K};@aI~xO$QBs(U(x-$iQSz{{$sEOJEF4P|Mb}c_I7zFgIucn^$0%n_fe;nj zsFTJsN9_)iQrU*z2!=0r?`Pfb1#D=I{C2T113EGCULy%Wz z2irVaH#WzxR=)G==V)W#4MT!w4Ji=r(EEh>EpBZMbmpN@E9QY_&Lf;f$V17^tQp zsH54m&c5QD`91JYn1w}6h=lHpU}e?@J{pT$r%-#`W?ypLt7kR)n&XAcOvDQ*NH5H? z?(A^OMbOec!wLp(4fzLMmhH1+2klcNPucIMs(Pkr&Q}kIwn0c3>-{j=epE9sfJ;k& zV7NM}aTG4=shg)WHv&6gWu?S-=$d911|oPh0yM*K84)D<%ocXGBg0G#9p1PHC|vrb zDXb;rZh*E3_o1@vYA?(Uyu`531oH@nFWH_%jgdGN!^)DHac7MVE%=CNC!=ZBj z@KAf39BOOF!HI2to34|Mws~!Al-6izV!Nk>Vy%rC$6-u}BJW{=Pm38m-9SNp)$*Ka z_H3KT&9$SEDppIXnx&JJ=y4EKRkwO{R4r*o5CsA45k5VawIr5WW`+~m9zng*{btM%GR(Av+}=6bv@QC^sjlR6nimoC zF#f`BJ9G3w8WRhNhiFc$)qNC2Kyxs%q|Tn#8@3(ThTc4`NXZ5&2ssTutf|v%U^r<) zsmF=cUaed5Pn3(TPPWLumdQ-cL1`B)(AfYA&c~yiXtZ@rztbF$Hjp#U5^@5^(10Yf zrE7NQT)1?Nu4y$Aw0M`%uB8>)j!IDsWGad}H-g>+oC#v6O;7MpGEwL`Z5eI0*v zZn5n->z}*chsK>Z_QPW)Z?Vt$8(*-|g&SV9LFX1nc-z{9p!}|Li;d1fDsR`=@vHQB z>>8b0wCxx>Kcn%x&Mn^O>GRmrYsPq9JZ)anZg$SNb8(l>E!sPM94OUF<$iC>{`hnK zF5`qeGj*W1!DbuI+<45^>uoz`yD>YA+i}cJV|E_1JFe&c7eBO~_xgMB^W(h1xaf`Z zh8%g=kw?5u5=Tb7%^}M@BrnH$BZ;%)yj4#6RnGa<&iU2eE=X~4lxlVKm;5o#JK0Hp zvZg;GN_BGdm;5o#JH<(Vil$#L%9K-_^rt%MPu28clVs7UYcO3a&j{Ke@k8$ejI7eRJkvDYYjU9PYN8a3# zw{+yK9C;f@-p-MCaO9mFc^60C&5`$T+$opqP-q@Qcic0j~CSElmZ|Ys0kT>%lPRN^kZztp}ypD0v#qh_L-th_f zecsgxc`NU+guJ!4o+v`mf7^KZguJb{G9hp0U6+uz_a05iJ9uv=P_iG)1SdoLk( zcpV$ZuYZttOhQh17baxi`+7o7d#@(sz}q!3APK!A6LQ8|laRCC=M!?ydp05Gy^S`F zKVQMiCFFy>YC=B5yD}jk>fN7^5A)tg$nW>|l>uq=-{IcEgnWc|K|=2IzLAh8d4EjE zUEUs>M;F5%-QLWEJlQ)XAy4scNyt;ZXA<%>Z~ZOe*X!}ZgnXn|NyyW^D-!Yy@7oD^ zruV0WJj>f>%lP$Ydy5ltuXkxep5xt@kmq`@C*(eF&&J>a7oO+MNyziPvlH?H?~a7L z(ED{lUgT}PRs8uDd)*27C~s9lKHB?SLO#a(X+l2M+i2_f^^WrnPRK>?goJ#&cU?j* zd5%+U6zpR-u(%AiT8R!Uh3@?H+p#e-u#4of_FtiKGFMb zLSE**n~;}#2W=OB-T|+ekXLv&Cghdga|!vR_>VE398C01i#$`TcIrd21~(hsSw)IOp3O zc~3{)%aQkXdj>CbWGPcXgA zU2F~e`kj=eCD_`7ecY@+>!^794C`W(Vzu8yS@HI%N@yJ2ld+659a?8#)fxyw%IYj#NjG3DVU{P%anpewfST>xFC{8@^_< zB{-QBs#Q&fFfG+uy<=%dQ0OQW%9&K!uceXHuT;x^*01FAg<2|?&StZ@K!1RcEW<;R zKHm{$vXyEfm&xX{scfcH%H`7epdO@Zg?uHfmFk)vG09gi>n|5q)dnS^41O8n$#UsJ zDxWFj%DGfEn@d-*2T;9|t5-59zk=1%@&ff*2{Bb_das}(pT(8SwNyD>%is~S>9Ag@ zZnv|>0G^5&4>B0lFFBYpq|09?jWoLscO2+Um)Y~BmM$UQwmCWz=}lJ z$!62#awby3Y^?K()ML#WLI`UFb;Vs+C%{fbyKn z=aHvjSkBdR<*Hu@^LTycacOxq?VsT1OY%0Ej#|}E1!)wPbfu6BGr6D|6v~B6r4;7! zsd~x0e`Wbl|4^)a2I-Eln#tpnr||v#Qa)WMp_HeysahU6kg4ZQ4MX6gTCrl{9^rP` zY)4t%*DsVSWgnljlBxUYN+=&UQ%{#l8S{+hc1tQ1d9Q3ot(Ga*QQE3?zl!fvE7ejc z>$z%BO4sY@nyCxUt+3FjBaae>VqLA|@T9dgo~;~|{d7HrGL4dFDnvLAG4W*QzKl)l997`WBjRRH`y{P)b#*nL@3U&ew9;9G0f!viWizZ=cU+{c2vn zXw_c39-zKd!gQt@=Id3Y$%eRF6?t3^^QZwPHJn+@qtpupKV2^6D|qo*DOE?QucgZs zG#CXxNEIq3`Ot_-je3G-%w+4?3LZMB`6ed|DL+6HQp#!ST0gHHrYaS`Ud720zCb#_ z4n%mDu#gX{pQAb>(s{%<512(|n$C3FSMeq;pj`f}!%I!xG*+TkxwHM3T*zj9)&?YZtP( zK%sy)$fq;4G>T?W!T<85lJ8eTR=fcdan?LdrBcdP!)msIsG(V8EJD&$3w{<4Qo^B} zDQhdEFO{pHuB5BwbfHqO_-H**5Ng>}PRe*L%kE&gxpcOg$y6)#AdQ9~RmtZ3LJglE zs`CYSUs`0;48trf) zS1DyOIaJ~Tn!uW$t*1>cvU}C?9{8CmN?B%ih%^< zH4hZ}wR*0S^-=Qkej$~uqt`==R7r(pAEn3iWzq9wkqhZkI*WFp6jn=E#a7AJ;e1)E zRO-2^X(WfWhNJR@nSx)IPNbSf9j&HvJ zL`zr7<7<{sAhTf#EwPrE)m7$Wy%3^{&m(n^LbsMpqXcDrBt!p;w!yTc_Jg8}#+y}2 zg<3ToR&yu{sd64WT&2)7l;BOFmyTM2Oa>(?%;9MQfxE=9O$A8=^TaqRsgq8XG7FE zMB&Y3%lMeNObt3wDOXFCu%);(V`v3Z=HdNv=vPw6q%zuzAe*Tc(kOK(ZxCxJ3pTlL zlIH?+%RyK|dCzKhl*@_EiAT(*{c6^ z!Yzbh9lao4B?G-O%vRD?wbZ8yOpa#o5i_N14!wLBVzo*(UkFe{P^i%fW~h~$d!V-x z?V#+J>t(dqX=Gz6s7fnesTJxd{W-Lw@adKUVSbS@zd+lBb{CZf9~ydL4d2VhEz{*x zh*m2{^)24MW>A(Z)j;~oI@H!|I$Nk_>eae*S17_JuZAmp2Ygsu8$DCCP{Hn?Ilqd} z7RqiO$krfjQ}g-p*+M8wd0f7Nnpi8BDbvgurt>u0D@8Eo9+G$G`%F0Zc8G&OoJw%9t;s&eu`qGf*^37!$C7A~{P> zP%q=%edy=VN`s(=$ed_GL*!-|MYL?XZzN~Y$%%563QK7e_EMox$Jj2&VN8b+N;&XT z<|9S@PAM-PU%rHjQA(u>As(e#h8huODky!vX~3g?2i+GGVKgLpl$Tt&20c>Vq>k1k zgdWQdHldCC7>;BS8VmYnp&kZRGzJ)+)X;&V@Mj8Xbc?2-C4PmWLN13^9A6$z*qH!B z%S^6>-&fE9R57wMEyPg#N0~6)k%N*}PvxM(R5Bs-HT3%Ueg%wIOLa6crUaRxDL!4k z19~O&x(ccZw9{G^N`6o-_$X9pa79PfM>B(Sq{nM?pj>32UY0ZE8hYM5G;b6k4C7K& zw2kPb^cQdfAL^GM1RaGsTDUsChF=I!PVje-MSVsioPsXHk(CS*S4dg%J93p28j}jz z=`hS-fP~>#+4s?{qHBXfZW?x^H^X;)*AD1h&_seDfRd9z8LFbQDWG32qh~_XZJr33 zRu^?M%ym?dk0^SXdP&B&rFy=Akr%oR#0yVn%PHpUaJ4kJL>>v_c2r7ql+Yh5X>_Rp zI_pxpmY13q<}oPOR~FxQdE*Wr4I}Ce@-SV+2q#~zLnp&$PGJa&PfqiI1pAZcK|j}# z!Ml~h0>(}CTn*Wi!yplzYrf>yf^;ftbf3g4X96?`(DmiDYk8zcONL6CfhJso!N3gg z8s>m}&{0XJ(U@n$3d{jM23XK>OEvVwDU_2Ev=x1a!CK9X?Q{5Y#5ab%hxVq5ehYo0-XZ!yp#udwKpOxJ*e|2c$d|CxG+(XZm2n-6lQeyC zxPN&K>oDXNsSX((*3t7|2nVxH4y|60hC)@tcf;VDA5MNTw6Z2Tcj$MZlgVIUQ7fk~ z>PD;$n4Dx(V9Kk_sB8ga za~bRgP?%9Rp?d_-6XgHUw3f=b5^uozfFU`o45-vFG2xq)ve37omKN~ZXn-(wjtZ+* zpS<6JjXv@iIpK5XFp{n0N{FNdV@M_?1FDdXCCU_f}- zaW~``dhA*~t=WpW#|W{GALKhQT2H|ggKU?NjZDI538a%pp3_;6zY*PYr4r~;rhF5fX3+;3A@nMaC0T2ZdwFydetprsipTqs27^Kjh z1m>pS@vc~)Xqh~Ztu*X!z6azJ*o<-|}8Ur;wiw0WXY~_m8niIGI#-2eYgwiAf zVvL8N>Sj>l&`Q@3xjMxT=VVyEmdDJYaT{X3BVU0r6XPo6iwqCZzMv+T44OZ51|vt;Min}(U0(QFo{($utSer4a+dl zRAm$lMG_BxxRJG?BEogzIPZuE+{4J>YHbbd z+85)0W6vDpT_(g7@Dait#oGcrXN)JaKx5AxB=SlGj5)_5$Y9yb%h5HGW`LYZ9^ z$}H(vOf|}E<5)~7%BUS`=Ooy>j-#WpXL*&U(GiU?&kLnyNV!V&T;@;p*( z{c`?V@>Ark&ctQTgfkzca!&$50a0NKO&zZpCex+ zUm^cQzD;gC);!;qlu=dEa-)&DJyWZe#~}IN3{<$U*XK z@+$Hs@@eu<s$uE%KBHti4hhieX--Db-4v;sJ_mY1h zH-np({H~Kcn!JqsJoy6oHrWApF!|jy@-*_J>VuD2z*J2{a& zl$=f;MfQ_x$n(gLkPneRBVQ%|PHqUdHM#Hhv_28Z-`LGSS7wMBn zkh96-$mQgz;K$cM?N$(PAD$xYh$J;)C75OONHh&+z$Cx^-N$t%gb$%n|F zkS~zGBi|&)?8)yz9zf>F6UbHMIph`Or^qjo-z2|J{*?R;`6el25BU!7BljRX$XVpk zWIwr@JeRzKypMd0{1v&~-sV1glZTTt$)m}Bay5A_c`x}W`3m_aIc^_w{jJD7$cbc~ z945~uuOM$AZzt~~e@H%0{(*dl++<&K-<`;IGDl7!=aW@(h&-FTlDv_;gZu%xWIuDC zA@U6JQu34J*U5*;r^%PeE%rCp*^Qh)9zq^TE+&_ftH}?MSCgM1?{x2Ti=s+BYZnqh^lMwm6w-DFMQJy4(E;@xg zlIQ2~{Be{kl$TQ;6ykTQ$WwU!0?MBz@8t3OgqwKYgXF_PJjW9}{~YCCQvMy~*C@YD z`CZBzO)$UTT!{DDf%2}D_oI9u2VZoCDbP+URRkSbL1i9 zWU`0sCFhZK@&s~_Tt%Kno=ILV#KT-oeuDfI`FZk-@>9aiJ?}H*7s%Vm zd&qB+KOi3`pCO+kUnXBA|4jase3u-H22bw0F}XRp2e~(S0I7CPq?a|!^1DOH=|c1? zv&n_z(PV{OLaruHAGmd?Xlnvly@QbBKIRxWJs#L7QdTHc@{aBJcc};Ttc2mj*uslXOObC zTb}D9vFKz^5en*160JMvHDKgf4UStBm@-I+Xq>>%GyP9oKQjP%D) z9wyh2=aUzcpCCU)-a~$q{0{j&@^Mn_&$!ReDgTmuh5SAF5At1dhYij9>_UcQp6n*4 zk-g+Ra;Xq{(lTkd?oo&@&@uI@=o#|Qr5uB^&cdkBA+E+Bwr?Fjl87) zGx-+z4k_p4e;bh7kvoxXt1?ZRD59d&&Dr6jN{Po+d7pHalIBl zQQ7LZdL3L(^;)n^&Lh!2q+S=-SA7@M>*GG5oR@w;i2EH%9wEeiyGe92I$x~!Jpkiv zk#SL&|CIX*F&63&;wE}MoY&ujGnsAyd7N+}^gkhfbb|08Ea4L3;Z7C$o_Cfo?Rggn zah*$r0mcWEZxG@oJ}=C8-km&tuQ2O*-xWfse}c!K7vkYwq5PV#;CZSK zHWXqI@IE1q?>)hN^A6x~oo9YO=F3Gs-1DXhkMO*?!cMf4!bzBq5O!g{T!>8h zfN-+sogaP z9^_ki{!7ABJnt?c3hy_Br{Vp0UiG{WdftykKHc-4=W*5V&cJ(8ev{l_J*30+w}=+s993C~ zDyl@)Q=&>KYot^G$sZJLC5o&PMNNq!p~NRuBI}jN8s)X*4diFZ+sHe}uaWnW50Q_O zPms@$&yz2auad8kZ<6nj+RoxVw4DVvA7h?x8&d5KIIit2xG#^Z-2t*hCzE{0k%y68 zq(uLc^J;ehC0dur5`{}xBA1X74NHy>ktdU%ew}=a{1-V++DW9_nB0>5zugb$DdvOPBalBYQhtS0 zdjyWZL0MvlNj|Bx~e} zq}nBrel_LONVQAg_yv?NC9fv0C2t@%Hlzf6zdj;-i`?HsLTC(k7>A}=RDN?u3aNZvx)e)2BL-yrWNA0{6opCr}3f#=YE6MUJ+ z)xH7wb;@s%YTv-|aqO=)CbuNFBh}7TMCF;}`J~myF+|bx*O8wg zZzaD%T0Q*RlpiL4NIpgWoP3G=9r-%>ck(@Q1L})b@7|X3E~NFVA5S?&T76sX9qq6i z^7st0k35RBdiGMvqkW(M)p68+zLP0O|L*U!g5OK$Vey?$qpbES$aWlb1&?1tev14o z`9;!>f4)iCu6K|az@leALH?AqaJkQTrCIr}M4g?mVyat&nxT z6%2S>=UX9nQl3W6Ce`kR^LCv>mB&vc2g#GkGf2C>;lq@#Ce>)lBC^Q2wZa1Z7C zNS#+j`o}2iyeecnj!`=qWSv(9|IBo6k?)dr{IVJK?`_DP$vsK6pW!-o+>+(-!^m!O zIyslLT3r z!Q(HJ*3R|@<$sWNJhBn>X=``ep7L(wKBOIoq$wB3Bgm=bEYglYj-gy3tsU+p%4@iyr;Ft+408hOm{b_b~&Vbi1H6eJI?qS8)V#}8kid~Y`Frv&q#ZAK)NeN=w;;D8cO~sOLFZd>eV@nkJ=I?eQ+sOUN4$|6PF?FN&=^`PO_qn#>argQ6=5cEmPEpR0? zran}@p1hf~_WwI6e}jC0e1v?Qe1`l5`7-$j@(uDIq_y{NM7?cGa(i+&av###`O}mO zcBjJQCy*zR5<67dD{I&P5amnAtH^6forgtwYtO%($L}V;MLtBT zA0g6PJHGl6LUzlEEB{y9jemFfJ(1t%ByzOp8twSH+PVMT&%2t>{h#?SQ?CDA^Iz(p zfO2~#%k}x>rQ}CQJMX1_w@800kAH>y8mWE@IB(~>e#qlbkv}J2B7aBPd9J@xevedt z23&7*j&HUlcOmy8$CD{CN4}p_|5{u}{Tx8`uLY0d`4YL5TtTiPPb1GEFCx|d0oSqX z*+0eOpC!LY-bH?se31M;`2?wc5xAaR$Nn20xARu&M~n0C@VNTXLf&|sDHrb}?R=H` zOW^#zJU)R8$b(5cPc@D5Y;pm4EUA7JxSpM#8su^HqrmYqD4$1Ke+oM<^$8xok^DUQ zWm5fSaUDA!^<5r+jQk1t9QiBK&O@o+EPnqMkE`D-xP?IB(~d9^mmu$j8ZN z$X}3lUg-~%-yr`%jzPmO{iXHGu=7dV^Z0J$KIDO9nzZvsM^K(h&LZcN>Nkt~*!iOq zc>E-C4S71L{u@Yd=Z&u7@oULXledt!lXkx7Ta+Ine?UG-s{bsmZ|8}A%j2(+e zqvR*ao5(MacK+wd*gQ`NjN_&+!WRC-P15pQQDN*@XS#R^*Q49^`)HL^31~At#YNWG}gpJdUi9 z%g7<}1LT>c`bnZbT}t^QN*?9+fY_NPRM&wZYK{Sv*cl9H#wc0OD-mlCzp`cztj4e zsGle9cQ(&oNM25UjJ%$-exA2czLWe0`2cDCN*<^D4EYQ4W%3WC^(XlUKM-B_gZw##$Il`!ATJ}W|HmgO-$Z_ayo3BYY5hLFNBKwO)8zByuSx6g z@fXT(ld}Dr=;a%dTaebzV^_+1lLwGKnJ2A(#}vxC{-+(g8B#u)ER)u+V~`YetxM`5^gy@(J>%(Vt^y{ zZDaC%k@mup4CNp4T>M(#r%NT$gGc?3C?oJGzjk0C4M3FJxS8uE1VL*ymoRphngr%BnvSMuX_ z@^125GuAA*}k!c_dA{Z z5P1oSrBa&D*OH$mZy|3d?fap4YWi)+oyk2(d~!W+=X3ruZ)x)5|MYpw7imY)b)_f- zuTlOR`7d%k+7mVHtErxupzkxxgEJHxi@(L>63Z#aB>Pc zlblB$O_s@iawWN%{2+NQc`LsK&$^T6r;;ntY!8HTiq;FXY>#$NI7%xdpi$xhuIhc>w8?dGc^_3OSRUM;=X<$$oMr zxtjbSc`kV|c_sOA@&@vABgl6-~y6Zt0jPjVdV<0j-*=ZDL+j9 zkbH{#Ir$R#JMwk%@8o;r2DDRdPHs!?LheP5CsSmOd_OsvoI&=HN0B9RDY=4NMV>~U zLtaE)L0&_Ciu^43MbfSx{3hiG$?ua-kUu5uy20O2{v-KU@*PrQkm$IUd>^?3xjVTp zIe`qwgUL>E8abO>Kpsn0$rH&z@?`Q1@;vgx?4mNOXN~=1-XhmjXa0Eh`fTlhWr%yS@MhIUF0{( z2g&b~Pmn()Um$-&{*nAE`3^aj{o%&s`^X*0-N}8)31mPXOm>pf$l2rq@>sG;o=6Uo zCzEH8=aC;KuO>f1-bj9){4%NW5uq>Jb@$)p@yAGwi-_~jQT`SAD*0#fE%IG*ea;(h zM%s1uJ5%11Y$p#Qv!q>LukjOc|LHt#_xo8)`FPT7$P>tu$Tg(h@90C6?fUquC|^rze8qOGPoR7|iR$qm z{Sf)`-_;NQ%zS%`e3x9G_KD5NZOEO;J;`?RATmo@KfG?r)5*EyV)A%$2{}NHkf)Mo zlNXYglOH3mCvPTiBkv@?K|Vk}LOxDDLuy<>)*{=i?kr~UQ9EY1U2fmK+IwOE%8*oaNpl5N?UJ=l+fIGi_g49D>SPT>sB;vBxh zH@TE6xRx8ah1>ZP^Vm<%&!Q~Fa;(fFc`Q$0JvQVyY{Ay-z^?4gfgH-~IhtcRo)7X7 zKEc_1kqfz)?{PIh<`>+`@A(V!*Ma`&;$j#kgIt$@(ATQ+%E;Gj0zo zen0B_zF)&n`6c6az<$*K8~4hesHY+0iR-{E(k;6SwgP{>nYw|5%vCc_1sW3ahae$xrN*L6Z3=%Fwyn1{4B~+EXT?`lE?A{)?-7S!xn7K4(!U_ z9LS-(o})RIPv_LN4ZeT+NU91-J5h{=$6je=NlPcmT`uFji$v*5PS9 zo9FW)w&7*$&P-m-Yk3oIi! z7jvJ#O#IGrpTA7h!@G`~S8^S5pTA7h&wtx`Ij8q^thC-;$Iti`cQC%6V-M?}0xZVT zJcx(zC?3ZXS)XU}TwcIS*pXMT53l4f-oRUUCnxYBKFTNg9ADyVT*Br2ke_f9xA6!5 z$~~RuE6n0NkQG>k)mV#l*?^7Mlr7noo!Nu^IEce}GskcoAK(Q+^g@DVAep9?4^Q0_(9M&tVI;W(RgLfnrBusjcARn}x3p2o9zJ}+V$UdHas;c_}-w z8~gGqUc(!CEAQfcoXp4g6rbnIe4TIeeXilB{F2}BNB+jWoaZaT5-h`tJe<{eJWuB7 zY|Li7nC*Bud$K;le@D|?534Dl;GJem&bNXN6Yh1$R{E(k; z6SwgP{>nX_=PS(O%zA%jmC#;?{wl1-TCB?kY{aH)$+qmw9?W{bW*E;P{lj@P$8a1U z;1p)PZ!`S;Ed6u%3g6^XuHag3 zvj|JD3@h?*R_F0NnWwWcoAF|{ zxSSvI6K>)*{=i?kr~S^tEY1U2fmK+IwOE%8*oaNpl5N?UJ=l+fIGi_g49D>SPT>sB z;vBxhH@TE6xRx8ah1>ZP^Vr|a&!Q~Fa;(fFc`Q$0JvQVyY{Ay-z^?4gfgH-~IhtcR zo)7X7KEc_1kqfz)?{PIh<`>+`@A(V!+21V0{dfS&^DtIrP1fOQJe%k9BDUebOS_gI zDbEIO=C|C*4EvjVb03yuSyti^JchM-3eR8@HfJlgXBYP301n}G9K}0$FDG#tXYyIj z;{q?RPA1kdN>Q&gP3;$i;k*tNAg%;8uRmUzpE+Mj`IU z16ZDiu_|k_4o~CR%x%Xa@q4aq|Kt>&!6t0ZR&38M?8N~b!s|GScko_L;xx|Wvz*8O zl;_+2RqMxp)p-9=?SD+NoterR%;|H-%fzqZdVbEY89#5Fdpw+259A&XC+Z>hcsNlH zxyQqaddNKJ`$hI2|EPVNFU5VsANd>ia(r8aC0K?P zc{r={c%IDD*_h3EG28KS_GEt!<_M1D?YxH*IhBv|8P4Uae2edLCD-vYe#IU9nR_@+ zFTi3f&D`bj&n=IeEO&o&K5B3EA1{~1^&i0ax?p^tUVL8a7{hay&%}H=$Z~oJkK%DW zk@a~d&*cTYgdKSW`|wH*;|;upcX9$B;-h?$&+#R`#@y$X6Z13odF4bsg;|^jvI48H8f&pGbKCz( z)Msw{KZ$zCZT}}x5C7`+fBvs-cc(f3G?UMA9v3h^znXg;Ke0UK-q)U}hur(x6ZPA0^LQaIWhZuHUtYy)cq4D+UA&Kz z`52$#^L&}F^KHJ*HT;xc@*Doh-?*3k@**t3GOWnMS)Ir8WS-8(Y{rY(j+e71`*Scy za3pW%J)Fp?e4Nj4E??za%x!NZu^xZUb1yIPH7?({nW;#!QHLvAOyp4DBem>0U ze3~zCKHuOw{D2>E12^+q?qr7hV)o`fEXlI0#3OhNYx5MI!6t0ZR&38M?8N~b!rb@0 zCzhYw_q`|TA@_aniF(L=-+Q7S{#oyP51ZTE=LM_$_0J2YxIhN!3ARplqoXr=xkc;^qSMy_j!L9tBzc8QUu|nLB z2e3R3V^!8<9iGOsc|I><8(zll%;eR)mN)S>-p%{@FsJirzQFl>gYWPIe#8yj%x}4q z8IGs+<~}URvaG}-cnoXv6rRB*Y|d6}&o1o60UW~XIEr`hUQXgP&g8S4#|2!(Wn9Je z{G4C&JMLm$$5jQnFZbucJd{VX22bLtJd5Y?LSD*F?8d&lir4T)-padpA1CuMKE>zx zGGFK0e4lIhDZk`5{E@$LFUNO9Sb}9(k%zN7kLSreosHRy7qcBNXHWL$V2nWa=M`pg9>@x;!fLF=x@^EkY|55w z%g*e96En5_aSj?87TL zj5qKW-pL7kh>!9~KF62%8kcZ6KjbIe#BKb6zjDu_iFzu`;yjQQScTPCi*?z6jo6ee z*_NHzgZ(&&!+A5ua2y}t6wcr*&fzP3lS{dRYq^nIxSc;SPq9S(utV-(hV{6sVMsDGD{=_`a`{ZX)mSQ>PwxeZv zbN(l{9j!!t>{K5a&im}meOQuZS&2vR80NO4m8j2yofkTkN3#Y`;;B4~=kY>b%1-RY zzPyUp@J8OsyLcZb^D#cf=lL>U=i7XrYxpU@ynBM_$1`ypqFs18?D-oWO_pD4*nWe2K4d377Lje!@-M#vk}A_jKN; zFpKj*R$vuYV=dNY12$q)wq#p&W)Jq`AP(ov9K&&ZfKxbwvp9#Z@J%k|3a;fwZsB(R z#5~UX&2%q3=zQ~1K%=fsO zAM*=t<@fxB`JDGD#Qk^x%kwZ+Wlh%MX*`?f^CGt4W$eyOUd?NH6K~_)yq^zqI-lkX zoXW+`!HJmOGi@ywBd;hb38-m3Rb?VQrqmGuVX9*^2Gig}pd{LwFrW@ebb0 zNu0)+e3tXLfQz_{tGJ$@^J{*`UCisePeJa>{dq7C<FZm6BVAqp+ululU&U#TH_zg^Y{B?`{&xCv@2^NK z*SYssB=3{({xz7nFmY3Y;gcJ4fXP*3o_ma6aGQJN$qjaRWE=Tkd4;`>zu7;je!G)qh)i z-@iF;yqEK%MOcDmSdoXbI*;ecJe`f%j2E*VFK18o=U|TDNZ!tSIFVENIG^EMzRI`w zE?06LKjT;2!JoN@^P&Yb%1-RYzPyUp@J8OsyLcZb^D#cf=lL>U=i7XrYxpU@P#YbNMRY;=5eQ zb^MH9aR-0q9{VQtHw&;BOYN^Fw~ZP29#G_$&9^FHuj0S)2#50;{kZYq2gHuo0WGCEKzyd$1n|aX4@0 z7>?ruoWdEL#W{S1Z*nPDa4k1-3%Bzp<|&@2pZqM!QY^>HJd(%q1lD6ip2HSw%?|9! z-WumgD&#AK??6%@?_li}@Z`^J9L&t^A(9Fkgv8Jr&}9Jb>kS7^|`->+m$5 z&GUH?+wd}WXC|-awY-V9@owJFhdG^3^99c58+?Z!@FQ;EW`4_^%qW?tpS`&cOR_90 z@dzHn+B}74unC*972C55dvO4V@H&p-9lV#5IE^#;Ea!0n7jYR^aXmlh*ZhvVn734- zo(ghb?$3jHD34|hp2Smm7SH2_yp)~TjeU6)ui=fnm3Q$zPUd5LiqG?9zRtJ#KG*P5 ze#vk6BY)#wr4#j3ge6#p6?r(T^LU=j)7hBKcrn}Ya`t3@4(14s)_|pJ(!1UcgJ(kyo$}ujDY^z*~4H zC-5OY$|w08U*cmK#_yDJH24`^&U*Vfv$`xG8joiZR{E2xEOw><)7G)`xV`U!6V|fDWu_4c4 z3$|tlc4co4}i}OHMU=>zlE!JfNHeyq@WLtJ-5BB3A4(H7r!*P6oQ#gaOIESzBO)ljM zuH{B<;dcJSJf82$&!Q~Fa;(fFc`Q$0JvQVyY{Ay-z^?4gfgH-~IhtcRo)7X7KEc_1 zkqfz)?{PIh<`>+`@A(V!dG4tY_u~O9&%;=iHCczJ@ob*Yi`a&ju{$$)HLvAOyp4DB zem>0Ue3~zCKHuOw{D2>E12^+q?qr7Rb z%1-RYzPyUp@J8OsyLcZb^D#cf=lL>U=i7XrYxpU@P#YbNMRY;=5eQb^MH9aR-0q9cqNDN2HwIuIe`!HQ9jA%_!3{^5-#V5{DhmhjX&^L z?&&&qVHW3stiUR)##*e)25iKpY{|Cl%pUB=K^)GTIfmo-0H<&UXK@Z+;hS8_6p7ZZIi3&l5kA4$e31*e znD22lKjs(Q%J2CL^SO>)i2LyXmgixt%9^ah(|9(|=S6J8%h;WnyqeeYCf>%oc|RZK zbUw`&IG=Cu9e%)%xPhDbEq5}*_3OR44@(!`eKBXRryIvlZL33wv<@hwwU% z;vKw~lQ@kt`7Gyg0T*!@S8+W*=hys>yO`H??1J2v`}1HP%A;9>C-GFC#q)R}FJ&io zV_#myYj`7X)1tDf@N5dhqF45=gB;s zjoFMBvmGyIPxj|vj^Ie%&U-kKQ~5Zb;atATxA-nsaveY8SKPs$xrgi51z3!wc@Pib zQ9O<(vOdq`xx9dvup_TvA705}yn(myPEO!Me3Vb}Iljc#xP;63AwS_JZsQO9m3z95 zU6{prASnTyotB*Zr;y_Ih{}Q z1UcR;S z)E(HBy*ZFWc|AvSEXVUfKEfwBn=f)97xO)?=EwYkTlqbIVLs=P3o*CdwZ!l8AJy*K zzx(h0-@SZ;tv84BCf>?BIiCOM>G?o8JFx(=+K0ey@Ktz5nRp<&>`y4`(&j^XIws|9`Xoi`dU8 z&g|{B=kEU`=KCKl|GD*)TTjt`Vot9|<}Oc(<>l|)?&tpQa({P!c&sGrKG5O}%}8YRS76xWW%bAB|7+>5%LZ)3ri}0R zXsf?7d$1n|F+RS(S^pT0;{%++cso2x{~W%;H@TGYeI2>)%}vbD-1|2Z^)TIir%&?* z&gUC^had1GZs2Bq%YW4Un`h)rl)DL=vlZL33wv<@hwwU%;vKw~lQ@kt`7Gyg0T*!@ zS8+W*=hys>yO>uy00kMZp9=Z??#s}uRMz_F&tCrvkA-LbT@i-c;IsP6up;B@oz?Xp z&y#sN8?zZNW;RaY_&&Ck`q%L@e#IS(_jhvJ zgGnq8x$VIu>f!%NdoX|XdZfPJ&0l@L!*F?T;;p=s<2i}(dLiBq`g@PFKb7|%eOz=* zp2YHXJnQl_#`k~4?MVI6dm;Z_?sJWwe_3TZ)^Q^@^BczPOvTT^WfJIr7Wm$@8KXZ?;VTjHv6<{%z=0QA!NAWnG$of2!=kfwx!j8OxeRw5@@dn<)J2`<5 z@lig>=lBv|;}S0Chx~+_xQ##XSMI4jrNS)E16hGpSdFz%oc|RZKbUw`&IG=Cu9e%)%xPhDbEq5}bK%##3<~}UR zvaG}-cnoXv6rRB*Y|d6}&o1o60UW~XIEr`hUQXgP&g8S4#|2!(Wn9Je{G4C&JMLm$ z?I{)HzTBS&^H3hm8a#=o@+_Xm3wbF!u^apHDqh1Ic`NVYeVok4_!OV#%Y2=0^L?)2 zr~H!N@JIf}y~2r;X!}-#C0K?Pc{r={c%IDD*_h3EG28KS_GEt!<_M1D?YxH*IhBv| z8P4Uae2edLCD-vYe#IU9nR{qYsQ`n5_aSj?87TLj5qKW z-pL7kh>!9~KF62%8kcZ6KjbIe#BKb6zjDuT!Yo>j3bQy5WCd1XHP&KXHee$*WlOeY zXZBz}4&rd$%rP9t2RMZ@IE!=m3g6^XuHag3HJd(%q1lD6i zp2HSw%?|9!-WumgD&#AK??6%@?_li}@Z`^J9L&t^A(9FkeyIf9}TvSe}Qm zDr>S1PvhAMq>JdYRhQg&iD_T^Q)hBxw7-o^VknUC=)KF^o= zI^X8|T*FWKCBNa1{Ed5QSE&d~una5ma8~E>JejAnF`MyXw&UgO$^IP75gf_ec@HOY zDj(-FoXc1F7T@JcuH$F?iaYo-_Xrofqvfaoi?K8h;vqbW$MHng=b1d07w{5x;le@D|?534Dl;@<~3&m-rf&a5+EZC)~ts{DHr6Pwhn&W^o?K3ar9vti`%)z(#D! zmTb$;?7@B<#NoV|V>pfva0+K|7U%F4zR9Ir!L{7TE!@tZn5Sf7Im*wXEX8uH%p-X$ zPhdSZzA=@)174*?f@;xtQ;9H9zJT+{*9y3-ftjQX%fg z16ZDiu_|k_4o~CRJf9b_4KHJNX7XxY%bR!`@8%=C|C* zjBsH)S|9DreOQuZS&2vR7}n+~JcCWxoUPcNUD%5QIE2@66z|}@oWyCI$!9r_3%H2O zxQgrfIltz2+{L`!msF7Za(^DoLwPi7@Fbqfvv?ja_qm3j@=JchANd>iIv}w;6=4aMVMQL!>O7t&^K>?5GhWPgyqrDRpMyDq zBY8XT;Y3d5<9vp5`6}PyyIje2{ET052Y==s-j`H>#aNmL@em%x<9H(L^Gu%03wQ}T z@(T9hl^n(!cnk031U|$^`6Qp?OMHz>xSSvI6K>)*{=i?kXShKo>-e9=c_1sW3ahae z>#_kGu_;@!EjzOZ`*9G5^Jb3WI6lBBoWWU~!&mqwmvRNyawE5JJAY!HvbO&$%2F)H z$~=IhN!3ARplqoXr=xkc;^qSMy_j!L9tBzc638 zVJljW3UNOk!16qdRaujDcpA^<`Mij2cp1AhlUMUv-o)E@H}B`eoX)5D0_XD$zQYgr z5jSu%zvWJ59F(Y^y}1udvMej{2p+@QJcVbl3B%>8toje9t+EWeuUUr0ILnGWoYi?e zPv+@t%x1ip?RYuEWyGxf;k0X(;qWxeusP2%On#P?7>~c2{+c|A_1Tb37?$N~0xse*uHt%r&ae3$ck$o7eXLvE zk8~H~cK;^pe~eG@dA`iJy}!5hzt1)NlwUHw|LI5lzi}`3_Y`3XmSII6&gwj#C-ZbR zW;0&QcD$TD*`I?sf+Kl5@8LvF<>P#YbNMRY;=5eQb^MH9aR-0q9`5TYz+x=TgLnv! z;&D8Y^?4?~#Fq`RZG4;@?BOzt-IMt=OJj*oy-=gx7Ht@8G?h#Q*K~J=OH5`o6UB z{n>g>RWI>=?BBb8c(Zbi;W*|#N0->H#P2~!HDCYi_t}?&csr3QPxkmq=1+Y5lhfm` zoaT?qlWM#5N887W|I6~l%X6yZ!=Cc?=U|TDNZ!tSIFVENIG^EMzRI`wE>|-5`KZM2 z;WgXQCCq(acA{Q#->#4YOKY&Y`{ir%6NO-R)1&qU_TDxaQ@ltbUYn#y}VH{%%AY(=E(f+_Y9x2?%xb2 z`?BuKES8ax^*+up(OKvH!ofqhOqBI^^&&%m)^vrxzes=9aZ1QDP=8jrL%yuzj}SjD z`aa~HYEEtfM%6x@x+VTDIi_8z zAbI+RJe@mqyCNj)(Wy_n%$|Mz#)vw0x~yHlZkcU*wd~UF_&RNq~wL`CdZMyX7-KImIE}30AwCfgPI%I|kZPTG=zaE*LLODWB z{2tY-PpAGNbNIebzg}U|Guvf$N|dEzr}q6ihe4P13^kbbxn2AAAv$?zx1Jr6d)xJC z*Q0Z%P|Z=5CI06B|E7Dp4t+w(-67q&^a!I&gohcIQ8mnm?wxvs8Miy;vOev)hoJgR zn^bL=nc1gH`+k|xcslp#*)Q5}&uRB_*w#eHD{)sNd*ElII+CNS;-sqSm&NnelT=d(C<0hqv>zEW59ml5{Z?~klhtkAlCdExo z6BqrKJh+>|tNqmtsH{jpTj5v@Do@lHz<7tQ-PE;_G~Dql2>aonS6;-)9X zJ(ebJR#IH_H>t)uHz{sLnz#i?anb&1s(g!+;-d4>sp6I=#XXTGZcS3$lWF2MB*i_I zCT>eo+|y~|b|l3`b(v~9b|u9{`|GLVqH|60{Eg1fr;00_6gN9fT#2N(=hDPQZA8c8 zeLhWG<)pY5(!?E=6gMYLT=Y(ic)ZavmTG#Vb7*ngyfkqQlH#KCfT{8|PKtXeOcZb6#3K}m57)5MKPihC_h+^D3u*VDv} zO^SOXP27Z}xHr?pO-_n?D^1+=q_{B)k%u`C{0|0 zq`0+d;-YJX@$^RLgHnyRc~ac^G;yty;yz9j*D)#XlQePNlHxv16PKA3w;@g3prp8s zY2rpC#eJ40Zd6j-=V{``CdGY`CT>Df+@>^flau1UOcOUfDQq_}8bFjf8S zN{ag~O_^6!$}#xDrWmKcgI;HY0e%oaB>#Enggi|Qrn+J=7x z!oPaqU(_`?uwe9fX4ZMB0l_FPD*sL4U-Ecsg!8bC!*_8P=esU^k({r2$hUv^E;`S3 zWiZb7NcfzbFKQDedVhP|#rdLr_~f`B!;GmN2Ls{xCcTd zzaNc27svGuAO6R9L!%QD!>FV2M)N)%?}%*qGEWWD5+@7B`G#f7SE6>otoa+|yCEsx z-P!WZ4f)Rd8~N_YmalQhH!Q?O`EE+e_ei#Ug-^@Km>!nFxC?``x`(snTO9Ibgt#c* z$Pf`v@9+66B+rG3eI=R!x|I3q7R;DdD3Nb;h)tF6s`E24qWgm4F8-Lke3_wkEG?-!UOy|2SDNRlc$zUp#*&g}7Ap zH#Oudm4ke3#KaHvXHNA zlt`B<-=L7Me29ti%?PH-_jL}ZcS6$i&P%)$Ja8ye9X7RDRZ-~2G{RP*D|aM7(r4yL!xGhzOk-dEG)yE*tCs|#kF7iMZSf8R}$Z+;kfRpB^W>g~slwV{0bB=WtNCf`YG zGcsSH2Z#@|FHMBjbe}%#XSI z6w26UeymE9@7-|n=%q3yHjrw1r5*jB!cY4QyU`Q8e{<1SUcSs`C^ zzAP%==OH*%`OY{#&;K}|lPcfb=7lm|4tb({o6_W49r9fihR0p1e1lpP%IFn>qI{c! zsmgb3?K~NSbF#dIeDV6_t2Fs$o|Gr+yv84uuTE&Jahmc)$7iX^cTAl;8KdKg3~}+t z?E7mQLcVQbU^Knoq{;VUXx#m|Fg)&3X1UB;B;a{rhz2*Eo89&9zf~oRN4vi~^ML#QFg*5qYY?>#dXf!fis(f1p zg#F177nQG4ntXR(5cZ$rWWiMVMhy!4FJX9;?~pY4R)l;x*&kXH^2Phlho#BaJZwCg z9<;lFsmix}SlFMelqlcfY4S}87vH+?PM30e*F03{_v8H|)8s3DWzO~|n@`@oKY4VT zd{=~gHFHqD1tDK;^P^gtd=o>ya7yR*E`(-v*_Y>CA>SKeNHl-LAyCTYxz1I2a&-QA z!NY}qKmUAOntV+|zMM?&nvgG^-f#$%vV1p$e9?RK;x2?{b=jx4#FRoA>qA^Lz2T4` zWxnNu^W^Ayz>Y@>{eC_9gf#g!gnT(U{>b;}?&T#M5~M6&ogsNL!eR37T?ozUvQKYj z$X7lLiKaK~!l%sFD&#vYks|B=RQbk+d}qoRc4<@QyFcVBpM!jBlFAo$@lxh{G32`; zE>y@Hf6P9;yF$K~!@y{IPYeH2t)EXHmb3Xgclz%6dwQCDEkeGW94{;m`J#G>$`^K_ zQkJiG$QLeQ{@#VqtS04eh|3;Ck+TXC0adh0L#xG9J0zuCLzNB5+BFNDu=8KQ#B z3;DK%RZ-l^cX8ZWala4vvOvb%-+L0{je1vyxa9I}2<2-VzK@odzTsb7zRlrt z_&M~7{wC_?hJ42+K4$$N2LJ9JK1XZ|al^s_I3NTJldo9#J{o7z&nu%>c$v_$qy;d} Tw{Nz%2G14B*du8Kaoqm{cAS#j literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.d new file mode 100644 index 0000000..a97be0c --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.d @@ -0,0 +1,373 @@ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ + src/ASF/sam0/drivers/sercom/sercom_interrupt.o: \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.c \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom_interrupt.o new file mode 100644 index 0000000000000000000000000000000000000000..f462eefac0d8c04466cac0a93a57fa339862db76 GIT binary patch literal 777812 zcmdRXby!tP+xME8HJi<5g9@l@6$?caJCBWpdTh~Sceft9MJyD>#BN2!?heH6?iRbd z_50nkhj^a%pZEH%@1M`>IqROg@445^tf|d2yi)aG#+Z@tj~M|*5@r~=m$(s27FGfQ zMkpJhq-OvpxtSWy)^M(d^EF(k;bIM!YPej(6&kM6aJ7bOHC(UZMh!P>xK+dL8t&9^ zw}yK)+^=D*hH)Am*6^r?$2B~u;b{%eYIt75iyB_m@T!K_HN2_eZ4K{gcwfVZ8a~qS ziH6TKe4*hh4c}<^PQwoxe$w!ZhTk;&q2VtL|7b`r4gWKQhEhWp4P7;K)6iYR6dI<| z&`ZPA8m86Ip<#LreKpLaVHOSjG|aAH4h?f^7^q<$4fAPOK*K^B7SXVnhQ&23sbOgi z%W7Co!wMQ!(y+3IRW+=pVGRvyX;??Y5Dn{V*g(TZ8aC0enT9PiY^7lv4clqhLBmcO zcG0k#hCMXwrD1Ok`vUf?Y#491+Nz}=Ut5q}tH7+ghYGQR+; zVINl2Fz!zI&zKW1Zpu#G`xwG>88JtqSzFqlbur9){kg#dYd}aa>(Dk9LvU&9%?-25 zJz?}!7mW!VhEq|;LGWF zSQg@8p18sdk*x_8J7$G!{yxOof``is7-bZIEJc0QTJyUF&*f*OkL$2YppYk zAZuJL!>DbTlPU|tEWV61?S9ZKiIN#|6K~fwriqL3uj{~-(&+7aBduW!c3m>YG={k@ zj+Yr8 zaJ723w-`3-@H@(L{OaD2^`aqr-?%Z57Sa$k1&iB86I)N zQjk(%vP|61NKqv(Gc1Ligp5QOa|jZJ6+xsYLg+paiaI5Hh!AqtG%SBP2`Pv$Bb$q1 zDWM45MuhB*Kq%>ya3zAZ2neN|1S=6$A;Zix0t%I=+7=Q+4hAeR6LK)n zX(%ZNgPeq<91K>3WH}h>lt{|Ka3>)t2ce4K%z>(Mm{TID%A=eTNmU->lu%VxH5}(8 zB-F6~UWDwd;rJI+SyjUcBxIPID+$9AL6x$Q7;3l+-A2FV-A+SEHQeJQB-L=QA|$Kf zey4=0Vbo|ei~~+WTFU>0=5TXR5x55tO5T9PAtyn-_9@*F&Jjg0RfWp=Sq;lkrwu_W zDN=%P%t=r$bp?h;%W)?mp~^cqpbpL|pFB;qS5-bmLWY_8GG6Lws-=a*Q03p7FxojA z_8+GqsaMct_g{PYbv}~F}uisN8$IVGm+3{=`g#6i^6h`UsROxZo6v~gM%8!Sp zBqZq9Mby|!wfdCo0J7kvGL%Y*8Qup=B0f(>X|a%GYSU7c6?HKT&op@vXEkk2)y}I0 zdRcW%Ndu2*D%7ZGSZX>c&e~0gLtScV8F$DSX-!KN)({q*LDXUK6p#v{u97pnb6tf} zh;nNoNd(b>-AxRllQyS|X4bDKjYYH_; zPZeknt(6dHd&ck?at7Lqb)ukEp#Lg4!~2Q{ocC6tSx6FrMzC=xcn)ZfDok{m6Tal2 zw?9%EsU0|ovbhpurf7-^eJ(zgmT8*eNir(*=}w9Y-E;p_$V6!w3Y{`KfyE>SI*WNy zSp_;<$r;`SY9Y`$Dl`j8BGApOzH36DTb$;cfo7eKCa_IAP=QV%?H!upeDx#I7W^d#>s$%BvW%s3O)b@XPr{=RgmH-l{xS{`SL`bmy_pCqd=PA+J?p3 zNx%dWjRV4I_=QB_wEr#a^IyX0`0GUB^iH1BYg%sc;Dy~1Dv^peg`7|3bBH^&iqt1X zFa~Icip(eHFjORia_p?Aud-!$2cO0Z$*7!I$Qtrik+(v@GoueUl~g{G@>YR|CAtje zm8h)pR$1pQ>nr%Lq6}C_66XHl7f?u3qr72h;IzhEC^aDCE-QY z=N}UtHsR9~9X8btU0b5DG*d1tBngMzc>_D*|6UrQ^hoB>GcF9hq-S!Mp%c++dTEMG z45GKDBm~io4}?W$Yw5!!l~t|#DmlYDqc1YuPr0>_B!UR%uTe--v2G>9GG3cg)vnhN z9dm-FP`%UCB}#;*P!MTCLaJl5(iHmoI&%}LDG6bX<0;(}!kWy-Cx$ge$r;{L_aLED zRUj6UL|7~MDioYyt<>g{!dj&%NnyokiVCZ4!w|!=T2oY56{n+vuhA6s+3TMT4Q;Ka zsL!5yt?M-xu9HFND>Yo@`Wfk+fjTnkIr`VD7j13jvi}D zQagI8DG9ZHz+)4Gc*bi`S=IWvk~6%or$G=elv@i)B8cC-Xo`dv_D7pbdSQl8!x~F^ zVN6q!+7Z{3q;_O#N|Uurg^%Qaj45DM{@pi>4&CqpX^e)Q+-gNm6gL_?J%M^#-qy0 zg@q*Hu%UQ@g0mepO6Jkojv6O-soGH!O-X7;&HgKh2ErA~cjpUhE@mVK(Lx8&y$*)H zmddS#BoRb!F$@J~$M2IYh%{YFSG=XlgFSrE?gsK2HpwWEQWl8~E!#iGQp28nc3 zR=F9h!^(wSJj)Ojh=n8())Z0PGa;<0+FVjQnx-j9?P$8DB(7om2!RA?5G#Js$m*%Ad$wR2S7+=9W+xpm}RVOP);wZcANLuD#z3ayxE zT@z%EOmrD+W~Q>rO=Tr#c=G@>>nh5LBuUF8Jm9yHc?1Qg-^TyLZf?q(T$K%HKC{0}cZlX>wRa1JlAm!>4tuAA8s z`cA*S&EJWB`)I!#(!g(DHYBkuc9wI-iWt<({wCpp#CI)fT97Sc7pJPhS z@ILR0{2W(qEhLE`?wG}V5>j*5Y0kL-RSxcJN>GMOQP;NOR(OiDnAkka_C1-d) zsDe?bpfX?~NmMpS9wc*(uA&c?b5>SO!Hl%bQdY(%nyVnA6U|lBmGv8ocU?&tu#hCo z)s?BzC728OFLQNdA@H1)tuH?%n)^qad%g*Mr-3qHAxW5PD_bU-YxiH~+Q^ZK<~qoV zR93m`sLeG%>$7xH1}r3*y0E3MT%F!99I?I8g!;+H0^0_DhC_`%{bgHhVR1jx@$ey9 z!~od~+uq#I>QMV81Em!!dhTa;^#2ES9whrIZc0ay>d4z*9J>(L+cA^4L!?DwF|hs(*BGIBp3M;79akSP_{;YgJc;fKm#d_uWj zI!D>vNX|$(4Fe(f%istk;V?N^31@bw?mS8kN0qr>Hizm7qvaMfK<*ddC_=(xS>X_Ug;mWgsxhJ+MOlE<)( zoS4E$>5l!V#1u}J>r`}BXOgDK(K<;gg;Ql}#nnlgCQs`msT59^=@eHdX@*RVSs*1z zr7%ijI{~Op(oE^+O{h-NEcs0*No91l#Bl|$P8HSCN`Co z@-L;Uv#?6G#i}W>sl>=aimS7*TCUMqP!(Gv^D3^+!dm%n@+_>Az3_oZY%1#|_IZKo zENqbNl4oI~tn18zv#D&7kxDqXv#D&BW%2H*sd!%szyPvE?n4tmj&{oLXr#^@?UHw~ zSDl!n-7?ITP~DyO$mzmk*S13TMg=$g9ay7Atcq zu1?uOnMw5@-MivsHpSH`J0v?`ex2C64$Dl6t5bGFrdKURH=LvLS@M(}lMR$`W@mRg zE*B@y(Fu7>adSCybW%=A$Whs~Xh)~y12mtsg)Qe~P$ujJzW>YKFzis{T|c&6{*U_k-guhHE=k80D0vHF_E3Gi<#G$&~}CSnV{9H+eqs*}6e%ml?1*iy1nObx)Yz3WWO<&tfnTT0^x8SfjfoJ!f*)<7EnW@D9 z!&az;vf+&ke1|{#8$5b|q)(eOPWgu$*=)tWlhgHAa3o$lA^hR!tF{seUQe87hOML% zv-%#o1wW;nnB6yJ03=I0v6Q|IcDWd~GEU6fcWsz3Y-OF8kMGSn@DSw0GWgcQy3bb5 ziDfo2&-o(^E3^GwAq!&J(gM6{RHRg{$OWuAVZv_&^PP7LDX2+R0m^;5;h4`>$BB;O zhMjH>gg{o+F_V!wZWS{oGh4GcpgA+!oQh=l6?QsoEu0~kzQ^vOlC2ggp4FG)v9+em z2)}U*2_&2L4S3Lh+ITv18;~q4~g)B357jbBJeWJ!oS9@QuZt1=}ts z=8>sI>-L6i_YUPTrEl#^klf?c^YP6a1pjfA6pU)Vo{>o9;hWgu#GvLo10BM4)RLXB zY`(!ctcLAGpuz%tPp*KKlfeqhovB6N)`snj(?fn>i21BD=D&a;=yOhgrF^q{!OF$z zN-xMad^zI0)Kp;=GPURmD_5OXD*9H)g*@GG>Q(n0i;Dran{Dt`xnFJH4VR#IrzP^k z{p$I4{E3G1AYSnr`g)s)I-a^5_iO6g=>&L>oL1TzSs9f`&rdz5-hRhXT|c5D{awJ4 z#LBj4sf!U{+KO{xJ38VTiLE4e+A$nYivSJcJ5}JOUfB+uWbO|q#QMLP$#hs;nXpN-!blRU~Od^%Bjr@zf;^1-4~Sz<=(I@{BB7{ zmgk6M3?G2}2)}#M!LeOu8_P2*K8C1t=2>JFH<0=qV1}e}I+I$v^Zgi5OZI*gs^8BQ zC3+vvtgJg;F*V0zqC`q~m3FY4_NbL63BgqX5Y#wLEl>4T3=B2U0J^48P8GMHtezU7Gdaz?-W@OG^H7xovryYV+K=r)zPLtXKTbu;O#gp zf5TrBPG2T66FUo0H`VXabgYjDx0#ZpX?M>|u-cr{7*8|Wm^lc&g>C~?92q}=+mcUI zrfNIp7C{SX#rGpv?$^*UeKCYvb7#lu=op#>j@oFnrz6j7plvlez;V|PFT5T98xhi= z?C`z-TkUycg^y#7qxj0&Iw;S=ZxM5t60SQcb>X*+Ir>fnzmvw-FvpJ(Xi%Lsz6Ja_ zu-`@Fdzhp6PUv^l_#x&fj~Sn>o3?+78Fp%86phNC!--Qg3U_hhofGi1M(Ve=fB974 zjBJl1_p!&6A}NH9abdWO*r@O5dDIw)eOlL3pDnnf zmnww|?&uBQXyT6EjeG)k^y0S|xT6=HU1H+Yu0Gw-yK;%)j^2)SbVu)a9b7E5KE-a7 zv_33|g_U*AUvx*WLsrZJtfSux+|g^-0dK-O8c#Rtay;$UTCneKeTK4!wflFDi(C`% zOle(-XDX}z1`F=!jqFKx^m?M~ZH>S)wRI()X{;N!Fx=5Q^UTB@y;?V1a7S-p4~{!} zLyB@Ey>r$Oj{2y?!sC)R(nyhyYRH&b{xR+Y7KjESqwq*)uEEov?ZDG-IwdV9sWN|^ z!K*!xqMVdnC&B(0T>4KNPiMXa;?KU~>9swGJ+ zPA*lDq}UaaTxtYK9ZfD(fTRw^$mCLsNa|H`sr-hKhZS52TfNy5WQzWQW*oqOtZJZP zdPTH;kYvbC z874iQeOV9XT&!>!{bj0NuE2K|?^y}?qk>>gfj!Xv#$ zeM>4seBOe@M3Om35HU*C(66I zxx3lj{zBbTrcEZ13KG_YuspT6tm>5{?xiI_#OGGmLLsTOD@g&QNfJxaO{-lg37;hE z^m%qh21686I;tNzNbdzY)eKt&N%`_kLP$EJmr7VdEi-|u>pqvjsXk!PSmGSGxG@yX zIqBd3Pka+$BYu-04NfShOsa`tWC6mA+60Jg8W?-1!>fN;@$|=k5O5Nl&*b%|fd@9d zlk>F-0b>8B&8A9$#3>}_KQc)FNSgjpB=j#K(*G@VYB^sZmH%JZ!=-#O3IXmvSKO8;u<@l%6xBY1vY3grcKX(TVGOJTf_ zE{)(UsGYxT;?SYA|@#_?jh6wd$BrK!BQE=}VlbZI&-sY^3>DP4-(U}#S(g^`D!R0USJkDZ z{BK=a#;fVla$a4RqInHnTET1T(n?-SmsauGx)j6f=+bIlSC`iC5M5f!>*>-uj>C7A zzx5o=UX?cR2D-G7H`Jv~99syAyO}rEr7gUPv!tG4rr9(ZYMQ1f-AtxGg2kcmZ7$tY zV^>?Gz#f~jlCq`bZLXp{nyqB1?XGBk$#tCu{aVYM?pU#kJi41|-E6L=%+dKUb>ucq zlFYZVIXXcpn{@jek3Qnw+!P+@Cn>Db``&j913qn~rCceAM(%J)=144L|6y7?DBVsK zL>ZW<&|dPMhhW0eA(^5nDt46aKZG!Zqm#@r8&R4fU1zPIzKirT&mj)~uF~&=3sxP; zRh_oqux`?;RVaRjB;K;_rsX5+I1};73j2p4Pqlg^*APK=X${7bQP^5JO^K8`ZsFZi z@?d{5lcra49jBK#_OHxd4;dGE-KGDr;WX}~($Q!ja`u+l`}BnIfIc#NBz^`Y0{TK} zB2_78Kc`JoZMapnmYWk%XFR9@MX%8x_vYawIw5d65u*o$#b8iCl$ z0Ob{qy^P?Y#O>-y@(0nrr7;YBKpN*Q#EmFq#dGXRvQtQv+oPdKwHZn4AbNl_hL$9ck4KQq$Lhpwlba|%F#DE<&kK@VUyAIkDM;Ku zCCJY9PEQ9mlOH;Y_YvN|>K+hUJ zq*b^fS-5qQ%4v6ymokN@?1DW-P;ri==PHa*(x{f5ytFMq`Dy!T8?a%&qmLBQS|gs_BUpy7*^st3oUdYl<`wgY53(M?{mhH zZon&wxfOmGD2-aG4IIV?Q5sF}ll}D{>G?oKSmGc zo+$OaD3V*MZH#_E<I5JE|5#3BTiYRas3W?FWH`+!(2%IK}XUJnn9G} z6G%Q_9L3YCIB_%dQZ@QO+;#Zsqc2Y(8Q;uQHk3?<>?9MTYWKMo#g-mFVMJfX2S^&L ztCRe~Gep0xYMrec$*(y;l#Eq~Ylc(VvkB3c?tc`K56IgjW^{~??C>n713v@5$vyYWbM*L zind-ADo@AdK(xbYl(CGf$)j5>D!0u=x(kA+?1c?CX=JDEJ0taNqCCUT$fYrV8Rbr357wP7}mrokGo|EMTf6?>k6QW-p zLXvB`Qn^`8Dp$p>AI34v6VYFGkff`f{N__*d*C>V)_*H;E4q{XfQzJCq&-EoXa&jl z!1Y0tRjdB@EJ+?KPFid5lVZHzsU$yLjgXu2lUAY5L^+HtP4r4m&x;L-USSyd?YNM< zboC<{zZ2N9HC|$5vl!cQ;6i~hYc4%qh7i3c4sa|+=nWiy8_zKdwisnUVVlSpRtUFc zj5iI*&X^1oLq}J#|GAZlT=lXX`0+G;0zQ_!-@?HcjzS(%c?>p7@xFrS`7jSXmtd)i zFVs&58Y6rtW#9x(Xwm8h5qIbwdbYs`W-+q( zlZ7f5$nppE9k~@naRy<{jNbi`;(R@pDDL>7b^>Lz3(vlT)m>%0b~?6>PES1ULLEBnV;|2zB#akH0ljg&(otZMyto6#rt$bHu(FVseF)w%e&-^H z>v#$5mdfqin`VfyJe;N?C-|*aAYS2KIE<1H`K#gpkNL>^(0j)Jrmyr%zUKx+-tg<& z0N(SyrvSe2^&zksE~YJqNTjGtEpv{zYXxz>XtD;_YT;G^lH0^a2Aju4o(AAu5)K-f z?g`6Ih&&PJCP3t+7>JuX@{1^#3&dX{dIPYr<~|yYrkJs~(IDrU^F0yLL$g~+h&(fY z?7(~YVs2VN3g)zi@DL`CyHiMV)H3iU$q!|qFiXxX3f_Dau0pg*T@Mp72hZa z?FDhWyj~Rwdu4bt5aVPO3?=f6T<{%kFUnY&Hs6t#aQG-6NUJ9#U&zo15Z_5(D@4A? z4mlCTPx-JTK&WNp3#gB=j5q=?!P0IT6lPdL8$*4uCAd2LZL&=I25he-*Jr4ou=LIf zV>c}aX~z4=(!Bx{K3Yo72JyRP&~aenT?W&9BFd$5cj(P?+1L`2D_zdwGKO5^5>GSz ztuBW@gSX%1=>QN9yS&COm^|f@`5Sl_T!Op-Zn?blLjCT!RILf{+{I3#`D+*ZTdIT0 z-)Ru;FPCFBG}-_3VDqcB;yPfVu8rg1 zJ<@e`Us##t+F?6FTH#u+8x+>MzWENY(>1sP+#YbP@B$)7UHc6LcF}eB0!ZF)jp+fA z`>uUQ1AFEA!w056xOyG{@wcnl2p&e+p7aNAk}Zy=WK(Sgp8|`r^~wd_EL+D*0P}3s z20&qv?N4=7Y>Dj;EhwUGJu$$@7~3~oww7ybb;cmhjkc$_D{-*yatlS9VY zw(o<@qqYSF;NiG!S$im)wuRv1AQ{Kp+3j$&|QE9Zho<_veeDq8Val2zKww7Hn+DKA-TtG z1a+B%ZmaQel*imIl!oLPw|3PK=Owpct-!nI7C^oKk=wy+0MFen(WLi{TXZ{E`RF!g zKZsx5zR_%Cr2TAJfN}QJ`B2+Q_Ah;)KHc814BXDK&%#cKTx2grW5{azhb|y)v|py- zaJ#+pM-cbg2bM*+arVCVVdc2}%-`T$w#U%o0caMd?JN9`Q!MktY5CNO< zc8}ozPwW?#LFBpJ`#3~i*&7~&-dp>IzQ8`%hdu`Hv%MAe+T=I87yc5F{AoY?2H=m~ zvn#+z_b)VRjCMbq6MEy^7oUaR1otWX5z8d^ccs9a;(mM(+)j7*ObKIA?xQG!v)v2R zoMfJRV_#qk-Am4a!V>pxzd>B;ZhZ?Y%iI^-1-8PybXlmcaxY1f&eiU{aFq?YKfF({-?cn`LZQn8|mc1L&nEoQ36(^uS^~dgp=ilXmwqgHc0I$SGB5p!B1^tU zIPbUQ&xVWLvcNRjbST()2Fiujr$wd;SEXfkks`f8FIs>WSH&D9;4vr=q07qC4j`6V z<>;k1K11`2c88Bbw|n1b2)pkwoN>xw<)@=MquJ10U`%FrSHZ?~#x6l%A*+5KAcke# z2I6LRxgy@eR;I$;##Fr9nF@FZQxWfED&$>^qP6U26uPsz>0%I0>ZPgS%3-CKlD<(7 z*v#TbAHnSIvB*`978emtp275CDln`ko`v4gin#a#e{f3&(|amg?FT3oSH48K>d`4E z*UUEyafgfyL?ficruBP*D*NW244z+6?BUCt*{paL`Mnqsls)wxzN^lso*Ysr4D=Dq zvJW7X&H4d5BUyte1T>48nISiuwLAjXb67-n@RqQ7*PynP^`mio8JqqGBI{T-6aLn- zSHA!@u%5J@+`%&LhLxQxE*RJ@_7c~SFyo5HUL!t!RvYr4d|Y!JE34%C3i z71sO>u*d9ZXVl~g+eBl;Q&z13h@Y9u9;km|uh$`#uk6S;co@SUq=w{J{^|sYYrvpzQzS#aBGT5DA&5b2{N?B`oI$5SOz2T|r#NiUq;#a@I6Ac+spl ztt(crbF|U0l4U;&;wo0RGJ=m`{hGq`YSxA}me#N|w}Gu?(}EDvIyRsYY_4bhyr8#% zEsBK5MmBH`uubenJ)~zdi>EDvEzC0zHn%d5T)?)mQMjlix3fmH`L~1Jqy5;OY{z@3 z?_w^r*SnkX;|OUFd*Ta!ds(J8kle@qris^nw)_Kl2UvqwAjY!Bw8A>b)}miy?ca_D zk3(zY;*-6bEen7+Usvh-uYi)TS+fjwe@S>X0D>sbInJYhNMqw$n^tp@gt{Yx|L=WJU} z_l;& zrUm%K_Vnk6MbJLcH`cx&Y<_2*D2N~IDh2VA-I2h4v74uW{bsKp z0QSBClsey;`GhVu8r5z3q*qU^940n)OHVhV(_B$$!yS(=1+rqwcf$8zRo(1#$qJ%lnLi+j;yj zozKnZZ6w?-;90!kb|Eh{6xbr30v8_TV*Y3sz!Kh{=7CH3)4~X889!7FK`iIzX&x2L zubu|Bf){=UY$cBhg3VRDF3sg*_yL+otmgT#)hO5ST))6u%Lh}pSjQ)hMiA@yVHy%P z@Y*YZZRFFi*(W#gs{U}hna3A{BagXI7 z9^%XPCVXbEy#T#nUD^XEw1DPTg@Yf%Q4tsVkQQAP4@$8h+~AOZot_Fx@wiMdS7JV$ z0+gIgpQ=(#dV^AW!7)(Ek`3*e>d`d^^@J-t3GS3CO_lGHU=J*=eY+Ia`GwtH$A-48keuC1$ zr5?B)qiAK?X=p82?%aj6x|F7MbJw;%!0l#j4=>%vZv&;rJnG3k|Jnyiuf4Rb^l!E9 zp!D9C3R->kH3g+_I89IbRVxXb{VObjmjPMI!tcOKk)RA}^ahl{qiFgugvEn0^e3HG z42z=~*YLF`Q69na7J*PGdqyAsk*xbbm!wfdQH0lt=7ES_jCOeD2DuoYdDVpQWW;Ncy-yHV5930JM z*%kwv#{x^k(R`MIhSdekpsFmyJRJ&)*#0XZE@obhAi0F?Jq6-Y_Kqek%h;9UkX+7w zr-P$tcAj=hRadB0(82mWX1FT^V=*6;?$3Q&D%1~9} z*diM653xe&;QcUb+z`f&u&OjqKg!-VfQMsj&PouEvkc<^POwbtK|IM$GuS-E+P8wE z(`*KV%`>bh4PIy2=tr=5jtyxK>^v(`5(*dCw`BkqS({XFdx`l@fU(O=ctY|DEAkJF zU1dM2!pb#F2_SNvohgPOZm^-f0B*8V%Rs!vLf=B~HZyud?+z#%Neqd%7fRAh< zZFhfSWohO4nWds_&oAuYXb``$vA7sTJK|K$@9YBY;Qn9-27>sL1=5b(FLwDIu-|M} zS48%Q9k>sX5&SqU??UKHm%Y3J(}t$eYk2XAxgwhsa`{irU~3o}LDlrMx_C=q=;3>HD;tHyj1M zXx_kthZQ^`3?5eUnS()G#kUoPWDHN!0+Orw2I?JacvqV8tmPJ36s+TQX|rNIZ%!-R z4gBp?C~V|Ij)1s{x1y?S<8}Ijc!c*~1?(9AR1wCG^T|1(aDsc^g_V>1*kFj9;u$jm zoaTe5=bYiE8Uj1ZOLm3AIUeZ?aGqCchafKS(g84bk&lW-ESGqtMF{>fPd5+>S9qpR zz^-zys}Q-yKfMHYo%acVzZ?8FjdeHq(9FPY@h}76HjkVOaED)_IrUwhb2_kl`~ric z`+QG4um`;QckmwaROMhZo)>Bi-XmTNKj+30e3cKt6HH{_{V9Ln43f`y-ya}8=Ux2} z^$R}ZJ+PPDm+J6}m!v7rYd*gVus6IQjizt;B)U8Cj?bcz?>(P=3%n0J*aN|TS|1J#hgWsi*_9x%561-phT?Q!p=FNTr z`@_R%VmLyycn>U8%64 zX<|28FQKB`SY|j*xrvjTL4$^=-Tl}GxJVyl7L`ZYROFM#}Cu$df zvHAFk53mJdWoz&jikLRQ7KzI^tCfpIvBtobi08!tmWoA}0G5fov=g;l+`}KgmeHaX z?Q^dX*=VY2<`4O*9}K6t%2~B~FZA z18_)m#%)M>Se(IMXpl!lDBV*#DkdIAfXBplnt>h{`F;YN5GOoAJSkq^g|Snjd^r4_ z7K>?-aYj^H2Hshb;y%DRv2hHD=S2}}OBaL>EtfBf;_IPsNo>vw50^!gbdbCvmeZ}6 ztD<8#YIIE;qcKdxFMQMfWl4T^9bso#xhK-z z0PjBj$Q-Z-qIw(f9*SePU@TrVEf3x!QF{VBJQj7v!rv3IA{-u`iu5^8h43R$~v@^g6GmjtCL(R!!;9;bBB`Xxd%yLiRVU*cc7ehK(@Ox0FdM`}WTLsd8$3)hV`#n~X`UPh50lNC6X0)( zIrS5;sb(%4{7p0ce?xt`Im3j)46{HX_=_@QXd`Z>DfU8Pmf4mD)!Alz7I>Ir9z6qv zxn`w1P@iYMxB-Rv=8OAKUtqrc3knNO_7w_?%q>w6S!_-;AhN_9YJtd7b9rNgw9Nd{ z5R%Ky(lphMHc!*R+Y0=^4GJsGmp9>Il^Gree=+7t{3uzjHb*{$`Wo|n4-nUyp^Kof z&a77)#P#Ny=1|yRruq!^jpoLkP}pR4pikCjbMr2E*kbyR25+m`iRNe9%#cw~*lsqg zf<*2xcm9i5cAC*oV0xG7F#`&_&2}`A-(!Y*0^4hDXbFXV=Ef`_?lQS)z18WL?;bQO&7(u-;66q0yv2s(h0<-dpbTQU!y<=5`7XOg^SXQN zFg2q4EbT8BLv7e*Zu<&!zq;f&Tj>h0oxOJ$#!CE8mX8_@+ZB2g0JY*aYMGUn3`7d5 zU&8LRl1P`Ej;6A0qWy=QnP-8Q`x4!p&9{W6Pz6t?hm|7vX{r3zcgTUQx=|3Fo9hL!C zK-*~z0DlYfrgU{Rr^Er8)kHx(a%D*JWU@VfG); zS;x}Sa$-H(Umn&svD(z4H?yBK&TL_Q$^+bE+3CpbKJ%x`wh!6x;ZTof>nEWqkD2`p zuqP~zPI8{H%S%Cg&W1mO%O7mz2@rp>Ty(fHjh~|Jndv-J0EkgMW)$>h@@-3?Fq@a^ z0BjC-nSqezar&DIn69nKkB}B}KbqoVqoX;%65fG!BbM^}w57F`52roTZG7QD@OJR} zgTUL#<643EiNB=nwa?t3U7D}Fb4P%0yfj^C{K37dA(o%~d2iJAH!oQg;1BoR0T3$s z)`a>shXp!nV9E}mJ<^zlqk7&OmTzstqD-%Q*?KDJ)^R!DdNnAM(Vx-7j3wl#T zIPJ$x6;lR-I9)_8hx!arwkITKiWIb4F-!Ot25*jtNds)I*p&&!=8Ls7Cte_)(oxIxCp95z>qZo?oFBUVw@SuI)(263$zV58+W6qUl~?5 zi@LeM+ag?PzO`Mfr;Vc>qHQOL>=JA1KxDV5M*;2?wcbKM26oBic=?jHIVVV$->@=K{-SmDB%HZ{ z7%7KD!q`^1nGVdh$rINL*y2&nZUzs>4kAybymNR8$l1eMz=otdbleYyS-z*oc0Gc5HtT1;k4%G8}rBS!wEbS6CF?G`h+%7X-M* zO3_Gpoo(v^g&Seb*a;co(=u#~T;3@gj{C>n2<^9Ge563vIubZZ5-(V5;#ewfaMR`F_$ z!HeOaXoGJx&rZYAKHfJq^!D=!u81s_PkRnYTuh-v#__AZkUYdIl>zTCFIF20NBHR> z07v=y3yAs{zd`e*<2)uGOrPM5>0Iv)KjDL@@A3z9fPbHtqIUm)FLVQV$WvwkF`nP0 z<>MoMaW#mKd8`HMPk7c=z@GBfbS?N9_x%9V&-wFJPN8cw8AaM~gt(1s)^Z zw!_L;5p^ECaU!1`Sh!eDN2cS&?gh}BApTs1LWJl{AH0boavk(0iHdZ6B~olC0^Vvd zbSSVjA`|T^t`h_3#BsgYPMee)L?`N88%1+!Zkt3+7kJn#iVcQ`Ey4ps5Ef!K{NHL| zAvSM0^tOvUv<}}P0-r!+rwFIfVwY%eAJ}ejkM?r+h#C=yWv|G+9lU*_BQ@y#V#pp~ z2Sg28JH?6=biQ*?ETkQRI1&6CHV=vRo#6ejxLFL?5iw*D^p1-2L*e$A_`4TO9~Y}b z;pl|Ocow{qqS`VjoD%=g&~#dieg@tdah@*1o)y1t!QVMik8V4h7x|9C$_253Hf%16 zQM46!Ni6dRxGVx`iE%}|3x~*6k-i)Bu8CslLA)+j1_8Svmea^^Q@oFb$Sv`i-otGX zr}hBFiWMN<6{l(Y=ALMF0h0HH{XI-S5Wl*^%0pp%Mey;W9GWjahTmy&@mMUX1L6}A z+8@NHVr+L{&%_pLbHvtm6}j)i!#k0p z7O?lCLStYbMDZ!WK8lQo06vLIJK*87NJ(k^B6`e&hp%Ev7Z69A-M51{#vDcC#5mK> z9ay+on<`hP8ih(I#i_+ULOS>%I=U{q7Y9y?+PV%N)RI=U`yqarhe4y#{m#k9>ymkbw-o zhJK=z@36bIAv3%(9omdw!L*JEW!-72G?IlJfj}6mOx=+i}3*J~v zpFteQeA0p#&MNvqeLM?Y2!#o(c`NWD*r|5lO=J;c0Vc8hw3Qjj;%K%pnN6CCaHcR$ zbKC_iRT1zOvM+v!ZZSTAz?QI0?V-1n9qA7doDjtRrVjVI7>}C$y zHr~UsmIc_$s?urkK34lOc>CGy^iV&*YLjFvi`oTnknN)88^Iv(p%V zgk{SLw?|p~V2B)Jp|ml5oOP%M-U-|oLds6EsdV;miru1@b()2@h2$A_imt+*WvQD3 zJI7MfaB!Zz$_#LU{hSZtMK=8z{9R&~CqwTtv(r7WE9@9`;5 zksB;*7QjvB@PPU)c5f{zcAI6S-hGF8)Q7@dcB%#x?yQsx0%1D9qBDRH+?0ya*uBS+j#S$Aa3V(%fr|X{!bJV zvXh?+g5EBEhz^E!^HCRo?cpita>8ETG9C2x@!hnKu%BK1`&+~9S^lLDc;|Rd+T1(OCvQbF(I?rDm;09mz25xWi;8jq##lNJ0mD~JoZB*qBFVP+%clls1SivrL za}e+IMs(QvfX|@M>O($;7H#qT&oB@l@q;4(9`hA+XYL9Abs09F@{XH8e8!hOfckSj z>IhUyq6W-r&2lf89e0Fw#cf7P8zr7`H6?povhEiU>*eVh4=9V?<;S*75={QZS>vv&hz{O-Vgrn3wS^I{CB{9@wRkK z^qaS);qDKwL{sGvqValEGgP#Sf!;`Qole-o#FEkgqr~^eAdVKJ>Ev;Yh@FVKj}={L z88c3d!yXJKCPzvD%n_-F!^&Lo>?1tP7ms}a7Kkf!WqzTs(;?0xaeo{n7mFUHP@^Sc zd3J~_6`O9t<}xwe6(Y+;3~iEc6_aW0uuVjL1GYoNUxSsMq8IHZ>=ITQlXr`YRQEl? zkG4$qiXL=mv`<9!0k&V{%M9#*n34{9=fs*JAf6ZBN`rV&ylVw3mqhq}1aVoUptai- z@tmfaSH;x9AYKzcbHc-QQFJeeH^jSsP`@egL8g!M>ASGH1ql2#x!s~B{d=x!e0Q)3{&_Tv$(TcXk!%VMfP#9(6Zxzz#_-JqF zjWt`+kE6z!D`^~@ZZ^9QY=-%*F0h&A{s>6UGVf*qaklBv1qyS_^#wqTHY;_4%@t-| z`n0Yx3%o~FV$Aow;bFD8kv1sTm@ZVY9cCVyh3_=~qW-?yEKNUR-ec~*0foKhP808T zpIMql{gb9U-8wvFrYi{I88gRWh@3Ug&~f=W^LTsMJa6tB2%C4zEcC|inw2|4@4nfB z&O{%Wd!iA`Lo>VqB;(D&OCj>!eAxoL52lT#OrOkMe*iw4Q;&oA#T*?5@YRf^?PVZhDx|`(eJO7CBBXP6ZF)axit039@$>yhorG5Sb_k;3Mx`HQ#vxc3`=Ui1h!^ zblq`T98cfb-FwcG7>&^+YGTxAV$@h;H1^(m@4d#}qfzM~7LX=_^e#y6ML`ik5Ia&7 zX@UiN1ySGcXa{e?g9 zkGaT?`{%`;RnTAZY!ISax`YeP%R>Kzm*wub8Dt(nx^0k|ys_#AC{)Mq(xw`n=|`UPa*sZ}BUz*%Jx z^0sd2y3VZUmCUa4L@j8M;-aJex#c` z)%isE{cuE|>4x?HUoWe#0n@oxZ+v!H?gBF-r#A;~)DEr@jA>?t?_;M;1a{nCd|iy+ z_Z4&|loO0jJd8JaYLf<|X01)`TLueL45=_bwMQR(pY}_4SeTy1{o0H*-{AYqzT8R8 zD&$OKc8Dc(=J;hoGS}@}*j)SYJapEDarU(SMlZB(=;aR0jXzl6bJM$7kZg|P{WM#a zKEd~`i|fi{M#VO)P^6=&!R@l&~}N2r2(Ep`#E8{Og%hcC6{7P zLh~*SQm|4?vlfDsknv&!UrJU%fS1wn>Ch~vgr7kw=$}78?$b~%TvSqZ9k?pW_HTB8|_k_l^M%z=`{2M$xqeE-Z_MBp_1NVY{=VIbZ zYR@ zBOGRdu;KE3p-8?8K#_3Ift5QV{WG|`!si!|V&S?O@AaVaK-@nL(+`Em5V-v)dR+whB;vWIZzR2@ zgBZ(GqhZBFK7WCbOyz1Glg;GQ=75{ae!Q}7A@_Abo28t}4~?pzPKK#;j#y zz9J9FdRJlPu>86jxFa$*5#*?}X%7_}>BtqCV3~dlRzl?RFpy9g&p&vWwCw@SaCtfe zRw86iUPg(O2e={{C1bWiC0fQT28oe9ccCp-_TT{`PLe5b@$wtq)srAsa6KqdrnZ4f zl5E6fD5PBhu#zIbya?eLd5|-LvvL}r@>KciK7vS-ErtPpPJZGQ!SnKAdjyd#WBJ_- z7v#jtz-7o|ju2jy!8~SV%C4s1vg9Z}*Z1XEuB=o_x9PC?K-O!6ARfxdM36_)k9S%= zmcCpX=Ryg{6Sb-oi8%IB^c?-)VJ-@6=FrxEJ7I>Pyb4 z!qo%5*dx^A1pq{DO4V-FZm?DQ~Npfc(2~@z*?(X4MN)oRiA4#b!u8? z2tO)|q0l_2mBzw~wU*Z(++pqC0cbm-osEa)Q7!2iyxVA>{sR1@7F7wMo3@yX2_9P7 z5ePjs ztq(3oTkeUr%h*={V^_4y4G7|@Hl!Ye*EFkqkn38n88CK38_8?;H?;@cC*RWCd7U*+ zJ7x!RTl3{&Nxrrt8Q!t3#52Z1tzb29MOvma0C%+coY>vf-j0BgJ-}6JgLxuerLA>>$^)$(@0EL~Eqe_3 zBdvzFW=i;zwjny-Ns7sJETI3ccvS!Y2`8bW!3>t-ou2aM{peIE1-|ZiNWxuEGBw7%Mh(xB^mQ zFiykKmKt_Oqpi#k%yZdt!w=74tin*iHS_z1uX!6#rC}eJIcg1^=ArF_p-TzKM?<(V z+CCYYb5ZoOq0^VJVx(WmgV0$2coA?D{TTP`rh12|;LP+}oF$vIgIIKVF1#(2cycfcwdMobMZ1fhNL2UKo2SASL zoq6%xPH(snoV~t|Q(FgpT?TAA>R)j+*GXS%0^xD}r7?ugdIL@?PUy9~Y~Z5T@eImU z&*wh!q#nw3EjNAA?;!5F;0n5j{_Hw%p85)&PI&2)xK!hcu^nLY{yh7)v z555DOzdjg=f)=1Jm~{dqHCKR1;{%>c)NuK28te;WJ)e{tRsi z`Z*L5@LGd@kDzi<@6;EjGxfdPCuix67eVuqev=o-^K|6{K)#;c7Jvd>o<&=sZjb;J z>51HfRq3yIF7i;%=SjgM{n-$3kM$lq!By+ooD09#&v1HBtM{xxoFDW-)*y9yx0!%{ z)OYX_bwquOO`={sOtG}~*Leor`4$gvU0l5Iec&3-r3RIoqjiYqFc=TUzgb9AUPVmVKTa?qAe^LZ8bDi!j+ zj%ze|K91-H?G8rUO>&tG?iN*D1ul=|Ntk{>@eg785!G~p%43S*vr|oh_E333Wq+dW zDOI_Hdq$U!0sfrqIQ4u%-5Mi^m-K59xK}iXE9bANLq51Sv}YE$x0J+Xz<1PkGst_= zN8o5{$mJCRtR;&P5PqP|oHW+ar{~}fiSPKDI4o)|!^%-{?j1C3L>(8OZAAfZiNRA> zm(XS>_H*6PUW9O^&_T=`0=T1Sx&&=rVnhoNZ!zOn5MOcNYaD~0Xlet1zqrZwPJnRb zQxGkB@q#9vX8H|>5+}Ac1{W_v+QDXm=n@a%Md2e6V5YdlOS_lEVl${@iz%Eb=ZNFH zMt)gDa(OgY6nqQe74iEGkgH-W@4dMzR`YmYEb2W1S0XO(hNDvPikCjiL=E?+<)Y|~(_RP5zfJUeud|2P5e zEhpez0Vv!aVDAlmt&_vGeC~x^AadOa5fbre>{p~KP8QL0X0~5S_5IcoE-%& zLE5y2l_WWDC{&WA3lGXEa_ct`o{_aY!OD@?l7KTP|K=?qSL6@;2-a2Ej(fsu(xVjQ zx-5@{@P>SL9Kqj|zwiigOV+=HwlZ1&Hf)y5r##iXFJtPUSt(i)2$FSek3;isrw_2XzUfG4 zZs>aw-#2D_KvbK|>qD}6$rR{sS|a zHM4M9n*^H?v@I0DMA87>yctEQyzUfDaRg&AG<6F|ESU}iJdRA}01!{V#==Sh9p_FL zTW)w5OCpDFz$Me3WiXaP4Y}fWhR$(Pa+Z8GsH9S}-r&;c&p22)NAEd1I8Xn!LxAa& z_YNK|&}p9OWzb)|MthOGCjysAk*lG@FP{Ga)n; zUvZaVhQCZgo4NSnIdB%jlm}Hy;iEy*N_hPY?x1+Ud6Kml)DYYuv7;-(gN|JcgA6AmZcssaF5wRTZ8DZ5L9?pt4Jbg$N0sL#Gi4H&D zz|M((xGH^KY#0xfbm8zCRxXGa(cm&fcYaLrqBzTS;Y_jODTG;~t_tu=qQ@bSY?1#J zV#yI>w}HDXUfzUet|;Vr^c8XM4!mC#Z3ct8Cf0Iha$R)f0q4eluX4sCGa0`^cuUlF zgfLGWF@pEoV$>?Q%@@w*j2J^lk{7 z4)WquaE>yW=RQtyb6=3-@(W8?ah5mRf;%CN65!TFk{$fH$`XuKc%&q;D~OwH$=#B> zY{{3YhxFil{H#154?wCk#6WmXe#Kkp&&yaIThe7;o`zqLy?=yC1~#Z5&Wp0uG#Ja2 zsr{jnB`05p@REGJ8NzHC{J(La_%5tmmdkr0>Rj1^>-tw@!`={HmAy{^cTMJSH+o%q zG{+BqL+0Lshnw;{9>8zO@{cfdHhe1LK)HzZCK-*4$V6< z<_Bo%v7_(fSaq|IsjmyZgFpFsbUVopOtc8w+GesbAVf`om_-Fq<|c#s{LF;uu(Zh;B3{{&ft!zIh>B!seJ`FJ@zV*FDVD*oC<%A%Kc}A z>!i9pfxqJ__b!ODTJ;txC)9YJ6u78EoGiI2pRdq%Qbq8ob5ptg@ZheNAdN=>>lM#W zJykCQ0A6a74u9V2CrR1M*64Ph#clk0FbXaN8bYWxeRM5>DKVI@knzXTGk zY*#=fMkQO=^OJPEc7vh$T^3=fFym+RQtkl2vyuIi{$Q@c^7r zW_%x=RTDTvOjQH+!BLv3ZUOi?)o2KW=aoHQ`spf_N6HK8K0j%dp}O;6b5Zr@B5|e~ zdIu*fOSSzO!Cz8!ywIPmp3g>rIchNv)t6N^kI%VEdo4!xush0@0X{VcZ0Fp>M!n>@>S~y;0n}c?&Jzp=|i|JQoXl=+)Q!sa4%) z0RBO}>4~;Fb(Q<2kLtM*xKFCjNRZF!71s=nw7z`j7;CG!tZ1UO;C(En+Cr{JnQ4=F zO~PFJq7iIbXn{$vVyO+yh0sddy%Z`3HNnLuYpuIItQ^v!qLK9dm-n6L)V8w~r?g#~ zhXL5XjBB?8Hu0+eAnPmm96XMPpCPu55XaEJs_{9@wlUjW-` zGG+jNnY#0~vs}9W5}H>in=|*T)R$-X*T{YW!o5zdx#n|&9z=kNCAcLyUg_l0=(7uPTa+jJCgvHqD4elOIFhxiuZ1XqM5A-ykffYrODMLEmy(cAvVW!%-!@<8+{khMxk5H>~hEdq@_%*zt&laJ}*| z6>`O}n(BCe!xIWR0F|fY@)wLfqdjwQV9%+YGi<(~%M}2;q}Km}dqrE0gS@7dV}N@@ z*LdCSEmi#n?j7~y^y)n|7=t)#DAxchwbY8!@elOFJ*Wf!YnoWNZcCKup6SB!rE(^teDPYADyDaH_96Tk2ea9wog8qW=3%oUiMVw4L^ z-x7Y@C+3Moc`$Zc4CjU9eDR8Jk^wU0|hH1aV?? zPk3*Iuta29fGZV6JgFxB^`(Gq`boOWH;%=7m>SsHyZ(Z$U~gVc*@

    CDrVSh<9=&p7#VBuvN4D|{joLQB=AA>dXj=q18EsN{0^vsTV* z?2x)r4!~hmy%8!$)DxbK9aWKhci5=y7Z8iBI>t{^98=Cb$+c78axuwX{m3-{2i2$- zR2)^*ArL2($Ajl_l@bKE&Z>bOG*74=yn5iGj-3W^Rc$z3J*k%e2~9VZ6A2Y}_1#Vg zJyctTSUlB;x!}B%oeyk!tE>Uwd{k64g7;M^?ZEk|%e_JTRnKIQ0JV+xf(EKn8L%0o z*8hn(PpJo^U@TZQ;C1K_)%6*SomQ)PaV%7Qu?Jk3y2gcwaMffpf{0M1Jl&5}PdB11 zO10%HBw7vO3oJ&ZTS6sPy*h%nIAz7VE#lR62_bgLwS|X7wYnYxOj6g{z-F>~!0A`G+^Cj$Om4yjqih6 zS-{@-o}*!B!t%**F-aLgb8-N8SyL+breE5H_k}HM@)G(hig|HhWr{6quIgTb&(&6( z=Bx>*19t6!J&>&X$shWEZ&?Y;>+f_&BpcTAq-O9PH%>(8I@ zecQ7RXx$#o{nCzC`=P(n>la9Nd3ix+_lE26@=snzXzsD$j$-fXA0YX6I?p-xoi2cU z|6rbx9k3q>xe@JO2@}RNHVSAHT2urDQ<}y1kQw!z8u1%G(8zAHngKRaJF=+4?G;BHb=qP(X~YY z*weMyAPzK_Yb}nn3aK2nzm4pP6L6ena;3+aI(UGbAb*~zxR4kCo37**56zP_ms0~b z>Y4|*JDq(BO%HPT1Wiwh<3(gI3gq3@-n4*k5Fbiw0pd%?W2eB$p%gO^To^@81s6{Ht-wW)KQFIE zlCHr+6m4q-W6^YDEw~tZ&3#}jg>kkLM_DU@i>H;dppro0KSG#DcjFL55~VDHzhoN0 z`FRSp3kxYB6GpSTXs(VkK8aGsu6!%8{@a1rSORdeE%K|Z`t ze32S=0U(o(@_<-O1NrIDdvyCM0HrjV=MiO8_B%AoDV4kP3VP1P@B4IUHH4M)yfHMZ z=-2rO;sO1_PhvczD~l2CBl?EtLXWBGFpz4hIs?EH8p<1upHj=gAb7dlb-0xKZbH0 zyR$XHPM5wsCjDXS3e$azt0C`uZ9gPK3r?VQ*qq}qJ7NKEshtwf3vW~B^A7*%J9#vo z@gb5x9~Y;5+}K1(>eDT zH|Z-_8DCrooe5j&K|T@N6wrNj=1pyrBk$w;l+LU7O*d6!***_}tsw2J(L= z@M91Abk5cHhyMXD2TE)ZrxDe0erim=Pl1*RT^$FwDK!X&h8dM~g*u*27e2+G3s`ZAIL-eL2gonvF8NwqJ5eF+rDYrdr+R%wCaJKXl_sYk} z-T}mp#_{^5J-y+r0S@${14447bTa^)XxbncJ5HB)=IBh(eBw@!v_YE-`9uQeN*M*< zPLk0mXu47CdsuO&!h0YdRL+m>cv5y>z`dx$K=|{fzd6(Mq0cvf^Q9Kupy@~NjzQC( ze&LQOfL`-;5lAi9!9x&z&(rKv6g&@_!E|CZ;2{+99k|mJG745gshl&RFltl=E}Vud z7>ghaE)7Ofg$)2v^a58VI-hR5jvGU5xsQ#dlYGO+QMd6R@f7_5!UX!M7f2yl{svM+ z?F|V2E|qd2rkJv4fV)TU^3hg8EhmDM()f#jm(h4zL|snfo4{=aUEvY`K5gJBT_t_H zAMh$lcmmA__*-abKBT=o{eDD2e63-s#5=62$&ugJ|Ad@NVC5-I^@s2og|q{CPAgIX ze?g0R)80#3ydT^v+HnGo@Gh;T;NDPQ4-mYJvIZXBkt_Fd@99gfS=UhhWpFNH=WY;J zQ7;=-+=Mm1QN~@wamVW+#`3HlTQJX}%}b09hcRzq)D8jqh#8#r`-(QDxQ#fs96uo5S1ITMN(j)f2=h>739L!k)Z zEuTfAI1J>j=-d;eSh!Te$~|$6f8r9+#2WBYF=7oIm5F%1_{xQ2UkEG2{Cf!gzG#~d zT%{O0A2zGR^ur(!H$<#ae=p+r(ytNE z7Q?iYY{rv^<8tkJ;7-UYV<=QXcFI1jC3u9rj-yHzLrJEy2gq+A5d?MvwPJ|0&+wXxZl##qP zdq)QH^U`%iTY89b${ zl-KV;1+NKe3GzU?WTtUQx{ork~Y z()a>QzmPsh(e_eq$bt7)@<#)>*YY|~I^M`t79ekBU=+wZxqyd`_j2@BSgFC^(15Fz z6T8F82kFd(nL7C`FY|nqYk5lkNoHCD_gUKbLTIF-`ARlcGw!0zMAZfYV5%r>gW2f60DZ;-nI}`&g0){ zwfO@8p=#AX;KI~TJ%9^WUvLr@p#no8j8ropK^UbjlmH&BoUVe4QJuIP9;+6`fW)a; zJS~k^&E3EysL}0U6B|cwflE>j-vF1a+;2gcqMGu)#WTu>H|3vIZ#cO~Rli>YNmHJ@ zcj%nDz<2R^<;s^^y83Vyv0P9|F*sQnDr_>yMRnyQNTw>Uz_DkkCcMyjNxAb+m96sA z&~{sG|KFa}Aq&t}sN#QxzasT3-;HjCT-v5%H`(}W49@-eOb*bZ-{a>Gr zVR}ID5qu8(903E|9UDUi$-A7N+CbXUmdcFMx{ zd3%?lb^d)zU>A71<8$FCA4nF3^1F!^n-wCEB@4}Q+)HOJ!RNBW+wr-)31_7%?mfcy zl^y2d`>Ngf_`YMTFR(istcU&G4WHoqKP&!5JbRXMy1e%dca8t{*l3Tz~0GV^%98L|^fke=n zBD5vaFKvCSet(WD@I~jIdI0Jdp6pv#l$2C4~b}w+Cj8k1x-gW?LFGu zg>wXmhj>^H5-5^50}c|8I3UPV$eHfB4^GCzl*f5^y zjB~06YJ7S)zE2o=1*nOidqOg)b{RA$n{cW$g*fV|1w5usb6gDU^jNMQ%&6roaOUqT zp+Bpp2A{JhuZNvEeLWE2+}?}vId9S-=*$<+@V;R7e^;ZS2J%HKc{zFU%jM8tGQbU= zOCOGez!MId`J=b^!YZgK+a zNLPOc&WSehg?60AAAr!Ae&`SQ2}-;Ke}QD`iMAlh;PE1uem?*bLd|($_cYyT3P320 zse)!0jh+S)PUSrMM^FpiGZslFgF&LGoV$r=di54#A z1d=VmB~s`?7)zo-$KfxThQ~sfLMw9tKSSZufjdjNympdG2mByRqv?F?cptqhtemG@ zt}3L{@C#76PV+elzd_ktYrI9bBhZ#djow0do4(otTs|E>2M#6TXCPJdc^p)bMRV8r zh=vVAxR1%VHQ?3MbOwY^Xa#5HPs#l@0ClwTFoO6kL ze8qZ-mfS&miGOw=2yd~7YsEgIelGxgMXzB{@e|tzp)FF>?+6kl+HpBDMqGJ^^BF6G zPk@UPaujUFi!EFnN*8&3n#hsy2F1)#7 zbYC1F01s6nY$(V>VaK=5W8wM=WoQZ?-SFUAQ z%O&yfa9Hm78CH(S%E#c2%6Ge9%tqQ}!R;}by^?5qyk%&W9N%Tkvj+cxlR=d4jw&9##_NH7*z?%i33nB}M+t z1M3;-VF7Yh4&}=`P3A8H;G8_nJ5A5aFqZ>hZT{bU;<_(!O5Sq7SenT8ep8V4U zj&95T&C!-G_icmeLfQK^REp%!T+O&6s{v#9%*3N)e%IB95V3oYjuN`ZNOE_WvReDlk<3o*mD`h zdp%yrAD4o>lyf_w?X~p(3FM88;2FzX8Bhz;@9_K-;5E|83b z$tSsB7LNV1e90+#C{;BS1Gbqd(y8>ev2o?cAtm@18LY(T?51I*T#T&pA)myHWCn?PZxMa277s4~@ z=ynLts>$pxRkh*SeVS^+n`Y0eEj(FBSKWEecR@{P3)2}&UWI0+dcuzcWvPc;Xu71n z=aei*dEJA*%W54j3FoT8e8*i?Kej+D*Hr%`Sh=n$mqYW08a@pww^UpkwB@Omyjk(K z+R+i`C12HYZJ|*4Yv77hFTUpQC{s(oi`9q!Rx8yp?&nKXi-8c9Dce$T zxdb!OH5IW;uGbIxQwmz)b7}=IX-xC3{(tNBl5mur3tL04%Q^*Hf3%wpD}4l4I{WtF z<;s!D9j&7_@JxElXiikdcIK{ToXtDvj33WSD--Itls$2JCB9GU#`Vt0-%Z5HnX)Mn zaZG){3v7$JaH)Us!he8W+Vvt@mks2C&vJt&S~t&|3(1z;{;;!cjXx}G-^Z81j*kQj zJB#>T9=pubA>Tcb%V7V!=S87C9Xi6oUb_Nl{wt#(-{y14Cp|{=^m~Ym zskI0Em{1nq&`7d42{fZCd;-n!svlUept%Y{d-C1`;y~>L08X@h0aT7t@4g7Znfi1C zcY?Na7V1LndH0Dcb>|G~B+dUC#Emv_Zs$&0qj97jbfXhYds2c6+Pvt?Bfxo6TOLq- zXgMz(`cjW-wE0o`0|5MKPb549(6;%|45Yr?bqCSa`Ou7|MnMq9QGaU)6KKR4021lI zOBhQc%NJ-%rpugjr%?DbxW)hUSb&_RKwex(rIzkcNuviZ0Y68__(D2Q-FbqUP8ayT zzCaD#z-3V9A7JGo_25!hCOz>3Jd0NH1%HX`Dj>|Jj{E}Q99qj~w}1{j1+I|fB3QXY zKXJBmmrCZsUormi2AcPXhT=ylp-N8OOUd*c4zrBXHiIjt=DgHgK`|Aua-Z4^0K5`= zSOBP^=Br`l0WGjZ+e2EzOWTiV!jA|LPg^X7u$nv%!pals#RbTxbeZR_-=R!l^f zdLX7Eir3}L#ddz;&q9>CK+{tE6$)Y{dT#;XpeSk$N7llr6EqKr(WAf}7MUZ^c0@?t zt8r9Je}|B4gbyEztuPOU$}#bX%b0dzUVUidFLNJ(^B326MiU_7u7ksC{E`v%Dbb}2 zrh~-|9u-2w4}8a+7Ss0PP(sB=52%ERQSEWE!o^@t(IdoLPGurR(PsFI64TGXX0)KL zuo)w6j0GT8n9YS|oUmR9%}c_$1xU6S(ibY1#STtkbA?41a92e8H_*H)s`tSAHPJm5 z!t0`X4XoS{<@`I`6t&#}za<8>2FVjcE&+a9>}(7!UsSG!W`X#PmkJ8SSDx@tB&zBm z_>bZ?*9y<%;ifiAz8>*+!tEPA30&L zl9|6lcu;)jr8SWjII3U3WUexC%&NV z}C9Uz#XLdOdNxwEDr_eB)6{t;JCEsLE2f4;f>TMRFm_U^ z=HT4qS?(v?yS(gq#t{grR!Ygtx*ZQx@@P5#|D_`)8saT%jPPjzYaE4eahjl@K zW%6M)f_NjJaoT}Y=0^m9w~up;vPS;Omqx9u7y;Y|xr>vYI@#PDZ69TiDd0ZIi`*@L zmS4Pv6(ewkL>Obz(JCHjGfUPE)x5FVe$wOt1J_}{pcH}P&QzE5g#6!s^N+K=y3`hCXt z1<(G#Q7kOW2Ws*A0(@W6n$N(}tPS{FR(T)tjRyz7+9tp2u(O5u$K5K;pt)_+1{~1# z#lPXxhbkt%uTnG=;3 zgF8;aywlT}R_(xX`BKSfX!_AyUIPxGI1Nq%DX}+Hg6NC+;7-x4@4&^<3QnWq=)t!D zBv2Pmv?bEYD+nox(tbo+GC8h7TPBt9`e_z*S}PPt6${h^Xe-|;5W zLQ3ill_DC%Mg6;Ew;94>TEK(wJsQ>(Z6)*%+k8j<-{ZjEQ*Sq@)Y4*}?|z`NWN6mW zKb)3+B>Dm56Yb`0fuCu9ci@b~3SNdW7A}7SU?P691BZT=yCXC4~ny>V3C&&K#0iZ4i>9MtKc?NoVW{>Fku!4c(_pIFcu*W#{wQH zmhd$ZB`*99E?W5T2p%Ke9R?s)1PucmFYXuwm3T4C9i|h+UVfoeqWHj8lEj;#Ajx9F z0{BZ2T~7dTM$BmlV`s&p`@p4&9~;A1nmB0#+&M9fN6qu%J5FNKg)L{9x5PNk)AGdC z2>|4a->T47AaeO$DHJi^0#GE5@tp6DnA#L7cg3EoXe$=^(ctchmd|0OM0|@C=l|WV ze~*NP&U5=Bj4s=IpmETtS^x$c@sjb-C;SY{u!!x@8Se26k`V!1-5+^}pX3@9&Xcdv zy<6kB#?)^Q`Pc(%;d-3WKah-H;)l-((O<#B#E#zhoK*50I+Mqgz|NFXz9FX;Ux9^b zakh|5KfW9>%xLxlG-r$VQV_IthEfb36l-rd2ZJ}pI zjl!T}PV-m5js>+m0nU;-)PPvgzZU>FNZoaC*0ic4RPe6nZ(;c`UEsB$Bb34Az@vBq z6)H9~h4)?9($uXW$EdO`0Cv=gpXIQpsVRuvfiAuSz>%W4BI86^94!99G9Q}Gv~D<5 zPSDgnXmg>8l@Pj8b2q?G(vUK=xlw675O*r=0h|YU27&XW^+izeqT|m2@TS}CA@spw zJPtaRY^Q>Yqi?y(O(3rvaEUbD2qcN-ai5Y*0le8Og-&n<^bD0^dWxjfq$%P|rAfSi zkVY?T!JQ+S2hH>3&+7^4)cyw?<^`Ia4U$1QJQci1K0Lw5r0^Hc^K@6pZK zh`NNvpF?D&bln{u%IKLfG|S0yBCNcm5u8iCqG(QP-q4kHXnRZBbeMieA9)_|o+dm3 zhrgs613 z?xG|FRy@QwE{1pt%XSFDOY|~;c#BNVW_-lp8PN0bEC*CE(yT1tLpEy9A173#<7OrssgopvHz?~Mhw_qhyY~matt`Efqekk^lNG^l1$0FkpRI0^S z*8q4T_VQ}QQ*pQi!e^rQbP#LVwgo&Kl8!Ubc0|T=CH1Iu@J4_((uSX+v6Tz>VmKzd zYyrSd4&MV6dwFXGR2<|Nyk6)i!}(5il8P&F$7TCQ&~%n=+>M`*)q|npB0KT3Dz0*R zB{WaUA(ari$x-7VbeFN?A@q>_$08O_Y2N@iFZoX(IB$6%6PiBqtB>&KD?dMmrk`BA z1RngQ56|NQr0GCdNtC0T0gxoe{sS&WF5!aJ8QFr1v}fh6F9A=LE@RP_Ca(+!IVZpM z068yB1#s!I)p2NEkWnq+Awyo|S!AJ%?gZ~eGLIL~?#e!!pjj+qMgezEwyws}mdIY6 z(N-!CzX7~VHtmGAa=BqK+A3s43IKS2^aThjr5)Evs^q(AAP;0y9^pU8e%s)$P6p*c z_(^V=1L0@s&DWrj@*e}ZvHJNZ1aG4DNC-_;FCJse)H%*X%+-K(z*(rGKOwYKUN&&# zq6BB9u4fS7R*!bH2mofgy+=Y=7679 z3Ay0XmE#vsxuD+ch4%~aL~onw5w4dI>Z=wOXF2cxlc1z>2qak#lMv*hDP8+MNmD{IsG( z5Pz-eC#VEyIX-9$)Iul1W|Ag&j7!$G)k5VA{=gKb&uXQuAWYS|a)~ib8^DSEIc*eY zgy*$D?jzH+U7_GEXmOX|JwppU0^vn%?oSZj)V@iC>08>bTw1=Z1rLUmd~NSva9f}S zwgp$I_1q0orL}g3=0oi$kM@tWS)4FG)`nbz=6kK`B5c-ZWjrDNpndx@xH`@23T%GV zroTc+pEN)2y+3PX-UDD{*iZ-VuwmcV&^%&j$SWr{hEA67U~9O=<=kV2pJJhDXZVXx zxw|1d7i}JfXx`85We7fwHgAJHS0Q~25063UYiP?uL5yMFFaTl=;|G9?H@x6ZF2P{K z(}P5VLjnLvhW4C#CL31pL?XqoloR_i2LGRNdd?b#Wx-LZp?LuSX$A^_@SLG-DEyr_ zR5gULbVJEfs9Z2S^5XMpSd2teyJ)yI3C1!FwIw*PEWUYHz*t|)Kb(m^a5o||)uXpU z(@fvG5X4azQ4l)mcNpiaugZqa6M8J)_Aa{Q#Rpeia?#?XK8EvLH@)u-sJQDp_$v3% zXLZ66dFm#+py{O>wt;x-4Y|+q(R;W-#aD0g7(ze&0VhNLx*g{)0s05-I0JR74iE$$105~@$&8E%r^Z39%2^`Z07c1C|a3EWxz+d#lm z^%HA>OVb;7$LTqz_bi6Wd42M6kaT@+B~&ixH+gu;(7)qp??wIdXtZVO^}7O)rMs_& z$|Zf@69}{QkQk`s=%LHNUDh9Sg(p{E$-nIteGgWi|2Ol_V`v7GL8`8yptW2SIz zX6(T6ur>YyZ=sm5b`j(gy$DVw6>)WKay3t9rYv`aWNJA-D>1FpEy$<8;52+jS56yd z&f-CG*0>L_Hv2r+Jm#bw#pm2^TM*E^NS?{gKfx2P1&dBnEu8DpI`)g0~kG5{HJHG!t zKMk$xk2i(>h7KJ0Mz71z+$7t=&gM67p}D2vJic%3Jp%T(*>QSjLxGpkU`xA}qs5LY zxcX{OH_pO}1KIHYMn{T`0dXQ*R~S7`rRTvplXo)63Hpn#Ru`JX^A1-^;n#1Sq&B?B z3{=-5fsR~ z7$V897c`@&u?K>WraS!skDk85b1u=u;fH z+msd#WBGK@2&90b?9o<8ViT+s(c3g|cPNkl8{;m`<)6EloOsLEJ*vw9poFG#9kZ13 z=YlJvY5W$-a{4w7M^r(xbO`U$ss-RG=?Ni-Dw;AG0K9Vd7kGF`r=LRjh+MfNdrWm- zgTsCv?k=8?2~U}yQW!6FKBKdICZ3a<5#TTA&PbSkNuAFD@QPe{f&Dct$%W<{y0`}L zx75iBD(~p`2N1retZ$%FL-k?-cNF!0g=r^|_5~ty7PmaXoe+iCvw&GaZ{8X1D$G|w zi2r&$2^BZdxi^TraNthILtNxs-%|t!f%6i-aIM)}-1`|qA8|4XoUe#~fi^!8%q0zf zky!#RKz!_g$O6T>G{h1l0!Ki2N+jCBZLmn>dUA-Ek%VwhiwT^Og$fG~kTBuH`!~ad z6K~s!5N5ppHd3@R0~aOwFM>_Hik}nY7_qZ0ti+1_jc^QcLTiq;crk_-0usax9)uG` zn`=->63KjlB@0Uz1ehWQb7pl0^%1n471uk1q>4YdS4b13o{00DxbFfF=f(IUcuyCN z_(I7ObNJT1jlX9FDG)c35oe+Jf#2Goi(jS$NR@jdsEb>infX!|I}p9c3y?B?mm zXA#epbt7rR!5hoJ6JXOsw&LlPsr;R%NoLZ&CkXy#m8TIFvL)ZQma+#waO@_pa5>Ih zHs{~LQ|f&Xu9uvq0PvQHdteMNDdMceSNe{Frk|XV0-?Vgwj3M^*Z= zP5d_v_Zqil&3p*+Wi>w_TOe)MgDaGJI@%t}@KTURvU>(RRLfMp(Vxf+YY3mpwOogL zCVhAudoHbc#o>kQ&xv3Eb!%pIJ+ z3MhkS03PK7cS?QDm9$_rpbDl#@WxNzPOFwYCka(oxaJ?G?!N^fT&?3WUX(gz0ursZ z-2*&EE#O6hSfz~vE>2~B1ro20IzX79o^Z;atdeQWbC#q+Cg!KUAo>oEF|!4|$ts zr8>>KqN|kTy2b-Foln+7iLus^J2?~WG$(te+P&|fX{Md+0h^AR?M?ukw068`=B#D%m3%^TH^QN~Xf}U< zxN3X<`_F4_NI5j!w7RFT;;uE#K%0jaWetF*_A75)_0mp{gwR{d>;W!DJIP5vtTtjH zgz=gKk9Y~12;I;^DhYXb;L5>(45+OWlSY-#! z#_%^^6Sjr{8Uj3KxWLBj48A-%*&9xrL7Ri2i$4I4hOafabux@t4|3d)$U6{D8JxM7 z3O0P%2j}Iqq2VKNp@t=K;KB?JP9WiiE(8)`n8-&PX=rvA0Y(`D6W}P?uz_#%7{fOw zL1GQpn!sk9;ZZn9ykRd-lM)OY_~%bF7>@=n$xz6@`z1r?B@kvCtj5CTWy4&aVdffa z8v}R6@Rd2pRl}Whs9ZDr*#;`t4PQ;fq1-U+#ey2bx1Ge^37qr zHy7MI^n{JTdFnoUVZ~b)T(k1gcccO5t2=OqCe2qH-D z@CquYbTcmO2J4*%frRMUHVF5$ZfgP$p?ZB@YzWggjzwFzUdew;m7&kE0{o)x&;cY% z|ADKom-HP@;Ij34*Wf5epUs8V%lZT!<8t-I#&CN@*Lm`KRj=b5<(l4RFg#q>$E5bx^sb4;q8W^7M#@FnwF^!-HwQp70E~0=?&901EYq7Xc{JAMjm#M{nL4 zfLHpDpW*MdzQYD>Z}rQUVCY@2JWNY zi97gDdS`Q}eAYW~YGhR3`+ImWuK#*D+Dz(?*au=-Ka-ba%<5ZpLz{X1NqqsZsBahq z&a(b&uGCo7cUg&Wo$61S3c&IIz zn{1jiP?AwrLn@I-p`?r`$||IxLR2J?k=5_<{M_&T^~dXZp8Ivy>-Bs-U$6H`uH6fT zU^$*L?+{tF1a?ra$%cnhvL+oMPOd8kh?lQW>U>82%M93Axev{T3G%ceSUD$~MuM0q zJEZ_il3R3#+w*b+6~iycQz>k^D9_A=Lb5!C7Fko|FU_EENnX(f*ll?WRra#wVj4K_ z$WkJBcV*9}Fm_MwyByekIr$rmJ&@ZJ0_4gs+ku!TyS9O&XL64|==F1Xc`ek-WsatT zm+~Rnh5t&98U&FFd0-txDrE;#fG@J|S%7-^+y7!B`5-M(ewSAp0Q`{iN5bt-Ie7~_ zG{~oGfVnD1X5bv$l!?~?0+iWh;O$o2D6rn6rNcl)Z$zJ8l!t%7bfq$XHo$A;u?mGZN;>^Wpjz2PyHnpPN8duSMoFSE@}0774|ui8F#3$@ z55>$A#Ggtmm6BZ5-@?%)H}!!%I_R#>`39bcDp8HhUk&{T(*f!b3PS_cH5A(JQT@At z7^F5(^=Gd-ZX>LOtF4-W7@_KShTBNBEoFnp)%!G$MX4tqKrdQ7lM2&`sz1G4N$Rja za7Gu@$p0;usG7`D5JvY;^#Y*m6{s4=V*~ z8SU08RE3k^6|0jX!F#HD{|&4}UEc`U8}%Ti3f1bM?;zHw^{ausQ~jsFbgkMN#j$@Y z5+kTs+WpRGsPw3zTWwr&6_g2cFnEb3)s5h7Qp8T^P7dr0LsJ?%p`H568pxP_rTKkY z+Fs~R&%TFqH8T%^{EQb>(4Fa#2O0B(FQ8bQ$c2`rK?Pc?DW>3B=Ny2R&0@-sZ9guB z%&c=XRob1Zg^Yc(H0U}sG{s3ex_ZL$>~f0Uoo3N4$vJ5OkeS<30Nr^AZP1u!HX3&3 zH>cXgg4Hx8d9fWQ0JgC$9su5KJ1tfEu-`M_$(NbXwi7?L(g7ZKuqUZFjh(Ec3LCrF zJetb+&4L!|WCA0csQ7is9%eTj~m9q3kb;(8Jh2#vq2X zQx9P?f^AL#c8mqk<&I=);~;sQ=~Kb*Dr-Su$~9In7REA|EtU3funpe;ZnDla0cWy5 zs0^RQ7EJ;17PF*vtlRA55OgVdg6U2|bNZ*qC_uD`3CXLZOfy>j7R7dru>2 zF?&z-xTnn72>wc#9}N|F(eFU7jGd%+{TVxN3BBj+6h)~GtmO*uTsi&|^xV0=^l*A` z{nJ78V%UNwiKm9lly3;#2XWB5i zll!O-^P{XAFR8`uTThALtgx%d4clFV(UMTZp5mlCE+oK+xrsa%c(B6e2z z0!u>`1E$ltNjpKj!UfPT0tmZgaiD0J6FGbaXz4a|?#T9j@tAV0XEQDtNfZHKDNRJ~w_WtW`mb({*4%TpEmchz9ZgekLspX7mYI)C%Xa?|s z3pxo<$2ros?>=(tEmDG6@God8JIJ@#0OBEjaSl8j=G`bHJi_P2 z;Z*PeBwA7p1}m5N`ETJUl^2>pRWukD@}K^U^POB-wj8{glC4Z87bsrFCdB;_ez0934bt1 zMhjUl;UPxQrzODi0(Q~k^%b@ZgTG{9#T=9AWis8 zHq(XH;{mP+p$g9Zs<7!hc-Mr-U7>zoSh5m&4}>JDh2#krDfoCOjLm?^Bf%#cdXEK5 z+Rm6SAdA2=A++-auR!qW46IPN*$!5U1ZO?;tXN2;n_4Bzu7kpB;k`ckSuO0NMd`Of z1MLv15hlL?wjH@Atayu^9pTSc%zO?jeqzTRu(?AlpqFH)7(;7SyTsI9I6r^UkBSlj z;w?iEcZ+=;U@TDFL4nX7v1$cCkeECNHus87-te$b6zN^rFJ|OJ;ehyZArykeXxjc4 zBJQFR??ExGITQ|w?Pwf4ERLiH`iMCE7l<4czdnRQs2COnkuWiHIC$aWC@Pahh_gEa zJ0@%PTa@<62;3@J4+JVC*mB=i*L$c z^MWXb!`Maf{!N%p7Bm0%XowGJ_v|InV;p#?;`J9Wc3GTp0a%)tNnJ`8lk8yZig@P{ z^sb5+@$qPg4(|YR#nY68<%z9nf9@l(GerWAMIi&7$QNg>1@DPCx*f0r@fH=-UW?xk z!`~b6t^*|Bic{@jx<>RJ0_>gGiN=sxvCAFky%#MSf%rjm(gCXzAO3GJ7A>2A=q0_@ z1K1{2{{x%eQu$W&#YZxn3*alI^#RXMa@NN=?2x`nz;;Tu@6o|sk`+y#hoz?f+kY(C z$nF!beyG{_Z_H>Cq^z%r$TPMjLR_V6>BCll9BDu8Pr4)Zqxkf$WEBOQ_oU4w(7P|$&|>ccDTT(f zTxp;e+~!H^XxsBc$#p+$K9USx;VM0rj28gpOAAke_(aO@2rC7W1uaAsN*f;m6iM=X zh!jixY4Uz5oi+znB85!E`ISmfRzR;zGG>r`CaoU<@LbBES?z_i)gPc-@}o_FFQvNi z=-Df&nC7?&X^0DWl~QBcol!6K{Q~e+%H9s}UFty_xqnDK9zo=%6w(tO8l(&=i@Rz{ zXnt_h%$WhAyJqeJU>=$&gP`E4(N9B_jt068<7pb`{+iPL9v3KuGzg=Wh%pgV-x{}+ zqJG0jh>GJo422;hbsJ92_!EVB6Xw4_J27q>xF%^-L!8v+2DB!(>kFAF-=4tZ)SOh% zO&w`>>$G{Xke}X&(rdGMOTeAcg<|WOg|sinynGexTiB(dwJfA3*lK?zdT7lGA!AcG z9J;oa&N!a6xG8k))=6mXD@q{aAgqDBV+}3V%wE_Zt<#a2;Lh>94z0P%y>VX72P1Jj zZyXIW^9w2MTp&GxwS_rUnOW4MKl-rPi3YhP)8C<8I-&)%mf3UyeR;DE&{~mw5ba7) z0A*G8dGNdX%S!lKv$QefU5a?LYkzD8W!*?K9Ip>afy{>AEnvror7j2XW&6H@zk?n5 zh|}E3qSgWIVqK5Gus;hZg%*AeZ3qXu*;-n+4rGPZ5ZS|qP$V9~49j8V7z?8r{W!Cs zOgD-Rpe;VpEYu4kF)aKGyq;ipih#wk-$p_5B)i!k#8b?iLbx~MmenH5_or*MLi_%GK+J-?y>e16WwP{aUecm!TkVoSr|?1_yw~M zyuW6D-+`5CX7(GvTUPA{g&KB%7GK}7$0q@5S$!j^uAUOfw_hQF`uEiK!8W8ZrL^X7inLc|A~uz~q;1y=xeaIf2f zxRW!a@@N#-^b0&hbM{f-o!}NwMJ1No;s9`xdrJ|^Dei4KY{qeWC{I1jO`io~JQq!m z_!;ic-jF=Y-Jt7|z%4%x;yKQz1RYG|^xwcy61U+qc;~r-V5nc<-uME$$Q9A9=Vb0T z3Z7HAF@FPG;({q-NabcyR)3jWKM5d>8}Jt-)43bnz`Me2ra|>8HAyLdBOFX1BG&~ zAp)oJlFKayc*R{i4N$>xr@*V^TIT?(;#z&h`Mu^g-2(Q8o4*q3$RB7Rn8G^3ML~{4U;rR;T>=dm=;v zcneCTck>N2_y_VGDK_21o8>_=h@U{EsaQUnQoWOWcbD;N0dH27cSOSS_f^GCKr zFNJ@90^m09{tJlNJWsXHJA4g|(0BQ!bAjFCn|Fbu`+Ru?um^li2T11f$_`+8{9r1G zmhvAdLMr3&)>ByTLIKDNK1UyYDd$7zQGCfqQFY`!|Ib`Ve&7usL;WNFg9;j-_$gf> z`I)b^h1)N@mL_OV!Rr*?T`6)y=oD0(j@taqGLxMLIP@;ufG#AGRQ%~R=Vud!Bpm$Pu@CD$M@S_hz;)EVl zkw_L!m;g%=3Ukr3RAJ$4n7%ByuZMb?FpHwmbYa^xh-3@N<`Bsdn$U8{U7=_eB<~4{ zG-BQtY&XIC1A)WN#eZimh4z7Uw{}3=V>HD$CRtPio79N1_$hZN&zRbEBDkhbUC>V3 zJ`*z2|E2`q%-IUZGXiM+YGx!>tVHw08zE!S`fofBmW6jAW7Uz8U+XdH=!;DUT9vZR zqGx=TwjLC_MKtBww-|!fp+8+h#}b-hXJ4R|d#A_U(ay1{1b6PE3~-&dI>Xw$i}W+2 z`H}8u7tEyPp@qJU(JtE158TDi7vXrx0jee}jot^XWo;usS-zEa^sE?7HMx}$YjC`( zD^(Cz$I*beCSX2VmlPTDYojRsSa-n+?fPK)_1cEl9dNwy7)>yn22#eq`GhOlEw6jS z+SV5HaO}#OxI)y8b(jLoo$bE^;~s1(g@K+d<9A?QER<^T+t}D9Ft?pep!dz2rO^sf?0gWD*g-1Wo@e>F z=)?tlLL2HA*+~_=EasmG54YGVDjH<7F^=$%!`{+WzQgRU0K3cTX?VZK(xah%pA9|? zg$L{-jaa!XZvd?1vC$u3^C1)Fg7=8sqcP(#%cAv*d}b}d^b_V?4)p?-VSoprkR?;3 zRK(mXp3cF zuAXX5;oL3ycMB0*2?dbHxZh8K7|CJj7q_3Q`Uf_nI3rtlkLL1ifW>epV{wm8a0_Xi zjpZiO5Pp(dO9jhQT-6vz#&P5ShOyJ!+C)gkbJOW(4QIITv|M(U+tLTb1TGG#A*Nu9 zRp2FZvCBbB;&c>goadfXRB(a&V=jzc{UL z7@&$PrcFw(Ij?C@f5Wx!2CSO%$%WoquAbuX8g9)D0OX(buvyF5Q0L!s+vz3wz;%fN zua4`a0r<$3zJSOlE;tC_GuM)y$S+)D3!GRzH@H1SzH&ah(U))Bv2Fn0xzc6m#1GD> z8rVP*K@~e@2@MJ^6EVUA*{bZP1Br{0fTmxAUj=*P#B+a0`FI*2>@0aOLs#cz24Nq^qG4L|^Y=rJ7a=JkAl z1@i0mLSYYI^cWos;=fW>wU=K?8&3D}ZWP$==W8h;IKWrUgI+LS-35{%d`<~G9ON%k zymE+tPcg}1z73@mNBFVtz&pzK_6IMN_jQ1=F#g;#@WS~r+ASNwKWYnwWBe%!g(CUp z{lGiUPb`Lq%Y4a4D5UXSqak^P?>-IZaFrJ(0lUU`IRM^uel4xjWbnu6{BH0qm%++S z{$mY#mdRI^K{AV<{u1C8zn#Xx+x!$-vd!kpX)Mp-m(gP69sbovh}`8*(SCt@d}kBb zyw8tb2JnC%Nm)lOA3@P>9v@%~g@?Qk{VMkn|B7P6$M{Ff5XtAu+Q8-$ehDqo7Vzb? zvQ)^Y;*54 zgJe0M(F5Qm|BDN3zT$s1fJg=ZYcxbEdG0EBRlLV=^aTY=`bpgzzAaTQs`(iPAim|V zZiYe)Uzz~oJAS|tDAe+?#o)c?AJZ<^4}9|n!0Py*KwN^4{5U(D$|v4`CH#Hn|5Ty( zg;#PxtmmKn0fn!8Z83=W*U>#;`a8dP8zg`5Hz;%Z$zPz@qk(rH1`$`mx;Kb!!b%yy zUARHfnTK%x5<1~2EPexZFJbB@sBaTmn1HukNF4=9Z(#rp+djgcsQ|u$KUHS@gc)z3 zw?k-`1}svzO*_Gk3pIy zc&CLElmx~LmMA*l^Cq)s;5;iFt3;O)gg8nb&k1)zK}-}5cZ6h;V00S(&I=#9!`KC3 zOfy{Ti$Y)#)RTqp?1*}fkvlZZ@;6bI^Pr@6T6h8~k zxmg857FZUI^ii6)eV*M@n6RVLXf+(M1;DBbi5aMp3<(SQ1FtPQF^*fibw=;yR@$hY3W+c(nZ0`#R? zvZpxft%SuKJfBhq4WsX*QTC9mm4au&%6n-62jT~5YzJ7WlcFL({3!jPm-&;ld>n|M zr3!kPzexKQ0n|%gdtvOW)WRHk-y|QJ&c0(~9{l}~R?xn%pOW2k^sGVJSqjWmb7>$j zH%%E$$?lqSnE)P|hg4$r)YOzh&r35f2-r4_MLw|Y8ao=@yfsl&){WL!Q!;{GaE)*S zVl`p3+v}tzfQIH%n)lCPEKXCG0g=-h^BGW&*PNx<7yqjIHo#d;7n-sXH1kj6q|a%( zz5p>%lXeVNk~GtY;T+Cu8hbLraSJw2(KMEa@H zWsNgsd}*38iagRa2YSKu70v6xz^-aO)5v~JgVhS$KTS8vfig6A=wlT(G^S+ire=XB zL^3tIAHZgo<`C_{yQQh2Oub68VGww)H7h!SSFIWDhwi@B6nNqsYBY&GAo)&H7mj|` zYG#%~^1a4{_NaZ(ELi}BI?YD9?;kZg9>CZqjgGL-nmI$zi7y)I7f9A?E_Q;=ubM2X zQGe5PZUpSRW-irne`to#8q`mXBMlG@8hxs|xyqI=0Nmsd24n7W#|LohA-AOMpq{cH zjgelm1q~3}WG5PJw#z28bm=W$>jB^+FK7p2zVe^;=%Ak*z7W_BSx23?Aivgucu{^s zMXeM$@+53tlD+5&NtJW|g1^ghMG3GpIfWwsbh-Ug*t{Ya`~u!pd5sF*HQDA@=v|km z(?paZPoPa^H{=frdVNza@`OUByw?`$S#n_(h_~dHwA10XT=5yaZ28?EFqR|Fq&2-e z@<6&ucV+$u6z<6hXTZBJ+tPshKrW2}FIS#M^_@KV?Qc+jD2Gt_@{xSE8~XBCen8Vw zzI@jNC-y|nq3#yQ;q>|z%AdZ#SdrX7yMc=3^%T23m51j6E0O142UaRC`Wsl8TowcD znOwFA#-7Xj@&R7RUTH8^F7KsBRN%kPKe1@<%UR@(`uq26}c_2@Hee5#{PH;2l+LM!;sM zVzd>PJX}#J=8I4QilBZ>`R5>rk%|iyAFeBV9RV_w^EAHPR0ej3dZzL^36fcgSu9N7 zQr6N&klV_dB1mQ{S@*%qQBG2=_l~kA3#RWXEh(PAr<@N5xUXEHK;nUNa|uAM^6LPY z&QmT@HS(cS90%}7xkYuv$I3ODtMZl4X26~(^&#LDC}GDzEL7x~&?{1!x4^jm`QySAE(<|jC z{Vu3NDWQR^QZb^ke3h~`8`x{5Z73w)D0LK8R4WG_!OC8h^#-<2ZBNzD1L_A`hy<(7 z3*bFOb(;)-2h|M}vma8ggaRB^7j}o_5w+hp=p9ujb%TdcHC7)WOg-HKAY9!}xpsv5 z_BIrbsb%!yMXD=@!Sr#podZlqsmH0-6|Fj705L|r-UlKl)UN|@U1HUYqcD9^{e^0; zr&JSq+~QQhzV_4pg;C8#?yAaYLS#=>->x_Am~CaDKg zAaY*4NVEL~HS{yUMfEz3k;!UVIY5d!>K}khs+JPXRMlx3^e(G^)Il;$?MiF^>1sGd zfLGLl)8JiIds3C-ntGE8A=lMv9wHg)jC82qP;b&|z)kgy6?mEI9~Av&sV#=16Svf% z+t9(=YSKOMvQ?LlAm*qa?19};C(;aiR}D;s$UXJbTl`s_K+)3|HMbuwR=tX_2P3pv5)9rq z^|wg?-_`q5;r)lYnRctYYApjG;-=lW8l7<0HqcDzp)DDNPIziZn1kr0?Kci!n|5#+ zh(6jsXf*ZJR<*|E@zYxG0N9~za}r>uHn0VFyR^Zy=gMDum@>EBTIYOt2-IGpVSA5u zV*%U-X}gaHF<#rVFNkNfd2<2IX|Yg_+o*jT1HB||AeFVwYikApT+lYA$L6B8`Z`3C zwYMn}Pt^_x1-PuO+6P{mwk7GMYx~jMaYeh`0)4rvZ8i_sHSLKQfE(J5cc5@nn_h`4 zk*PgG5m}Zt`Vqh_?dlBxx3%XIVJur~w+xbZv=gb&b60zH6cp}h^X|jueXT@s-8=2E zTL86M{O>c|2W{*wh}3DlD9-w*9Y{IJC#~WC;vQ`lZMylQ{pzz=OI{d0hy+KNPA4cgl@db{eZ^MJYOe1C%ncb#D^Fb~~Pnhia5WBmcV zbQzt&+os!1QS)})L|Sj~*45cV(nt5<8Zcj-K3!`+U0NBi9Xic#z;^14sPeo^_nyK~ zf1Tl9zyfsJOM&gyd5-`Vs9Qiwkb87{XmktGHHrqdS68?R*gjpFH;nDqJ)!l61G+#8 zIf8X`Y_;uZ*anQ@q%^v{08@k`Al7CYdNt<9Yb+>0iBum$fe$IJI z=RuncZtKiwa?92&I*xwk=#r?;a7Xv|D`0nZt_LA_Gt$<=ij z1!A7=O&-8QUDzEcJkl+q1;WR=Wcs2?zHSPG`V(DZAv_f5T#BGxs5{{SP^8N^4~1gg zJ9-$N>OMCDR-y}|7ok+QioU5^rkg}1sAsy(C1d&-H%uhsX=P#E!tq^*W~FO1#uF$VbmA^~`COsnUD= z7y9yAFYp|&H+tbMpir$BN+I}Ly&JypSEG0HJ4D{=b?OG}gI@ncV0C&sX%PRYci=Gk z`AM(n42Ylgc3VR4i{3j*vcKw8Q2O{y?>)KwuJ_3a#2{|<4qt8>pOaCBk?lAD02n%Bxe*|T$DJ5j%t`5gJ7(VR{-SPcsy~xOd zNyOrwmL|;f%Vldpx7pm$5}j;f9;H(Lf3v)3v|?>?lCA! zCoX~HvT&+`t$4oxzE*})`Eb=g^vk@}78C}psg8l9OE)jHYj04-yw0=^^!3dh;A?}K zKfG*wdLEQbI=T;=FE~JEOH0~*uyv;!v|QQW|9dLgg#Z0+mN{OAI}di6>VTfiKNJSM zSXwEF+t_THh_|zKTG&3!ruT=)5th>q=NZbz)2koGVklA%XD(DFh+sDd0vuz7G^Rze zAlh_voDHL^AI04EK|Pu+UI$|_>`FWIBF`WZHiK3Z^=Wt;#pfkixn-Z^G92OyEH4gyGG1r(~CXYJ`(yTG3B0l3Jv z9|TBd#wTDpg)OEc$|crnDkM{xS7-1pvq~@U(%2ugCnTM%4+D0E^`c1OD%(38#A~cI zr5V>*GpaskFu4Odaf5B7h4EtMPLKanwwiv;Rf-R;LcNUT(fZ3X=Hmjr=PZx1=of6} zQrIkKKPj?&$qYAu_lhM=L0>A^n}fhAnZXsPS235pz+SV)15PRX?&pp1|C>LI3;D)?8&4FfY!4 z-h^#jLbKM`prZ@M~2sV87(L82 zTLir$oaIAUIm!*24!uy${Tz6wx%E3hjOTtQD`&aIP2ewq^BMqfjx#WU75q;rckq(9 zHBEt?=U!69;sO`h9N;2XI*V=`_h=1xDO?kp>MwCOLO@LA&Qq!WGWWU-B-6O=I$-IX zbq4x!gm0uv#9Z$2Ye?pC(WSs1acgN-c+4$#2A0qD+ziuC zI2T$WDBz-Lge&AK`T{HB&PBmWC1>0P#42v~b11yw;(CHt&1F*h^_E*fSH6bJN`TFG z+!-nh)pDg?;JxSWQkMCF`|UP}b=)Z%^z$P(g34E)xGzmX{LGmxhv_fe*?ZvCbA9QV z|H?h4AO3vf{6&iIe<=TaY}(x2MD~j_;HMkj!uY6_P2umO7EjXB+|XGT&(g6w>%v^aH_k zzS|e*J>vDL4E&gXIT{L2_`lvlp@6rF0k4qPXHY2OPtkl;%y(G}@RWZ>gGUKJ+813a z<(;R2SjMN^hm~i1MH7JMe69xSFZhPPA&Ku^B>;QLTi-_~Uhyj;;i!VYwGqThe!K`! z#mCS|zvg$)IPr$}p@5;9UrS+}yU>aD9(f4$6mfeAr3w_b3D=JU+b&Fh2oK&u#2iTa z2Ee}p;tQ^6$MwSJe(AMr?DVTXgviAr-i)50P#Ze=>TU0))l<7LMBz1 z6NIHyc{nFHjRY@I*!mQXk_1iy@4S$|6_OW(KF#6pqR^Vswq!w}LTrk#j7mnAgpRb9 zmnuYu0bCZmI-wKzk~}?%>4G`c%&!OosStEk_uE=x)BSkw(P=VZeM~g~D|D z=0cIs_ZCEog%k9yJQenpz+Z{*emUJgA?g!Anec<^s?UTldT*Z#-DnVaA#8M^`zLUe zO1u=b*67PCA?I%>R0uKC!K)Oy=RmSbP^kFgD*o03B5vXlnnFFqy++XU6#u4q#Y

    `^Kk-^GU^~RqGzjbzdpba2ml#tHp1+uR z6aE6kf2b3?MRTgb1d7F@fb9`qi~%o5%%nkXueeYF*eBk<3b*^k6hjz0iG^iciBsaQ z;?7Wjb4W=bnJ9Mu3P(x!?J7jhi{7+v=YnWH z9K?%aH!ANWi}oSlrHEV8p?67~*cm6CDmKJ|cUinl`C6K&*@`Yb7E@97#IEiSw9Hf> zR(k*xigxs4fFiL!h4#f_KiVYlR6LvtP$G7-heD}nwHcsHY-b0_XX5Uku=!jxpdBMG z#MDcWEEiky058Sz#vs1J%n8#K;-hCchf1;ISrDtlOj~sRwOB=UvNvL%spw}lR^ibz zFR88pylv8K8ri+2r(RI-k=D~}=qvfwL%~n_g|fFDQmd}8xl^k93tieJ{T>0}FHQ3Y z2$1g572GYQ(r?8BrNDLod!)pcP!E!xQ0Zr{ga?M3zo{d z!b6A@Vhp{5QuHTaQBoC^7^9^^8V*ljcQ&wC$zdhbPf8!x1DuiyW5ByCEjs~Tn)EXf zj;=^`R5`jTwV<)*nv}U2lGmkSb0C=^&6Xf?L;COm#G6u$B}6i%k2E7>Ny7sHZb{j7 z;60H%av@nDg&qd6NHXpO^jywoiCLt-hx*qdGCa=XHwG!=slMl zXba#Asr(~EK1lr^L7`6CF$AVRNnH}b`z%eS$?c2O<8SEIOA5W{U!@m^Ao)!S*ahsn zv@svxhh#t_^iSy(y^X$_8Yd9_G)NpXeE2bwq<^>a1eUtlFqGd~*&4>i6N+CI{BxCii9lRgCM`I^>00iI~4Y=vZj zX5ckog_=7Yj1_4{Q(jP~>1v10f7Fyy4fM0-;%10^(R`$rvR-5O9Nxcbir;|vO;fQE zlHWBZ+kpMh_m4m1h6DC*E+ZZn2 z*a-Cq`AHzKV=_n4cBI^fg3IHwWCjo=*HYdUEvFBKWV(Eo>K<3*iwkh>*JO`Pz^=>9 zq9KwY2T?|NL-wIcz)g9@7IZ#SHnajSOI~pTyjybC2$@`DtQPkw7!-@ zXesxNY)(6qs^vvCAikB&=wB_=$X3IEy^}2{Z>yCJXcy6Y`PgTG5AqW#{nW`PopF(O zDf_Eo#b0p<0obifsR9U8qNpUcNBKZC!XRbTWb|yW(z-Qx`;;^tOz&5`^C5CTxkqnH#6!4BJFKMrKs4|X%(lF)FbewIta(p*H zgz|za%*T{aFQ`Wn0~9o((b<+W%q3m-zmq| z!%?lWray@9m7cKxAC&29p;xDjFa`LiSS*9&C*`~!z-MJv4ld6ZrRQ7d)hiO+#;-~b z3gNyfhV5~--<6|ZA@W0!HQ@bJUc>=wP?pffEmw73A9TV^4RD5}ySgY5dLF7%9e}6W zX*_r#>Wn>*JgBxShxfzk0NPG)M6IBD>`~RG6HY8t{Wc9COpSjBg>ZF9C-5TF1eykq zsnR`|j#N_~qr1n|VCr?0I@A#g(Q2R_dL5%W(olIqokeA+SoL8B^iHb!6XEEFnnYvk zO_luuEK6NR3b)jpeE_%B)n@2twmP8=jOD0#ZGhcTk5d+TSMB;MtlU!{($dv^bt*04 zKTxYF&(Br!^57^>bz6Lo@#IVv~>#r_G=dq@qjjt^8R3L-=DA&sg}6!EN~H~b%93A*tXFnvyEZ3rw;S4{a|l5PcMkr}!x zji7!*cjX2|GId>(0J3yD&jZ}jeY1eS+qwxaU@Th~NXs`lx-Opq?&t<>gq6FxyR<3j zo^EJ0`f^_vN(*(*bw5r+uUvPRZvRW2E$tY2rCXl~y$YQ_jjffsT1u9ybdyXV@>+Mp z8wzi9rL`bd>%3?`@mt-m@1R$sn@ADhJ6*CJc(ppGkx+lHYrX>tU-XOyNsa!kANJ`5 zLiagKAk12DVo<(XlBuWDkzpNGazrZY(MN+&!TdaP3I=CW7~t$pjp|pglYGz z3FPfZ(&iV3{g+_PvD0pFXLl|E*Xc$Nc$xEID(G`tqoOW4d&Yx1FKi$v^Hth^x}b`t zyM_4x}lcQ%-QG~>bcjD|%|wu^RgdokOQ(Avg?7a(qDgK19jW<^v8@IkQ+BED=> ze>nGJ+Or@YV11}O6U;bTX*$SW(+<-^%A^KIruc_VgV9{u3w_ zfKD=f+8uU^;gdR4*zD8_lK4-cl={cBr;FkJ3|nmq$+IkK2_zGkwgHaLv0n=S5}83N zKoT4IJ4CKAPYN5Zv*-}0-(c_bf!$;~C?U*bpDD|D$mY@n{D?KsPMLhxX%9R+Vdk0W zQUNQYknk1TK%X0_U{C6xSH-ln{_vVT`2r7b*tgYCsAuh|8u69wYXR^bHB&hH!O|$Q z|H&Ty2`j$b=Nte(u2Wx_-pM`g0&EwTM{C~xoZTQO1aNDUp|G1PeFtJ7Hw;nZzwb~b zy;Os$dqQ)t<~kIId`tmlXjm8ohph}lhla1C-M1qSPDQUqcI|=VQ7>oXc(gCo?Dd;a z)MxOJF7cSpbRJ{fC^ahhHjaW<6Yn$}PZ|_}^PBv5F)U0GVj(%TQ{a2x zvdgeCw1YY5!`5^|JA4*}^ds`Np}!*+P$V(x+f`6T>lZ>xU!cOcL5r*8uaI)-v1w(H z9G7?;z6{$@X?6TXT9Yt3NpGL=`Ja%S5N`y^#B($xoAjOn$w`iaOV9XJ z{t&!Rf4UmSW|K^yHN#*(C^M_dAYjYPl9R=U6pBW^UasXgSZJv}Rssno;K` z)BRkKX$1L&;dCb!h0K8b;${VqU*bLo@=LSmEn4Q{4$AUUsur&}Md9SiSo$Tzs(qL62Y}`t3Y2T(Hp=1&L~*kkhv6;jah9#*|fF_W?2yR+ga03OUb3w`orW2rvq#hR@LwvClieSSN8Mst!k`$V~~4>QYx zf-h^C1EL>GehXha*f?6*+R6T*;>s=-M@u*U%+3nL0CwOoh`U+tQ4j;!t9BsnVNWS; z2x6&6f$e4aw1T{k-J!z#ezuLWtOE?SF`6yst^f#Ofhvdx*>zJ$9%5N}aEpJ2GXWr! zg;87=#?q*G8_t?5P>5hY4p2D8X2szgBAMh1aGW{OZwjN>WEI|{+04EWiD8~p-#x+F zP>LAKwj6`8lPr`%zf)}6Dq#4B*;K|p&3;l;6VHrk7s?s-g#4Xl`MqEzft{rlj&n?p z^07oVb}K*<8#)KzJli-P3Kv)g-Oh_Fgvu$&Y+?}9Q&?m;)GsjunzmBeMw;L*vqEGq z|Gw0tMuXn-IenF(*IzVz^cFfnq0fm*SngXOqI(0y37`yGb`9;|{s++x>GT@(q3=$^ z*sz~>&<@X~on0fAQ(QZ;@FTdRKG7$LN7uInUB4wg<_6hM(T=H|4Uc1&1~>Y@9t?1U zMN5Br{jK`YOl|!;_1vcH8BW7Ck-ok?>uxwGcAG-MwIAgUNr&5%jXAdY4o|aJ9z(yK zOex2lvtQ)dT;)U+tkutsL4M7+bD+BnN{9T~D$3;7In!e1`o0Hn zyy3hDtZh894Kkb7r$c`8(9^KCC7L!5Y<*aXzPU1O696~XOanc4=4%fz57vY}#plV& zDev)OeX=34jk(Zsu$?)?!?qtg(i$hSlMSTddKa6035NaIq*7o3?2rVCuLu*jO;wHh>@bJqx9?_WQgpth=^oRzIl;V#$ycLpTdsA(4 z+$Ne?4S#6_`SIPT;9ykP9mmExQ`n!-_yLY5?xxC<$z>XkCOx7TdGbM;bEbq*WpL{L z8;~(=OBv#{uBRb6-PZZPIpkNW5*y@Dv^{2+7bM1JUWboyqiGe!usjg9#>WRk#%LXl z{l;DH!S00DKVfBJ5-kv!tfcs6(geyJCp%LtI_2+HkeT{!0d!55*nooh0+y$9nK(8p z(ZJ4(Bh5jXx#bkJ%sbkmwP-=N+Oj2$xK>Wv|LdPVZP@C|Zw0SkkQTiD7Jos1!1UWV z*MTK8YYzIk0>^`|<-q!ogkzu#edCYgk^Nk8JZkb%9FOioski>FWjHp-qSS$yFFwkHcWsulf5)cPC9iO)+TohKs)90 zOi-q_qu|)|FVxxo9UfwrL9<&;TkyJ%q6NR+XHNbX)h;UrwcBCJ{kogbDARjOYB21nWjlTR=B<_CY@;1kl3u#FxF{ z$t07i#*^Nef_KlJ**y?yrK(v@+UaF#R&^Dl&7SNMy#Pq3FTP@TZVB zZ$~q*#l=^Uv>en2T2{q8DAr4dqYpNR<3YFYOfiwe6bH19jVD8Q_B@)6o#MMdW=_je z9MAQp^8fHG!S^XK72sf|W7;adPBJIENX5w_$&#LoH;?1M<*X458`D zQr8+>t1oMrk2$9C)9gKK|=COn73#~|a_G9SmY_w=EjIZ{=6PE)Et z&0Y4to+;MQoo5vSU-P@tD7xV2X2>j@{}RWGyt?8{7FVnRWy!hDkX)*xisrJ=jqtU6 zfe&O>+|q!u(rh={Rk!E~T0Melc58x$j)&Ge`7!o2M? zv=#@~LCNyh6xg;hyN+Y)YZMmPVF zWrJg<>d!cy^Cy*_=e9S8q;okv74zDcgEGH2RgxAwrV7)-e|Dffy-gH~tSnuhu=g#)5fg=wVzVyGjw$C?6O@Gh>WV0^x zUeBnTj9$!K{U<2q&;3EM(EWj9%X?(VDryV*Ykh`FFg7+?aBU|!!v8G8H;}RO7>3rq zAOlkhg`r^e z?`YkEVwLH3_3&Ueh9dGA59n!_xqEIStSpv)dfIf+yB1RT%VeFNON4=BiW9zZkbyzPbX zGJh7Wo-8UTUO*JwYWb;+4Bqr}3d?W8kkXBE<>d%J<|(6Wzv0XLr_r9`8OSG$o(7dwxr3jfdzsI3<(Q69(Ymd>pyskZl8gc!LBRJk5Qbl%S zc{F;mHJj!XSN4MjZa22<3T(JDVGx8p*zy_3_wee89Xy?(H{H}98fNe5r|2^z)FQ;0 zPv{9Szcd!DMSHq`mKH4`W7Uz0iPqOClC*K0k7L^)s;bX=IT6>=?g)+k_O0fkb*QEs z+VLdCth3jPka5a?jpI2xFTmPd!2?|9b(!!!?;6#<=PMM;FPKvSnT0KAMQBmuFOXcE zvKZVYi8Kx^Z9WX`vQ3Y`T|Vp#T_-LVmRFW{K)Wg+8kE)ND2Z4zY%qH0@_=?Et{qke z%j<^Ftgv3Cdd7w(yMah#T8S_t>4a@PI)N7J#+3f3H(j40<}k z#S`sFJxcyYEv65`jBZ0CgnkLF0vHU5M?0oHZAcnhTnnq?6bTf=C6SG zuPJmbA_^d5If4>at5c=0VBLypF*a%RPT4y5!ttz8zv9?#B#njk7pZRV5Km9M<9^zS zG&=#0kLcvN2$VV9i842iYVyt(zQNbLg$(U{Jv+1uYG55F+d$CAXRHFn zDD@*O7`y%sPZOF_-Z!zx2o#gHu4pIK2S8?W*LKjF(wfd~Y8BN4O~0AJ!n8ItyU!dk z4qWqaE1Zu-|8!Weyz&#R)h9}ktyjh2*v5rc%WRv{?z~wq&wygL)dafs)kxPwhedSV z9lKEVb+(SO3a2g@;s5V#p^DZ>8$<9$Mbc8{=uY>bufLQklm<;aAw6ad#jj)iX!T~C z0c|KUoJ5h{_>%U}HF~!gGRFO=hBhJD7W9c3C7_!OJB{<1G@jzi$uTtlPI-0~^r@x& zAZa?|2DsD8s6spQMH?KOOF59VaGIQwTX0wJ?R;o&C&9#)3o9LjR%t_LvG}Pt>BGv{tV60jy$aB$EvVvP#+2U zn1#(i8Cy;f#<-<4cNuo9hL!P8XzkQ!GHoa^E-HeZ2`wr5o|t(NS|*0uVQrEt&90N9 z`a@=l0bQf1jUM6H)R;bzHSOtWv@^dS0>ymvP*}5geG+yoP5we_^=%3$)^(2{W3!a< z23s?V?Iqm{&bTM3qNJSj~n(l*91I0VWx0XX@f+=nKnOHzG zrAaq>wkOS{ynXUux^Gh=OmRFli{4(-o=qV+t%gR_nT|=IoByqVV)2r8lvz4+khfY! z_tQGy4Cpo{g^;w34Fz}B%YES5b)wdOd@d*smj}=p&Ke8K>@_s%JLSRtzfWl^iseS$ zqv&o_=hcuHy}%M@qQ8#{Dh6I1K^c?a44tv@-QjOsn=RlP9@7JN{3d#1jgmWqVmv$n zG7}yhqce1)H7}FMZghtG>G_!)I2e*sPIpB+^>!b~nD%Q1%hQs^L2~AO8Fcf%XfCsm zq9JejnBFL>h0P&p-Gj1kn=l$nY;6*3O;k=JrD=T5|Y7|2ft1Tq9`BuAz6> zX*Hsyf6p)!xwU9`r90%t+nj(uBX{~Pf^o${=ug=B0`!SLX?@6KFclgng|b%_Gu$%4o!MXxih1Nvv=#zIAeL|79(Tzb z6zisK(AsQ#2`{#(l=aSvsR!3Cc?w$l0kjJ4uzU=9<>);aHs@aH0(s{kx`OlOFGM^4 z?IdU|7}W>dh4*P3T9iTSE{ms{q0dY9&|9|Dj^3bU&X)g=w(pLQqImz$w%fzrK8k+y ze@m)`EoJX80Xr_ThfwOK+A{dW%$hbsFoQNi-1#6K(UA-dbP7e#lq z>rFO__BAuGb{!r&pwq4BUjg^ZbmDaG-9)_-C-+2-=kZ6;O48=Xk>j%L3{AuWWw`Ic{+kT1;F=*zVaxM?;e44aX?Gx0m5JxnChh zS^q)O#Zn%59JNy4i^RxY?N0l@!JCwymO$Q$^pWpk&KcL&qBQf_|KK<4S2DCV>`dRy zm-jl}vx{e;$HvRPLz_*ukjb>^Xqv-oSK6cQ>+joWMMjei?v2R9fPC}u0=)Z|+>TMb zl|~X~-u;*G+x*pQ_{~ove_6pQvYr;UPseYI(WlX7%Ls~uYxP8q{{0_mgIxSw`a=L; zAf!*nW1&!&NZ=wN_Y0IQ7Iu+)Ziz7BO_Y5nd`$w|QekWZ^s-D4NHJe7JU0%h?}Y~2 zF_;y?9@ z-a_V1;Q|eJmtZ-L9)1$i3((4LVK&KUKMU8%wX{drumTnK3Qx61<~|{wd^-Dud&oq0 zKyZ*2`HK+052=Gfmw2qiA)zlBJbx8l?Sst2LZ{QHa74I`Y%NEH9>Y-KH{q^^068Z7 zLuCB8aFO`i@4^}~Yn%`!5CuIcv?bB=524E)7}+V|+bu|)79J)k{fuDUjtXamL*=M& zP8g7k3g?ASX=nT?{78Y<7lhx5vR@Q(iLqP~dYnY+vM`byD}M=_Sy+z^qZ} zCeEVowOy=H4P)9N*6E9;cZyr?2IMYrb|2LHN&N04qociI z3Ym}giEomveZQDmADIWls7=WHMV#3Py&n|Y?nCuMVgUuN|0?#XgX)LHhGbYeA}%NV zI4VBZ8QmTeAGiyTFQr32quWsuBynJ%l(PVkUr9r{plq!4>~W;VNpF(ncY-w8ho&b= zt*YZONqTr0%BDy$t?>9-nw^iad?Ssb7>jArfqRjfE*;Cm<6G$=^4ranVy^;nmNeCc z)NE<$%Yd9Ky|fA-^Q49Ak(w`!CIiGmX<9w>w@7Mn5s$@EY9VI(o%GBrn95RV&jO^D zNtb%z@x5dtuh0sqoI*raN|$N4KSE!qxD$}4B!>-4cUrnU z5Ure%BoQ-$35vw{bJE$*Q186-I{6weNS#aZxG23sOMXc@bq`wkOKMIi^|w^xQ)K=l zWss6`MT(k^-mglV$s}=28bLD1b?FsS1Uky6&S8F?#WwHL(d46#Oss|9sAmpfOwYPs z!|!u#h$qz=M4tcJn@MJ=^Ta{?*8M&SjoTkzgm*_@k_(*fpU_YAq)Etk?NiZNOnvNS zC3ZcX{EFL5cG38X8)!eF?qond?}z^_d18toMq${%zbeb+H92kAbuOKr`Uid zOK5gYUn0-jYnRCK^ZGMnC1`f<@95=?DeLh2W`k0c`uER3{#%#qXeV!i6*L|mz88tDvg`-zah^Hn(@TVK5wImIte1Z2r8 zq%D`8stL$86X}fByRQ;t*_IX4 zg+mEQbrVKk#p6>U?KXgP7v6Xo{d^|OCXU}j$T)=*Vkj?Q_`QUpp6Ic+kV_w_kMMja zWcC&Qq|ek(C@1U00O6Brs5ekJ{TUvEgrz+I^0_d-0zC{Cu2byA5aE3XGKUIF=}f{f zVJ2xf!-eN4=;#X}hTgzDc2 zg|w-r3b*|aAk&1oq<~Bp_76ttTVWrm#5082ivT%OcuE zQCRpV8e1z2hXe+x`hDtey)g7)RM;TgLwt6lF!3=!ZW2@)^=9Fv2LZW7c#dq}TZQ%6 z$lNBplaG4a1vg1^JA{w_2FOlf@&a_UOW4#IkDrA7tD&|KMQvg673PXP~gd4 zA#o}|_6c*wp|Smfn;d2bgq@v{`bAhuV(LNRsqyIHknj;HU%v{@2Qae3!oZ~fIU>9? z6%~#O&;5qReiI%&f(nqTU&Q0M&}%3v{4NM&zB(avse{x>K_VIB51|$LSxyU!N$ol# ztZR6hCFV63AZPr zmA{2SWOe#Sa7W;AMVQhUkE_C?Z86+yLiKV$UKf7(64h@AtDis*9mVQX0Mbd^Njtc+ z7@rKtF5;L?7-v_pBp!aVp7By~GdC z1G2Zct|1okORa|zD4Fh@g*X!gTzvz3ZIKx+oR3F zVy}G|=Mb^Y8|ZJScz|wt8YZTbL3+5jhnD;c@nSO!VuX0+TRcXJ31o2nQp~yokfX$} z$dEi*>`$a*jM#cC8v9B-OED&6#cbkHL5?fyy4v>{%J>p%f#1n-W z;16PdioaMbE~fajHDc%0Nc|{|CP8Pd`1J^kWu189H|m)Y~UUoxo$i`0|qgIUsH#^VcupEc%O|2gNRZ(AXid z&2p6eDtK^^ zNGHW6)zR1=qC6G7pAvtG1;}a9O2&pW;$viUIx7~(qT6%gNpdlr7hfYf_^0^xHGo_Y z6Te1|i;#)GB{!1LX0U&>iBi_Z3{t-XS$K#6lng<|P#UqDMc1=wG z7?11XgpR0iL!5mN$~sE#zKw1>Nyo_w*jY*<6|0Ms^&HB&N_DRz)lGWlzX17E`jK>z z?$Q?&#rm1_`d|#AhZI{EnLVYN^N{K#UC+Tv^p<*LBGpG~K)b51lwXLv#;1cK(gA43s92seX`j`8Blixs*)^Jy=@)Fe(g@7P^o*R7xRh*)VB6X)VL0D@OqG zh18-t)@6j$kLEX0dg2>&gmC*CNR5)7OGIk46iag$Be@B8p;J)Q=UAyNxeUfh+a{sS z@zQ8A*-ntU_QzwQ^a=^FlccV+J0?pG`ovSD>i43tucd$5AoY#3m*nHAQqdf#vdoYc<)Fe$>9Jg7&XNuiiJdLQP+ZX*$whAAxl+XdfXtIpNXVHl zt)d%-7D!*TLxqKsljNvH(vdIG-(u-$5~!C*Z$V~-5;ABs8e1kgx1;yv(zScg-}lm| ztB_hD6>mllE2XYvkXr(~-GJT13L=W~m8zleS3Dwnp`>QX0vW+oW&4!DG90=Rq{KLmKNre>CVBZa7@}g6xEMQmZQl0 zUHY7~&J)rR3o4wHW^F|er=+ru$UH4QPQ9OzrtAddS?T+J$UG-)BU$Rav}rCf|CGu| zyuKj4rs8o?YWzIDap{`&;ToZ0R4Vpbc8NBGsskj;=}_Nh!Z3 zje7~1*QGxiA$3D4k&)^sPq3jvC%Nzh`s*yuqgJ}e%@R=7RThaGc9UI~kor`9YB9R) zF27IijL+nV+mPxZzeh~Jr(AJA+UzC&_B_gZ%ZF(}`pVfO@aQLRB+qewxmOJ|Hb9@iNdp z0=J>hZGp^*@}Ns-Ws>~O1k{@>PayZ(6nQIYt6$4!p9aV`^6Y2P!&Lcs5`w46zbrxK zw{n9O=x>Isklk^nym=5(v*d{<(8FwbSv($dKv8$Tg)zTAhLgA3%x zh}kTZ#|=Q)BH6bWJuH^v$x5+AzH|{~-^s7V7}@o008+>p#6d*wz>^uAAip7f6Wa^41v^ME}59)SEJ zznhB8gK~{+cpQ=yVy(Z*1FHk%usmrw9!KOE#c2Add>>8vH#uz$QpaSs8*Lt!-=eUT z-{s+3QT>GMn1<9zc?Rhnf5=N|GoO+hP;AO+S^No^XXIx|1UM@ns)>%y$?X~RobX8(WjOnJl zPut>CW#|(q>#m$?ip(C0omfFnWfD>0UP|(x=%KfAk+hdS%74iv(O2nBvRgl87TI0; zE8}}(ECZA?NdOtBL@_;1$!v?V&y|13x-eL|<2vdMQ9gbUnL`!zO=J#JT=UV&aHSP} zzb_PdB|t_X{tjaqshn+r)R#&HF}G35_A)d*8f{`oW0d)c$oxvVL~AxyIo}gyw=KDcexgDw1itR_0l%tz00q`C0jy>_mH%SI9B3S2<772m6$Ju3%*Ql@SG~ za6tKZGctcs8g#xfK5^&86m)#$dP}2_e^y$u)djzDqSh|w> z(bY0DK|(`&-tK9zMWwiY#>lEe**nI>_?k4fOE2r413|!Il;KQ8vVK z9~t(BT9%V*ZkVO!YCMKpwy#7-UsyhjMQVhl8p#DCE&ZOuq`$OmCx6H&ORf8m8g0oM zf&Ru=u9X7hD@)<87{pl1o_c6=oTXY8x*czsb_Qh=ECb21HPNDw(`k~$J{V<_E&Iu* zGsW`fF=T#iX-+#1xAh-D*;LD#pV8Pf%Y*$fmg$y;cL4HR%d2!D{0vLaa)8XV)FAP7 zwq^8_s5i$FI~Nt^TK=SkoM)Lk5%uOwZ}l&!N|Cm-;7%l%{>-e8&iKI(0>%pwA~$ug=9 zhP&Bv{wI`evApmP%C=hWA$#{W%Q(`jwp*4yiK*d2 zvb@?Gk6$ehlJP~nng^*%Ix*)kvwslP1ulH>hvi#!(9|FQI^prI?4b%&6;W;sblpz9XTdbD}N zQje@09o4;O@#v&pX#tSV>Lqf`by5E}32k;&#hs|$OtGVx>!T|MycsvHGZ@rDj zAa!2{)cag*Iu;;<)yGI49HRO&kQ%Cv9gDJIYP$!KIb5AhF|}W)1Ihb3Lj90p|3|9D zq*i~a`mSQqqtq@W0*qGsjzsk_>gFHu_)5)hkFv4qs5daAaq3ud(2iG!ode_q^+i$@ zCaH~gpohuoqa+|tQM(i&^|jjXew2Nqt|i_$Rn2RLX--oc66#M^x4(jJzg1uTA5t^a z?j#A!RQ=>So~1S>d%TEhxu|VCt17!=6&Euiy{8`M_BS2n6?`54P4 zb?FFXZdNT6m9ka+pgCIErh16KZ&wdR19FGDkyzbMbpd&NcB!SboJ`f^K@?NbMmvt_^fDET%IsPD`~>KCwRfIOu- zY3H0)S3Zcx8MS``AkV6A9LM9FsuIyTuTI;C-v3ndP6FhDI&3z|E~*Li2j(xS8@eI$ zvO3!hkiXQDHR$1QbpY8s{!!1-SW!%3oFstdg&ij=wj`)869=CesCL7-K>9+^7E-Rf$*%mwNY(U_{?g*6W#W(9w3dq zr}d7xtCx$ji`U}uwRIGkxWBR1NJeU^^`Xuf{50!g@{Ucn##8U# zT3@BGjv3a-*D=kR)*9qvm}MRK1|GAm6Uogy$NGhcvbolKMxcjz*4rb|`+RFJGF30I z4w;P1h1Qi6_OQrWmrSIKt&iuU`Vwoar;+;3+VNZTx6Jy=H^^LWedsDu-&>#f4XG8@ zFNfo?()u`Ea!9}y))f>tw$b|J?HKMR>+fX0-fUe)I>Hv~a5A-Qwf;jg!#3;Jv(U2Xn25|>)*SMm{bb!k3hHjFdphdZb1Kl374y%Etf3@~GhqA-g=f6k2Bi2n{Vk}3kmoEeIH|y4?@Hl3@_!v6+ z-FoOPq)u2%TjO!kI&=uC|6%>QKdPUyo|_Gj(^dyrAkSDm9W?`~bJiV$(dK#U zog^szX%%XqqYGC1a6B$rYiA+zlJ%e+ke98Q4FLI>u*{cT-C2c-Y8779pRv98QO ze^;&Jj{x$T^}=NIaNW9N7J9#7by0{RWcAt>uy?aOJX-lf_Ea@KUMCR9Ua zw`#U5RQR;o4U$y5SF507Ri9ORp+2hjsP+`u$a+?5MWSD?YUi7yLhow7Ho>D$wLWBu z?_2H9>Zs7KTK+>=g8tPul6F0y+BW)=@&l{=Op@H7Y6I@VqFVJ?Xlz8a0WASJvfAxyvtr{95)s ziF#Az-)a8SL_InSw9*~zaI@Zegk0}tlhoB<)IM~mP0~JDIkvu_mfa~ zLGY3<{VySXHoCYWd_pFYZsO#XaIwv+r-)&{GW05b-Oq1BX=2knyn7~-j^|a+p#9|P zg#Yy&J`6Z@*IvA*Z6i^)aN33-E#l4Zpe`N;oA9wBV@t(Nv zZoH@6dk_=PTw5P4G+UO2;l1IfBUwf1F=*lKw)dj_l6~#aPU%;qQnm3k#j=#!NbqcT zFY)}3TE7AKkL&eE{wKH5*6kuhJ%dM2VKMEtzQW4S(0D(g2C0q%g?neA(qN(61i%jw z#@9jRp+Zx_py9%gWaj)rXhD}zjuigti?UI|U8F*MD=d2o)n^D6vX##iPLQTFOK3#K zn%ROn50AM*ISGODgdRiC`+VUJvQV87awv}Gs_;oP>Rl5Wkk)-&IC~b48$yGLXr-gL zm~^U6V(A~K*I9g;e7D`iUne88r?@5#k1^sW%aJ)reEcXLGsI-F70eTJb|bZ2JVsLE zG4TdjuP%vCQxM5j@d*kLx+ZpNid0ugy&WJurF*I&v%hqB7cvJ(-@b#Uhe;njiL&9+ zz2tisBOxXgds2Fs;%*m7wSGftuhi#ulnRti392AcP$#fBQCC`CL9jlJ*e!D)}CU%F{?$94^0k9GT-}D=7w(;3@9&vMQ{lrwrpJS_&JzAAt4GAdk?-v&CvKGu<}&Nt*;Jpj^CQAq$EtgI(NeTcH0j6;)^ zNe`jIRHXx1lfG46djyY}O3b~eFjuKVvC#9CbEGpaR<&Md z)ZbLrh{V-rn^3fDtp;Q8Tc;aM$R7P3N}W#<19M#@Y7=`q1ro$pk4OFs&(qvrY=%f2 z*3U=zDsuY^+h7c{3>5w$C*UYyEW$rnaX3N%pWma1Rk9ot2iX1vS(q>Ew4#ee!m@Sf z`8&Z$a@lg>G=zIbHtucbMaF-gAt5 zdMeFY(TJ33cVR?7D)p}+wN`oJB+Aw)FVH4kuUsRYb%XLA=_ebNoI8=)q{OUZU+L|) z0l8JVGy+X;!(jt-v|YJ_KFtp0NGDX-sq8q5rgteRWW)JM=}nK_%H46O@Ut?Sw1++T zJD8}qSMe`H)BBVMeh0{Ya+I-3HSbVj&>lC{r4s?2xjq5Z(T&{O2KL9#+PY z^m0Ubm^AdGN)y^%zbS`zBJ-Fsn{13Hm7~e%=uhP+5xpzQCKoWYmt{``K>AvqA~E7~ z%e`|ojv09+6%Ez<`tu0>SstI%5iQz|Dd@AtNOI#peRiY91*=^l0&&}HqMP@YzYMth zj(&*&-#`2<O*G!|zZFDJ zp6o!5swj~p#%DK@7FYK+a?IEJcqZC?acLv8^3o16>Lfn*BSz4m(SB{TQ9n~}b;`cb zw#)wxKaAPb{DgMZvolFlsFO~jmAwgBCld-O7W9P&SJI3vjgj+Wb&|$jx^FATd&T?3 zat`ER}VH|iH0qw694410N0| za{JMX|Dc`Egl|Xz=q+RuV;?98B#93dl;<#_k-|heu{2$fC1lPLQt5omJYi`xQi}xN zV1O(W9wM<|m2iZ1^I9R73|X6m_rAr_Y!`k{MnAiS1w+uv&q6$DUzXP0MY#xDdngZ6fBDJ^M0x%sEcJzD7^1$8Y0%N#AeM znG~<4I~oA;wdzDOUf)^*$Yv+|0_Kg&bdurCUupuhnZJ~j+ZK;R> zDM%fc+vcH%Udls6e>38K zL+L;c;h&U9!tdS6lRW|QvvQHd!NW>HJTi|cLmC0(gz_E5q?}j!Oh#jumA&LI_)B^5 zZlta#57KtOsvL*ujuFER7>~Mdg7Di(4VjbAtU<-}eKHE0EVW{6Z+=1|R>5l|w!YKJht4YQ zAX8*lfyo1(3!kk-$#mf_vbfF{hD88kxlop;jWYd(4QQ(Ar)@EZk}prA@wTHa=<|cw zb~N&taB3>5O%l2uLCIv{^h@Y#iqK<=Mrn?U?g1$HP5hJ0$p46+fQulq;22+J9Fi`bN1Ioq#x8(N zk_8eucgqp9DGtgn97fZ}<++cd?5zB8f22Ap&yhX8hw@8JWDZj7Lr{IB;voy*1ZB<} z7{oGVE;&TjEB_;fbeB@_490Ru8BPkzAIi+BNL^EwBqG(-(w$gOH%r1HjI6umJ5r86 zvpi2enjV(F$Pe1nQiJwlZ_7T?J^NTD-#}(xOKu5z=w~^(7?}es&(h!rS`PF;V}mUH zDc0_DOCAaCLo6+6Zw<9$!Vy!&C+-& zdf0ENM<3~=W$ICs{bf0MJ9_xra*6^I|FOLC6dqSBakNTTEf0Qz$92ocL@jSv29=_f zj%pV>7NnEfon(i;>YjCIY>fJ01u%{qQWB8 zxgC$i>RRgdNApcRX_N!m?Ko1AhN69z$i~1#5)()y~B_MT3eTa@q{i^Ofj>loO#r>#vL``3W z#*V5(m*DZ6+UzhY98)(5Xyv#%Zv)!=U0qCeg%fH)6v|Gja&4skQ0tQ4=al-}DnOoA zN0Tx7jQaNqR5+);yc((VYRWfg^G~&4A^N+ZzTO?xFRI&Vl`g3tkSF=F`oc$e{G~P_ z&)GHgJ@TA&wT>g?>u#;r9Zi2`EvkW34{I9vK6+X=l5@M4HEkYx?`=I$fXsf@Hz`7C zpmhrIib2*v$w2+jtv|kl%%Ro>jZk5j^?fp647Z*>h15uEtrzLj#@jR09C%Q=|J4{{x%zpLd-B#W$* zpQre?_40%osJ=m7NaFfNxf*0)#2UOu(XCtMztfT0CdVZMWV^ioQ}pnYZ0Uv6Zuz@b zNc}7?xqznk$np;u`F^=s33@mnC!9tPzsNt`hw2CA5vnHXM6Z7d`SIEPK@Iyu`4S`c z-iBY{%3XIx2>6GI;eVk{ox0^^`E}jd$#u)~itTj^%8J?*mX+5nFD%P1Esn^4zFC{H z(w1d;#kLkjtqaR-ksab=qnhPa6c@H`R$kGzps2K2TpfF}iqg{7`K|JbN}A=B71RH< zTb8t~9h6(Uq_m{4c41!gqGm-U`K{X)6gDf)%WqXwQuu$|OZj`{5uwv;TT#@yyl#GR zc@d_XS6tw9)YS$R9yEMz*aqri-P&NPB^CeQ=GD5Wd9#-J`D|SSs~B4+x{gC%%YQS# z^3t|t`TxhOZ5{^}qPVoAE??`Sl8VBzvbJq1%n-pS_g;BLVKKgg23Qv#4jFzU!_U8z zxdC$*=6u#L@3>7_Ay7EKu)MsqtO}4;g?R;qWqyX?W{`?jMP&tT^2#dStLsV6PR*{H z$`RKLW9lg!I$=8H6?r9C_J5Cpb=wq`RsuIRCR>zS6xYpfU7G*SzXIZ*+S2;VoDP3M zp1)O|<5rd16qOXW?Qlz|()NXAb=%M{b>T1Ym-$=Ws!~~Dd0|Ce_WSQE8CVh#!D&|j zZ|dX|sq+^Uw#aMSy24-aUYo-5I;}tvBmCtR1*nJwcm>CHyb~z`*)9V-hEJGGRqtTE^Lb;O#u{KGrVcP0 ze@S6`4AM^=p{TS3RRKclTHdw|5`ZvoF67)95mC`554)DXmsgY(m9%`HCyKETF?=JD z-&SuFwTeoSe-|4|bFdO5Xkd&m;~gI(zqG8-pI>Zm)4DB9;cny*@iq<3$TCgZ$OHA{ zweskR&zD!Ay%gv<1>i=!tf0Ite<@@i0?>u+@F{p+%ly{#-I3XsUBL`U9a;@F=1zJVcCFHc|vP}C!%>XJmE4YVzh*@Txhx?UxTm6*I+C1HMolWSYDwb zKTdzqCxF$}Mu0c1d%nVLd;ycdD}oLf>BlP!kf9_Hxz&-& zq*Fhtm$s#ugVaGl#`q#4q!{4=QGkf6r&mS@HPh-wh_Y0hernLq^U<%oC{{B8U5F6s zZgSKW6;obkL9mJH|5Zkg$Ky}(HS*;6()<}dugjlRT5fYiq$c^Z+#aVb))nFN*7s+7 zeV)v8mp?b#?aT3J=eTonvu%;jM*7gcW|_AZL3$$ zR;i3CMMe1i&CA>8wQRkZV$7v|euM6#Wcd?8R+5>nch($Cr)cDwxr+efzct-#hW-lzyn zkF8-+R$3aLN*Z~R2WMOq!-L%HM1M+V zHsDgTy!gQ<&hVu90I1yf+hI(M&kHynV~=)WUbK{K`e?wP?r}0~cEm?y zyVKL04ouL7H!LUCG!<=mlT$OiNs(HqBi&s5f%!t=l(O6u)z!hoZ%Wg~Zdi;^BJc?(rL@isq%d``c)6!@IW+o?Njj+DX_y}N3 zdTtXeOSC;nBPyT+?j$GB6lLKGfVPfUEQKw6MK*Fm3a85z4k?_j=x|7ZY#k{A^v&*1 zb$ZhMNs0Ao2OFPW!)bhbdwjIr{Oy7Ieosb@iC`IMp%rm@MY%+0gSIcj7`sLpJt^*t z3~!p>n^Zp=kHpNJ6ngi9cyQ8LC4jMI7=;Z13!)yzhj7{HbJL>5Im4}4oWuCg=8a^F zbLeZ9(I}l!yX4$#Z?@l;nVIg-@up|_>3b7ypkG^j1nnZ?9K>5dQD|a#IM|38iPvaO zqCLT&GzkF&O3X}(j`n9!wWQQWj@SlT#UyM}&XDMPaYh5mlH&)v$@Hqy)hQ|WSF|t6F9fg2tAMoK zylq9H-yaznQtbDGjuP!MD1*024&EIut(&lAyr;m7(gW4g6HT~P1q832mG1X>-1r=R za1zjGe1KS;>n8c@dow`KJbrwmoTm7W;Gh|eFwX44imbAt(z2q8_v)9GwuK(m4j~=!;StgiuOlP~Yoj=@A^v<-Bd*BG2)s57 zyuO+kc+W7sH%v69oCINqST2`N{7##bR=~kCAReWA{At;VHb;WN`ZKdVxoKI^&ISRJ z=kP@Mz;x0xle|tp+Xq=-IUaW!W9E%wT^dTq0;T;~nc1mL{P-xDxjv6K2*o)jgF*s_5Hpke5X5g*})Om#}3-aOls7GMj9rzT}pQ9YhEUrK+22bQeM??jDB&! z1mr(4HOEe@)Sa7_L!<^$9Ov4^xoer;Z68H&%7m6vL6S0Z(-X1c4!=7o$!Ckysh2yq zNwn=bTWmbxVP5O@dGD3Os?Zvy;L7_vsF>~ZCh6Y#pf1s1_3lQ#c&ueGuXpEkC@yT7 z7ai~NL^D=?Gbm?F?7x6=Bsl*Klr#QcK*j!>VMVk4j4v4=x5VC(d`V4BsH1(w$}k*- z7&B;^;YrNRZpvjVhK~smhD-^(V%kAtcLQ&3mZ{|`Ai|&~-zSx%I>HZZ3zLka3k8*A z9H&8Ps+4h@QKTMaC#Jh|e5p-r(Fv7=+*l^$n)sX{-9siy&jlOI&hcjHf_XqaFqeiA z-@~@TR)G*W*`1veZ_^2>(^*N3V^We+my&{HWT(@mQ&OS;Uak*nM8yuGk{p*zgr#v3 zxGV@g7`nGHmnZy=SX*THe6|2!uHj1A&i1!#UD`aawZEvi4a|vtFb!QCq8_yF9ne7{ z=wmqTL~RKflC#q_BBi}~{h1lsaytP{A{{A}ndwRa?G&=LQ^24K0QmXSxO(!BH*I@g)_RF*-R>G0jos)&H=8cKW ztJS<#eyxI9Q0Me4TV5?&^IEoie3)7`u*v}TI_;J8A5BDe+GB2szkrE~a3_(Fl9ijo zz9ncYm=8$^w#ceV^p6>~oPAB&;U?Yy>R@1a<)Sd?9R`Tng=VU04T<)6U2AZW)&PFb zwT8+C!CFJ5a@Z)UK%?YjTV&N``XW>T!Pyn$Hrc#q3Sz7@>(yKmW}z#?@O3uR7mgQ@TX>ft z2*XeZa*QTZ#6~cS0i;Y;jf_VaCv=+-IW<` zL|-8gC+8$*`TaJBp@jHJSz}sFc1|YrP8iXcIH|M0gs^%f@bz`Ts!Mi^@k8CLIYPG|WEwB%$Y| zWqKO;n|j?oV;2jec{5Ni!(%-l3toJn2kR#WO2jQ$*<mM^1fH$pkbhpz1eUqt)F~rfKOlfw&N(I3jy;4PpG`i;MF`=;Apcsid z$w>4kWqW+7IjK;?x$T@Ael&_=E@pHk$U0;Iu@y22wuY<>gd`T0kJ(=A*!1=!g#2~IFqn0_Fuf5Kf_`Xib#IDdycSIP_o6X@2;rE(= zh|d=Z|2+gKM_dLnayi_bC!_hXGazLIvzg&V&UiH6sm9hfq^SkRc-~ z%0`B`qI?^-duUWM+MkkFQhoG$#tBQ|^)oo3)5RP;BodpcDw8YxoUCg2 zcry?_0m6TsB6HKJp+Z$I;Z+#@BBKIG8-M6k!j$kTI%RSOn095cgLnx?jil7%WRmYp zw5@WXHW;X>v2nK8SZ)y`Yh7x3R+={*22yVlvsWc0a-oRqRUW%1no_V=@xk&9PR0Rd zCToJJR8gQ~b=YEt+E7$evr;ri7t=`mu+gTtef}Kqe7>Q$snKs(E^W~f9Pc4@B_%@1 z$2iiUTKj>^1*L79w=Rrw)^R%RE?2DGmEeRg%n|JU zHq9)R$5xWbbRrNNkjtvFx;V&6NBf@pSz(Pei)x0Yvr0kD?=S2?p&*3?p&P>QZ&y@a z)V!!QjNq{8*VN*(YDPhJ3g{HN*(B6p6n#tb6^*3{tGs~2M^;s$f8H?V?Bf#W;RKxQ z5lkl2={kvIToLeKN5bV4!+t?8o5B#x^-l+kIl9}!69$a+L4pK{TX5q;m*b|AXpT?O zkn%iX%qmWg!vmcmDLYrApBRD117mrx+8bKTx+ChqeajpLnFlS`m)O9x@2Z61+s{N` z_|^^C)^;)_1=`RlO-_^SoGfYqfe$7rf`$QqHRU_ZLZeX3<9S~nyVnc*Zd4;WmF0K+H>*6Awq}-T#(4@*RK!N$QB}D(6{wBd{ z1))D^jA0;n^#EPe41mBbZ>BCyR8h*Sl0r(OBLyNmEi)%6H5)o56EMRS@k&JPwVpV! z_hveDhg{e)UQK6#jR+;vyp3QINQWl|5-*bpG=GEs338gisAbH*DiCcz+)l^+Wi(&f zTrPMr`8M>BkOwhU^Y+^-3rQIm5eZ3Q%xHR%zOyUY(HH>9-TFcU_0YYcjyYWV1Oqw^ z?U71ePt7inoZUDz32x*RcNzo;v&Fz%q7NrrIop=>ArSR1!v`s|-VpVvbD` zeGR~5TI7{iuVOqBH!=4;WHL{~0T;3A_>Mv|)L@`Ix0bg|_+%yt`K%90K z3E}tW0(a7(8G9o0qip%MC!Vmu-oZS|jN5_>`I`8NK?CQ^>qdyr_%@Bq9!zMs51w-e)vL z6bG}7R#~j~Z=!_3Z7__=O3QR7c{6k^+l{~}ij?9D8f&;y84V)@ZvycIURBtW`6oAV ze!HJ&Vb!v!J)ZF+lIOXF-=CP~&S=2mE4c1gDO;aIr8;cvL~>|$4KXIrIz!wrna%9> zXkvko1Re1EJK%6l&+9-2ex@cNTxr;{W|7ndN@U~2^dN-8SPgYXF{7K9=shmF+Th{fzc5_%Qw z>hgx>U#8)N0I-kAhso_fnT;q|QL|?UZ{6&4UsG-?hS}&eD+vrO2xLN32&-!E5QCl>1=V(sKN&9&PP3V5 zo!fB9Ps;>Pl3by|WYmZ7#bwX9fb2;@e8&11nrO6#IqMjW(=?l8ipK;4*Mq3|_COc{ zsfvLZR2sP{3KotfBPz(8&837e%TLBTtxgrCysBxdCL<`p^w}JCCN)#gA@h#wD6Cn~ zHF=!`>i~#Bk=TL-Z){^xC~OTlqeXW{JKTOITJg9f8Z_4gqG19ie`zX(rZmpTmc-Lh*(w@w#19B3D7QP5`ZfxaSD74yZRHPn?Rf zem@Q|6%^%HKqhWoUKj~;g*mhxNgTC^WZ5tsnTRcqWYjx1K9QEqJ0$|jP&PFKfQZ!& zSnv?4?LzE6i7Y(SjeU6LETIj_ho_Yh+h*=N&ImE;%5!C6hiMyE0TEaX@WzMr@5aX(Z3M;F#vA@!nmUv}Zodgc{2TTglldkr7~8Gc z@0I5i<-fyloU=~m>0-7RM{XL~f2sgs)#<~9mGs^4?r~;K<`lBXfyyycDnIT4KV&BS zD^2xnMbTII7p-v$hY|V>ETYhFhdnJK(Tl?zu!?BMIyw-3bMq@)gu0Z)iQK+eND9dFdBL&1U1L03k8Szv+8?e84ZA9W;U-dX@do}>fEEMU`{uO zV#73ex5L4BH!VfL=NFV2%*fp4GOk@EK&&I#TeDC)w2)68HI8Uk-PFmM8aI|2g={q1 zgdlaNYvxMwAdrX{8q0ArNY)ey#kz_Cg9J?eB;G;1s-i&uoZ*Z4TCwvu#PJN_J{l$` zh}fw-%tmKSI!g-LtT!=Ea<;|*I6>vJb7{wZu+=C^2cdQ*R+aAYG6_dF8tV~)l+7EV znedj=yvaGx!%RG&QW>wpB*#RD(?Eq-n+w}Qqn!?r+Hj|QTzc?ZaBz&vAf7>69_-HE zp)fYKz}WH*yIGQQ5aZ-1;s96GJ^xw$WW)fZrDo@ZgtE6l7<=oY@(NBO_{zluOpeUV z6G|oMWSob`8)CPSE6L7@a!@chZ0ek#7$@O@b!ShD;;t((9GtDGh|G+GurqPpme$;-kce-Da{o)&0rY7gxCykHhjw_ zBp_@GE!IYD58CIeG`%sO$9o!3saA+6ULsA3xUT6cSA#{mBo3rii=42HDyz#4Y{UiIP6t9N4xk#(eOlb0BxL%dm|;Vm0B*vy+*U^DfFu2OW<(Gp5jw8It)k&Kv3 zW|9w4Q6%R90&Dg~yIyV54DCm3L<{X- zuC`+;ofwUV+F&dNc2$@-KGQzX%VC&sd*ILxxCE;P()MwvV53cw#Zkhlst-6$AF=j? z%ZP84L~M3L#(-{^mYS1;P-1Qhv-yLPhHV!T0<3ZD#}MZAMKzU$L62}y953_|r*43N z?&!_b#rqHwX>PU;9v84ld~SFi_0ukqkty1JBizCW^FbUwCE2t{F2OQ$$1`{6>IU=B zt?Kr})}Eb{lo)h?DC7uz0EmAG5+Ev@ms1!yuy-J{HiPgsVf-gECmpsThN}V2=Wtb} z*ORn)tJBSZ;k#Lrw8MB?jBjPasxV+-KHDuS@GnT7Nz+EL;Q(q#7Tl%SP{~=jkV#>@ zwdbr^(5Jy<-4ZC;dFzG%bL)m;UAJxsFt={V;f!}>1@SC{ZU)EY zv-5O09)tsV;^}xL)Qhn6Lk}JaU&!~hP8@>Id&k2PQdLQ)1YoL3`ve;x&5O9DSeLD4 z>((_%X4cL|1JI$_E)7b{ylBiaR+SgWDAdNwu6TfcTUERPE`Wdp!9PDF4v750Cqt3P zKyG<|!>f*)3mLp4CS<^phfC@_xSqkLC@HI?`xnpqzI=TbJSm*}WVrtSrp# zg|mq65;1LY9KUWrj!h!)uvAbhTp4t-h=4?QZjwO+%>Gk5iJrs<>Cg^o8@Sgd=mLjI zWqg>LO#+dR;cOC4=o{M27-oYmvh@QynmC#efyTzHHe4%Y=R(6xtBPwNs<;IrF*7qK%ZG4s5*KZ{ zX2hZqLw3LBedR-N;v_r8O2kLo9P#XoeQ>A-T^FN~dNMcbGK^+H33Ki$90a2ZWI7E! zyh`}PjB5H>Q9s4(y2?l^m`xcj`EB zXeRVBM&ouxcCnOp`)R;?fg1!hkLNl{vvvi`o4OgRic*fw zoGh3;{y5W8iK)ZiPh^3DMKCG~Q*{K<2qPYgB5lpY!&IPu&alPon`-7KO%ZRzJYeQK zOc?fpLb~(=z=7~VFcjE;wGcH&!>Tz(qc}%H+?a3^m6ITx_FF_bSUyT z8+@5xFax803iGO^_%d;u1dJK_<_ur1uTK~#wmKwo>oNknm_aiu#xP+#6+(VC!rlRe z3mWhX+JRpu0^Wfenh_bthP<$A7%`e5B^)8Lao<<0OFwPW7ETqYUKLR|cw)R0NVLtSiL%qY-YkD=5_C0p3JeC=0Kr-M+5{J{Wifba$aP?b zLe35>>eo#X{|xptArL2wrzxI1O$a|^AHx!6$X$qb`~bh&Ug4=a6d^x#UY;Y85ieaaE5V%3{DnM-T=^$rn>=+b*XFbo z6KcR^xJihI?2+3(AkMh>$s5gPC4x@3008m??o!ZQG#z+D{ zCQNBLm_Elne0$>*CAMi5hbWohg!@F;4kX6~EPiaUow_%|rHg}%i8G%A(Nh+aiX+@U z81&O}wCipd!Jq(@&=6kYT-YIDv-K?+TFBPT*e+x|Gsh=uld7^jWVfZ@d^QFRm#4>k zM#`veF6UJVW2jiSqXZ75b6njOC zj(=hRHf&%Jix?%|S%SyK{hKJ+mMuf@dWgncLG%b7#MbssX3jea*ebag2^18`RpVK)#z(N85DQ8d1_V zUExI@BmKod2}U9GT%$M)PE-NNk%A;jCuCM2-S8xnUD|!+pITI)mL9bRlfE z{wcx~lG!g{=da4z9CJ%sa|{nIa=1vNV}=vM1P~%&bN46+H1|`dr8Yp^7qA(pSu!)A ziGou>#wCg#(1~%9j5%CkV<0<2)zJi0O8*8pgp&(ha}m zOfz2M2zBVEVz&*&>Ty=V0adYay77`wgI({FN>^@$Mi0j(Clf}{o+RsV*h_9rJldpf zLi^MWH@db-oIWgsj>q9!Vi?TjEMjS{ct0Q}pI!RIEe57z&xY?W;AYp4Luk&wN(CX_ztD1y5t=H)Pu+n!`5=VT!d1@KKX9c| z_HA{sBoHlpm20uam?Wqlh(ah59f?8#L~UIaCB@gIgzN2a zbPu|}1%ji2!iH!Q9xe<*-@IXlR#1@jFTs6oq;|u4lK~Z3Lvi{9L@c-&39*>zFy}Nf z$?KI1ImU*5crsDYLO@mzY#E|3mH04v1mR9|I^lDwij7by{MR>Q0}LeY)*wX4O;J4o z?HPj$EARzF@Y#k6#c_cPf`Zj6#Nz50RJjhrAo5`OGSmDew9p)ZDZD=_g5OX}w@_B) z&Sp2%I%1P>g%6^gs_ zK8NIo!QW5_TAZkeWc`q(VM>E%N8@2ZrZoD?6*_Y3Jk@me*o471fznY~*VvhDo1t;M zZuD^&Mh1{(?GONIca4KwrnKpq(uZu`s7d6~8Fpweh3Q}k>5yS>=*Qs(6E`qfN;S%- zh4F`nVfa?r42H{(u~E4Vz*G^IGSfwa#B<<>31ilF#cA?Gz|4_q*1^r$p$klb={nKY zZq*DhU{=V+Orzo!c25I#MBkl@KMe9(8Z-^Vv|J?&?^6%viUvzy)^ldG3wYifh$l5@ z7<5UK_0Gief(!JW9a4`CS(9{_iC&ZIHCe$Pn?$x;C>v>-o5$`5HVe|th-BP|)`&9M z4Rsdpb~?=g@WxTXO8LPIKD3zJOu5NEFaXmnL0HQy+y<2E)BKcrxDKM-;6E`AG-M$k zS^Dfl3)uAiiP_+*bXhnvz2XRIz%Zqqa@S?2B#M|Pjki9+Sef>$zXYg9xYBSmBrF^a zh(4|+nzFEc5(|vxD;I@nI}8wSnD#0zua8YIyt@t~w$^l`I>?jmVQ2&`Q?q!Z35xQf zHlpKf(RAFF9LDkf3i?ZPxXZkN>!NgPD&Y?qUQI}^*;Dlrj_PcOdr}N42tmut*uoX_ zin*TXG#C(D2@3)4a4QhpmCiu09;SV$OM*57&mGZ0=5?l# z8>^rRJ5{WNE($SBnt>{M5%7sI8Wm`fm8h5O!kEQhZN z&RDV^_8|=p#P7V&F&sgb$&NUW7qtU=I=e3bXZ_io_8M6=^mK>aNda#}cHz5TrvoqZ4!;5)=-1_tQZSXeRjE z3AoGK#T_cyjp^oN#_K9cc4TA05Q#jp)1mAa#4XXEk?d=z5jTPWs$x>63r;B&xZE^& z8Ez7L%GXH;;7F}YpZN1In%OjDoIziOE9Kg~E}`qmbaM7LWUvs1Vaas2Fm3_hhhbmIpi1R7ncd?~v3P0h%u2FA?uA?Iy^t-G|4B*-(XwEjl`=nu2-T?2<0-5#8pD5>IP+hXGD4*em;0tBB?c4oFJNK`2GTTf*Pug4 z26w7l6lN{L0P&?Dd}Ew|94M6*LffI3vd`(b$&{(|7Wx+hxw-zuK)C)DL`C(DX;@nE zm$(=Pf^ZmlM9X;Sfd^;IavHERHw>2&a>F0E%MYX^>8b7;2SqG9cE@;w^dbqursiV|ECRU8BLG*C7W0bG^7c z+=qyDj>v4+Va8dobmQs*l5yC6HydL#j%$wojNyO5s|uFrVJy)Q^9`it=U7alKvf_# z5F1lC39bYnv8KOx>F z{3Q%VaG+{YxG)7-g@IsA8^Z)UaA)P@`n=dYq4xs?*aEYl2AK)Ml!YO7;h?C0uE7QL zO^w$$N#2XmOj=}_BMBRSj^sIh3#oxF{I4Q%WewDlX}gU>!x&sK5T_WIH{zVMYu}AU z;%gKhhAk7(HpVWYin!AeYnsRd4@@f@4usX!7(K2-0Gr3JZt-NH-1Kci0a&eoR2`#l zW2XN?+Sd*WJ3SqxSLD*Q zKBjCY{TTP&VDNTLM=;?M9|q!UwIjSNB#5rHGf|#OWg5xBAJ_G_Xj_s`Tp)?gtn;Tw zix@>#Qnu+m-SiG@W5EiZ%q;xjC7d-S%LH!QC@8O}RbGZ04so?F&a>0sK&51m5raMh z{*;7?O2CZ5^C}Stt%T#JS%^hIh&e7ONWi5sK1JI3?5okT1V@tUxR+=TJ*2IlOsZ56 zjC++T1_Zod(Gn;PRs*yMD|qTI@+wOCJOXAqoa{@-U(0~H%Bb)EW9&_s<2aHuQT>!M zwOJcDL+Mp!RRM+9Z^x`I5NP326+l8gy;cU1U<=z6zy&}ZGu!*y-}m_uS4INr84*B6 zR90q$ho7IjLw5z8Wi24*K}F-+*CteWSMOA=ym(bj-eztd@FF{>!{U0rRI_5(h)6#3$3T)}WCP*6^y$4A2I)~-&4|D|-^^jCSix)W2b>N$#Z^BmWEa=I zZ9APUEiB!(F|C2}x8@|poHWGUbWAF{R}-zOzFV=dRq|NFP6*Z?3$0*Y%0Fy}$UiK& zbD*H&dWCSx8A>m~{71?ZoIE+JgLV(rO5heeJ9Ds|Ue69j*$6q8aL6l1fa{Ry-)k9y=%2k98@9w@^c00_Yv#Mvm-?0W2Bvierhbz8OA}@fZxD5mKZ8U4axERL@urzLzzp3BUX2AA6_kg#Lp)%k zzD5&h3H8$PV%@%A>{1G;l}8V(sH|=WCqu^a#S_p>g38@6u;V_&7=PsfWK>hl6CV#H z0;P?PlMPlaMd*oKGV~CNErvd5bg~kZVI^#Ur%4@4*cU51Jrw4tfKn1P00l6g5RN}Z zmH(gtMuN`9g}}sJd2s%W#$=BSDOri}>@vnf|Ml!>4fC50?C(}65vGOViGHw(WSuGU z?c`E=OwH@*hM;^|M4HmUhGIMTF1{sT+rYygoCuDJZg7b}%o-dtula)&Vr+*}@>QM81knd-9Zo|i3JrdO4w+po z=rsF?hRp~Sof;CVyG5cnO2;djHQM|N0fMv_7PLm#Zy&Bde0WF#TdoX=98I0p*f!I4 zx}4r!%As!A?bs%;U92}*zVVGUOaf81W6U8qPTVsmf~;z>MW zr9_wbVmYsWjX6tTq)^^?dZNT`&~3hmD7kjM_p;1x_8_#=+)cp0m#gF158GoT==Tix zLRW`ntrM4kFWBnL8!DnlPEo0ZJs=MdZdb)fcSMSgb#PF!Y6_Gfmb%}jLOFKYRE++t z-)tl=3s$7_a|brC{{bzJ$^Kvh?SO!q`EWm+*wJ8&9A8mjD^Uy_b8epQ??^qT_O^ka zMT88nq_T0;bx;_y9 zkKG-uFiK4#OgW{oBm{dH3>!rkdiOv%h|HDrSa&ihp9PE+I0O~dNk?qR)hIHuIQInU z=W;<=Udg`$zf=8|VYUqxlXP?tYM2l9)>(N8~6 zp8fS1+DBmZnG$J$V7ToQg~QX^rL|7rczqKpJg;{u-%5|AvZ1-Aj)(8yfz3uLH}B5T zyQ=NM;wUWEd3uU0A*h(Ot9}A2W3ud~d4g%+|8WHy2qk(nBkC8wh>^cNLYs}%0g{H6 z)UYuqnIv*JAq|VB!WQpv44C0e=K4bVf*@NM)WpR7EKaQ8DokbmA$yjEdRO z9~O=zH`eL>WZ#6p>BCqJbGszpt2Y1$@Bl$$aIp+h+a2r*--8YHmyH$|Qmur>yYZYh z)E>^jHSO3nmCRedmq^Ee zxI|4q=&NwGP}c)j5qC&zKxhQ2G+R+g9qrWwNeI^;DyT?_9$7(>qC|bUgdla}2S`ic8cxp4F2mwyNohGxwSD}-rI0$(IUO|DnCVmX7< zl=z+@hQu(Dyz}g~0^!bvd+uJDs9!=!3^#}X&fw$}jfAKiA#u%OE!K|o+ zsA9tUgSbsYh*9wQcvWgJTB!6XN%l-pinJRf#lD0O0}G)<&nLmN?^T|}h42hX9l^3w z7qN%w%Yj@NcRr}`V72?9mYyA7O2!WZnQ&e5@YKhge^h61FQ|#?G6Q+STvn(o;%M(& z*Hs}mlzC4=r!-e=_ye13|@)6P#zFV2fS@sBt!?JzO zdPCVjY$A{-x`f&OD1iu66pw?bQ7p|)hcMs8gE~j2Z)58Q2Mcv4$OD+}b+#Oh;OW<> ziZg8~JBI{`@3%)<5CEEYsK!2Y*E2&PXh7m#&88eEk47;bbybZAoNxZNWvMZLi1ex- zhwhlXOWZPZ#OTQgn-+uZ@WU`u$uyEZ_ts|$$zF^lXI9YOo+~8K9!PM&&7%4tdzHXy z+29md0r|3~vFwq#ZU7$%JefNaFIRYRF@f)@>WFkP3z7vY~7@nU;JKP^5OaUkS2=sHuh`yuc71eP6k+J$Ezo%z=!8n*fx^ zRBBuf6bwpPz?lpo$b#Yf-|oNkztt`(IxNXMN%i8&V-zt5V08!eaY@J;;FEu|6Odf* zIRfbnJp+{zXl`Li?xq*qHjjzu!z{9kU=G=7ju`GlbnzWfj(soFTA3UH6b>yR0E1(1 zr0ps6siMir-OaDxZqexE?g>Ax?>=nr(5>2<$0GYe9soZzGm#i*!SN#HBE|8tB+L2@ ztWL;;SP?VRZ4$wt&n3qN9&9fcCq?_o85|b}=W3ux6J$KePiB`PfLfir| zb;(R}^iP6}@T;B{N!|mpb9r8ygOx*7oyNz` z>?Ix)=zA>qC#L9Im{fzEVq?k)&cJ)8$?CWqR}yBP&gD8v{`9KfulotP5iPAs=cpDK zh29~*WqpF&mWPIHAyfTSc|{Zsswcgh>WoB31f&I;I+qwJmC=M3YF0zXwD0I@FcLyB ztxU^9z6{9>a=;;QZATP@k?D&8pBC6MQB&So1AyhlQKCw!@03rMkIOT-D(j?7l@?Ck z13n>2=L+#o8n}BE=;5!SB`DyQYy$bFuOEji1l%)`kE3P-4}N4zb3EE=&9ADmrIszH zc}x7iLQKs|kR+QV^eldaC4!`UKlGvT_s_Eg<*@V)ISp1O$eOykJs(b;n?uR6Vj}6a zT**$S!zF;%25unM#?(KweQNFol#Z%@Q<_Sh>`1rh(6qYWnTR2eDvBc1T7gLiopgw< z7s1HXd^f}`anN(#S)U{K&3HFA5RC2uzLJC4Q2<>T2Yz;{+-!R?M^$?Uq^{t;@KrZ- z;e0e=z)1JAQ7>uiF3X!IqE@I%eVc@Okcku}2$6_$Fp~{N*-%VTT^1SO0pS*#CAJyB zwHb8^qT!67cz{;(GpJ6#WJUfmx zLVIUaPHg{N?oX6EsOu9Iw1o8h{AJ@xfLos1&E+}QnXU?!_rfMaXj53r?KbDD)lw=v z+P(-%hrVBy33Hb&7zC;<6O5(*L#NpAJ8CZLxtJFrdg6NeM*Ngl#)T@CGUh`VXNIUl z*qy>z?blE|Cs~KesIG$3bT}!`RwvZMWK;A8ymQf$C}6!RL;4}#7I&{6o?WiDh?_*` ze+)w7$t(`#y1Jz^)uzb$fW??^xlrwbqlQsYd1F|1C2yyPXd#k1B?TzS7FGsB?HTZW zs|{1?ZnuZudng}KOxF2bETB22tvtd$Ab*SdThH5)lnIAgz=CJ53uQL2tw#5eAwLiksuk(KcFa*jSKNI?%J4her*4TILw9jZKFWs*Ld zMkay2*YJZjjuI=Mx&_5cgiEk62a=Dj?0Dr#0Ci
  1. wo1IEF!A9#2O!{Q9g8*xG2J)@TPc{$?H2I_f5XM%1{pAe-!!^GRXv z90Z^XPogI%twVqT-p+B)IIb{df@Ymw)|Zm+W=Cx{YoEJsy|}7VjYx_9v$_QpE0dqp zrxJsSUs!BVZH8`*8t`6wqgY-_s)&>+ zU;l_sb@I#LM@uF`=iVPsms@{$q5ISaVz)+6a&=}!lrsg-c7lP}>^ff>h`Pmha3v;O zp;QNaN+c<1kAx3L*L(Ehf~^xzPAko#ISQMPNOo9hy9Y3h3f3CVwkh~?dN(Xc5Xy;5 zDFQZrK{+iPKYfGD&P8h^F)yn_&U1LxmAk?CV{sOYqC!q36 z{dv7&R@WOAH0&MnM<^%A*E^ZiVAsGk_Ruv6GljKa-;BUIiU1FQZlbPh-Yv$%c4-Tl z++>2|JLu&=!@3%k8*^4ifA||K&~O#DsEK%|Zy_?wLPbIl#R-KPGF({yG`t5Lu8SQc zj=mrK=}!Z;IRsBXpEpg4BSE*~F@Pl8Dm!>-DYV4gQ3?#*sG;^E*OgU^{#|1Ns$mMG zBig=UiO1uGpCjHg8qD;SL9ea2@ZBpd0lw7i~5V|yG&mU~n@_N4b<;SR6A>y_; z*#L9%cD0t~7AKH;2r$q`8TFPHo$ud$n+wBxmCdh7g5)`W}ytG^3UBtx>xJ!^z!B=P)m{(tU?ZVBu zM_(Ij0zzKSzSU_muDqvvHV%eleR^ctVF>-~GW5g4sWc{)+5;T~x|wKsByG3>N2LUo z5k~lOXVixTf7e-sah%V383%`yaiAqAx<#PIsba2~V-?&94a($(vsuJU6OQDkx0qq~ ze|-9I|K(}>AYe}X^UZ&3^^$6c2b&XPGWOew-|r+L6yc{)YSRVqz@|apnOK(v7Ba=K*;mh{$f>@Gxr)Yq zyQDKBGfu*QE*sVqAYrkx*6Yi2WV9=cDv(LSHv9=y9GAHZyVL^nBg4Z52KqxN9m`C~ z?#YVN1udyjPdq!LR6XnMGonsa8BsCMk z7YpDkTwyZLV`o_IkoT2kBkvA#q|isUQ3f-D&dGEm1Ki~r2&y9Wb@XJxB+4zwqsnLH zf!;lAf4qHq-1+niQ-ojteEs;(*Sx^;6?#o7ScKpIJovwmu8-*JDviH5b?}NjO)u&x zc1Ql{`fb7rBN_$QWwlCHn9tzzDgX5{&Px_?K0&W|G^9{@%=DLNF{l9xi-DM!@XbBr zl_%(%sZU})xh7(UqPLdi2s9E2Ma!jR!v3H&^>99_S$5}k6!cBnmCEi~xNgxre7y7? zZ9A6?(EeHeeD$BB|A}F6IeK=NQ7WPA}b{5U}zpt+;Kt`>*KtG7UlZLx^gpBPi#dA$9v8ycxUe!2bhbbGf= zFN?%*b|&zyv;rp&U_Pik&`ckA&=|oKdmF#N><(sAcgRms<+FOMfBy362^#7pfKlEl zrOo`z^d{?Dv5hZ6|HcXdS_IQQ~z8&P-5zdku*vioY=!m-GP;4$QV1GE*gNT+bk6qw;$dc z^*zy~z~8ze81o5A5_002 z5IHuWs!SsGR!Q!2&#u`ExUn_ND%^ThFuhoqS?LGBhys>x5)}V5kv_SY-v`*R1Aap1VLWAxiuvb-HHt&$Tn$nnht;-p@M})+!7!&FR z#9LVEH@?+=;=%ds4TVroMI&H&mP{CQp zu`KzUyQOL~3El zq!;s(jD*o}l4%7&mv&#e7nmi*?5WZMWi?ph$R?3@b0iyLQE)ya$D=Z;M}um&g{EC% zBLh15WPO5uSnQR|OYIK)%7}i1FJ-E`qN_Z!(`#8PkO7nrJuH}3g;P^j+6V(p$;5mM zYk_uuly0~K8mHU3iCl{=PX-~UQUj_Q=+U%I)3I?RQ0>}WjxW>xjDF1%C zJer+u51~axe%_AFaf5a$=J`x6K+MXbmo@c)XCS>!P0 z6`z#tiLTbmPW$Z(8l|da!9Euis^D=41=I6HnnT?YFez zWBLIjK&xF9>W&zfG+R^;f|kV!Q^?nH%?2J%hn^;>phDQ2zIt3Xw1}SpG<<@V9y}1!?CTEUv`e^LdPffFez{_pVTfItq`2aoRMY8L z0%?9MdH{0a{%VpQzrKmTu5>+vBSsx!FrT6QR)P*In$OB1C0K?8$Fdy7Fw{@g8E)^k z!>SX$9eVg6vsZE15%T0&CK6d%Qt@DL&auP}`_gN%opWSAz~M!0g7L1LWh-_XPVvt- z?{7ce-WY)T2K8@0-isZnT{kVsCiE3o6oY;F(MExk+GN$zMYJQ>0Z?5uXG0cAyzG?I z85DjH>Ba>y!b?IlctI6JDUt&33@A#@Yf%DDC~}hmn$9Nb==B#S|sG^hQW)m&BC2FKyo_doq;= zj`{5)+sbCi%bRwMw*z*;YfZs@hRzBjJgWZuYvQwjtTRY)Q0I|NC8k=75{E6oSN?sL z0Tdx1xpmq*O&%=DIA!QeK|4P{1`&EQVFHZuBwFD4Si|2w+W#&o#VrNH93oOoRGnE? ztKsq(*ycpKcL#N=d4`g6nRgfy1uo(+Otq1sV>1TsA}7t-m+&3hT*HLftJ~qFsc5>` z$D>=X>b@_AE4D=aKJtE$!#D!%7*#mN!J?8B-mupNKFP6gE&!4>jIUcx(zSGdxburV z0dG?0p-oUPaGFrSedq4_=bP7`AMT&-Uk&*CKz{cOvty{dz+@dflVJ8~cYF=E`A2n} zIm5d=uzCxuwvQaNf85-E+<5{v>-FQ)^~2L^^z}sOXS==s`0?@P$;SqA`I|xKx_rpp zBW8r47CNXeS+Lan&_P|O?R@nH4g}P;*e=9Y1@n)#r@*Xqt=mu;7hT9!guP0q0BBfg zGcM5=@`5;kEReOC`zq;{Dhv}CZjxW8j76TMBH4W7DYE_n6bI%4(EZWyCP}j=%pYb8 zFyfah!G+_dX-zRyJs}7K^~c~5$&2S@L(ar2pA~)q$5WuztmbcexpFS@&)Ip1CUH0H zW0?gA{0vkJ81xy$`@ltIUL9n6NN>l%PW7_CVrS4_P!c-5qNQecl8wL#2G*iozwyIR z&J5oZ=Uf}`Z|V%oH*twcS|SvV&uDT64`F4jvZ%FLyfwICCrTS`-5sjjxiU#_EQ^+) zlJsn_-7zXys879NR}{ZN?CFaVGEl9jCqPai8a(`s@L)6C71AMgJFE8!+(XUwbi^2& z)+n5qs5qw=1H{EuMD7D15@?=IxCwU*$aIfMG@Z_l?u9G|3gfZ-U@mDqn1Y|1i?eh2 z)?rqX2RJ8I5P{F=C~frR%8vA~B5;x)S8GXLg>u+0I~jF8BV@!hDlnlWkIMd{Xil1b zu?IG4`p(Z-(YANUzpFMuzHWPZ|8Vp4<>3x|_ye+T6}il6K=PlQ6UKs}4X^|Om4YpH z8(Qyh2xuBfqi({5DpY2v^iGqZq6$s%}d&Cand+$CN|cz2+}pjOZ7F$h2vg zX?F^rNkAzHllS&E$i*X|QVE{NqQeQmMG$dICV18lqq6v7aGjJDrzNa%E}o9~dvrf3 zuM*jA)0{DA}u%rUnj0s|px*|I*mn$2z6s0de&SBx&6kykP%#88m)3E?Zy%m|Ge zPx02O$3ueKFA2nFfslafHvt%sD>$M4b#5n3L(9Ts4R#${5N_dFZIk^Mg-9Lmn9*M_-$?Pino7Y#W5#ZBLMZ%Mj)r?%6`}S+pUr-5<-InsEk!aKy4Pu zCosV1gPF(p3lb}N4m!1rjl^lKd7!(YPep2rMxaT<_k$UbdUT6J4L*W|c&hxI=(&Qf zl!VFAKtRGEy$Vw2AZI@QA@t}>RmF_+q;pgPJ>C9%^YHlP$DOBNKEM8g|9<)9^Q*y! zdnD)W@Yiqt40bR*{QZ|?`j>LPTu48_3x65henjXbe2Gl@{|0co z6kq+#H=UdR`~LuXPyY9p!N2f-zy5@BmQW-+7(>MG0;Lo&4)67qL#8T|lui;`F07)| z4^7na-Z{F~TUf>{Sw>EFbP5yobg@E62{x$}W|I0K!z-ROYfkKR+cAs5YQ0oF^Ipv) z_spgwoX?wKLaFM&6JZ)}Ca`c7fpfct8 zwv;kO+fpVhV?{UF<^+8}#kW`I2~*=;jJmCxTe8I6L0x4^Ym5!e5mG!Nfe2c+g!71CXK@oa zm%_Z5UpJmg+B9{9A|t$!x+5&zY)VF(_hX5Ox_09DB)FHLi*5nx1U^ar2ui7Dep|P0 zmclg8Dv;VKoVhbi7OA7^`-gSYI>YiIIcDku_b|AmSEGIfs@~DZEi{=IFrA5|NLsZH z(>xTGtH(a?nyw3YVrqDW>e6yUam3v`K0VyNe;Pd4FTukc0=OWF)tN&8UCwKz7I@B! z#N_r$vm!f}U4BSl2i`MXUseqchc7x#Thq2#HjcY2iFezId~L@ zXFwak45{+TDAu=Pw*5Zx!h7v=k7Z#y&*=Jk9Hq?p> zlA241igp$p%nUqSPM1(Mko6H|0i34gw~nU*jFEa)?-qwMB#Ld_uxz^+9r<1Wbj%%@ zZe81k09?=u3`P6+)BVF!o_ZG4HCjmks%=lu?>+&3rDbhIXo}0_ zCNACurArD^pQ2qdj7#go94YDoPYf2l?qpSdN~kJRuwqyczoE_H_f8Hk%!;QU3=$gw zrK3236#3I#S_-5q`pMD?gQ6-hrprBrNhEnJ_?yHNLZU$a`GK8uyg0&YqX#EFuY+-L z1o3|C|Lmk49x|%yqw#PVsS$HW&6yDEF5-259Y^jnK%7~OCfs#d3SOA5M@tflNwWF{9POMlM0N;Zv zPL_O%6~~gBMm^k5GwE3gR`pr9LDQ!WdlJqnP{e#XwadW8tk`%U>Dpk0tgF+Mv^f;M z*ZnyxlDCi~*Srwd@`uye2`q@&dW~9nN2h4!tc)f+U=dBFR@6*JFfLH3RUq%u;Y0qh z1LVz|%3;}4TR-iRWG$6^@tUNxL!ef%Lh}N)elR<8lxT&ZFh*|+N(O|bEv{EqwVa^~ z>R%VUDZZ!&2P{Dl{V5aIih`16E_9SZc*&bGnfSrSlMAOqma}7m!Z8d3`lfDsNL?ox zgPaZTTOF;ub$y<`JM_4$`1|nN;pOo<1QcgY)>(zU#LSu287NHqa$NK+O9X(nwK@y0 z0nUg?y=9f~VnkciX7yj6#SA9fJQ*BVgz-V2x0WgwZji zq3nn3kZ92ZpO^(+ac>@jP9v0f-T82;g4w8?SO~K9$@T!|#wCK=$IJEV>Z?#spZXfq zDy#P`44|y3pHGon#v{`XJDIL_W}p|ncxW(3H_;Hck;@J}_7>vW5CSu3&S)%96*v@D zrkoQ91&|7RwKTl?BB!83n+QXECzRr~?vM52l3v`iI zkT7gqKR6W#y1zDb4fZFk$&}|Ej51~S<-1Iu&3)4^dU?t&rgTnWaDu}xtWqDaW(_k_ zvV`L)g&ET745EXiC9*{B58$4R^>>>-k9XU=?D57N^XD%P$sv$8@fH=cXp8_aU9?Y4 zhkWaW^77Bh)|*BwC|9L+J3$oy#tJsQZ^WOv zJDxo>IapTn%8n5B`zRW_EHo8-g7BVC)=i z#)v%Xr@__cr}0D z&elil#u5~T)D+LBRCI=CCX>2@8n3pM1Dp!CL$3XGfcmceMXY`wcyBT)^1pxqIUr~( zIZLAQTdAvwbHt?hwI9U84)spjEF}SK^uiHNa<$Q(&hg82siE$zs20cmoUQ zQ}md5QKb3y=qy8{=L0%f^i1!K*a3P`g)IY5V?C~8)1Oc)DYR^!rUvtLFy>}Mve8Rl z!zg5J40nM)Vem2GViRIm{~h==!(>b$e-^$f7Y1xAzaXPrtu;xc~C`79}c>vi=r3QW;Wv z0sDCI2F_Left5j+EPZb=dEU*AE~Iik$B`4S!BkJdM&3bq&0<7f)9(kb8uEq9)XFC2 z1Rw3~j-~^leJ4@x83_l8GI5#}JtiyFJOMIztuNXQ!P1kvS?WpSjQU z#fO=iZvN~|UVfPA^!&w#K?Q@CmIhN^d~eKo`FS|$#RnRpam5RJg(>HMWR7SXxj%%Z z7LZR0ifz@oCMG>f0=w2BLWpKQui2UY`A6hSJlz}}1m&>gH$58XvMl%h(53`#GS@+TP+zlxhtUG>HM z5F#Yh4Po*}q2Eug{0}3VkUZEIh(moTI#?ZJeimVk1|(mE1uiC8)Wpda@F=p6&Qm?iQ_-qI|4+e zErZHsOt9I`&n^zpy%-!eFWJKDE{D3KB7h?EZ+821#Af$pB@uI=mfG`6aTqT;DD;Oq zTiFu(cM zrzRPypznNP6m@4O6oSK_ul{q0@?B!DE!mfe^&`!HLFXU;2fM)zo>r~2lV{P3+K?@? zBLjf_)K0lTI)r+z(&I2^jqo8W76@J3B~NSn>E`k4gUcb*;glknUmITH*CMz8J(oE~Qh@2!mL0ys|u9y3)Z6Tfq3Am@#p57xZy z{4Qk8=n`XbQul?eFM45N$rQ;Y{hRl&z&~C8a)b73cmLpjC_fJU$qS1JU`>PLC_807 z^6X;qoava-IHVX7j93OILvS#D44?p*7W?fTEzmst?P_shO(8=_=0IBR@vJ18TX8GK z&;icHt>{3~BmBb`UaJya~zeTRA4#o^!2jOG4 zFAf7caOFK4tuTW6JmCRYdZJL(We(cz$D9x?3O>O1fI>-0PP{h9`?Kt0o|FE{eS7^ zve40Q6SJYj#56E67%jsUy_G4{u(nyw%;LIvlCjje&^6663=Kzs=ntTmf@$6D3b7f> zTS8+^WfBQPWs*w|WqSoC!S##!pi;iNycq++Z&sEyD%q7${g|J2v;1YjB?pyN`<@x} zJ*!QT4dY4F4fP5YV#h%GTjCz4$R0l zGI-!rfkWmLhoLmMV^!5f?vRTZXnn+{bSDU1CKP06T-%`?Y-%c(k2$&beOhj95Gc!$ z1Aa;#l_f1=khC21W^6vhh)=*9MdsBa;Eslx*|xRYM^o@ zNY&1mZ;M6l(u^e85gue>0<_$WpaZlpPtmm^pCcc|raeC1JUl&rGIfp}UOAu;Ch57% zSP7|=C2fq2FjI=-X&Ea-3d6ot#wy!Zo29$vc6*zu@L=n1w*yWX_0*Zvtu&3-I5SCc zJluzF6kdZ8%U`3CKOWu=2e9)QTi4@bE%dcI-y9vEoeJopIsXPB|Ksl@Nl9=N2L}`t z@DdSpnH)QON)T$~CiCxxUdjm0Op@b#6pF}0qRy7mNGR11q8heHE%6{K1SCrzy)Y!c zZE--A_2)exAT=-1nc6sx-#4%>Q6%BKHiod5zfRzMqH2cC&t5s7Qz3%qW-Bp74IrSZ zh62D4jEk1ef%&P}9F-i{K&S<0m#0x=hq_Yja71%o?uz;*Vtj|S#f~MGCD(R!B)rh? zJ2IOzr~=VLg>F_e-k9*W(cYjL`OcO|z!%~gs8wjkY>F-8?En%5xc6dVy$p0gn6RP@ zdvm$bf(q!G#xLL9MFC37>;Vvx^w#w*C=VR&Ibs}!{jmjEV8iyM8V{R!g6 zTISLm59g@Uf}3vVKfvjrwMXnh$stvcCbU(VE)VT4*u823O0(zKqud3%7ZOlR6VMYw zSbBNtL?m_ul-1^H98lD_a!sW`D_e?LIaPL#`x2+A6lq^ zci_vWBQ7p0pOkG(WivesR}Sn+btx2@JzlNO&w*7#2^j(d(2M1T3I4@B)8xxjE-ep& ztEdM?SAi}g6vOoyU;Q@|VC9f5ufpg^)n^ARop!|X>nk1)mem8v9HBzS(dGyb4v_qC ziZW-(X%tia?ONlj2(OB9sc|`-#ivr_ZlCYYlPM_PBl*Z?WD1Pe;UIp8XG~HK0@x}O z1o==8|1TzSQM(RyaFc)g~9Qje6$G4Ph0k7Gjm)IG5Q_Sog_r zl62EIb^36x8`#zT?CURYAvYP!1}XZD8r6n;HC$2D&KpM3vB75ata`f1(o~)5xb7bOLTsFEHj7JNCp$?)cxSil=`B0C1nd@-g+gmc@5oi3#NBq(aGTlD%wkn>%H}q< zu;pN-b9zk=jhJbK@BZGXQ#8{vp3|T@a3JbMy@~mHNpqECyGGl53a-jv>lzD2 zG0NW`FK*xe6MO3Tc2Yk!ci?}|VUFSz&d-_ZxD?pWiqOV(+!cruRD`Vq5+F7$xolXzhdVVXc|2w=#?YatjFHt9cOV$ zuC?d89&FwnEdf)AwfAU{cu^s0BS}#qdC>6~B|k@z0uIpw1P&nt^+HGN#0rz$Pp62y zNqFjtt!mk102t-ilD%(2MT6;`%5PG2Al4>W(U;Us16)ZUM14yX)zT zT`}K$mC~b>0Lo-&tQF*)IW1as4o-qopJwSudc%7&GbBH?+Se@l0^p%w8APlaj(0q3 zZhx0c^K#}7?95cvVRmuX3&KrW!Zw9P!~z+*b7ngXr+J2op`BqJGL0Wvpx|eDm;7b{WQ{LO(fxe^g#A0J6bq)iDSESt!#Qdp}9&6eIdQ zk%h>|=&TcWs^ca&9(=sP4g{h@jy*nO!G54N#KaLT$D0kFuV)*CAu=?GR1uN7C~VXq z{t6rbAXJ8fc9I<#G5pI#E9-_y+*OvG-<-S+nxzJQMpqMPpMIqJE<~Ms(>;}9xWAhr*jEyJ24rqqc%f6%Jf#tZ?$B0 zC|u4P34)=NKx9NJ%4qajgrZ_&F4nVCbIt;ruY!NL=as2OEI4+2ba4R}JVXT=L4o%< z(@ODi(%MDUNk4T27Y+V>0CnQi4Z&LX+xMTpc(G8QWJechcG)H=7j*UxA1vHn#JlG+ zC zokIUX7>M&Igv9ZIGvCqRyaIJa(sT69;B8XthbOU3ucUN zF6Y)s5iUK3jK0+m_W#?gzS(WYqvf&l(h!ePpL^f}vENwA=e!8MFoeBMbw-*J%4)67 zE^47E28B+bgg@%`#35Z3Du0vlV1k-Q&Sf^oL{5VbZ4ROL@Fa2R=)w(kT8PQ$8E{Ig z4$BdQMKVU#I#{Xy243aG7;v2h7(9k1rBaE&5;kJdRoV}4EkHC>XaVFt8vQ6;WoS@D z51d|1+8!JoLo=y{H)q>0SfOvyRe29tL?v759(6?OND-;BB8~)Eu*{i9IMg509XF1} zJ(4CVxUnmqz`-4;2E)ac;}M9Zisc-+Mi zGy?JxvF4;e_8^5DYk; z8Yc%83pE-OdmJR>^$d#Zg@RRlteedTVbU#|N(sZ$ikp>Mw`{gMZmBnTtk1MG!4Z2X ztTInNPZ|}Q5+#$Ot5E4HACu|n3cJa3Bu)q z567XJSAzgG!pE+<1WlHX=(Dp7Ic54|IYpO=6oQ9E?0zu^ek;>kAm089+9J_K&WX*W;x51pTnV*`6ML-(J7}-(PNlfO@!j|K;KF_LrL- z#HE_%H zV#z9#F}SWaM{iCMs(qBU$bxY#gKI6Hihfb?RB3O@AaD+lx}p>dEo40P##df2A4Cpa z!n$E4#q(#Qc`^V!JF>5&aIqMAfl?D(sP+<#_K9?SXwz-;`jb7NjDWq> zDwUXpRUuCE*XxHnkm<*phnu_iH;+4yzyJLD=f{6YG1teR(XspMZ>_WU;M;%PefgI2 zkudu0*MmP{>Z`wi$ggeQ_2c{7{~G-L>#qm6!QgBBk_~@;xcTMw{>$Un(fN|tF|=8Z zS8r)A&^7K#1uu(&Zg4WZ$127z$-L5migwbO!uK{a{oQ&lc3__y&a^OZJuQTk^iy@* z2*PO>Kmx&y0YOWbMNpsO{uv^rGqv)>f8ay<4z9KAcl`RIwd~h@QTxFcC4`R}OhHr< zm;_FZGTN+hsy~Ih&o7P_u&dxU6T#>;NGQUvcHQbFaljRn&gX>u)J8r%IC{exnM+ix zmBj7pWxrE*P;NE5IH#9zcjx-`kFVdq{_y(d^~YBOzc{#lJ^1nU;62=MuLn1;2k50` zY>YZY@S&)Ut_&kaa;ZNRBm%dZ*$<)jOs;>Iqxy^_k<$!H56-<@9kX~^3s5XoW_P=% zBmVByak({uAs6eTIXdd?5SEKzN2V|j>}no45^;L6xY~}8P@iP&%#Pp9e%JuSh+8u& z*4>UK2Du*65F^le--HTv_fF+&kRe^7+cS`~@b_mx%IW2yGJ>#K&;!!wM|NGgn=(jv zuox!e7gN1~W5xGg^tB-Rmo98u*?O&-57YH>gVOt0h;A##QBPi{UiVF-QJrRe3J&EJ zkWbJ&VvGcb5uQOVEu;Z^z6N<4`9VHK-%$=$$el7T_Vhsi4`hl{jApj*0>Ky=;1BSr zPDLDr8YlL!?EZYubhg$ znR+NB!uwXdCK~8noq1Z0ak1#*VaIe!Dc}F<7@36I_t&33?OcEO@W52lf7|)x{`Lcu zl2`J}t5-VmYRBSW$tjY@1Q}YWj)F8Dl@-_?(!B$`Gs?}MT@ri-C#9Hi(S5wW;)$D` zES`llaI~3dRe>Hdl_}3x7n3Q^*C>-I+62LE5}G2iy6zrJPG9dFJ${{GPpW?I7Cy&r zp}uL|LNRCM*MoDlZIiR7rgygG-TAUFfoG_(2}@Q4nDDWEVLFEMlWebq?`UXYlFNB5 zMn)*H;4S1Vx(<+y`EjYtkjGLR4p3#|brt1!G?Y5QND&1SREJ!Cw!>!(T@AHFa5I!0 z?v1yqhgb0bXa76@W_N`0%a~~nSarKbr>fX4C_n&aX-*4P6~*(4R+@##!xAUZ{jQb( zPIf*!T5oVJ)Mu)wOFJV8kyJ*NJW`pj{mBlXv!E4h&w%eicUZQ7RuH_KqnI{`(yrc& zltpT`=NAl0f?NDI;U?%Eie^dp^YsAkF6QZ@y#u%iuDqgp56lI^8~(xV-&X6h6^o`m zVDMj|rU2!dBT$hWnb@ez696sM;_pI(mXf2e zUyJK^2Xvt&nv->FAGPUGSwi%X49t&-f(d1M5|P_>T)m2Ib&k9R`Ds?$Dph9k0e!xY z5BHyM?%?@+e}Dha+nd+h?Z>-U17yzSm!bH5yn8*^0b*X*{Hs^kx1Eol?;p46g=F}Z zo4w;p=m;oI^esIe`9sza>GSZWSYzWU}P`6Lr4DEBYkHGfc)1)Y%-iJ73sAfb}!rz4^CSf0n;r{bz#$8ZI;PzAAn?-Sgcu zOU`FiCG)l|^+DZeGt29Rd)gi!T(@w~tcgx$M94glkX|enDE$ByT2i29ZAcx1-7#B| z$rxf6n-Ses!0<43@{C|^#-Su%pfpJVfvX_cDkO|zR2Ri1zhvW}rY9V^1?MInOgYY0=4K2KNdZ06-oMf7?aKmj8n^`fYRo02}@XIU|RwTTYtpj6l*_4ViiI#U)ZS z4_Kc1ph>dF-VleFw;=KfWjj22b6B2N%u&klx_k5n(f7#Lr$F|#3GW_L#88$<9N37@ z?d=ZsCUz&ZR5?9`S8TO>lX||K0MkLC?pj;H{2sC)*&81qj|BZw_%BZ}w65S7r=^9E zt;3&8_jFnjCMP+72@UklQA)63`dyR-)s${kiMw<3776=_F;+f#tKPZq;X9WQGk)=O z9?gTUjkvTpM}n#+I{+R1Fl36W=6V zO|d9A`*a6y^5Sv>-%pT5%8tuX*+nBP_Y8$Mjn!6AUFif}7mybkxsxy%YTQetvcdkq z!PxgGhvjsN&>f==O-8y@U7%7iV+EC*>@RZPLeG-C#R`u&IVrk`5k+DFxlo0B%KY5Y zC$<~Nsxwj{tnM5oEjJ283A((%k8s=WQ02arNh!?&vxyq;E|LaOlgaD6o3ko6kWbI( z(^QJ{4EeB63us;3B|09LYqH!NnM3y_B?^Rh7U&PxogZ{Y`S<~mMz>muNb~qXEgAZQTEGttpM*3e;+Bjp~_!0n7&ptz5w@Xmo`l!-{I6wGSgQri#YT^p>d zZgrY1EGSiB9$NKKD#$qjnRh!%vviJ-5TItP0=nJ)a=ra@`}kzWMmr2~(_Q2wnJI5w ztb(L=e8Fsp3fy)4BM&=m1D;ROTERU8_-+mCVC7YZ<>Ati^%Vd$=Lv0h2bHdc%e-4# zQ0ko8k9(Q*C{-qOzRe2oTUPbHN-P{!d56tct11WC-B2=WBO)DCpj(ftL6N2MZDx!6l>G* z3j7M^&)IK|ugVAP-I)P5A72`>; zZCarmWYjOpAzcv)=d^fFxzO;Opqn!VL$vzZAZG)qo7vZnhA-NEm?M>+g=h1aroMZJ z=F~WD;MyUHpf;<*zMs3PtO6`Y(X#@u{9w%}P3ipKYev!)-ZFs$>Ecb4Q+a2E0Ep74 zZD4>V5301U+d<_LF%R@hHzJe}6Qmi4Frfjbcu5xLCjqJ~4VRG=&gvG1*6Yqsx}Oq` za=twG%#dyTJ*9zhUot96zP?NYW8m6UXXH8A+&sL$|5+7QrcO*%Va@mL@C?WhFd_Pb zU7|q3r-kd39@2^OGK8h0E*cueH(#Be;V8qX%@8a*I|f4oAnooRIM~~#uUWr2S@FDx zRzov37ac+T#M3$dj`MV50}J%~q}kz~e9?6Plq?K84ctd;j)kMfB_&AOb-_zQf{czXQ|x4Db7(?Q{gd=2!L?$wp7&r~ zT@G3#=rbDzK^tPNXKz+QCrs5p+%TFSsD-Em9wc-P(G~&7d*1-$b^7LS(%k#!+dG2Y zUJXv9b#uqgjvRnZjk zO+mx1Md*!=l>x(qg&`kuNE|$@y6CU~&(KX!mCJ@cL6}5u_lBo{llK`#9B%fXwR=L|UsOEP}wd1X*Ry-`YCI>AaOOI1ALs>al2&hX+^aPD~ zA!+|;i-oROzEJv1x`(jCXqDwy*$`0VanIQSxHeWwRLJ>oZ!p@Ix8dV5dvC*cnZmqN zzR9!!hbWY_Ih9wd7uBrpY{L$mkhvDgAZ+yy}BGDg>ki7Zh3?B zZ%oPlZt=fARK4NP=WR zvw|xsAOs=t$@M4SlD}bkCc`wLJ9W1EX(cyP`Z@-5DwQ3^_wotKCtU=he`uOaMo6{= zfja~F+39@H^*DMbJ@B7ZnF1zgc6s561gTo94w`6JpweRL)-$`J0Sx`c>RbCGRpX<( z#g0YLKwPzrjAS zYuG#cZN_|5>n75J5CBA=QbVGR2m#8Oi~~2rI@^}(&bB(A5~f8T{^}j?h99cEMht#s z!0%T3oZK+(EXgRbeiw3PJir5#dcXx!;XoX&f{p zt}CGEAv^aH3LxP*zH0n-H7e~i(qk0}F~SRnQz_^Y-%OS(t9n=jD53YtJ7o4hK$Rq- zeHc0Wj*`_AX*%+3jn$QXqsCoph}v8B0#g`N^QWE8FS7yPY#zLBRn2VLt0=%RhJRz z$0Dmri4rXk+sJosE_O{$fdQS{eQK10olYv9z12%o8V-mG6? zLh}koQ4VSmD_QM8`q9eQcmo}8hnno~_C7a+=rVzR%Rg>$xW~;qjvpU8!|QfWmWWVv zMP%>ag!W1AfM0<{L(Hqg`vkYEq6FInOPqBpGG7o=LZ@RX#_8LlTmwywxI`qxu$6H{ z$(oj7nMbI24JE>Yns~0&7LhnVeNPLPV3xyz`T%&g;KDZTF&*`@tVfx0EIZ2SCDF^aJ>3lGCd+&Gf1*VyEIRSE{edAFl`d;FnIbmPV8+$x1@I&xFXQ5+e7-(GY=p^&GuKhn#7y5>yS5A5mO2 zf}z|FF{VLbWNqc7)+{S_Gt&()QcyS$h$_SeSp#YEi1dQKNt223&K@+5SG zNONWtQ1AmAEm3PiJ}o&ATEKvq5)DLbg|}5=v?}8d1Z3F!qp6BJ!0dNrm zf&E#HOcZpXlgPM;y#RHjd|ci@xh!JV^h)AEDv}x*Vr&)b%0At0iLS3J8lrnz?!ftC zeNfLr#zRz^3;!boK{w9!4O{@((%aknA0GwnhHNV6`B1Y@Sg?g#tZ8d*aMz$qNWxp$vxFv~yeUuyFV^=cK zJSely$5arc@b^I3e>vW*KBdQI&o*=gC5E(ZOfQfEK*=x^5n|)nO;mlTgKB|=uBTL@ z5D7y{B;y`39sK?rm5$Jh*U3Scvita$6_A=$(CcsR7DS zP>yCuBgdw@?a!mZ2lUHwAOnI%vovs=w2}bWCg%s0qZ6h9cVMW-pCb+WFr(2bGax)> ztT`r19Gszp30{J!5dLvj4W6yIB(|!}(1*vCyqQ4v=nJS}@C|FSt7j9eq*GTwX6(N( z1H$#i886)ffjtK8QkeqUMz=VY8BLXMln9XkDqX+v*RRa?Zy^j=lF!7D9>!Ayl0JM0 ze&c4}4H-dWMZYl~O5KZ&SvgE!{U!mK-~=?SRG5=^-X zUEp@AJUO6`h(uE`SYldJ*Wz525jp2L8`V$h0TGR068vuf0K9`&Q`kZg-<;uZNI)`- z+K7KwWjs5IK{4rjO&6uaxlyFLB~CViqr~&(6(?rr7ng`0LoX7aQtyyITV;Ygo0~jl z`cuzJYY5kd^<>3xN;`6Azxuw?k(bkR+7a?|oNSO&N3w}-Y3HQMLVKsltGF^H+2Kji zT4B&fXK>b1oH$~Am;4F;W3LKvx5Fl<39&0C1fhDWOiBB4fIjsnz6hF;t6*8;+WZWh z5gBeP&$c6$$`0k?a0T;mb+TF$T>68a%&(5-XQwHH(-H>cUqBC}t*#O#n9tFz$jqb7 z2|!&^5y7=^rz&PF3RUU9`YKT`4yC9U-+@0T)>q#Y!dSQl> zXwN*^+g{TWS}|A=E1a4eOzg_xE_jjcYNk1$6G02>kTHz${-8m%Gz(-k^>TAf$UG}d z;gHpVey*dPFQfL=fWN(yUlZ*uq}&N#h5ORNpPiJ}T%qZtyq0l|TIp>Oe;m-A;hI4w zZM6(d!LkW|BlW9SLAVOUe!^AQeK|0Va>%!=GC{V>;9P0fPgGBijsKXh#>dkwpQv}# z$`^+RjegB$Aswj#og1?f7XtxJ=1lUq86uj1vIb-noh}NR3hHWQtfH^hX7Tl?NiXM+ zZg4!VuFio|-uVW&KaGldBzfF%t%Q+3(5PgLMkW0EwB{1J%I8@LTZ!b)=0_;84fx-B z_T~gDj#5t=Eh@5vmK$&=bQ{ra?HNorwwNLt%cM{9ie*i01&}AaktCs?WX08Bl9|O^ zj>=6v{fP)3K_lTRDw~Gwrc9V`5eKNk=;Nr8J!mhDlQBz@uiUv9U$qz_GBAAioI-mo zSWxwfizJRBuIUEEe4i&VDAwn$Z6;`M(dBy^kn6>nrKrHe!kDkoyzAQuf3A$`N*to< z<@)GKdz(lnY*XS=>_>W*f`uwC3EdfGsW;>2t8QTeO6yZ|bzQ+#_0*{1y`IbV!Qit# zE{s2mdc(M6`?wBZrntpU{mIk@0pp?dK~-l{gdT`z)X)P;*kK$77qG9vFGw$85ZIC$ z@&=tH-P|+RvJ2}tE<_G#q=9A>14+s&1IOtSp}1ZOgnT$Lnunu^5()Skbp1}R<~Pd+ zE4K0xAOxjVNp?hU2yRsl=_zK&FxA8@;U1L(c)+C{6#ofKIJ;V5zxg=7BS-84FS15_ z3}TmfzZA=xj`%ZI#^te1{&!*KjO!*4^DM4A4sl()nVukUI%N=3>U~ZGC;<1}W-Vz% z8eB@wZSNct-{4su_bv>EMlg_)Ns^Xgg1aQR%)6zSfFU7gt9ZKSnBXGNpC$0sS|?q-S^!GRAVk1kP|BnivuJ`c7)Vzrb0-KhgcgBIrwxcAoR!s2iY79jA!QfQrYu$ zX3C0j|AUFXddK5}{Z^(u+?Pa2VpB#*vGfwUcLlx~j$rm>a$^bqT}d?q^{RxoFQR3r zm6JF65UXY(d9x*uChbK0`OA~^m;Q3c;ML6sx`=oUm7n|q>Yo{^nRG+%I9%r|VGaPp zM8&4<(_h<{)z=oVb>WVgOTzx689h{LiY;DBM>;weIeXpD_T#I93y28 z5-I5`sffN5G7EDiNs;I%$Xi`Rb_@PaGxGQpYGE4wvlB z)Asrk>XGk!0t(zbbpBnh=!i94a$%Rsf-DB&JyE~{24`2KRX zWX8_Bv-J{uNX6$YYYO3_6W-@E6QI+h9bfAzFjpVeJo`4x!K|v6ovJH?)F6~2HoNqe z(Yv6nX#DvmA)_2_cN6Vs;@T&z**J%%N2wwcoCOn)Zuul$H605B_M{_}%AqPDCtev3k6Y7e17sRRacUaInPrtl=LQ(Gz_sG4#A4qI@`eloZ$ie@>pO4|s zzr;b5E6hgk`1k(<6zy<`%AA8=f4cp2gCh>s6VaWANW4yteKDfP-HCM=Z6qetsh646 zu*fV9HYcWw+Hc5mV@W2h!qqqG%hYoRS*t|Kc9Qwcd(aMFOhjnyIWxX`3S9niPtK^G zF`c37V8&0g*GY&FRO_DW0J-yXZ`1#>?rM!0*hY z3!dVWDEJH82Xt#HS!~aOlm-XN3WXS@g0;KHUl?7Q4MN%pz( zJ_KV(sX0CYDoTf-GQtAjrh8kK7T6sng3w%9Fi2KsuB53sL<65D@>{4V=L5j6(g>?G z)Z=$ZFDlt1&WjuZ=ns`dCe!*$D~!cB(i0;qkAKd#p14RO3t}MRiTDQS6e;u1mTUS) z%KC@OrM3Q{{~mW=b?V?Qkd+E*c+y(Xw!Cr*$zhBI4b82C3N(QML7JzOBbHHd3Ut}9 z_9g*aXew`ySjfQ@YpVg!9hbLK=oLz~^7Jl%NIX0Kfj?m)t9+oU(&hAYK-c6$a7;s# zc0VQG=*on4VM|wPyJbG(!}e+W;pQV6Lwy*)$o38ju}3DokuUfnp?QiYQcnVz&3JmE zxyOr`&5sUv%IJHh$>X|Ps7w?iUmOM2oH>O8S0p)wcHnB#tZ?!n0mxtR?aBOk$e z;?GteFW;auOI~Ba)@D>@VhWz8-X*uE&lvuR(~HC4I;oCUTqpH;{BDGsF1GKOKLW>` zag_w1F;aC=IYuju=d#*uArS^4D!RG2fEocN)d+=c=vnN7RHXN%o$Yqg zDJ{a&-A-H?%CsI_cCCl+ZgTko0sXT?-zkTbKy%)Q-jpm8g6FGW_jm1%%A4{lVg--4 zpzm-l(#!hI%I6aVE~?0ngyD5qtIvZX%xC6UVffIC_fXrli*yX9Vf|JTu zVSerqUo5a~P8$AI9=PL{@`$+Icd&VPv`m;$Wvp_xYqNBv?BtBxE(m}h5sT3=n9IeG zHn82Eox>PpNg3AmitFA)J8VDL=uLLDT>ErT>Bo*F3X#&*#j35rP?7_g4)_`C4$B#H z4$&<@B^(0Pr)t^FBP7?$n?rw@0m66yZbFcj!FyLrb<%kHFgmy4SJ&6Dbrf%MV2c^@ zNZaeDo8NAq!bu_Gc{TSII(!eK6SS0it7W19X5#@2gj6`0Q3t-_WM27kOgS8>6!mvq zM%5Y=X`7+pZ(d^@0VC>MQPFEryA;*XLugFgbTo+K6y(D)0Q62ORoDiG^HUD#$sw)! zDY&@0TsxMvb6uy4m=G%y4P01AAQP1d;$$kalG9yP=1it{rhX%`O_5? z@q=^G&X?rZ_^hm}$3zN>PeI2z>#VNIAe=w^CR zpQalt#43h$uZ-$iDm#^mml;yuyw|yw-JK>>O}eG9H>40PDMkP3$*m5hXSXwf4obhN z6ukyeJ$adONZ%w{TOACW#+VX`S(-PEIL&MViJxDg+WX>Yu|Tm=$dVwT^8x*K^QR8g zk-uRp33$w%#aD$E@l8UPh_`oOqDYEdwv>$@Z*M+*c38*%|8!h z3iANShF%T+<3ExsvO&4~OrwQ)4NzcJP?AEZ8+N}37YL+GGFo_exmQ_{o@7-Sdf1%~ zc2Rq|gb`3$T!o-6kz?t02^V36+~5lQBRc%Y&;_9aIjc5zo-ws@J_CWnMxfSqXK)cda+nyI z7!q`-@CW{H0z$+XLufn6(t zA~ei8mt^6<&WZt{L_ZMx3XNc_-@RL{5UDqh#SCBK%vv@v@oO;5&_Cv1Tb&_aPDk5i z+rp^OHOWNCTuS|ig^3%`Oa-?n?v3}KZmu8T_5O@Fn*7MT-?%J)o5LIaA+izMFzFsL zr0fYyxiYHs$jx@Ja@=DkQph8#&3HVsJ*eW2aQmB3|)4h}l{unjtTG>)>1r5ciaAYnqRlPJ&)mKc~at>Jic zIMgmk=!XO>HToe@W2u%40V|E-oqXnKdBtER+%s^Gh_)YCP&(v9#dRtt2vs?k%PR|_ z`32x5rag6r{HB!&a!=5XI9ftFhq_%bhIp><8ulVwAfL*9$bc)^tpqh8kx;1q@Z@BJ zuuAWcKS^bRzMUTam||rHI1C&f1WFZTGYG{$OFA!Jn2P zK%zcW5y97zR2EeLHRTK?X*#+ki*>O;Y03>R0?$IeE&GjAEYRW{TG1Jn z=Z5v?7~b8!_*}F5a)1tVGFDOcsdQT<;RBs1`f}#>OEXkFS_!BPKkwVK;LfqFQ{F2m zT=p&Nd`8|pa2<_D?P@%#)4>v$5%7S_5Q1wO&9$g*l;BW)lS*jnZrQu4e57D;JjM#?KP1DDi=d!8shKUnN0C~1FMLxrq~A|o6;6V7DdPYuik3#m zUXVSVk&4{u&f(@eu%n%Z6ulu+^jxENE|+#+@i$JIYKP4k?y1U0&aC`-``zjXBZV1F zT|S2iC(X}TNqrYzm2yZ|B_7T;l)MjaC=Z^G_d|%L4|?B@k=ck0inH?__8FgTP6of+ zf4Y7WM8VhSNBK3Ss;gkeFZZW-Y&ta0kCq75dVPTdNTr;d6vK)_o_VeK{z>|B-JM}p zSvTUg7m;mLe6WsbqR5`!OiT}7;c#4|gdfB3z$qUDmz{vq#3Les_ z3T5yBGX$grmZ$Z}5~#0?tj*kJKI+c{Z(zC#z7FZIyglTkb0HpZ*B{HvMy7c+GLTG1 z2Ul~OBl-~@L&!rgul?YTvfw4$gh$~a*#?0Oz`0E}N1jwybZp?yCG1stCZW(ey|8Rv zy_A6nDaj4=+xtwMr{!q0g!zl_V3j_f5Yy+OK)|go<18A-1hB&yosYoGGyh6F+8&+@ zER?pB!HO(UC;O%vpBzf&E(TcFc-p#cukYDX3|fH!08ulUiyS_<1890fODEP~l0{%9 zgE825>bSsHCjzh-(S3^Sb=QjkmE09N(MvT_cOexCL{?+j!*ZJg)?&SlF7UxEY|3L3EpCPDZLXnx%J_Sr_1)e56WruGI=Dq!VU$X~XS?CI zGkE0RYy_S!^~Ir+qu~7ty5gD%4?GVZJqzs^hvDSt4)#nEs&wK@Bq22qq(>wx-aknf zU)(LCs!K^jD|1^c88bK0G89YZ=C&%hC$m#@bO|1U?x22$taHIq;2aSPx;myGQw=oY ze^6q&bIEnfazGYH&H05n0v6^7NTUYeHRj9WPX=+iMOcJyi32DIUu0GIVGXA_9rRdE zN0XOOPSoPFm{l7>IVQ3tSRjq+`43>ZK|1tJs0fMPsazqid?TEQR{!zpEo?vXBNGH} zCW^I~S0XKjY|oNjGxVtORw>E@%Oz?jGHRUCjaNCL3M&nBWFIR^TZ7_vs+V$mTvj0r zg-U_Eu1%4mUsKhIxJQ`KRYJNINrM*RB}sESTWw)0b}rRvQe>{w+At-PGH-Ph_7tR; z4OI5BGli=|jq)yQv;}`5w8#WzsHhs^$LW)NX3(F!Hbr)Vrc{6#sl3J7AHx$Im_XkY zqYsrw|de_O53%v2y!+0SqYyat&hhd30W&J@nJ4P72dv`+16Y6OL) zJEDu@ypSg=YWj$~1dmv!JhOw#I|GGhtQ?2C%j!v?@YI{Lq$!VDj;7m-Tsnnj7PdE0 z{B2O5{qhw21KC?}HUDyd`vLJD5T@nKfDb2e8Lfr~Pt5bh@SMS#HU?#V1##nrub3sf z7{zwa!iFWX`!+gTixZ9twv|IwnWV=G4?ntsFRl-Pk3r=B_E+)2`09o6Ljvz<+gZqu z21H;8#r4XgmoxOaop3`%#hh?MFSndzS z@CiJP6~%Nd2N>D#cBx{6>y@`FXXx9Jy-@kEoIK<3>g?gMrIDjurVdVk#RznpU00Hg z0m2Nvhb`|LasF5v=i?bz$Wfq+v)LLw9WxxLs&yJ+t<00VY~lPt17d<}Q5p}6sNvc& z$UZn{`m&+T4tCQ6m zFk{xnn!R14WJpo^YIgD4&o}?Lo~|ZxZl0TiRG^n9jap8ENTA_MI0XL*6qsGD&|f~T z)R)pELLPY?GbeK$P#R;})nWn7pQT-sif-!coQSh?vgg8P+^K3rSu>6mjWUICycdqF zg$s;Vu1l!B!g*VWb&SOjDRN=&#yv^hqJsTu1% zM))Yg$uK&E{O8RGik<~Q@rWoV84(ML@D`LK!zXTtWS9N$b_eCq(JyZbn0el$7{aQ5^Rq8YiNhX zGY>m;^Xv6z^bnTvKq>wr`JGdm-QlV$E0Fww=XhX~n$gpO7TqXb6WLSW zgvxsLPSuq|917nOIk6@PVm3rwsyZ$Ie{N^IfafswImfZ`Kf_aaoGix zkOYpYG+jKtJV$kgprLifbSt-~t9NwP!pb~bppUSkVaPI(zeJuBPOpJru+z#HO7jmN*yCNqN<2$_18nq61gjilxv|{|r>Z&Q0id+aE z=v%2_*EdZwiauyo1k~e^g+jH#$LbVvRxvPmwW8^VSAz?rEYX6P++)X@x`4P?8zAiw zZw)Va&Ya0`bm0#Orlg9>lk+n)v|x+SuJyLBkIW+d(y0M4Q?$v^nrR~_zhLQ6-onJm zSijJYK;7iIP??}XX#)KcltxjLS!-j<*FfAV%t86Mt+V#iif7c-H1nUgP$E%R7C4)P(?MoQCN)_u|w5xM(oI; z9Zwj42~5KxDD_$KRrb(PY)@;S!|Fg#S#)gJ@>sKgXY+N1yRg^LPWW*@;==>}&?{wF!JRQU}vR97(HV`#+3OjU*)|9uOo^J29 z??3&Qs|u=+W(hGyA3maA$E2PX0Ops$%UHCCUgm~Enzy}$&=!!Ir7;6BaK#qnKbeQUcShx`_0Q#+6@0&9o~pWH$P~p+ z41?=TI(dzxp%Isk_81{S6Ne-Whe}d-E9i2Ry~4!6u^8L7owaPf$^_X}+5y?|Z+Z)n z_L<{Q)5SGjGu0bya{+p6z~^MQjeWKQl3txlEi za_w35W_7R0fkvY;^T@~n1W^XjRSs0nQ)ddltc&DjP8W;RSia3tPk?J75M;i>dOwjB zysAsPy1V}I)6MoDpYDIW{zUxu&BMc&&rd*KzW@AXd;9Rew>L6l2b7caIH$aDt)&Au z^Z^u;u!LNiinYdgEs_f8RW@N9P{DXp)Zqzc0%+gV#e((WT~ihp_%0k-(X}*^>I(#j z1gj-U1{zBfov7f*`gFhM5e{MWb!Hlb`F;tMPqgYEZH6e^GN$Avcm{nxkSTvb7bC=a zk5DetwhV8PI6_0SJ6mNrqAmWq6jcr1qsSqBuoo)7FQhDFjVovaUFSi=Z8EY_SjO3E}P>b>p za8SKIzn>%2M0&G*yIQkw4$@KDp`4?@)3xW9qUk|9mFu!_Kw*f>k=)$Pa!D zE*-usDZS5wj-)Ku+GQ_=F?%T_do}HJ0QS9_{(*w$Qx-hW5^6{miDA|qRQ8eZ6kDr? z)eh{HGuQn)xZ=_~rnZVH_ne~l1y$^PVJoSGc{7+I%$qCb;sP!~257^{j`@#Be3)U4 z6nm7{)((m^Y^jt+Abr`{XATg>o0%(0%#YwViiQh1=Cg*c(>NRh0ou=))U2VRl&;fP z4XiB9^2^psW{8IoL4Ohnk(F82g)4*b??sl^h8-*t%F{*fPdSgSk*wv4DHz$cT)#+% zJhZt)kSW$FrZrBy4*#QU?b_--%Hv72*1_@F4Apf|V{?oDpzCc1s8S)np{v3Ln&IIS zM>7<%A^*1)6+soGZ4eJ#tVFPkZDf-J$Ndat!l^~#(-bNS2GgJVZxG(~;mW)9Ow`wO z5^g=HDi+-8EPPGhgvuB7PSvjxe+a|-kz7ErscV>g4CtTe8a_pe!y4fhtc9sp>>R;1 zvFG4Om5a`F^C5megSxJd7?&7wk1S;)=){VQn4^lUPV`uyhZ!|mNa;7!;;#VGg`#K-^2KJw}> zIu$jH&+);B+o#`a(_Rn4t?dJI3<9Tm2pqC-i39cX2PXLmuTPZ&9*&OE02|?mv_{rb(gUKfB$ygvhynJ; zW(LGD7|$T{?*LlH*UvM3t%pZG=8x$L0bUuxL_RIg*j*_Fg(Iv4@*hnHqX4XGM!Qwd z-^k6o-19e@c)(hm(a>=C-4QCRM?zDIw?M*uxc&GMiN!w+zS3&t5)+V$*48w}VxP~&cQc9c)UF7}Kf8ogySui(08WMjmRTSZyxQq;uA~GMU z@WaX^E@TYr*Q?Vt_3CuE?5iYva*m1%Aiu>1DkgsWcNjM$2x)eAvRyA`00=Y0o@i{K zrFdNrIpv+u9Cbt!8=|$4BHdjosl|bU`bM07I^#k;#$|%=3ChFR6D!3%)xvZUq{txn zsG6}iuGAS{A*1u?6kS+RtQi(C>`abO9OJ_BPzx)+z@() z>=Zu%v@;Ye9!RT?Amz`YfLqi*gK-1_>AD$sM*{H3b<^$~r3UI#c*Pbpf_ug_|pE>cPSOL1ub3t}BXN53@&N+phf;qe?C@l#l2MYRNPubS~9G z!WOrS=yB|}A@$%+*`GAG3})pAqu(vU4&f?M&Q5L3ZDGf7P-+ER%S=0paILkI@>zPo zNDYXXcZk`Jfqf6%DcD!@kDvtlLfUw(aq6m<{fv}@+&0lYy<3NTzvc$`%>~7>I%~wK z%>v9kyEbTr@8+uu)XPabPXwkdG8;-ce98%g!8K_P=ne;pB3k%#9;=*|1J6;TefIxn z>`l1iIFd9${*)Z;+kRjdsL9A1Q(L{07YGz_DH9;6>erhOB0&k$B&Z=kiQ4Yo-@e~x zhhO0VNL3`jaL>pHcXK;GGm|?;Sf6FGT)+@jWkW6P(i<_LH-hV4srxL|*=_BasLdrX z#f3%jG{gqcU3ZEfp8=Yp1j3Y-x^@8khZU|VGue!~K$n$I$_`R|1rEnrbxKA|{1yBG z(@6K;eZ0QE-)B1G^WM!jXvBA5TVKAudw?p#tM+5ZnqB<&UwiV2p5Ee8uA!d(KUqqo zPYWI;OOBQ73{PK2rhYVHt@1i2V3mc?WxdU5mSaS^_C(cl+ajuGJT|meN=2#o7 zP)~Ilm(~0(+K(KX%*Op>lBt8BMt>3t*GyPg4OJXsroVFX-3Kn^2c-V*eUSQ0{PE&n zaQXV@dpHr`6hWiq=e_^C*YEug-+}9Q|NHChmm8Qa@4kMyzy0lI&psuamlwHZ)-l}X7CB96#BRE@O211ESSRCECb z9v1W|k}nqc6%PW&7xT}BkY8`$D99O?p$9OcuUtvb!HpuPy=2v-L>nqb^d<)0)o#Ap zXxm!(`bK#{?hQ)0Ual6YCDk=WZ%uim>)#~!)Jn#;x&K^#b@_7D9xb`2;0tZ_LMQt% zH* z56N7jPW@#dxj^6iyyJKp)29$tkWtR3`FEMCmbCnBwY4AL>|!t}{Uy z6S}cuE0wqk+D?WGMdOR^()sG|JJ#$rk*O$&C~r?MR1+DvY#Hp1G?)DSg^3nbaOf!%L52ZU~14vX7x|KCO?Ol7zdC-%`gqqe96!s z6dol-5S_e|bwVvqn%DS2jvFIiUFxpv?MeE&iBc=-bf4$-^g_MFt_oAnwgG>q_UGiCG-5LsV7SR0q&*{K*u;?Gz*>6S zN_&8Dr~yV4v9=`YzChBn(V|N+wzLG0NLo~%MS+d&QiVGxV9ipb9V|QqF8ykAxJt5( z`@zr!56#K}mji`qRDMtqrCM}laf}Kk_%(1Zs>bHUxCs*4Z?7ACMx)pTRc5e;F?%vWF$-?v#J+YFm*`G=9 zf!nR1K(zQ-n0EkGL|HIs-ft2dE${=JEV6`Jb&lpOhm>sE8oh!{52A5{3~_Y4WEp(v ze90OSyqAMn^D$q;$_!aTk_E^HO$RUVh;4cR*RV>&&F=j2^eqmWP&Uj$nug)Li*$4p zSvEty##YA~jA?_d5rA>Z>ifg=2r&0e&j~{OTKAup9&Fz?Q06wfOQKV?Jkuy(YWmU? zGkkGi`ADv*;dAJ9s9*O5tBuO5XqxwY2utG8JZ~V=F-b}w1S??D^3xmAV={hHIh%~t zWu+xgT`!5y$xNJHz}k94bVYAkAX9^X5!Duv1#W*zmNyX&6k`?zq9nkRBibt;*H$ZVHDi}R4d@{K<>vkEyN4Yaz~0R_ zxEx~61payR?qN?Z@3Xoj&I0`2m&c%G#QUV!gGBzcE=1pge^w>Jv;CE6CXWPd77cZP zYz#rEpx-7Pl@-!UMHL*<-mRRMoRB6J7YMy+x_}u8__#1fld*<~CCA{6X-4>rlu)sI zkJ9O6G)JKe6#96yAi-e9S%k}@T9(ubPw;wjCtc9V5icvfd<~zE8flasS;>Szq z7mU7PjkO`vqq90rS?67aY{@oa6&0jA_Ise#a5~kDfzvy++AE{k5fJ8@Ks6sNET?Er zW~Q{UZShoQ&`(ZQKEW{j!LWCLQe0kTT_BHex<WIO+zhp;_5;>2M1T3Kx70hwaLFSEiI59Bw^FM!w-h*(K~NL7)S6|bp<11wXaX1k zdfFGT4rx^`-R>Ef$KArRr!9}-y;F#ez*{p*lx1aUGq!_yuG%N=iNLt}6D;gv*pUe^ zl7&rG@j$4QMNvbqY;7^Ywo`5AAX}iVb3ar`fNLMY%&vM-9BpRv$I4-0%0CeAa5(~{ z%VnOLAwiA|pyqM0gQ3~-TUADtaHvta%f_OYX%>4qq#rUekEoj!T#*ThR>xC9T~gd5 zi$Sv`k-W;s5Q!(aMEAWQzc!wzkP>)7M$T0ZiHcNXA_-_n7NrnGy}?fjgIhUveo=KO zRWVx=xZD0H$y*~SHxN+!oiSRWqxw80C#URy5RES-=8KxwO+Q#LiQ%7KEDY=P1L}9m z(v=8-26sps5^hni_;|U6;=Ep75`cJohB;XBW^fg70*gfPj7ENTv3!uIse)qZb}I6= z(7_hpgp{hTA>XCS1lc3JhcUOQHF8?P(>YAw}RgfDzeCYnBTR9a4Jjqqmz#j0CF$C2v z^=QT?iakCV89;d_7Xuz;D$4~u0x$5xfFit}hKv%aZdZ1Urc4HTqR3SPqao~S3K7VM zL5W0FQM?^Ua9_j%4}+yNU8z~~jn~`c{UE}B1KIwQ9x0-Y^hm8 z*bwLuzD7yQ=fEQ>V-@~To29&gDor?Bd5eT{NY9n%KvpgwG1&WuQWuV+-aR%0XTgGJ z!N*G&V%rPhJ%$Q_WgJi;z@}!!8%21lUsp3TqSIIDMaubxvuxfHf7x`3o+0xf>@;Vc zPMqx&qCtQD-TXJWbQXX8A^!UJMg09N`Td85$T1BqSe-0&zBa;c;3h>ds!~x+BP#@^ z;|Y*&CQpK&{v`O-p9H`9lW>B6J7*-n%ae5E`{D2~MpH`#R5Tu>VQqq}oG)p@hi&hd zv@6IZEnIJxXM&lbmR4g9LZQsM$A9Vtsc;Y_H{RNcsNm68Frtcfb>XTrwe~0E6{N`K z=8*W5E{_$fY)(d;<7XE*(Sp;=FF6Zb;?GRO5&tvOCZj+5rGIK3zSZVM>?Kc<2A#Ku zvQSzrn#a{P4stcfes!pLLFtHL^HrxQ>x+8g%xx-4mQ-0R2TF~x7zZD~ z8x#KpZ;t)&z-A!z_7pv0=z+;~r&veu!Giqt7=P0Q#Vad)8e{xF-9QFDeBL3Un^rpW zlu`Zin_}Stzuyo!=7%wH3l|gQ`A8~CMOm2~wof;LqB;b89_$r;2aEW?_eotq&pA|n zBd#X&&Q<4an9|IUL+qxY(1f*V5~%?@kxwE|NIP+Ls7bu=^QvVu7%-AHmCoU#lTbJ zWIHx0-|7rYv9ChJLQ$!I0hOW^b%qb-CL3rQXcR9m0sm5?{-t0E&-+8{3=}sFQU-f7 zyIS&(bWoW`W|dpLPd{MHgGy{Uao4vVlu8BWMdp^pPQznmSIfsr2XSB{46X8QY!lRB zfS@~sA+1<=rgo-NBLT#m)q#Y6iyoJNc4}47QnZu9BMY=ssI6%Wrs{RBr1bkNsh5f% z@jSiW5dF%yTOyo%L?6nlZvt5g!H43TqI4wv)$r(0+<7)EOEnF>CvkVk5ywGn6st!^Y;s62-49)m=^g~LGsf4RX0pfEh2?SuAu7@5zO7z96 z28u_r%5gQyNyY>itY`t37=luv-&BHAPIN+f#E_j`yg4<7B!#dT!e^O(+JEvJ!bsY; zLjQ$`|L*wwZ~=8Dk1P{;D%EfGlxqhv^jzUl+I#=`D~jtpZ@!-%OeY6J)C8VQ2E%4N zz4^V?q!vqM@kws@> zpa$&YCCL65G&&w1;5c(-2pgg_k5W;7+b-v^AYjLMMVsrI$upN5G$tpYpEz2%arq@- zf35RK+6)h9!1r_1f@vcoMYgF3kzu9kAQ3rI5v?M~-Fw=~$^zV}QX^(!HDV|nhhD%h z?7m!`1%{9r55t+~t)hx3%)+Y+YU*gzhSg^lCmL?J3Z+G_2=#%Vkc;4Tc%e=U4xb)Y z>5`4wI@r)=G(^Qtje z%!&qS4nb%ZI5&1{?;aDfgs88~AX&(+dnl;bB2aSli?o=e+9_stOZ90}D)>$5Wu*mK zrs)8MfEzU(JgHY2Ky!^_SKFksosLrCE>Yu$nfQDS_{1QI>D0?hxyzttHc@30RMN>g^s6nmnc7$eSh68qyZ~V{;)A~p zpnw6owSkls;)$PIIE=kg)AkCK$ien?Rd_EJ8lP145qfH-5fr2P6sBncNT6X##A1=o zAn&CmrFFtc5n;{}g7K5oC!00IP8y_B4ue?gp0b1W^G}y-12jol>ck@jG}}F>!)2%b z)@OwFp(Ya2VF?ylZUu>0lr&&jeSflRwj@@2NFJAxpXIlh#ht9DGnLjHy+xE}gMODZ z8}y%+W|))j?B!A{3})zhsN?*Su_Xv8Kz1jvqnM=6r3nVN$_27(Q-2TX^zb*ZLLXva z=K4*?41L3j?^FBg5xmC1tm_!qejvs6px)70j8sWw4baV+P>Pr9jj}>9skt55m=iE8m)Qx4e{Q`YK0-Ip*j3_A<7>*QD3b>5mR7{un;RKWw&yY~76nBkEJA8_u!fKZW zFdDq7RxXNd-pk-1*mgUhNM3GXmvVl1PVB5ESKt?}Yg(sqWYBr~G6E-zQP^fSAmYe< z0B9zz#U(R^W)S*AP-p~X0)*u`LZhg(q0sI;st}6J&?&7h%0)lRus{ICv<)y4 zz@9#W0`d3l&vz9ZR5p%!H{V#OBpM2_^#b56_H9i-h-&?e@O2HWvxEz_*1)6jQLpPV z^bp$=b-D}!weeM{>Y!}LYM_uiZli~%m)bDDRPT)o8WJkdvdka8(TT=SadcI z7X};^mx-?9y1TL zuC?M8vg%}`(E%m*T(zPBwW8-e|3~k7zxR{KAkl8x+|CZcsGyFA3ogQJbeDJ zM@hVYx;|a30SSvhbsq$2af7?k@&tVVk``PEV8NDRX@ zrP`26Zn~SGT+-Fep9UET4tEwSP_NmbpPE7=xh)2RVYj>g9kGwymp#aG6jVd~CM4oM2lw0WVgBF# z)Ndb|mCyYOu(1rP?lkuFct*5~bGs1kc4a61Lr)q#{(1&69WsRqTsS zOpF*77;=fmzBAU;V;zodA{w>SX%r02wACijeSp*0DzQs6K*LfKn*JR1JNm=NRH1ML zV6>mi&t5%N2t1i0M}?Y+_%LK~$O4KZBi@e6$%ut?+!f;Lcm$I9J>iM89yKYjSQwl_ zEP$5S>q6raOwk-5^QyafEvF3nPfuwif6L8^4s>3WTu)4_oYJR0A?G!hW5Tj`KcJR+ zVnL*WE>6$`Bb)$&SQ-=1Qb1!eRI*NZvTN^-qcbObE9BiVPv{~N@Sw8l>gLxg#Y6eNL9Eaea2E*#N0miRMW&;%2c^1Dc?-t813f6PGYX>ixlr ztML#!cSL$6fGM!Ef&+mcDMs~UW^pwwk)r75x(B(Lx(Au`B=@C!Tc4szZStkP!a(xMf82 zW}?DyQ8LRu@Et@0gzqqS281H@jf<{TVmYQ`x}b8|{hMz#3;en}U9XN2LsR8YVtb_y zr+pjY{tBdD9#?DaC=mx(aBYuG?$N4Dh^!v=AqhVk&e~m^PVZ2JDGZ`-g`GB3?XS2u zX5;#4n}u8h2so=dZQh$9Vg+Fv5G|lb8Y|gFMQ9948336v7>r=c4-*%?oMKW_8fmcS z9fUfuxj!bfLCyU!!%!I2Wgazp;CZ%eiI5Pnd?y#sHP9iW=E*}@u!Z6yCf~%cLONX0 zojN9R`xKK7cB9zg=#mPAJJ4bdz5<~JY`y~HIx1xxP${z5;~|PR#}fXeK-IytKb1T> z2-bjkPOzbS^FZ;Lq|0{_QK@WS6KR5XE0e^nRpI`9j7JvR1-T)n3j^@Ps zs>eD?yC0w^ZBxbvGMEQ0R z5Jd^z8kg@hMXDdt_`sgowcQn|F+m52m!rQAoX^XX`G(b~sEkl1P+j$sUr>>bATT?J z{OxNK+_i*Tqh69W1 zvK+`Uqy31MUE*#30~+LNp)~;D5BRq1FTntSD*dmNNeHS&1ixhKJCP@Bq@0lvJR;Tj zzgsH0V@A(9BunJ1LU8Z$WyX>O_D&U74{QdB9XC+4yT^QAYBS_ZtulH7y=keE;D(FK z6Qn40Zl4doIazKmm}Zh~QN$%VcBgAt7cGqH>w1URvvYKg!x4FO*x$EflDsm<%&OOK zIJr1IS|L3&7rLF(UC%s0oEKLS=`cQCcOK1V(-SYPPF0;_bwP%5+&oz0NX zkZFjt&>}j4StQ~@(#Vj#b)ty7B7JKzgo>uNj}?1|PvtnxqZOh|$8<-`QAl#c6Ch&1 zfYspH6?g~K{4E&>5J`-27ne|QUraDrXCBn5Wnb~bxX+$LCSer@gIdR`W17|11~+B? zOE?KX=o5&qGU!3bNsl}j2X27iylVnsVIvprFB?K#Tq=5#1&uFjlZDV4AXR|03$xOY(e zADLxK8Z-ef0Bdhkr9#mKbg=||B7gBTY6^O>YZMJ3EwVMH-!BY>ksREDEJupYd#P?` zOeV3)VOa;?SZa#46dvIStI#w!~c%n6J|582T<>OaHxA{}lh7Nl^W zKbMT0oWF!}_VDSM#dKJ58|<0?N@6(Q_Int>u~zr4Ks5r_28`R(YY|2Golj^Ow#2UR z2Y0P!Ub_Ej;PK#P-OA*|#nQO+oXn(mW0Vww2;P7+s~k~aqeW0iNLRG&N-3%S#CKk2 z!0F1kV&3BjYg4z1LI#9A#nGIkp0!0`Q{LBd3d~=Z%;u6 zWVRvo_t=Odn!F~p*5E8)`qCdCXrvxy$)4zC?zU5m8vRp%VNMLSD02Qj+~ao(W+ zCJaR_J77g5(rblOPlgbY&@zS1*x?d_?1*&=8(MonFx{gb)DB&ecJF?r$pa_|#NkDkJ)liptnn zss}0^sdK*KX9viTL1BXKQ20Fc4ADD8W@3pVizdgOjp?O#mAqvkkyT&`^m_+mrkIR9 z!-UKOj7pSC$hVSb@S~$4aU7(fN_UGZ9$=LCx1}ENi~Q_bj(&N>W8!+pgpvoerpX!R z8XIm&zz&0siivvN@7ey8+zj#%%XmLqv|#&=ID3G@lmWz;!A~|spyiFam;`8-Bpw<*_ zYQRp=vjwkKxlJ0i5|4?h?deAOfk4}c?d65+W3kw3oTJ2lLLk|0gbBKX)EOWW@i!6h z;qCIvm3J#9=$nxeV-}e{J{-qYb<IPm*TsKzaT;6M#u1t7ucpcbmF?qRU{mNMh zjb6+LBWLk~h@+OT8algO^T9n__u9f&lDpGhZ~E+qma9nUOL?NC8(7y0lJ5nEGe$)it?3&DIC%{ z%fABMw1+CRQ<h)H1hvqz+P_fzA$q+0Vfy_kT+x(1=2d19aHBisZgC~nXv1-~*g%N7 z=CAkgR;XcZ>%*1x?U<-*9GhBdK3xCp;o-%L+11e|`+hA&fj%#YP|6BGVey{J%1^`r zv{jp>g<9w@rP#QIY^N0N6Bq7b`=P;EPL{B<;!r2vYMQu#<53~I|A1nopT7W<249W< z*g*J27b1|w^WJ~^o50nA<|B?0LHdCU;5;jrk*`|tX*L8*1sZGm!vxnmX@%Miy)}6n zyfp!Ki|?JeP}!Wac%j{uH}p=%NVTTdCqv%AaX&?2D^oEG z0_jp@xI2_)(ozwv=EXh0@6K902P}^-ioBDBS~%Oi4en}i_5nqUKty9M$*6A8)O$aK zSBbvZ;Zh)WZZjoP?2nGjN z6@-qw>R7p9mI#-e17Vffpppc`WR5vKU82&Au3IjKb+x4;6=Q^tm+=lWoW~o{1Ws<{ zzR5YokU@(r@C|RVH4LnoMouwS;mfsI%9Qh1fwCr~7KzMvB!#3IvPP|fC+Q#f+q<1z z{~ss;7<0izg-#?AcLatz+L-T-(7UWl*%qww2E>FOKFS z1y~B74b65B$nd*;-}~oa(y@S&7qKCCGN1ffeDZ;-^AN-T0qyS!K^=IyB!TO9|6Xfluh*rO zJ&C|lh>qr{0=IREDky3X88?#v`b5;sy~^puTtS+r9{^cN$??r{dGrc?$Y@a@nNZfk zTuwnf4x4K#8_91bUI0FBU_k`ps954zX1r7pO2C;O=CyIKl{xUR-anCRdr`*$XWqXdbmW$9x2B zPM$yl!(kMB`2bCq5kjhGi88TcQ+NNfD%WZ&7R`U&T=)7Tl%(tRFLpA|IlKlg7jp6F86=_RL zrmx~Pp~DpjnJqp-2sh(B88lS&{GX%Y^Mzt%gCO)v~3R~KckECf%K zVV82DhqMT>C8+Q%Q$S2|Rri1?`FB6>wB!+lBmdRYf4Lqcsshs|gtO$$OChhsl-j&C z5~Q-sH&ho1BJi8Y+gOrZf=Gj`2+V|0AUPh0)UlRB6i&GP`pg}x9`7Ob2M^3}>}e`0 zmcjts%DhkDN_>H!l(t4H8fkkDw@Gm2PJ2*WfSfWWUDIQ#MC$L4gqTV{O7AuZ4w(o)(h}a7&Dv{sCBO8HaP&3ETqe*DfbGo3cHMNexgP zO}-7%i-mkk$J8m5aNC+^8{x=`XL~}=wlkpv`UOYg7j&;EYTu>$K29@r|Fk_qKrVs7JO=!2hwBG$Zq}|kr)-bOL%O&$SAp;!_0&h3 zD_g?A10IDLEC0|by2wGEL)E3*mxbzm86}^A!Sw1RJxZs$&2o;j&Z58x^?{GY>-J63 ziw>3ScHkym;Y@U;!wi(-VoZ`eHkMQqT+s+v(duPi&&r@YC5mt3Mt3u&u*#l=g2)blDXtm;YESOi7beRGYNlSiHdmad!B5lD z7gUuUW0}E#m|rcq%REvpy?09%C(I93J{IZI^aAjJKm~k_c)7Cs#RT7VhS@ybRg^4IPd~l>*h`B}yT1UrohZdmqi~|eZ;SM?WsjW< z^{RmLF@*3BxfOuBc}}GHnU;r1Rp0{R`fGTKtIOjPRS;3`5iwS-y#9vZSzzmy(N%~3iYUx5P?*lDQ^f^67Vdn)L>qoiiMfaa5mm~C)o$vCc40cl*Ct41I3p! zl+^6w^7P@wYOXIT`lD* zI%cr7hbmNFnWU61o8$o@L!2he*gaX=c)N+4Q?6RA~YXPudzdHUJ*hpv7XJMKcAc zZL9dqN$?fG`-$B{ktL}KLLfDnf-ulx#L25RE|e9_b&`}im0gzAhLoPf&xHqcKE)dP zfq~TqD+^nlrVFgRo&j4ZH!xG??=49ijzV-DlGO9mTmZ#-pERl57UKqSz!#$SImY?8 zl~kof@P!?Wk#2l1Z5Vm#i;c9e>$9L1ejntoUXFIXduyJ(yrvEetFCFDPl>ihR%x-O zrZ)d@iTT`mC#Gs6At5u2*xq7=!gO!;CuTQx;&^Z$h0!Ig`8URXMv2g?-&8@Y1k z44ioeZYGFlgL=SZHgFGCsr$=AgZ@q)Z?B*;^v#HliisP4M;hX4iMYDeBv*AXtfmjk zA(35Q!mlf?m(7ypFPIOLZ+vA!*WTBr>h=>3t49M_kkAD1EuIAHTo%9uv9dQ=iL+@V zYI2)zS`rxiG^u9==)x81$F+1=i~zxkFxV46`YGUuV!QH;)! z(^M<3j{wusUFVjkdaH@YB4|VBocag`eY!V7pOeah21}@!OGwWgOrEso(OI(Ja!6Of zzG#%ijnF(6&Ip`b1qUIuFU>O)z>r>JqM^P-GYW8!eh)5dK)$uuq+`UUFq1A`OpjiL zc);cj62I4I%>om0wc1F~j4YX*aTH444-u3>Zwxm$xPz`ik`Mw}6R0AhRge%uMnR|= zvW<(FE>QUIVcjGV^l?|g#b*3;#YN1?pjj~|*$#%Z&)A_U+A;M9R0iq=9iNgi>>;uv z&75X6v_hu>_jSGQEpW!W^Rw0Z6dkV<OlK>?28 zauGOGYox-O+H;gA#To__Ca{2rO%K`u^(m#@m~QG;psFOqs4Oow09)3tyKHWc^82|0 z!F@FjlxVsKBhkv5hGDIP_}VUKr@1ZW%Uw><<-<-2i$9e@f7~+gUUOnMJl1%5cEgZz zFvbUSuZRcaol6#@`ZYWgLC?!wzfk2Y-kZ99fvSqJ_qe7wjO+CqB$7!5|AxZepP|#)RpP!L%`68Bk8k-wTYn1>mkLBQM}h+3Z|69^3j7%wX2nT76ET|j_5)57PM`JbdhA76a)$xYgQTS~Zep`Xt zX~sFFa#C&$SLtu?o&rq6SV8W*eft(ioC2Cz_lv8;lCufA2#;_wXfPLUn=bz*Caj-m zrHv_1b=$_2zsp?|w9g|T-YEm;9G#!6>=bxNHAyIil|>IlUqetQuk47wLX-hGv_M#N z^|)OLprMXdsH#3sNy?9X;=*f-1Y;-@VJHJI6gX*t{_%5T2x#s%$V%d86++hJ4=1RWEIWOm*=IPTRq!5r%lSZMduah=Q*>wL zeFHr80)+~*dX2T={6R$lsO*uib2hFY<$`Ddi_nd#?TRfX@M$$$WsLCD=pbPvDlsXp zFW4jkhD9a$-a=E!EPa-g>JPVXj#rmQNZvyK2(5JE2PYeHxnBFMJSSh!acuK=`_Mcm@B^Yu|EI`Jl6Gva!TAFIipkwVXg7D z@PzUti>{#W)+YGDNU9Sg@<~p{4h|KR9>o6OK87W1jea6CMGqJc% zAM`QF+oSjbVOA~rn1D-mjXX^usIiTN-`{S&+~3UhzM|Lk-rm*h`Cf7veLCe52Bj4X zGRPoQgM%Ek?#xF{qjE54P_PY2e0b`MOO|Q~HDBzXG{Y*!;Uh4D&;(KEa4{&=-?8Ju z?fczB^!_JXD~3&)U1;w3$EN6=>C*{%x9a=ea0fpn%~eW$7lJp0+<=8*^o_JUYC&5^ zCEVA~VnkvQZgcVqtojfq|IuOJ-Ofp}Qto}7q}5+QjP87e#3JtppudUc9ST3F%foR{ zZE+qqQT@M`J)l{zFpQ{F*c#D&=PCklVL+PbUtA+;yKSd#f>OESdWSE-4_tDsJZo{t zofhr*o}CS^{7^>za7#Hm`M9iG^1$?kR3r1M(v%1wb{}|jb$F!X>M*x~R;49UOPu5k z>UgM)(jHVwweQdsmmrq>ieQ)gLsyrwMxc|djT3lfcD2GJ^Kq_+>M5Q!x?X_mNaU9@ zldJY*20p}#CIDOX_T@8;p6#+6P2+1<+aHnei8GELdGt)Lg(3Y4^*(e}+3SBppbt#K ztM`Blzn(Tg73(jdVL2{_hUNI34a=1tfCg4KV4Ltos1k(Ad{8uuLoTCy1}|%$F<*-K zha}>z6AI7wP}UvWY$18MNo$VKq8-pQ8z+3&KV3=6(a(Y{50OVZKxjzUgV}T^s|9nb z*dws3HK0DUC*(+vCfzs3rgC2kNzJZ5LRLP54+wDp&kZ2YNMC}B4NWHA9;4JI z-P6t0iJ(#{aAwR23mzlO3DHiD*XX`a7bc*kKA8H zfsE02YK+3ranW+h&m=JDK__Uete8ka^G~^aK^d!7S zi0Fdqx<-6WYvZ!6^6(CNSCCuyel@xI_1eIR2mETl>cYC(sJI?N0@L0I{`=jVIfOLs zc??TzL$us6Ue$Sq7_Ev^i#l3z(I2)wUmle(P!$ z0Bmmsr@XV1ilY@KlvY2&fj`D^fDMHfiRTvrV>n)5u}|*9(c(-}gS7gHl} zC0~#(pZqAxivwi_?nF#f*c%z_{R(#YWdZS_-n6~UWDikG*w>_wDMM_q&CCGB$ z1yvZFaK|Ngn;-51W#;oIBe=Hex57|KrO9W7Oe=NUc-Dn6 zd(0x#ZR1-WGH)+RVu<1$3+xIMWlODtMeLBe+rK!u+9I~JTC7yrmTl7n`o!3Cf6>lvRr#kvijUJBANLWCOx(b75o~7$j1(O|)jkH~oJ7EAT#F zSsxRbfk03L@`lDi>F+X#)}*kR|1+B;128OLFN2;eT$15?89*L_oE{nUy{S!+%}FAH z{Z@92hkW}_*J^0`d9elubN?wMcLw9$v1Hi$UJ=05tCEW*;)fXPm{lAvRZeI!sE3n^ z;%nr^?JgH5AT!YzW>-=C2XlkDyU7U*`aw29Phng~Bp?^%?rj+-PY2E?h?@)PIauLh zv)ES4EcDmH1PdjgzdM8C+wLBw*PR#=Lr_!)NhVRl%rrZ656f)4c)7B^iAKn-W4gwf zgT&NJW*i_cP^_qn2y>lEUIH^1ggnhQl~YUJ4Bd4#mv{w+d+-oBVGlgaD_S$!w3{&K z9M}7Eu~}uscQhRE7*`)^X-02-$QJcP@U`euJ12738zXXI@PD?Q>L?1@8tqu#_B>tX zNOgNsLIe$v-+lSKullDq=!=u~dol~mNarbRH;Pili@ZkX!$OjV0bRA^#h`)U^}t+z zlt+(dXnl@us;O6qpUhASX)`~2xkg*#AYt%-u-g@1+odEjkPanhOOUTz%HHuOOvWe-T)7`j3&iFi}y+* zeB`^CNpUcBlfoakmL}<-PAc;*XF=z(m&}4uHwygt2!&o@k-B1#7(~gp?(Sh2U(@9b zgF|%BMgJi65kmP_CtT}#?uaXgEn7=8vZxFM4JCRyH6b+*?KrWNvt%C&H_MW~agCm+ z6~fZCgTCTLoiV`ru?iyx&))s|b$9#afA4PZcQ<#}KYhG;kAwk~Bxk!`I9{lM;R4zM zqQfbVLBI@4WkPIwsJ=qHv8W63S8y$;DP_DbpCd1jFjsj#s<~}ZWsEhQ5t&|cizE-t z-?jrs5&EeNCn)8n1;&iGBLJm2iSQ^;BW+hpS9%At1wC9wL@L;;AW{oT&QkEr;AB?- zGc#pnhe6Fl@CX3|1JP&oJ!8vhXi%gj8Z)n9(b{60m!TMxRdyNz!(r#L^;y#q;Pp{k z-GFQn(p87As%pY!P$&Th5|ezfcZU4{Hz2jVS-+JJt}cPZ=b|AbCiQt=-aNd%dH3-7 z3uI4z!7TDQV*EP_cf}KdqqptiK+1IE=s zdVUD|@C*er&aW0orr43pjngM40nCrs2X2iI2dxTEO>qnm#qk_B2ntBogo>=}oXQP_ zeYJi$2RLJQbp}f6%_aK+2H*~nZ!?Ma=9iyte!iB1_W$|#3@xMi$W2i3gIx2`8MsNz zO3hiF`&opi;xAPDH2NV!7iZ_u5@{dX*EGdR^ybrR#;VJ@VwEqekd)thF&G4gMLb*s z0O>)AD7GPeti-v1B!($2W=GD@wQvE5%N3nRum1K|18H^`S&Os{_DS|3|3Ge>q1T~<` zluz+g;4k)i@!wHpE6-OEhA1ic+0FJV=0>46IanU~}MOHO>Gcg$MTV3RP7z zT^TQb4>orD`EKvK@4sAs`tbGc-FIT+(oD|`A1EcQ`f~k48a}e}5lyAA zBMd4QFICsD?gI44A9mLEOp#aA29iL6wiQRoJ~~VgbnQon$>E{5sl2$lR2dY?IUpIS z$cM$!S*eFcYdt_KqX3VIv_6AD1`4Pcrt>7$;;O!aZ-glbSKAG+Ay5VrAKfzVv>=^0 z$fZx07e87{rQWBTPw#&HLrw_+b$U0Ui^O}#%$8Yy3c}EDl~|5Ru;!5I-FR|MP9fGL ztNNBORlbLiJ(hHSad|~A6Mmk~Hy(nfZ`1YMd6RZr^hk4dG1qXj4}^sNVc$U_TEHks z?ID?KI)ipt&rrK zDD|YslLP;ZkrO1fQ;m;Kfx)nqQ)CXS0Wdo~^AylyTTAu89C8I!W@(2+WtLB4hd7lbO8*=W<{@2^Lq9SCo|TZJ%&^NMXe6)i(X?l2vUm06Rd_(@jsn7(A`3ATT z0)~!ZVxU_jD4af&L8$JOrOXY6)$&=m?5>sQdepEvzy;{!$CZO&mZYH%x z0$;IWO;FJ@t`aZ%3MM?o2A#b0OnBj?(~CFW7nX=^$6>%JzUvLX9}LF*>2Nll;l}`3 zK{qdk{TULhY(YZduzW$r?zhg8`3K|Bk2T{iN^bOQKp4rQpeOX@^$B8vS8hnQTl)D;P^_fMXlmtbR<*45MJK&XQ+k<8* zUvA!g{c?Z%+sz&?(-Do+a{YzQr^n{y3`5BYp1+HYY@St^3Z5$FEx4vCFcxeyxsoI_ zh_6gU2oKn-U&cEG4RH`eN9MYm9{Bl^^4RdKSWAb4w#hXuB9F*^fawSRv)= zG^J(4vhIFD#qaklHKCRmHGBM)dA+Fa>N^?B>r?y8_`VGz(M=+cmVgEhE&qIo~I6mH^c6oX8B~a8oCptYPZ88=L{e@65Y?DKXiP7LRWnl z4f=CwUT9oeDU2uW8q+WFwC?oYAe^jnXFQ48`ygxc4JtFJXnDQR;frCnI9$Fp5Dub$ z8ZQ*73%w|4VhM&sa11F(4CVUgr)ge6dr&2}Yt?dgkgy?$ZYxn64M8MVrb)u66v$d0 zD#A3|xUQ(WrT}}khE$;XNDEPOzZ65EOhn$?s8&j^rad}nqmB9vjd#eYi+OVp;6rdO z9h``5nJeO;LS$_5^cy!9&Aj2qF@jp(IJifNoh%ujT5^JBUhd{J9}K9LH#9S^3*5F~ zRb%lR5ThiaeJTHer4e5T;F=LwTc4miQ#(fxJdF2)9SX%)vb`qkh%u;uhbybu(mrExK@31w= z3aV_k>WLXXJJ~LVtG%xXclUsN9qF&W(DoTwXOwD_z4oky6x}Puhrr8Bt{oyMDPj zoFAJXO6bp1vLqPcNs}bZd_XrUzS%G;{5AUyXAGr)`ONVnULs87K=F>8k8^?f{OYXA zGZr?SlX*A|K+_}@=-^4%%@7o6U2^eK3;0|ADe>Qb>Fr^9`1fCv=|GI+^W{SN0bclP@Ad;-uYMDm^e=xy zZlnD7ynKSc{H66BFT(7<_Wle1`~4TG4hBz+Y1d8D%B!b3)HEL8&9rM=c6G>45)w>f zhaEd}UZ1c!M;4V^mE^h0nQ1g{#968|a9N)RuE03aYZ8Tpi!h|rCZ{3~qG@Ok5Ltc? z^5W$Wd9b!}RmKFL$KoYJ>j`Tl%Cs2OF9~NP91$eL$zu;ixS*G=JR5$Js|W3if~Od( zC||W%Tqk$B%?Hu*Gyrf(svQB>E~Q?b4+-eRcrJ8b$WD1axMN|?Gg(QZ{0#hN7nqp@ z8K@sjdzgf%uiRM7grL|Ru4kwgk*Igberi$=zbr;f9*K|!&rDfIzeqJ?k9pgyPvM_Z*umC&j-d~0SV=f1LHJdH$yr;?+o{FMSqynP1}}g3*dk^ z%e)5cBeRr>60YS<6-#gvDgouV=*StR>Xc#P!=nZ{fvQv9$;NznTo874%YJZ3gU zpONBeI_*s-(Ul=Bz-;ePG*kB7^u>t&g4&gR%ALadQ>?<|B$n(IQ@afdVVF^q? z)Qvne-x`(|J-R^0Imh;+AddX^6(<>GrvWKHzd-%6`K zRB0S&3*Sl;R5nT!KOJx0J?_iUF)J(u6lz50cbCApZA0-$7w7zNK0!VQZ=Uui>wWjj zcPXcor6Ao%QM)<=%ID}Z)TE)EF?bZ{k*dv*XOR_j-w*qB1VP*ysfgkB9NrYlDg5OW zSb4C?^?5RAE=_`+*E0Y!T0}}}RpR82oB0?bMSW+B{xs)ael#HE{phhDSeI>RHqqE) za!A9S!^MH(P^#}2fn|8H*RR1Nt}YR41@5*Me9q=L;Z$eJlKbnY@U8T)L;*b3icjV= z91Md!9n*0J!=RZ4hj-@o9ggdMx?ynpVy}b|!hZwhuWQ|mXlI~V5Up=8!1GpvLqKrR zRa{TI93_&9Vsm8He~dV%mQr$6>D>K2DulfiBqDKeik%|!W_t;pHx}wmxdZ*35?#O+ zz=I=HuxO-cQRuJC`Qddg-(GD}2WdW_&tq(y_N?+=NeUoo+up7qz~E6`#e9?6VC7&{ zr|H>Zc@DI*8E3rRm>(aDUNtjaJ+%w|a82z(I*+<$vR(whpEvLcVC&Zqhuh8Kn(&(iP^Pg2P>9;v zSqUf46Dyw12w=lgKj5M8DUhgX;ZwtF1=qnDoF>6rqYR#Up?elMEU&7GIikYQype!S zo|ePQsT&|iMR3IDF;d(iAfN;p6V@%e(f09;Z)zH|sRx+sRw*n9bN_2dHX3&cH zI7P$#@f2hLE)vkR7SU%+fhF@9vc;cSZ?9v(*S0b&i>oo?nN1Ojb}j<2>m1!N zSgi0u1URyuyj_6g$tGpPcb`9exW9Q2veHv6-2tq+eyT-AldHd!x}m;sY3CN#of|L> ze;hK61qAJUB`zmCDm4nMwR`0X4H2Y?ed5RH)9kV*s9O8TK< zE8LNke^}vnh>3qeYCD@+qr-Lb&$JebWVsEcM`b%VPOm$EX}gbPGk6&kDdJ1f^$oQF zK6Y(LADdQ{E?1Ut~<0)!}ty%~!35NYAPfXaC6q#8VhV%pCWhBEe zui=c!2@Fbr9Z)%Ec?E8yU50ln7>t=ofg0t!2mRW~+vuT0ioLq0wB7{A6PJUXb{L!$ z@w224TjC_BVZQ^hZdvKJXjTVcdFzrBPB|cSlRTk|TOul%HtQkpOwSIPV}Zg_Ej)}? z=&U|Zsg1MK)OIXLJYSRpAd zMn()VaLs{8H0o_IV;ii{NOhW%i1RTmga$H^#naR_vE9U^=nE=IB#ob<_@Wku53WFe zT|4AUh6b_|feEV0iwY`F49;OFy~k$C?y1j%f^O$NytJ8lBGP892(F8?*`KJ4K0~e@ zZYD}`&CrXwWv&vtnP-kc(T_+t39Xkyy6cpJvNZGzOH0aJ!)%YsdhnPFA0&&RUCT&O zgxcZY#LIp%TA$ICRozJUMwO$G=202ar*0_FwECJlR*5^fLL?t8=Uq7(>a((xH1c0zO(hz1waT(>1 z^Zqocf$pj`+|uM6MI;_xXDkSKEAO)C&w8W&vk%uF?{64z$0;9-nd&5gXK=LdK7Ra8 z1aa8Uq_A_brO8NjS8ZH2)}Fh`JnD%(#lZRE_Gk9G#mZ*&W7M1xwRe{UGPBG6{m#PE zLHYhvzcX7w7bdCuV8A0|8XnRE>i`$W|5+Xp{sqIB`U{1s%Y`EiX`g%(lWk~UFlW8H z=**Vd63{kqHqs1O#vbvFTghuU9=!V`*_p>o&OF|37*0AyS}b>TFu2NO9qNxPUx7EH zgt&#BQb|~j`hr#G=#F_O?pCW}uY;MjF&|UhNv>;8;~vGwu)mQn3dq@fMZ$2jFWBof z9v>wP_wM$;-T_hb{&~+dGcqNe155D=si7)BnZ2-Y{-3h$V(|a82K?PsIA_E1=0~S< zh_bgV1UBDxZV}H;Gnl}ijVP*|tpVC>xVo&xfy9|Be{sjOU3a1zYA&B>g-qf}qcH>G z1wsL%`PJpQMBjNEgpNfb8hmT>_5wEDAHUv2?sDEcL?@%DB#^b+$hvx00QhjZhU24G&zJ za=FY4p$rjFFKS)5ht@!$^e%T7SU>z6rT83`dJBhTZ(+ zQY=e(BT>vEb(?i5^bMF#Ga*bpn0YNHEdrYr2OA8x+gK9pTYUBO9Nfz~MqCMQa<1<@OT0@G} znmeN0X=S7+)P_$-;D(66d2FvEY=O|Ydg571g9nk?q%wfYDFmvx8#gCfbcfG7ZDZrs zQn4WTesh*K9@l|l$}ZR_0cmDQGib$+1fn|dXhsfE9fT%wsy;1H@Ghw`lIVjqUtXZJ zR4$mCuT5!{NqRI$g2o)&+m!H+%BUU_)kyY!3;5#Cx9^|hp9Jp;baxL9NOg-sJ|82l z4NYbN6VdC&x`T9n^>*0%3vz>quVONac2t2>s#WuJav(0B$5(w{=O@@#aT#aV^hqV* z-!qUj=OM;xUu(8MxV$PYvB{8xc$(e_=@Yu|P3WwKBSt4VL-&cG4vLMIyUmb|+lv+R zX#jgt$7jc&^UdV!is1ocUq3J0Gn@eNWv`Dt;M=;c@2}zuh)m05t(==-zt4DYs4`!W zKDC!d^zc9KFK>}BC;Ac~AFpsveo3!MYW!ifv?xQ6B?80b&Lr#Z4_#i?XEv2iV&43+ zIi4QMJitJK>bs{@WWpnp^%UqLja?rLYm^iTQT+`%UM$HH9wxh5`Tl%dzcPI$*&AW- zu5cOa9RksUeSM8)0~cg8d`|t~SUf=ZAkF0PyfOIHEG1ik>#Hm1d7R1VZV&468#_bL zyWs8Odx-(q%+E3j@pM-yno#<+7?6hoBJ8By578}j{=9fGY)(#IJ+q7lc)CNc;gsx@Fyf;L441BdE`DZU zV(A|p6kgr+NjUH&FtHTF065iz1dEZl{}z6Jt>I@PgpnLE2f7zv5E#aw88C!nL_WkP zmlUF}&5>nmzq!x}m<*0IV<@U=jHvhoL`Y`|hd)ulfdw3RV1p8#VfdkiR^lIP$M9Rg z#QbBI8x(DzLO=~SxnDNi=A9iNGb5-mJAAD3&Laj(&ILA! z1{wQo1%TFxkif6jS2zavDi&AzGqe2Eb`>{St-MrFIGY5U80vN;eOdrJa6SoBgm-hT(XjYbV>OP^HorTk z>U%0PAB-uH6%{{Viwis-WY-Df07t!NIPD{JZBH?g)_FGxcx>RX z2{k2Ee@5mYn!VE|68XMpron0=g$a@87js=K8;e`&g~5>VYi-J9@un#i^6W8GT*i=< za$^t~YD@qCCcT=X(o3SPqPXO!#Ze_{r=BoqmaaUYrumDy%*Q*qy3lBR-oM!U7>gN` zY6rCYeb9d@Y2r5M;=%wb_IiZA%6nbFLJVp#T};G>^4hNY;l*PG$nkk8$4X75)noCH zeQNePhn&=^6XZn_Ucm)IVU5PsjoOeddC-m6Lc;sANFmtyv$+=LpY2`m|Fr*Z|NZ{W z{)hda_kVfb^MT&=e(xs~>&N-o@7?V8KJ52?-tYbLTx|078#(UlH_9D%C`N0ioro)q z7op?NY*vdG&1BDGVP;jV&d)A4=U~HB(X4!pXc(3tt+Fg=Y0=x%%%t}TL!MNyBEN>G zE*8CmcO2PQdC@ywldf@6^sb^}Him|cQp67!!N=a(GDYx2rHQH&a*5!Sf}lKx2M`P* zTFsQblwU&fI4a@2qE~7-k~kT?8Z;TVC=7w$QM@+_$fQU^-nA_oaO$dlPN>U$>TE&@ zUWV9AgOg%b1K=c%ro;x;wt0&t!1k{GNKy{dpks)Yx$Y#jpulgMQAnIboOPt`OVTvz;(~gR zK<+M(ka{$s2_aaKz^5Thtd6c9M=@DpcOi(l`7xdi=Bfwj15i-d_!xrCF6PoF`+zya zDg6;tO*T?|EO zXy?58-=w2~6N#V4q{PKY&;r;C(Ni6H?Fs85Zt)jVW=mu&F8NVWf{$~Bgtn>(>W151|sqU-!Q4_t3EK z^Vf%{T?n7{1CB}|pdoU5^1O&BKQ^9uAlEH2FJ7PxbtISFF(a@wMHV|~<ywY zaJ={3K7Yl<-go~Kzvy&vP+8TpV46VE39+W-7~tM2cn@FCwr@$LJg0J0%`Z;`F4a$j zf#}^AwgP%Gn0o2OiUFGqa~s-OJ&=bLWEYV_sAa8~v4;NfPg-l3V{wy3R?&MjWjJ-{7(v22 z?FFMdWOaTK>T6@O=?t&W(W78afy5@v&yT-sGC^Ne%eNii=*ejk_auC}Dez&`awR$< zB6<_Md@e)i7Z4k0@Vi={ox_O^Ee>*HZ%_Rl&qv=MjQW%DWHg?Qn!#u?W}(@^2vmUr zm%IC4K7UDis+((b5b9tBFAz=0K{GYOIx``0*-c2Qh*@WAE93!Glp=Aht0NmnZqJv1 zeGLwTXBklzJOoEDQp&U|6L30yl1`@MmeuU$9hqWcOp8}SB?U%UOaT)uwi0B+U^ZfA zqjs8_?UsA*p7-A2pR7&b{Z*vN3cIU7i_*7SMC}48%T6z}@iDZHTNevI96 zOo8+Q{mUa(e=Q83WjU%xTG-(NU1VLOMZ;Opq!iKXXGJ&rMCk}C1Z7#cr2mZ7z_0J# z-G~&k?GvHCuqjsX5=lSOJR4fAc$K4b4OQc_g{8chji|Bt+UQvd8H}@*b6$_s5HyF} z=N1Yu9d({my7%RbicbIfrECLKkPApObo*x0#;y^+U41+``28}df*K{k>RXal9Js4qq)$w8ffVD^ttI?Ei6dzkm0c z3Wj;ucJF@q{Q3T7$ML=7@&iD?_oklQ!i&8774_tJ@$(0{*Yo|}1OD)SJ>1ZRjR60G z(F34?rn&J+E|Y?&z6kR{KRCHyUV1`!dNF_b>;o_}m%|0PRcD=FjIx%eb~}QVQ95zt z^9Z;-H3iLgo|*#1lPRdAJyQ?$M^u8!k(wsdnnRL{>;c*cGb&}1uP(x^oqOcNmHT&0 z)GsknICYViNkYgXkA-AmjA^J#FPz&t1n3V9@lFDBQ(a2JWwl3VbXP)YJk2>OHF@qZ zOCQ?xS&1Tu@g+e7_k?u~n?wjeg=v>gHho$pn?fT?)Bs899>Wcax@hX%p}}peETA9d z%ny4bFh>vMhhrm65ED(cK0#lB!raWI#mW5al~ff}NO$Y9v$fV|gs&-|lwDB}}Sy25RH>IB_G0+4vDA@}3U6uMD5(o&j zPqXhry${#oxFW&aE7TGSX)){cMaB5^UYTiWkk&xHK-sV?5ajOgEetIAIkFPs!?!d4 zZ62=8k-O)VOgDl0F;GOp*t{)@T00a@D1>@`0_|AFXQHskWqRP5Q>Wc{`PQw={?x5O zdFCKm|GvJ!r#s}X4$23p0Z|{s$zC(Skb`z$u8l?m*4~EvL<79};u!d(%~q--0yU}X zAYRziEomI)Yz6*18UggzqTP%(HAO>-sBGZOB@M2i+qCgNvHul>10id=l$g7xX;VQ1 zrhAN*rIXso={IAO@S)Vm8ke2af-00hotg~@f8E`F{^=gearHwYFP#H$x3qH48)q(3 zq%#}};42+<51z@y$&kztPfj^W-`rnp;%|_@Sg53upP>o*$jL-MnKU=$D3R7K-&&e` zI;sSEadJL)3Ps8_v?|+Ub4Q3ZkP)^Z0r^)H9{S!1P*CPn4gSEAsfb^MuY>a&&?-uXo6r{9qKSw&gFpUujZ78y2Jh)Vp_-v4xA=mC9Sf_LsXUpv z1#Sc93(c*n6&c8?I$F`D>hqMSpjm>o^Y1?SIe%&Vm!bcHAaE_jB&>*VI8_>keTf5r ze9nPTgBt|`V?FKv9f;r_er+kWHryOM8l2=E2K}d}G?+^(fRYsNgffRW7eD`s02mdte znfu?be}z(ke;Kn;zmt33dss|$hz^4irZeg&yooUY0$L$s=u=n`=f##fDJxZ$9I&w9 zUTLe71cP5p@iWzNFF8?09HQ20UY%oaobP7kG#l0b=(Ny)u-!ky*;+L|BOQV((3*}ve}@S z9}%03BAWnpo*~O}vzhaKj2Ke;oKg!Yn;k-u_^lTo$mCKe1THTa0Zt(Y3{ZPhQ&DBn z7Co3H;l(4@pA8spaqd{cpsE&(0y5OX(RYva0ZeYLs1WRSZ@18-48f`e48WCv!LeBi zqeRcUhxfO4I|=dZA7=!T$wVctLVEp@8?nxrkXuQYoK_Ja=fn75OU6dQ%&EI@UY^ZaUw<9Nf~24Vb43j zL6ndJaEKAZ!e2Q^FI;x9nnLtskVKmZrLk2!=n_gx5lZfN@=|8&Gq~TH%cXzbb-}9 zK&X{eeKkN>P}K$lx<|zU>0sxZ!;|wj3GF1tRAr(PQMm*KqWwmCLpo& zshzHrYI2bI-*=57>eX0H>=2Mo6 z6VzvvAg1~pv`J5q=skqb$!SASI#_ zLXm>>vffixa`VGoaP6pN>?QT=aAlP4WByZi)KxNtwH|JTpYnlIYa%>y^s}LZBy&%9 zJC^#r`}(WMbYJzr!v;&a?j0gt7j;>z#>(r?!Ypxul8M5#7X7T) zkm-2F^=G#;L%YP$?DYMpD+uOTqgk1B`9KHA;40u zwvfg`0$RvJwIx#!XHt0wM}&Q&DXXs3+`Tmn6dG46fGr$(?y3 znq)%c)Slj2XerPf@gH=RP_ppeeXTLJIoE_z?jy+#0y3~O-D|KYlw_d^tt3f-T?^!BvvD1^-kMD&W$@fBZ3=<9SzK_}2bCxgzCApH_jT{? z`qRz+uU|et0Hn0{&prMV=yU#?vi0-b!}ToyO#mT%+rQucggO7w!`1tTKyQ`+0R zCqcleiFyCL+kIo_)^Dh>#GC`RQ9eHWkyG}2d&Mn?ddElkkr$Y)%?t3Iz&d?;{`{~1 z1>rY8-A?;wkAz@2{6q+bQ=SOH$P^91m^vp$1=5)HpM3}XvT4Daq>}9G3ml6O`;A^= zT-Ozs`=nCJD=etnft(sIIa;2=vqp#le!H0AcLcNSUl0rFKLM{w{<$4x|H?kO%|E&2 zC-r<8-Gmr6h!lC-bdLy_Wxk`hT^(;F&Y%xk0PbB~s4C4t-4?H1yW5W{ywV0p7s*vv zv#H*|;|RKm37z?MXUpwR2|o_@RYAv0@stycKs8)=}~d zl~H>D1>_zCm@eL25u|1PfW@oEbEdF{aF{n^R1q0BZt8j;v61x=8|Tf(Hg8c@Ng*^S zBLXwFRf+>Jkm_aM=+>ag-Q!a52C7ui8{y29U?N}BlI^96;>V$obE2k5%1-=1V{T2} zGX~p&4%BCEx``IS(&*-($ZxVUD)f(BwzCAV?hKEQKZ_*S24U11smP)B92G&JUI?Ai zghAoB_*Uo_F#scyRm9P)m;$KRHNlJVBbUnw!k6ff3YdBVWsj!XaMrM5AzpoZv_m!~ z@aq@zmuL??>K|x73MLY<=nACaIyPq_8_Gs1FWH`>FIk*8vn8B*QU6KJ`BcjZ@nT62 z2M>N~ic@9RL}fyWYYi?o0W0nxI*fgIp-8+3{8+}b5grX*PLhj- zfWtJY-UOsPqT|TqiDsqki#Y%KL)_RNUyMH?W7YS31r;IB>Q(2lTxe~uvOCpjxp$UaSItLuc>Z8G3QN%F08K7QJD{>JrIk?gTL}NNZdOMDzmN(_m|&KN8Dl zjMy#u>@`RTiH{FApV%nl0SZ!i2@)IfcfRu4%t{Xkii^)dNXK}2%4F#3BH{FdUrD!L zngAemA{DC&g4=3)2dL5QF?ktRVh0lUW;KxAk3XKCu2x4!r>9#_$79B#lSY>B<+W{y zq6ma+Ya{fGBWX1pt#0?cs8rrVs)Fj+%{XN9j(IiQFIe`{>MyX=j`0drcgm0#N$t-ODIp1!>b1j(%xS5%Fi2@FGSLZP`6Nt`TBF``Rinxu$N#wyJl zu63lG>NF-1zA}TmQ4C8%?A?d!`v+*i(H$axU<- z4o-Q(>X0cd;Fl}s%w^5UG!J)aVG#0~9K%l{tIgDn0>6-=)(f=zJQFDBx~OY?ck}!A zyDv94cMsq1KK=CN;|)Ak@KoJomN`SjnXe>`0o8mdvAvG(T5FbY5&-yCh-q|YnoLHk z#}iGEWx^RTwbl8^=KQ5%rj&R@O~N_An?xZQ6u2?GexMwZb+Vq|oZg-gfi-BYCbLu7 zs%d7bL%232RWw->*$6R0nrWjd$F<)S@V7LsSrU6X9=BAvGfIQAU_ zvUzyS>ag6=i6Y67W(+hUAc_IMW94&lKUwXCbjiSbvS`LX*1NY}*@JqD23SPrz+axO zA3I>B>k!$7CEX$Mq!~ zbcsCanv#eU(2)eX6`;f<*#umK0Df|}VnlBOT*xJ;ljH*AGi%?2rW(^IQ8yJAl0qxO z>CVy8{Sr`am-^7v=rbtru|66wzF3^~ISz?LqB}0glv#o|Dx3*qa?LNKceJ@Zv)sO7%(+M9(?XBYqtZ7&5x$XRSDt!sYy?+%>Q#{+ z3__aj1OgGo6@U5s`={%>Kj4P{17<3*!++V^`{VhG=K1sgj-Q^((TqK^U+WsCm z)dA6QWrHi7&(Vdp4w8f{T|Yd0x&7(u!_Dr~^+!ZLL7&gO!=zScCYfk4RsDNlJ$c&K z(+y5XsVBK~U!??Y8TipoV*dum$<)w{@P zg3A)R#26S~7(vRjVp)?Y7tm=5zKK-H8c9B^QwNAc+{9B>B9D^WQ0+N< zmf+B(Y+j&Y)$vQlNnFaByT=r@e2x+hYKr0f!7~RJRKjanYb;z>t`<26%9$Y3Z?%vb z5;gv@9IB1`>(?(g@Dashu-ii^0bT{=P&@%O5FrMlK!l37Z#LisOgG}<0nCVlZmL_r zch474=X-2GT^;cgQyG_ccZDT}8vx>T-G%s>u%F~mP<%>QU1G1X<~E&JX+mCxijP0V*)`sQOtWKtt9ZmhSKF4DQ#R!Tq{3 zxF4LsH zRqvK*iqhq|c0&{Hu?kOvGCWA}Bx`dJiuI;Dh8|kI?1#ENC}&!@Bv~QXC^ilSVDZ}p z*nMsa*d_bmG&Px7cXX)P^JZR~zLoLpe5iwI7nAsH;V5Jo(?OU)LS^`2m=0o=Oih@P z>{~H>@m^ODYI5CIt@SB+_e3ibYb42xw@rU!MoCWLJi!|f7RyF-#Z(V`;f>8`5*S4flit?dtcG8 zgqpCIrN=*?|LXu{=|S;k#f?Kun=KgJj?1p*8tI~+4o2oKAi~z^GVoNkd}35$nH{*p z$5zd8dAx)z$_QV8)#d}bso7y#AuR=O4?TwU7UmmtSMA2@fLCvpb9A>r!BYQNDlN0Z z;CVVvHst$I|Ez2V{bH<$D>y}>lkjNxLr%CkXE%@DbV~2}%Q0*>;#Xi%w8}YS@Fi;3 z8p5Sx#^0Zu0n`uOyfwU?tesT}v;I58)xGu2@tsS;RUWEJ5rLwK(Es9tzCxAH2U^9x zyTt5M1oEhO`p8J>l2j#qvS?75X%_g=G*MH~ezlB}2AJgVNj0SzBP};RsyLJ+*iFhp z4;Y2Mhs{(CZ7~?SU1J!vph4koB+?{8W#YT+y0Za(XA5@#o4ZJE6$QA+qXjcu#QXr1 z2AiU5rWoX7VRGQcQrNHt@CTG`{Wh4nlC-#%f#jfDp?E^yrI;bHEthEO@}w ze26QVhh<^E=4%Xg=DxA`NufyvkrDd^4%Brd0XoAB0DE|%>gFNpZbAF6T9{r777tOM zAP?ftXX}ElOo_hR9@M45a;fqfgH7DWL|H(D`iN&V`bW9`r3}>_!dIhM6mKw=x5V09 z$g$ur?v01z!E0LcZCZ2WW$duT-av9#qHB~8d*|qQ!V9Rq%6z2r0yZ4`p#{(nzCV!v z)(5P{tiFXo)}S1MVn7J#!_6nAKn;5!RO4VfLvfjJL+K-O&`t&G|X_I^G@- zlAu=vU_g6LMY-@DtxV<@($UfKJhNE7Y){}$>aTQY6nkmWod6IAT$qwHX|Mo9>!Q&b zl9I!t2R<8yDohg-U9(luXFRC&S)ELy(?V8Ngq53@wsD0o9tq`vecVya>13UFbZI5tAytnj3GA zCSd+pNSG}lth^T_4=UUwf*VL0^2^2g@(rK>ndO%cxwg~(tUMfcp`l{8A% zaf-T?gWU?PdM`fu@mzGE>2kvuJq)m|D0Z_x8!tTD-J)XZx9h~k1-y7LQSk(@n+{R1 zI-8(sQBbq{lEVuwCWaeUS#{Zw*)$i+EqD;mdhp5+t}g7>t6cbpk>dO7ELg@Gs0;?tQ(xzy0~{=6&z> z?jc>kVX=U7E}%d+7+;>ByqTkXUFwW(jq#mf5ldzQG73CNp-e7-q(LkvQ!c!huZncT zDFCa6BH+o^BvtaV!bVgNVksp14LxS?u$@CrmmL%2O{hrass}tI<#8uW7S+-;Fy~5{ zVSz4!$Q+ErrSgD<5uW?26BM>bdo*J@P-66eh;v2tTQSTuJ`B1dIwByEAD!iI+;PW1 zK!ee&HyFVd-u}Fs+X;(ytxiT+^ z)-LFZeo(Lfu6ayVh%9u$~2Gx@o8rB}J%OCwG-RkL? zB|qu%MxTpq<-)^*#KJ-r_D?ylvZRlHu~0e|*9z*HU-KInIAN;Diu2OpUUF|QjENIe zR0@_aHGN|C?C$=*+PhD84|g{o5OryPxqbWa`TpzP^TE5%2+{m&@b|wWLzR90xX4r| zA@@*e#7RG@I_M(Lpdt@(4N7_f+)4kKv!uQmvgV=%tU&A#@5nEezr#w`1}n>3ou&k9 z>@c|e=>!j~tx1(WrLwH{MNDC_;ul@U5s_`eIbIh@{*Bc7>w})`qdS|s-?ECk9(D^$^z7nB4a_W5HjF2Xy3(V*jOTHNSaJ=`*3l8 z_xb+r;kTFfpTB*%gDT;92Hhdi0?w!d^g5C-`e?1o2_{IhKC)GdYR8=!E_7W|^^pEo zI4PqiF`+9}>UI|^ViDRCPc)zhD7BRZY00-9O;VZ;y>Az5VSbkQAf83 zyv88RvX^H}{E9G)&QGqGp(U%}xv3=oP-=(q=-nmxpR8#Wm?^{ZFkOSL08e)g-`RG3 zaq^=qpBqm{=;(8`Mf3RWj~AEcp;AoXW-PLJs0%(-TSW2YN_awcs%9wAAZ_VJpaH{g z{2ze^`e`@>&>(rTaIjaGaJiv62NzDObJmfy>e;T3`R4JE6fOP zuDdzfvmD|RlDV5ZY`Z?0zX7hI3to-kVqr9hwMWNDGwdYQdAJA&iKkp6&2XfOLAsC` za+#-A0VjV)Kf5&PVab|2t|+6);57-F@7x7(7Nh#&BJd13(Hm~1x0Bi@HWcIx$7Pl= z35#tq5&okGTwK^ekK+lK3M4KLW#r`irJG{Z6kOHp7RF4}iDHsIf9{%d*X-uZPb7;Q z$K}z*!Fx)vejMFvti4a2V=8w*jS4+8JiRKTl8{ONBz-0_o22~|T%Ecm)Tuj4S)GjNblK|^p(Y27TspR|4K1!t zPUb)tgeaSvOWAl_7X2}a%BUR&y`XOkr>1!@V;7ZPw(xeNbo1pUf}7y1%p(O3dNh`z zjmip837(M})?A9-_3UEdEJ(*3&^vA-M0PL%5E!r2qC5F9@mh5J?4&}r^2r>&5DcONcmTY+c+I$*d~ zr3yy>Joy?*)7SG?Ynb!;*ZRy$J9EkCvs_#qMfG?2Ok+bW;5t}H_Hut!War)Ae*O00 zq5YeNX*}>4$M+pTKTPuF?YzY&Iz=zBClRmDg;vh0FCSFjMA_g%Yz1Bj%6Q_d5K{n z%&yGq3tTXG%aikqns299Cvb=&87aK<{B${L9*Mwkw3$BITV1B?KRxB(=_xZzp-9Mh zdBT*7k;smzFhjK0I@E?C*^1QuVa=6w+Gn&osJ~#8dk~_u;{kejCR)?DuVhs-o<1!G z$KbN`4^X3HqrAdTg%dPBTG5$eI)no1j2}ukO2+lWWHUq8i7_x`jzV7a*1RXs)d4(m(YY~9lqXP zO0XI4g-SLeqhhg0`CWyWs4WNDT;Pif{GMF3S0t8dc`PyslJHy;joA%52u3S7ZSuq1 zy4`VE=u5N`$GurzseoiPby+EC_*7!ROkVqBcRbqr#_oKlyW>Va1)oq%qoYs9Rpa!k z3(4-7K4>b45zY!8Jib_v&>rWie%{By3o?s@F#gaJm?+9Utv-3a(5-<;ia^Uat9*%*o2G=@XJGYK_3)AB!0$@OefBN>}L;DrA8&JLP z!=RH5IU**{H%uzc*j6cqYRobWnSX+u~+OHHflBI%cBT~iOC!gEUDYn zOeE#Wpzs1YU-QujIj63tgjlp}@Al1N`${%mTkg0#pb!m?7>gg#6Ql7XW7bWy4`R+& z1GX)C6Gf+jw7aU)L}gfz@CXG%`d4MVG&al30A{5ys_JGy1gBXZPKN#}HcaC2T)3R= z)n?g|CtRdt-TGyyDBCmeD9b0+ zSUj)Hgiq+IXNHnivH+6DE!o_)0}@9cKOMEIlt`Gw zQ5U`gGxXUr#NqCknna2?B-?kP6}bHH;K(8&DgWS+MexP)2@W#3ezuSU9o8g0>NZwc zk=iUHtOO*MvFL_;f)8!Dhv@Mz`e8p@l_92?GGmoE6nrsHI;F@83I#Tth(LF3Sd_jP z<--IH8JnY`8CSCWP952}F8*%8BNbH7$D=To*tb6|(;rwQZhsQ2GId-^A-O$Z`HB{q z%EBZ=j`*9Si}omHNg1Wo=2W)lvDvy!|Ml(W-GG0z4~cOJOm_JS=8_+wgXvQ~R?LZM zP4gz}*O*jv=?oO|v>fj=B>-y2UAIB1TP+Zb=>E~XV`r82^&F_1)A{yQpz!WcWd$pf zbm7chwLD$}{Cjz-4l8lTDvc~QbBbXh+Hp?LNa|_vpJRM@9)QkN9Gw0Y)Iw)!oqz@; zQU&?5mVgEfOWk;M{$ycHi6Hp&fV*#IQo}rB$eu+AGo^mL%BOi(cFvLnrKFA?&vjL( zghJz@niUd9)HCQ(*uFQwzOq%{;2#KZpPV3&_QF2?3m^0%KglRQFx2+1f9cv^Y&X0rZYibsrtY(+0~l&{Q?<-&`R*_9ml*6`4ZMAkX?0&ISHDPmDH<9 zqKKrQ%#6XrDv}9Tok#aA@{VL+fo3a$YY86$Yt>-9Dq=lzg#Z%w)U3B4{=x4kh<||G zdh^E)nSLgiE&4L_8Q_hD?_{@m(?a&4yWn!oDpIo{%EHziLA^dCjbBl}th$)wtte99 zBOA47Tz!?&O?o{g7bGM-oMW5z&WfLx^PkbQA@Zgr5qLteYpJDC3UPi^=Q(0uBLLdR&mWuXI zt?mOBT@3j}vmcY6CNms0c;%>lTd^FPO-AiYp>}ZWm{jN5nb zrSNrIyc2^*o6tnO9d;;q6$m2nABKdewh z@1bM6_Q=!9=3fM-7FzV4ny#;SNny94$;AzDpQ#f{Y@>F()9vQ+;DsxkKH z24?I?9&e7&r{j`ArNJYHeP)vDOB8!j{4ar2Tv9a+wO~L{yUKxz>eZP-F;n1}R!0^* zLV*jml9Jk==p>~FJO<^EI)ltg)#9~M=mI8%%wGI>34zi(Brk&{s!kBWmoXDl8vqr7 zw?%elB#U4+ZJ-@1I4(1wS}>0Gh5=C)Q--BgRN|Ole!TtpW`Cmjmyb+A+U}Ec(Dw;* z&;?%EFr3Rr2+|(KrViymWp6rDbZ>xoU!1hZbL9A~&ydw;bti*%$0A1LQ`B`k7k#D6 zAz5U%i}nR-)hJ%e3?l@c9_~Kg-oO3$W$)paFE75~KYW(TGx#@3`#t=EK+D^~|3I@N z42BEO2mcosQJC_Lb8uraiI<)a{ym-5oy0joUTJQX`a;7ob~h=NJ{!`599T{ujMdo| zeI%m>+cQINXWO{1w-#m_XNgOwnF&~{o;^@#0+5HJq6RmwPnE^T;@!Xf{IUJ{_JPs^ z*|gujJXp$#OWP##ZHHI9JU69nl(~XctxUkfC+%B&-^aUmEI+8|1EgFG5xEF@kSJB+ z;}-|^7^==AgHA=YDe^K@E@?&!6#MmdevvG8XH1tl+B7KXU=0|_3oDX&xD*H$JFITl zdJ_rE$H+?%FZuTMIRY~*#mX;)^57*@!}kO~)kuRf8Ea8ZMiwFjd>EKAc1z8N#Nzm; zu5Cw+DlG)Lk7i{+W+=C&qe_8`s2dAvY>x-eHY^Rc!GA_D&C$`-2{=cP>IKPxf00#`9LIMi8Velk$)*$|@!%LwRUHi|7oe+xdrMaWZ8-pK7afk{dTXpo5zFF*SCluz z!`3G$E3)30(L*yyM7a855n^Tdj4`|*!{dlPM`D{&h-#E+NPV03B#MNW-e|pCbuYk} zb~951RT8g;<{SG*)CMc;y*iCA?+&U(lUBcVO|}6loywv^?}KtR^vD_r%XOS5^02Xj zn4t?kXEAG3twIE8eKaT363L25M!_?0A<6O083NCPs8bn3zFvWElp7-a7$fP1=zO{o z@Z+$5kP^HKH3-Itxe715`g(K!knlc)h=t7N^W`h!d?rHZuS|PAig9Gv79fmI|<_6yLtESUi1mY`+a@5|MvD_aGA~l?+!f^AoE=2| z@wP9|u9nigdT|BQ7Bqi7$LsGdA){m^*IOxf%UYzvSRyU4a^y4%WOfBl1y2mf-l;+* z7Tr4RNuhFv*!-Z==$VOZ0%`1SC^xa&w6m8HB5Zsr2mS&rhAug<>3$3lVT*_M%?iha zU(x3b6C-V|F3_>KKpXTXqRUj3`#Hqs#$#OJRix58QmAw7RNn;P9 z3-4M$X&mk|n*z6`4QGYx%u?|!`RAM9k)Sl9yTntFB;z%)lNa1(b0r( zYteAb{7jRl-Au5NOud?@a|A8#h+7CcR`jBbhYi8L%=i?6hwwUJhXik;+I(33pqW3` z&{u^<($Uv6H`f+b_7nJ(=qSB&R2-#D4r(4B z-)`T%7$DJ{RY&$vjy_T0nhAu46`DX@8VE`E%#;I#3Rm73)0dB6<(;Et3)J0JT6#D* zGS%}eCF2=-$PP7Zc0bfXFr~*i8L!BrzL`7;S{ecM0%2;vm8`xXFvRrNygYxsr0n6qx$jDBy_^9*Rb4o{}r;jP-*nS+s-aDKI7qsdg znuKxuBa+G8_V`9++9gkCmOM8!YUP3OX`s0(b5}(q9c0!8Xhgw4=wT#f6}WmVufSSc z!$5SEu?oS}X7O3zQ=gw6&znbL6&!6Qj|L)S%Hv5PGUf4bhW*f^x&HP`PtVz(V~%<| zigYPWZy%Y@L3WUV-;?$AIu<5OHZBZSmbE%f*Hu{c>+M3K_Wrliv_&$LpuNxVzlBi5 zC!N>sLzFYcbt&Ros!Qjsj*fhbfi zW72Ddcu9t`ZUD&hsp|&F>wGc>g*k||;AE~wU;*fEoRsW~3oK3^l)93N9$|T7#TEE? zw749WLi)YKO3(tKsM!Br|0kNwWHgwdimia)5pry~wd(#G(mAJ@5@;>o>-GJWZr|}} zHI}-2J$_%AgkF13Ph!8l-!bd(_xvEN)Zg)4_g+C#XtkVduU2bw3H6QTZ;4%=K%L z8td04-kW_xqf`d`+iLg87gB2xJ7D*Ee&Oi8{GuKvK9A`IpiU%pZ4z~|JE%8>c&ju` zZjVowur$!NAPwz~CVh>~QH<>AV>Lm!PL@VOF8Vj*nh$pIvnb=iIL*AKK~EV@#A#|O zSq2&2?cCDBsYU7Ii`f&kkuPRe8d=O)fv@5vaQ@Hc3)EeYUdnPvZ-|@-X4Ml-zR{A35E_!b7%IdI zY~R4na5Acl7+I(Stgd7;9fKv_%u5Fvd=5c13frk>4-3gr0O#=g$|MrIC`R1KSe_6c zQEum-|0JkGM_8Uv9RYAOx_yEOHd|h~H-OGYn=_1^Ga%h8Dg^x!r$t{39c*bhyNfkr zM&23-?j_xw$431qSLcMY%-xo%ktbId+P_(9nF~zlpy~o@4jg-&qlXTH3n=g}r%4AO zc=ikv=!wA;uNrAMAJ9!tJu^^}PtY2ZRi;#vW4C}gM4BFu1WCaYsT9mooq-IJ^BPAh zlu)0i#9$HI#BqxV#pMU7|ugQGZNu#S;$0FqagCg1yrkx$>y zs+lEdkKulBnhgW6Ag1Ke&>}fRNQ$$D;;K>z=`8PhI{%B;)d*l_I0@FjeEscf!oi2b zs}r2ets?qr;F#;@L-3PJo>Hj*wpG+bc045DmWl^g@#k3l_%3KruvcWJF>}6 z-zwjyQp4oIjV5j8qYYsfE~YysQy+y=KwyTVAcJ9gZ3*61xB!z*cj6ON$Dp?JgOZ%z zA*Vo;9;`g=So0L|XMqVxHj}lLz~6xe>0WfURu0Lx+vJW{FR z2<=9#Cp$*vc}O)$`96=_Rmb#{g#AZujHziMUfrMhVsYRWL%MFeuym(NE z<%-7USs6{O*xz-RY!sOxGtu!nnVuC5!|`Oe2KVDc33YEMPr)N&txkjm_K();77e|Z=Ty9qzwB2U?b*;pyhaBZ35IYU`M+%z^MIR;6 zN>wcJ(#RiG7fofJJSCZ&3ap@#qWpWVy*Tqg-J9X~aE!s#9@L7+8c8*>dr`1oa~ zApfy2sFY)f9BW*WwTpl=Jn{uqu7)!|MW(o;>8iVLt;P-&JRsJ=KlElI28DV8iL3hSu2Nl@RGa6<(A0#nU68FD$Szp6UPB_5>Sh)wZwk|hbywxRF^@e zUelkt;X5i&N$f7upe8|u;*&x_n;!!pj`o+Xyeo5J%t&hnddzWzlK6z8{>b36aTo6f zRGlLPB8NlMr&_|@C$m$;D%BOY1dO;3fN8F{C5p4aQhm%7hoZTdWWoeaX1YDKP5A_= zTDtF9$+&%-3{hQiimDiqlA{ySqonYxz1)D<35=1FL@V@B#wzL!#~X-@js=7~{UhiA zf%D>ll$)ccR|ssQNwc)ah|Un0(D0!IBblcr$z`_dDFaDHW#NrDMkBX$GYmwGCS^tt zE<3KNWqUmyJBXq`{_$7**S3M7gm;jxjzyTYtT*O!^iVsggqrd2O{~uYGp`R<7<$h{ zzPoB%saT1rt$KWM&O{n_3ZD#F_{3G0#?4hYGBVW#?vkR2Ed4;jEcUNLkRCE$a_Et; zf;P4?Y~5VRPa#My-?T8B1`{pOZ)zlTn3O3dS9Sg9)Gi`HuHe*$^rnufU}-EcyUqK+ z1Yu)@WZj`>NZ6R#U}amX({xh;4abJ^>_>1)7>xA7qrGc+kdyZ4)gmbhqgjx8weqXk zUGmDXP~oXS`1NGIfY^idJVFW#fND5@CNPU4dln>F42$b|prjn;?|f?sFKgf3zDH@9 zcghlU9jq`kr3!MhvdkOue~lOGRHZRGW{9{O_+!q82QJrj#6fF?-q{9AD8`~%0WcgSC$HbLHjBq(rM^hDU8V&QiQ zg4Wt3h%FZczgiMm_abwL;{5S^7l$8 znyzya-IuIF>t*Fh64T%UlZtWZKp8hC&8My#-{`WUX@S5?n-NGT8y~~8G4Hn{at?Jt zOwiH)R*O!~dV-oWy%CbAc9*ONpPPpVo_XrDLPycq5H}MFWHDcLqVk05(#H3? zEo*58CGBc6zPEqid{3S~?W#ey;2SrvsguBrMoo0q+@R+0@?wKiF0T$Zm*^7nQE0aa zTr^RAFfmS$_S{~cvm+Ca;nUL5C}F!$u0JzRP{rSOEzvIU3*zR_bNob{NwB$(C8?S(8;C#FEqwKz zOHPmFK-_}tOnAz)DK=TKxAPb-t2)W( z?p^Xcq;B7Sv?i5}gcG4<8!SBDgUGRP%lGt~R56(`0JAkNokoyy_D*JlnV%=!9k>U8!^ z1eg+yz3m${Le$?bFU89p*NKJ;aap;a?;7hzsI-K~J}Z5Q00UeGNv~x~6-S;D4t$>< zQnSS$^Hk~#1t0e~GdTr_!Kc0jQ}8vi(7rc^owW`l)2G?!;nY1|aGq)w5J0!|L0O;< zT8^`YJdhwDyxyU3#?2p?+$+=@Wk54I=#v7I{ik1Gf`oL-m}adFYwd@91)&;L6s}D8 zehxKBrnI7hleK0h6`t1G6nPtAC1wLzq|Ct42%_ZPUlCU!&ejY8m-n(ASsgM<3`wu$ zzNmRJq?)~+6)k`6M?J`?%%pS_TVR*Wqg0%Js3ZslAw1^zC=fxYTJw6oky7!A&Fj?A znWG0#C8PT2r3;KmMjp#F{Q?E_)`W?@1p74iB-YTinplIKoDFI0yj`6F^j(;YHnw+h z{u*C$45q(4xmuxZl-SW%NQamVMsGOUj$ca zWvt?}tj!`R;j|GGHF+EmH8C_4a>gF+-?JXW|7OOS-Tg??8AbaM95&D^tf@kNg^d*; z?92}$sMmxdiV<5(G_uFHB996gd~nh3E{kR?pKkyDeS3e4YTe)S1s^{Dt^IKG^+8oW z)hfy!Ar!L7i2jfZPj}KB(^LY?fRsbG`$1|?G6)|P9Rc9jeWwtvAt0ExKUi*-OQ|TQ zu0RWza%*Y0!qIKx!Xx5khMH4y)5&sGpPN(lW#(E(I%L`xBRV~rCxw+k8$*?xVdH*e zoN{T1?)*KncS3f#k7<`(dnG^E*%Wyza0xq_G{yrf%Sta9D@(5xtSlLd&l5W@0k(s< zTQfX$JLrj~#iHVF%U*2zY+9VX30pgcbK4oIoZ9XjJ+ny$jg;&*#S4%p(+XYj66}%? z;0XD_I341|Q|p>K457M8pN@H;$B+7W?kP3OP6m|}kd0`GhZ4Ihe6cp>zSxepi;nh+ zz(5^W`XHSJ2ZgIkZ6r@WYeeDn?%q4z>=a01MQH4;n z1x`$9R*Owbngkm=l#vB#R_?PSY{xQlW5N}N-_i4$54aLk8J6#Ja>Bubm4*J?CmTRc zRIcgEDO9!_7aEQIJnIZteAhJ20UbbSDQwln{3VL{ld%ENBUT+KiBHM^q|%V%1(_gh z3mOr~n+3bd2kuiH*X>L^GHc1dqH?%zM@I&l?2jj6i~jQA?yr^y*^h-&{NDy+|+2Fi-lbRBudqUP5n z9UcNE(1 s3a-o`do4h!s(1|2Sf?OflwR7r<$J_I>^DF1V^p1){NV=3R<_N1jI@#7L&6Kf zg9NE$vw!mL}+>?PdIPX zh0WL8Zm%v7csyTSp--c}q!nlW0nbCuu)+rz!LJPfSzh*y6qiCaC|e0vu_^N~v=CS| z5l~*%&BImQZYWIrfSeJB7OC}FnIOO7J07CAa-Ln@^o;6nDiy#YID)l%mNn`shx7)c z1UZD_9+ci>8GQsZDotruoj?k}kR<@wW#DO-quyy1s7WMB>XAbna=k^b+wHDhY|zg9 zpc}YUvQgrr9^^uVMi(C>vD#3vmL7dH92@{JCa=dpIsOY;PkOPU@MObWdSf(OZDf14 zy;gMv+1+?Y+xf0uKqdtG6-!tJWhXm}0wf*M#g9%*BNY01w4&LHC$B}{M)_7Nh#YETG+*8wz$AsRg=`=VSvRKhHc&D7M5QXMJ5vA;c&xuHR98;X!YObKM<{)g) z1#UojuVQsVj8vSILzFq|l@4g5h%S+qyM=8zpx&EQkSF0`QlD{&cdj#ycthNHrN?6+P$KQl7(KXj33B=8Bys z^Rm~9ynq&_t{o&c0Z*iC-xNKG#gk@=?zC}G@gdHnD-R~gOL{+IZ6izzKPS?c#*YHq zYEM*5(I+yeHqYV$tOs$ecDYb{xp&C7v@$_9Gz)bWPj1hwOp10J!|kYfH`wE=bT0c( z6@&6KFbKjcp$ZOJh%!fk@ncBTF{w@|gFQMbxI3y|))h_+`4iM9fSJ?RG=4)IZqMPt z;PSEKhw(K}mJ>$}t&X6{qr?7`y_0msE(jW$#rLxyi}@M*lB@>D#m7FUBv4-ii*Onai{<) zL&8q&j?34Elch(aAY;k8K)mSa>a0CGN8jx8*L$CCKHk3ga{u|^^YZ~e59IT}$JLu2 zn9$+(hxz~3tURy^RABNkV-eoMjTpRg-GiGM70I^m+Mn(ozN&um;^WQNzcIJumzxjY zZl5#fS+0o3Q4SD6N2x8N$I7niM%JkxE$I7Cj>12%!1a51a#|GLZ8y--5LrOmb+r~k zl&XS-!h5SqKMrUSG2MrQeIz^u4}l|I&SI5yf^&h?m;7Pa?a}MY`Ahd24sc z6ML+21ZBF(a9D4>ED z5PUqg@$@3zkIYbNa5k1$*E=m2Re(enh=vUR48L9Ki%Bl-`(EtK^6P@aq!FM4>u2YA8z;l@Q1;_ z|NFlW{_yeks8HnWRT)VDePl$tS-oN4f$~bl9h`&eD^WmPYky&( z@Eq8wu+HW#Me2Wa08Xr4*!Il@Y^!|bnDjA|oN(g0%vs`e0+W!C0sMAUgl&pAxBSfa zjOwZA?xR((z}ra|sSfUI&6KA^*X1%WNTm=Z;F%K_98X4B54AHa^pvg=_BP`eas5ou zRhN=srWYskvsX|YE>XIT+tj)2dO>GUez*k8A@8DE1x~N~cXjWQFH?WuVyuNe*aiVA zfVqwd4hyn(bZ~4Ca5_9a+?if1q;Gmd?w7Czs=EAuH^4Asl^%pQh(PKw({zSm0y44V z)FmpMw*taN=3gvNhZiSTI}o+L=zJVuKaPDc zMh*OB7gvywl#-ZSz3ltZ88o8OxO!5jW1c=rK$S+_FQ|kLi5iWAlaIo@3S=9Nsorna zYa~`}E-#^Pug_Mnz7#TI;sJX?VxSd9N=&ZmNi;4eUSki-6o!}x2BUDOHb{oMLPK8u zoxGK(9AhMxYjmC2EeC^%cY_zj2Y&!(Uc#4gDJ-X80ODL(&GZ3vm&K()6GrJHfH~zI zs#E+2dcI43CwNq-I|LC%z8%6<;VSIE@+gjl7ubT3ioxdbm4n$RVY-rQeJW{-HJ&?^ z@OA3N!vo9PA_p__e3c@3brP4N0WDmeBrlpI&k*{L;3ovv*S~(ge;BazJluJJ5E-r! zmF?|>JY`cnZBhIVd*Z1t8IPX)lKn?hvH$-EIPy>>Ff6YUQ(o4?RyWg83kQXn`p2Al z>oaKN%i%kOzJG`q8eC<&$6RH*$E(ZY=0`o|7>BFvxs}o z2Kz{*3!uiBkIbTt5Rp=;v>dnB1@QyTIAuyEUI-edsc2}FfS+e(0qo!< z;N^yZ3_G>-x8zAluIlUYqA8W)=0Ujn^4zNo33mmIj_)UdV6S3}B9F=?nYm^J6mb9Q z4O*hTSK3_jky-jArg8x7kQ8b~oYM6x1iFF&@3kZWQALVDq*Sxg(_#Jcq}2l2ZZShj z`z^pd3qcJI?>)=3;-f@$k9YiweZ1YrY6NDl663#drqEhus;VA9B^sYtz{X?JPt4Ni zHkVet=P6FUAMh+b8GD|#XkmSR3e#e@{-Ir>uLLS?0WJXTMgAipv7GwV&@OB@cxiOU3V?35(aq9S@+a0_cxsa@afcA%P3z!dr7hfH9JP zB(2P?Yqi+|Ba$&oqL$A>BHRTzQl|^H`-mi*4;T?>1>>~k56We`OG^D65ir&p>&9lm zG1nZD8n(#u7u+XBnT88_k%!#ujgsh7PVZCnRbcQbyY)2S^mRIe`bu_$lxNu8=%wnc zzm_!w`}tfRDi;ww-y}by#FV=+unG_vP(7gu-eW6635%?&Z*k4 zz3gvaFYn&|&Cq5d}zQ<7yK3Xs30O~ot-2Mm?49^)u#dypybpUWf z#P^#am~5XF$kE0$xgJANri@kDdu$3-8JsJ6Tu=`aH#gVyp zV$#?@3Wqne7q22?dqB4t^$Qh&$QeK(6QGHMxbBQ8RivCI7=ojr6^WXU7gzlzl9Y)8 z6>t^_Hblv4mbNl(bUB0mWNs00w;4-;e240zg(;y01iU|7Fs5fU@fTzx{>Ymw>(N`eV2IIZ~WhO;m#jXgKQC(DExm_-s zMgioWOYvRfNujFLU7g`5)rTu*s%IjXLv6efdIyZqJOE|5ghM@pBE{Nvtp+d<0m;<2 zaBQT6mw8Hs_DNeR{rM+E?2j&3y;d4eL1mU~jrbNcn?LLf4M24Z%c#c!j_@HYL}#S3 z2HiRO`g|T%(sf5+(sxYM2Enri%C}iFx~Z|z%%s|N!i(i`6T<7Oi*g(5{R35(W>`Zq?U0t z2H)vbryEN&mr{>_Q-3lO0iAfX;PHccl>B~YOn*8mD^{X592tCT;^1m5s^A+@c?igU zX7zbg9I2CqSd$Fgi3iK`sQ4sc9IFPfm!zhFpRD9MXjdhu5%V%*{yeS2UySHV@QfPw ztugO3`bi_v748`Ql;94OIh^2|56p;bS$)KLsS08gVBektNx>Q)rodZXLjOEDnRv9- z<{X)XNvlf@u-0l3#}R!u>{?b~C#$Vf^+rf2m(e|E;%K1pvPC`k<@#imRvD^}2eKY@ zB4b&9Y}>zJtvb)|ZgHGqZv^E*wh``-;b5G&C+IU_Vx89F-Jd4xVB}2(A-B|CM8AP} ztz-sqNPQxXr39-9oKJKLPp!u>?)sF<8IkL~wmM(um#1%>8sGwP%F2c#dq!PG5qbVM zMiNP7FwPA51pP_9C#-+UZNwva9!faJ5hXDhc|B+geF4;SnZ0mwz2}9~8T!I?5vXK_ zs?j)nZvIo7(Xs&t?sPIYA;N;%qe5eIL4@Fs)U%746`q zX`QYPoomsMZ)OhPDJ}VC7X2W7YB}cE5AI$wm00Zt`AGU@#LFFb&D0HKFc2y8=%iT= zl=NAQr+&&9F9RLbe4_uP+C4mX${`DH*X{WvN=0CZ`VIu0p$W13UDV# zxWMA`XTxdkBmz`MmFcw7HjbsrhzPjC*A$)z*;_D8@}J%DoWM*iixI@ENf?1_qyAE} z4}FaUp%yKdA9!x;ODItmkM0|#$46%{5xm0$8iBapl08BxmN7*A1X`qLVW;uyl z{~#HKoVX+&yj#tW&rh_H6l`|aMmz~^p6i7(QKqqXJOs#)FxMQDo(lmS={cJuv@U{V zc}xQFCQo|spCQb8dw>7!%R~EDE4EoilZQQ3m-Mc(@FWzfPzK*K=wI8t zKYXnTY%xE=q2&B@pmG>HQ}~UJ=UHm{re%^zZ}qa>_UrBDaxJa1U;J|O0Z8j#KHt52 zzK8#nO}Awxv=o`azhl4c!T-R0xH}jh<7(0Aadg3F@r!XNI?Y`d=ssV|$~%TOQr%6d zBYTE|`0+LG-X&`;jxBg2EJ!b{dIxX+dUJ0I+JS9!$tPg!jh92I^0i&lpc;izbwN+j z%f1Aeoi!SU4pRvm1&QbG5&$vD;n)iuF&Q__mqSVPGzL2gd7H5qzXY77Si7SOYisgDnOKF^qwPp&K7Hai>pzQ*i zZG;`flM*B;mB)cnmj6i%kZadU^htPSs5!3hQ>mQAH42rpRG|+5qOhmM^~#2pGjuoM z)Y%;j{{o8ai)C=QIeZi_!6~ER(^DEu394A?<=v%aU8Fs+O2OFAIC0LC`8u6`CCgAL%taK^TeXH25QyLU8JP zgLOcyh6T~G8mX|&C(nSxef#CN_WtJM2>%WApD8+hfx6tAm&~7&{8<)=WZ8#A&{k(S zkFCy=6Gt_TQBZ~u@G-U9^MMNGl=sSNr?K8%3-Nath_nxlV@|12O}$JbcN6+QLKn_< zspLWRH}yMY_%I}vdP8ko_Ro|lD1kZlwRetElAe`0sz|1gh}4EAXUTHg7BA-)7HDKG zabwn`MNy3n+8j!pQLgq3`exRq$X==_vGBJ{_e`Gw@}fJ5P^x-hbt$dXChxzReP-?Wf^2} z>1S)sjV&g~67_pNWo3Mr=!}X#$V>=BCl!vO^t4Vpb?FU~i(a@-MOi0@;NO7XF=ek$ zU|{gkur|gY6%`m4_#N#YPo*aCL43tvuWFSMB1Naubbm0NV&5PB`t9RS!iQ0(?A;wK zH9~Ez5McD>X9pR(7-Y-12}aers@-n zzgT-Qh#pEI?>0tBgc3RYef5&lOM`S%cx^5Zmhs2vXZN;5+`qf zKN(JS7+7&tzkx3-Le!#rZ1}HPJngwnFJaux=| zH|Rfobn#s?-6rW(N*SUTCk04I@Lhz3v0g(E*5?TAC1zmS{f?Cxh~L7B!=?byP@}Z8 zY9Jcn{a`=90Ilemq0~jj?@p#9#~?|ssU#o3gsR*pj|}HpR-+dPR1&bBk%`2Mdt~ZN zWUB5!6AGA#-(B)YRU?a~3MD^!%*@hu{_y$Z-P^s(=L2(0{9|w#C2iZn|L|wH;)Hd0 zwgb*wW`6M)O%}pDgoe#O(puf+Gq|czD<9cj7gwHvL5Ec|B`b)x?w~Gu^w@>Qd@zyO z8z6Y?%7M~zSBwMQqNN;1Ib;^!=!Y7RStIYF#6N2Ib2nqK)YB}BtM=^jJBY@IZ*E{> z=I0) zGvKO2{vh1IVuCzK7RILz%To0C1AE##u_yVsa3 z-n9WLhB^zFS|j$0a70H_i+HMMJR0m$5l3D_3jwJ~G~c3e$YwWhFORQIVK`>ZtHDHo z?F$E($QN%?u0xe&uT0X_?s@I(iEh54g7?E$lw)}N;oH}{UvBrl|NeX0Qv%1j`Sj^C zEB){3v^YzKp~+s);emUJhU8)gP4n2FE!i;RnuL(3`39cJC)6&Os)gaXft=SPtN3=0 z1PvlvFM#gQAn4?Qqr+4PiPF+)fs>1Wl7+gMM$;$fQ^qEiR87RQyXa&@OyI{=r|)p4 zXo(%lEdXG83{7CWc>{;kQGZo2QfLD83?ebcDiQcfdq0HQ-RN4lKAl_Z!h=kJthMI> zw85Ma8g<}lLqVt|UW^t~a{xu_V3@;#DgsrQkLM5hxXLAyKuN=A^u7Sp)=tG@IHoH{ zn}7QD($5p~LihWUr<(nzv!^hpvxW2mA$k>#O0mN>Tsba16ZObMX`xoooVE=Csadtc zM`r1IouN3DrVGJmSlmF)YWn&WhR^#Ng3lw#eQ!u2P5=9Ge~o&8R;uU-q%-{ z+AS}q-8_P8b{F{IZjN4KsMJ8e0&FQdP%pllT^+xYA`a~mVRe)$0+h}u*nFyrno9~n zxUd@<*Gt<{jF5icS@9O9QTKn6N19FVLv`2nxhRD|FtMNnJFg3Ln@=MaI2bcqIEH>7diTgj9n@7YWK7WaqrN(#!m5sd zX6C|^oY{ouESSpC8SlSHQEezFCt)c#o)b7UPS4Yd4l zh#(O5O;n!;#!)&Zv#g_X2xF^v$SI^gfwaff4Cp~|X(YSf9V`!!_@3Q5KU&f{PlZ0@ zuDKAjS8-779-CBA@E)DcP0o@Q!jJB*b|QZ;gD6#ZWx*fL9)-uoUBpzR5h0yf98L}s z(x9Q)8@)j?E4qWK&ytQ!VNF-7AG~}adVp!l>AvgF=vo%ABdq4OD3uK0U`D7^4z(xn z9+WtHtUQnp3w@{Jhm8+JFS)vqb3W5VJ~hO_=E4RfCO9rvmb{pti%i?&U^X~7DAa{; zzR@j#>!Z4eS8u}7lAeP=i^eLnmgBE8KZ zvEW^O|MByu|NU-4xcQN0LTbl0u`&{np-65PabQhY*VpG%5K^}Vg{g+7q?$U`y1ABm z>Om1wzE+(htCsV2I}4&d>3PUpUQA)o^)RPbw?P@jLDHNo<@iA_r}W>P+qR`38L=1dW#@lCEFt7iDL{Qd?}O=Ufz%xF{MUiJs5MpC753 zqPYx^A^rS0+S>^27QRA{`Ocn<0ZkytAa(%q`QdSx&<{_;gnoD$CiKHdVM1?MmS?ah zf3-Pih#eU4xHAB{NNStR%W3 zM+&d11obh0UD8}RL*5rmj<7c#r@X&~nz`b3e80=15Bq%G7L z;~6#WH&@{0@P;m_aA(I5>0APKe!3UvzXQ3QVoFpUTN+vyXijmM9gYTviYHXjl%)VZ zDrrbxX7S>u$V^F4Y8Z>}1k0%WAc^$L^K}v2i`IH?HKF$wl@DRqo!lCrf<%nSK1na5 z#bypT1uHpbSd_{HSy-!yHN(lAclCz2jU?79~)%HKYHRg^MoiOKR`Y&Sd%XqUJ>xdzq@Mr1I8>Jzv<(S_-7 zBzCH>?IFOJ214Wy^AliOkpm!w6ViM6(>a7AfMn?Eib4Pog&rv|YMzGvU{1xL(Z)^4 zGz%^+O*@45efb7CX!|Iyrugh;=z*!sW^0D^JlJ8#gXJOOJI<4YEouoGHm{91m#W<< z3s1o>e%B!O(O&Azb&X5~fhq{adbN4!$CgTaqH24$92jFTf5AIF$t1c%b;uv8GC`in zO~D=TF8@%&(8B1h5DPDJdrK7JSe!%(no8B+@NUx7%M>i;ytDYL^l3&{YB6W5nyUU4 z6q)W&MUkmY;!B7T;tUUgs`a^>^pOg?Dx9k#Pq&z5hgm-n(bRn+X+_Lo&e1c+I0_u1 zgBHar^`p_Qv3=md=4WT?ldvF}Q1H>pn$+j%vbf!@x*CkEGwFLx0*z1rNZv{|wFLJe z=@_{=M3jAYD0a82EonKva7|w|Rkfu8k~meud)0?4FV-_r-%R+MyO=WNr6Kp>3wI@) zqEg&z9J>OgTGT1}n)LghG@UKI(f-r_5Lv*aseosj5gKaWo=FTuAk)FB@LuoQ^sVCzQb?bNauQ66Hx z*`i?i^?G^9m{%x-lNSQE@BCETP zXyLv>BZOPhJDY>4NyX`qZq3m-^fEbVNJlHA19IymU^p&Z0Zbr7ls(pD(Y3bAzEw^) zaZpy+<;<*8Mu;|IGjq5^j*FNbI4**(LI1J*K`AEC50r6JK~o*_N9x=wPvp*(AZ08s zG0%2+WR|XE;!Uw!9`yfEB~nnZES6p|+0Ff18S$qUn#{+u=hlPE$kb$p_~-e2i^v~! z$iDMSUrfdZ11SeMH$bEG!SFZ-nz?*)wKYL8PV|+g@zkxLF_@@mnwY^xBCQq=W}H7* zPFYPTx0HXDk_n}RNgb_vaD?;EQ- zBY|jNKZXF)1QaY)ps?zKUG*pvk)~>3<;LB!_EP$ENqFCm--JO$KMqDA*U#RK}aLYdLF9hhn^)Qi_c#*nu&q zxGq}L!OH7Yr|CQK(80^Lg7;KKm}USV8kY)}k(VMC1T{i5p&mdnkiQ9P0_5XjKa~hS zEYmPKoW_k~?S>`V)sv$QMv^%x#3>S^ zN30<8MRIh}< zwOBV3SXVeoA*`ddj7{7>T2T*Sanr16BSPJRideql_eNKpSiHhq`{cPj$Bc(eb37(M zd)szpe#lj!0BU)+&J-0>rYVUPOGPOVcR5fY?9LP}n7YKxN|)Nx+;ppq)4A+ik&+`H z^u;&Vbeh}ZUg_joLHS84j78C36B>2|Kg$Io-4^#j#NSDl0*fajynZ=QdHv25eSH$e z5z9+Ix|8!Eif!xeLCVfoLjpaM!xx1Bfl`U3+B--0vqedaUv2@I{q!>p*JthD-+dLi z95fU;A9z&svUScBPHo!9ZE%=Im&5Z|;5vDt!~!g5=`&%WI$Q_85C6BtN++SB>TrOg zMPk4=2~AqX0cIWH1n7J@jL60T`ZVyu^jbj-$$oDD=;Ia9C2*5Od>|DPP&=} zRwT*BCh5oSG7WYSE!^=Wgz~Z|=s5J*ODK~|F&CB5yc$qtm~Cksk6K_wiSe+4u(~;* z`Y2kS5LZ%#P$3&c!*~q{bIo+;C<)Sx15jr$8U>H>D3nOd-g6X+vS*e&F1sa9&cBP+ z+G5wJ0Qmd%_U9nQ4~9opNgbVBhKBB7)(IOpfaKlB*GQ_bcR`YlhmVHLj#PdyLTMomC*z|1)jQ`(SDOvd5JE6?riGv zC&jqqLOpba#sGT+o(mF;V7Xu3KCD08;FRN7Vw*bG0^=szIlVfGAhwZK8CO!%9KGZR zNueQ zcb~uEHJ^{ZAC0ENgYj%S!(Sulg16s|hcjrwlm$U_(Y#HluQO)b?Q)_yNH91-X-+Q| zk|Mo<(K$ZpF#?-ETx~#XXLG`SagO08MT;wjZjfAqx=KqaI$#PVak}{0o=HO0Bvj>) z%UA2OpmOAwD+)(3f#0RzGU47`PAMluN*8lski?;kb+`{*R>UV-n|R7eQ<{Kk!l@@j zYiXNn1O5OdFW8r?S!Qfaz1NO_#^2I#gufe$FwTeB5sa2hdA-<|VwhWV;(N1NgMFRK z#-yHGfGkf?1@>Zl8rHWyTv_LyiMrmx{Y9l7N(yZ6@fzy!GT(FrX~Kw8<)_@R*nhw| z+9NZR)cr}7bK=oZ&jo%a7#aE_5b@aR6r@jFApggt=U3{2Z)Wh69Y`!iQyN1spAV`w zmpT6(L53|oZBZZ<2?vq)j6KJp*>}4W4nV55NMzFxt{5JR$>U^4DG}a z_CpL|a)9hmd{gjJX)v3-Cv1Lgu(I{lX}a;`Hfan5?tt*^m9ff~*Jc?}*`vdAM6+7{ zaDIZ#QZePvmQLdBega|P#ggn6nVrGD%`lAVq>8Et3}fMBRz|ft?{@KsJ_3$b-ZxQr z;!Z*{DGGk}lPP+XMPbM8_Oi!R`DZ`$-> z8c^rNb%MSa0Yn;E6}y}omr}9Ue2*5qqr=%`e=NF0uSLKf>w)}I`wh4Hr=SqX2~14mOAoiW1*%x1|~CIno$6%BEW|w)3PxBnKfbj z7p>jU>+L0lh{_Pw8cT5mB_seYu$R{hDHz>hMe5AYHd=yHVVPBWLXg7!9=K_Bw8CHN z^OU2u?db&)(fQMmGb!|2#kTX7a7?1P48bjVvg$V@my7jLjVVh(0a5d6lVq!ceJc@d z@#K3r1V?d{B#YaleFv4472BIt6ydq!qNU< zgiL00ohsd2(9wv%1j}mmjnpYl?v$5smkY9*U8IW-8WP!fYiFQfuI0G+pu>xR2K7BA z0VQJ;0kfAWJ8t6V))N#49aY98&RYk%Gn$WGzdH34Q66iqp3GvL=nbk#z#MnQLy!9g zT|Du&U<%kTka&*nhevnXNcc6{L=ZhavY|PMzLGxKfH{UQC6@p!0DN=sCe~5k6l3OA86{h8kJtLGIU&Uo+3dnZ`Zh+6Beq)~l(m`3HRx+x$e%zw zEZVb+*9qLA7;svw+$XOrBF+?Vkq~Yc%4S9HJ8kKicDRRXiEl$j<3cLKm%GX+;ILW4 z>JFTQBp?C~TzxD257FyfL-i#O-75uNyc1NqVh1k$Hl8*ZWDL?3b2lgLU z%^gx{gXj`UJ1!7ER#V5kOKrDol2S>e_AczjR3rdjp3;M6D4j>IIDB+ch&Loj94+ClDCMbZu3EH(c`bx| zLxWh2(99c5aCvgILa=s#^?<^=Jl$<}04!~HQp*y4uJR%T-sVi5T4)~i&p~Cz?rH%z zt^&BlzE=$b8UoruBav0rjHc8u;<$24W^o}|8#SH$uSAKbilU0V_@nZ9a{v4T+_CMq zFBF6?#A(M*&j)`3x5YnM0QNaLss6w5U#i+0+ZVzF_X>om&PZZHv~P|2!O$wu1GTg> z%>@SEjgXJwb%Nq0rHFYXjZw&n)+A(8w`u(! zWfcv;OOk3@bJq5Qj3tMm1_{Z>h05-beO1ISwN97!#B2C&Xw&&2I77r5&?5dHz43l*TZQr$;j+Vr&N^YjPa=q$tP{#8cwOzSyBk4So& zP4HFOU$_ptnUz!E`?!P#inBQJW?&4>I-Oa$%8VKz${rndlIWczq+zmvcby6Qu*{7zJ3S(4|_NqKq6jLLh5pe(5a1e@XQlDbDYd+My8= zY9x;=gm~yt5Q2#zW+0Y7UBN9`_Mx~w@dLL_U=J03UaPHZVt<9e_&boIzj)Hnn? zK@;qljWTm6(JLG}<&tc%0y65dPaE(@CpqT#$%Df}$H-`Kp!%NYek@seL)JyfZ#j93S#x)rx!Y*%#mI}=O-xm zf>;&D(7c}6N7D&xT(!2dN{i5cb7u^gFe)uFOyPn3X%^UL%~P{#ru4sGnS3cqH;qSE zkSw(oRJDPwD8YUG9L2Lvo)54Gcr?uqJzDHga(eG;D2GdY*W14dDRPyZl&fnb zfP$20vlwmVmIf`zv8;y5D(ccQipMXbCBKpOe&HJe>Sg~(YIZ|}5Y5C4I6$ibP`G>q z&2&GR0n+sC!$Zjb^um8r%krEaK9is(zE<>pc*`yadgam$&5#d4dy?lm$Van8I}<7r zvUe&ONLI&1l&ALmLQwIE(=9pg*_3dQon=tU78RTjDMBJJ+_gL^qn7O|A%Mjy2OMAe zeoXYA?(1A%Z?v*J3cUq;+pz_?PrYZne-T8Y>Uq^fx3~rs8{C5eeE-_8zCX|Th`26P zI5k{nlRz|3CMCOHqX)D|iWLsO5NG(oj7GjXg4yuoCDS#`x(H>%LQ5}~8s!AOulv`$ zR1m75%Zf?FNqLL~w$mAMrPLtjs`>tXp1=#YO7Qkk^gUm0ysjh@k3& z+&}!4j$<-a6$2?$GSr*b!_Tin6~Dna7C*h%E_UUqp>In9N)CjOu$BCgc;0l;yHkn> zH)RC$wm$bz4dR2t*L&X}-^j$TXd?YL`RDWhIsRV~DW-1MaZm7K^h{(5-+?Q9!k9f| zi_9xH<2n)BMj}zhj}y1u#J7Fu3OV2|=dX#9{k%Y>u=cpp z7u1m_{FZz`Z-@@zgO2iOKAD3Emg@_C93Yp*Wq0iU8;(bVVUaR`(lT2epOLE$iojtI z?TDU#=O`!tyiCI_iG zY_G@CA)<6T<3ps9uDj%UIy2>g6<&I>d4<^4)dtl?&KNJkTxbRtgwZ7uD@etxh6-r_ zq8zne#Qoq8;E>UBxLhV4fdw$GbM+q|hJBYLXsBFti{pQ3vdyA7po`9G3ww++xt2TV z(&^69S%lSEF~*Rr1=eGd>jtkT7;Ns!*{M|c;NKEUlTg}VuXo5PraD2Efy^b@Ash?O z%=V@3sMQkV9I}8pzcvl+OsfWzGZ;9O{j% zSDKG18wG8sHNSi!?Oh^CMq%Q%kNhJ_)rJvOQ1T2#vqSugYM;MyD@PT`HDQjYQlXs= zI;05152l2iXOr|<_^^dZBpsC0e;p)kX8lJNRbmD7F^&kT z<^ql!nuF7!^~K@)*qy^+LzIES7c?Msj7WV^yMZ?#d=17N%pFnSYJ4mV=u4%i=k@t^ zHDCP&Vd5juUEhe4U2R;*u9R!iO3XHc)nt-QXYcT?v60Wg9*7QqwLk1e`G zh4}(PpMWDojUe1U(H?B}B;dT@RtW}UAfR!$mW4auZ-jyU{iO15K2%u(4LhXw%kHOP z5~PnNz~*2i#b_1KK77X%z5tyOb=ncPctaO@--r`TbzCTh%R_CD??)A+^6N;hOS=LD z12n9I8xmopvJBlhN&@Y!qcBl@$>QypNy#CsCkrrx^-!m=vQ5{nIAquKtZ^3?!#et!UNy`)A5}rAH6c4+TW(TiO}(;tz(9h!rc<^U%5M`@<*B2IaSSdOTxngA6rk z@97H}i$0ZyenLr@9Qy8fz1{fa(RSmK)PcG)&=~2&QwGD40HS$v*pjacm zND7@>0+$GgL7DZG59%f=ty(J&X~Y{n3NaKmUP{u})pCsQlX9lR$;ll^xW{HTBlN63o3Z0Yef6`Z{Qtb`~8zMjF*Gp(! zPt9F^;RfLUTA0E@K*OPE_)zgX*3Y;EHaZr~wV0&JhB^I)`>F9me3G6X)R&80 znV5e)NBI-%lK%Y{?u34P89$=NtnMsOMzVO&lf(K&CWxR2LCdm(P}8WX!r(6a1A)Ly zQJFfZ7w#@9(D3kcHw_`Ae!8@l3vD#rR|1$4hm5V_m>_=g0N2mX7SdXk1ghz0^23W} zoURXx9l51l4wRaEF&;c{qfqBDL&T4U-kvfuX>i%SaBfY^$0-cQo+rPUKT9^2AV_iG zMUz>fO@c~ltEd+u_>ARqLG}|<##*1CJVau~q!;raM=q<@G~o>(DsS6dMC;&#N#3^8V8Qm~%l%JEM8P7{Hm+NavCGciU| z70yyd;=IV0Re^G&SuBeBF^1JFbwiA0cFgO+YF4t!)nkhVOZw5bqvO`frDias7j zt0k4O|E9EiGbA*xUZNnWuJR*OoF7*w3O`o%W)2s)a>cn4Se)vjjQI{5 z1}DkAWdZrJSKb8ITPVzj6D`zo4b}4^&@&8>416RYgMFxWC1xoO_;Z){$s=c~xo~Cl zW@CNUP?)!8hOYVYWDNmbby<^phS!s}B|^29z~b6I!v!^MznReIV)%~3PVSoEP=>*O zki{hqht3jRhZ6W-d$Ie^HX{G`arm=WOP&?ba(UKi4Dp<>okg-LA@(B!x)|WVG@1`W zft>@^0O=!3J4yBs)Al&Bx}1!GbcNb*B7p?hXGuRwwxg6E1s8PzC|NC|!36n-K13sa zDo9OUHOyjTctmb+-dyhz-GY(;yxodY<2g}pK>~Efl-+TK9QI}% z*Clrn-T3^#N5;E9iN$KutG=z>JLKhq5+&_~te+b8@zX8Z$^0GgqxNCod=5+x-FXf6 z==DUpk_K!$vsZG>*f z<*+;z)cqaWOkl&<1upL>O#h%WGxHcRmUw!>L(W)-9s-s5V_2N5Q1z}=9x!fGxUj-IjPrCY!%B+ipsV{b31s!gy7ljO2^YT z9!>^H8WTug*qVhOI1yYxI9G^6TFYr)R4Lz~k6E85J0wQDgPhlh`vj&`jM%ejo4G{Z zF=yCJLA2*_!5(YkTL>@t;@&y>6I7qncLbE}a*3KC3QOr+x9Q*zL7J~mFD|VVxh#%z z&uc`XV4ZTQ*4HYEt3!TlxnTJOSuFo4+HDB?`AXaCm!on^qIF21K>pzFjl~0avNjhW z(hm2&Qy*Zs7UAN02%QKJ5)lFbu09CJ5sXx3ed)>tlPG%gfHGzYrwTt^BSNFhlmYvM zFa!7Y>c@2DBrhc3l9)Z`pfvLqG*b_q!Yepm!E&cTCp-;zC`J$LZ zN7?3d@XO~9HxG9oZU=8afBO31x_}2l2m#8OjVS}^gmS}*_jBhah(p>zBJM*_ull~M zgq@HWm}Tv1BrZd>Np)uV(Oe3zTbFLMp}5C>WL#gCsx>$m843&zk4C}Hm0xFYqk8$V zr}FAp!?IDjs>HCMQ|H`76K9DCS1(I3oG8X~06gYrugVV2T2Mr#7(VA>%%S!Y=HLlJ zUJ1HS;_&MY_zb%ge>U>x2zT@Q)Z{KSOe`ypxE>ZZGrV@{NRS)psO(bKTs@jBa1*(o zmpqCh4Db`k)WIW%CH$WKVE?gFHfNH?Fe)MoO!E>i8{fv_9&TLVz}2qC{VwiMLd1%_ zMo|Yz?WqPFoD@S*6Erkgp9fR4J}hsW3*MfIe2VAKj!_6P_}UOyl>dEmB+q;}f@r{# zUPDU=Yz2lFid6nTw|$J?cpRg*>iRym4JPy0}Z7aowQ6qLrh7 zM^iAy%vF(56dWAUTkMn^xaABohp+z=DeeFL>}Ul)@9uvM{)ys~jTFw4 zNrToYi^nphWyF_{WC1tb@UV!?9RW}(nb$$HO`I7vs9#(hVc_h)Xq{Qq5-rUe zo$Fxrl?fCI8|w~+I3)8huVG-Raz)1DOi$EfWfV{7$Jr!(Ow{KeD$bmr6mo8XKl6;w zSZE+<2Ql&ozqpiaLpgxmii&&RF_Ga%iC1PUNtkgv6fFrC7V7GN>7ZMWJ(iRlrp&56 z(`?AHDkOs}4jZNhFAplA(W~FK*GCsE{?7uNnHHD&z@2_Po>eFSGsezQY5DGNw;z7n zyZx0shkuGXM>7FSsGv^pOSo{v&y~sO9T@VYn^IBS;`81KRDS|}% z+6Ly{9jdVR$|Pm*whsjcNXod#ZfFlCoi&wultQiDb#9iLnME$s&e3)VZYU|n(hlGc zqIex@#t{ti_V2ehe?vMU64P%#y}jN0`rF4BHy`e9kcHN^H(x)t?cN{0=bXVG27lCn zKPMx%|Me{l4F2$fpYaVAn`YesbSmaD$2Pa3EM1(^ag&{(eBiA8v7KRQ`CnmwAV9H=`XmG67TPL2CYn4rN{k( z-aJgug2d92H!|LhN{y0BzI`pOQ~bkL5uF_UFa`uhSS7fLEUH6EQraCb6pV_F?v-p?)v@+mqM1R_1siTpXR?P7wBQHvk<4Z=Ny(pBVOJJI= zE{|G-d_}r3!F|d`^}e}9lfEiY}JZn>gFnCIrytjKw>3B$ms{A8}z3OO%`_$+0w*~1BTTqrs z7y-`!lLDhVlPj1G*`6s3n`N%C^uR%Z`)FNRRPy{mAdV>Bd$smeI52aa%&M3nixBq- zY{)a$2_JJ!kuEmbDLji9o}u|77>nunuK}Hh*hLN_IrjRIgy_N_&YJM29sy}9 z1sImkggZF*%KX}f^g;LBRFv(Oudt|_v+HCTtK+(sq9S`eoq`LS1&=1c3O<#7EO4W- zy3yq18Eii`H;2_nAmr_@54UJ-{P`0jh|=$V?;iu2_J~Eb?cJXT?2|G0x3+!w_+n#EQLM47eHY|GdcG z^88|ZzRVoFSnLbrb3mj)q~QHRbR`+^hpY|bXaz$JPmp?@u%Y9bBsz5qEFBS8WNe3N zyduBPcN;0=kaLG6hNUzbfmt47mcVEcB5_4uqmB0MOFY?dyky!$ zG`UPHh`$Qh1kopZMwOtA)v<7k7y(MeVhU(fYSg%kTfiLS!3fObjdUzu!2Q~_!`nx%yE<|jNAh$ljY@*?B-rU0lII|R8#C#_On4~S zaMGf*uV?QZ3;Tdpl#Ds?RPPQ9u_*0{OtW)1hR7;wBmSM0ars95E#wj%ze4HO76?}T z6y!_92|{gud8R?T}B~>6dWepieglMi@GcZo5#wgPNZxq54o&1 z!6K3oG;(bN^($ORp^&ErwM3TaaTjHn9xU@?@DwhGjd%iD0u)p-w0|8uvsMz~OyJ=~ zJ(O^FNK`=`S2{sN5G{s91OvIJnFysP+)qD6cVTa+nQ%1U)Sbj(rL~NYu9=6&%9<%| zlQsyWCia1zIx8Q-Z?HBC2wLF%n0@Nc7jfy4tBE+vDl|01k$a@(_CnDstKKH_-O7(? zXRp-f&3C3-T!x2~H+W4UL5de=M@r@}$v7Rt&2#Y4ff=fMlEthZIQg=1Md1&px@!wm z&U0mwp5(Uu^3eYL?dJX+U@brWrY%C*XRE#W^yxFP1kkeb3Bml(cc|JQ*%05stzA$# zZCacSNDsIopyi+|8C?;`o+44%U);~4DK+0ge+-3=1}J|5n_g3eH!EPI0sR>4buKy6 z%m?Iw5?b<&I^CUXiLXYXJ@ZCN4vRj9Ubw8@1N}B>;|d513V|hRV0ByJC>( zrDr0SOxYo26AYT=GJz5CrsR6h*QPV{`&>!!4S2K!x11%LkKA$eea)b!$wn%{vp!W<15Oq;=5VtY`Hdoi701+D?8<%K@4Z`@3{LR_-A$L0SEBnmCgR%w`QMM0al-c z#}wrflVPt|sRNuT()n`yxB;24m>_Hzk&Fty@r>!P3DXpIpPDp2@ayYtk^jstwTo6(@_*Vj#sDwH~ zCDejtING>+*_Wpn6k;-&XbuJFiqOjfOSfG?R~!!LCd%W(p(EgDQ@;`_&))9!cERd0 zUYWOl%%89}Bd7V$_?;RcdIr;N0MYF!3bkSX^4>}E3-N#eYDPk02Wc#ZACT;7c|g)Z z(@acITA>5L1LZLkX`^&%(aUqRCjlHrIfxwq)JmZq$y_i9ICOlyxWD^+fA{bkJly4_ zaJ1*caeQ)r@cd%_+}T)?rMw{h(a35M@eZGhs8En1_1Ot=DOeMPmZLk}Org5~|+Qh z3_pQ@qIZ|hc{Mf1v3#qvrvLhYRF!w{2lDrOS6i((n*_66Up9?48&dX4qZisR6hRtx zzMt4m4{UdSPQKW+DB zg1mCLjDHLn^Wsw}^#QzeA=`y| za^OQ!(r4QW3?rxn#r4WAl{5I`6#7t`Sz31Q0kwEv{Bm_oCi-`SxwFWx4yTB+lp^>ZB$TF~iYVIdt!1U~I-XQNH0RQ}qxi?84So^fYQaWm!Z*nvzb< z9pPgc=17c7L~>|j>eEq@0!)Dr-20oaVu7=)%-yHkchFS}(@)!QdhPf6pptfbk2(#= zKmPT>Il*C-p&yRJOJ_0d!@$rB47fKaxZ5nxfAYpFa%@n z1A23`IeaYFfiqBq-h7_0(L1f>WJW_phyu(7X8~yW{}_80<~ELOO;A4t+c)M0Jy-Uk z@F2j6nVkRuP-L4g1EeJPjSU1XQEo-clCMOyeP?#}x9|6zS7uga0dluQsxnci$~<|V z-#G`QLh_4K*--~UcazCTdP+oYU^HxM6MU2Qo@VfojDM$RK>qO@Q7k2REDXD#1Jd0k zbh!DwgpOf7;2g(kNcj>#UZT=BE2W6L0=+q-Mn4>Y2q?H?&Uiqc{FQCVPxl6~6Mlf_ zK?oEU-KY#Ui&n8-tnw139Z3mbo|ZEQGsE_>)1`hlIvXXwpd58C0mE|mtejPxbG+GX zh$b0Du-VNko(HqSROv)F32dD*sSA`G70w4xrEx^b(lA0t#Vo=RZ9y{kAX|3Z|qLVtJn3x@U&o zp9EkcO+q6{V)(A6>~olqx0G>|PtK*zz7kx1V6HGMb2Qelm!t$e1IyD?ktNBZ$&5#U zOLTjx^>FW&pq0RWv_|yJ_}Z@8w4rO&y%#b-&c*=`az5>wsG#_Ol7g*8;36`26ePTe zE0qCeRUT4s)WF-)4Ko8>W_3#Y*30hPFdMw z`FP@$6PfVjC(+rj%=KU|3LEDx0Cn$mn#!m{b`)vb(kBF%HgGu{Tu09V<6!|tq~B5n zI?e04u$qw<>S@*Qk%mWTdh`Z=-r~gf3W&%Fw-@UDIWQ4A4 z$IhN@QQ)3Uya5D>`u6HZkg8VW8(42GC5&3?2`>9IS3C;;9ObV#;M` zbfO+3BH@u}p+9_o#g|l$=-!H4^;my`tF-coxYCBBnz6R~<@P*v=Yi`lwdWb4J&zQ2 zlFA$CLbiBK6nX0sS+Y=-;I-N7WU;wGwGrUA?KRc;CH>BJfnV4IZQzj8MfI~n8oCS{ zY5OtUZ8pq@y9RH@%AzDrMj8_4?L>eL+!uotv0A%_th5rj73tC+>uXvplGcv(Z4c@G znB&PIaAQx#8$04N^b`;g{u6e@O?BaQW$Ee7tW+a0t~M1an`gYEP!97s`Z(F^#o+zz zW(x;%uT#5xnpEV)y-`Y!x(*{WP4|tL zvTmsF=&BaP!g!3zO?PN|BprGZn(~~6F5FlKrp%~E?V-)1#bI3I+v#gyWzN$DY90`dI^cuF z2B`H^v^Xa*g~i08iQ-bpqa_Vg80++BiXAiK5VD~3T6gmNDY}L>aYXNQwwioVtQpjp z_GH=RJ_;0)mS33LVP7w0$|PILMWv=?<+mx2aT><*)H8 z%LzO+{&La2L%Meii5PLB*VPW4YV1O{1pq%50fsg3(@U1ix*dmqleEZ&bqt({P62<4@dvPe}%$4jaOr!%>1O& zG;Wp2k!MJ9PJUGBqp^fes!lS#f}V98Tx&HWm=`GIrDNR;qTR>e9zFowpD(5_WtrT7 z<70+l#j@eqC-bKm$4@WUWw>YqcG6EyVe^1o`Lm&~+6lkMt(r|7h6f z{6{`ZIKum!p_rp;f*C#*+$>N6qyt^$q}y5%CU-%xRYq9rr=wA9FSgH+F~!AFLnuBF zVMHM`F)a(@6vtHa4|2=TE6gR!&v#XT1KFxE;ybRkE*tkW+Z@k44jeS0w6V@ut)!ZCYB5y~EdsC@~cjk1t>(43XB{JBjLaxA^dh+`(Na)vaQN{j2fc6@hG zA6JV6oG-S!pYAWGPbGekmwW0gf*4CfLTY2g1E4d!ruFp0pJsMbmm zK3^y%qQ9wzTadHGA}sdp31&I;Q9!%1+}Cuf{kZg6i+Hmk6<9nk>U9Zel`8F^W*J?B zH3l=$$o!%2ghx8iYlY}F%rTtj7bqCsoPOnCMY!O z-W^R+8wcO85%B$XFq(+t6epuOb^v(^M>>v^K{?UsQw1{6%GuiYijVKj*VH6|V-&KF zdJ&5J#ZOQeK}?IQ&3O9P*RK)H=%XD@Xy$tXj51|*c#75^Oz~e!Gg?TGt?FfG_MJgF zw!Rf|^spsNjd5It3pU9fEc=DZXH`LkSiOL03+v#Nz5@SriuBRBQJ*SzQL$`1JmNSl z$t$kOERyXIy9EUCZIopPFXNZl9b0qK>w=u6+6$1>n~ZE_De^HTTJn4kV~TQ701}SR zR)k5Y$}~cNPVxVCIJTF_Tg|>AI~g*h-;fN8sh1@RvNllB^l<*m6vu=2_k>%Nijk;} zD6idt_-espcF|0F*`uiCc{)K=UwOh{KM)(Dx*}wP&KTl=>n;Sp63!D1tlc~vf;0$`PKK14j{64TdWH6l+p&N7%D?py2ULlT2 z`&hZ#d!V8)bf)m3%=IN!g5=u@Zj8?AicNtE?$W%JyMtI{(*z)G zIF~lUjGHG%3R06YLW9{`2VJA?;bB4>8#Ybzh50wK+)#f)8X7AY&@r5?JZCcvGR;q@ zYXZ;sBxNR8ZT`0L6D+tUGNiS%-Ir{-?!VyqM-2sWaCrz4&mKG19;obGXNvBe^WT8- zp;!YnPd?P)8IKzMs$AXY; zw{n)r+sfkIl}WZJ35SIm-lkP%bU5wUCN6Cbv%4v{9aqp11bK{u*B38e2rHr~i4ZAj z13|a$83<-k{T$!LH1)zg%kEwbD+^bnvF?u`K}?Be{PM_z(@(oMzkg+skuQHd{EmEw zKiw>lBrB>#6kWIB^aNIM_i6z=$i)p}WN2=~zYu^SWl;MUx1E1$kVxJVRanE0z{2hQ zEj8Nhj*@|{Jn^>hPRiX9pAoM~+$}jTz-SB;hUgrED6M13cu8X4TJ+c2AAsj`O<4IL z0hkd<-~P0V%Fk{ZAhvLi*r-PW^9;(uZHi`#_`=d{ub#e!0t{t^Pz47HA^Qx9<%xr7 z!Mh<=LwKv29PXrCjM@XGuctGP-O=jXFV=TZ$gSMZr+z4ig2wrPMAHkizsz;yajpqdAp4v?bcJcD&g|=^Z^RQ*v2ZQMu zuQ1-enaXNh$BIS-0uFM7dC#c6T9S*+tk11ZINu>qJ?4acI6^J-mV_0z%fuZjg+4o) z1pF_NYs*4TcW98JlL7 z2twctNhjF$yf?#ZK0Ums^J#&+^^mAlugS;vgqd50O8Uf z)iMXiXGqy0C&GKGq2h*rsP1~%qYlM>Hz#wqU#S0}lk+GQo9R}h5XwP03MHuGP5_i+uT>|(t_XXM}(jp+PiRrwvClU-}^h-|uKP^z~;q&4f?kLi=8 zULujWcou{BE>P-cm+*AZ-IX4a=@^?y5=fUTo7kG5n+NHhyDxZrVYQR@$n(fwIk^SJ zl~aV;cqx23IQ|Hy$^E9!Ae+Ci=k-5C9?Pn?4tZ&Cg#0zoow*FAJPTCTB|JTO233z5 z-!G3SIbtfG{AQlk9NOp>b}q!@S;aFgmX3y%RGEE6u!?v>u6wjcgHmGc{ zKw_QV+#nkoWjzTBrt&3Kr*R0NJl$$pJYcf_#2*k_{+Mdh{FL0ig(7U3iyEM9WQEj< z&_t%)`72Whsfg^Z*RL3nM5%)@}Tyn*|Js?}(RavP1 zBda3Fv(hQorc_9^_jadI2npg=>DCH zWkKB*-HOHa)b~h6NQUSV-^*>lDVnh}RJb*2Iutw|HA#re&QaCBx7Z>#YPCU5J+r#&v<3m`)2C43;L+jJcCaLi>qWq zfW5a_7B2bjx97^r@$QA`%loQhHfdXG;VyqZjhCYot&CS*u5YHkTxMdS+vCmkYJCNe zX|^Ht2}XdjS(-GaEBI%^X6d(ZjOmre<2)kwBX$B&UA!Gc2zEieeDTaJ$ zNtW*2vk9`g{GyPrmINB|vOB1+WzK_fuJX(Vs0?hxFSMq;hN_1n8;>n6yNhJ5BQ_L} z8*>5aNM};OV)a*{FE}`KUD?ws(`w=rG`ej5Sr*&#&lUwfGms4QtjkNn@ffx$EU1tL zIz<=b&)Y40Im!hZZ9r_7ds9KByu5?Hs$=>X z!kPKx+_syw?$}9W* zK-OGVcYvR?Z^TbnZCohe_66KZkh?;-R3QH=y`6o=6P7b_o<&ATrtZoVMqbF>I=Xz%BgW3n^Zu=$41KZ($9h zO&Qn~%YOjh;+M3JftQbgEBhGVmEq)=pI}}6z(QLC8CQgt#4%gow_UC{Y}nDsc%iDs z@*;Hz#mC_riM2`#9PnUDM;7J!Q10?pmX&JN`TxB zPpOd2Sl{Hk(H_zd74I1`dHZU!z3cLQU5#><-X`(;q2V`raQJZ6v9DmHYlu`vwnlVx z^fy$m63@j1Dv+HEW=^u zTJ4~vT+x9cDh1*XZ;sxfpAKr!@%P>5BaLA^AK>bqv+E?7B9Rstf(V@g5r8#K&m9MS zcT0D|-*Vu|o>{t;f?NOy*m*b^HiA~>tIJBsR4Mutdg_Y>LTLL&h2n8Yt8-+DlPVIS z_nkrn!7v~KB=vvNGQklY8{0^vol-#XAp;!dwRf zCa5HXJr3kIx@UrIsiZcO;1>QVk~zxfmPby!)=cRF+Q$mj&2?et^FcG$9%)#AZHoa< z6n-u2rPf$Q#iGb>&mxt0LBRw_i}YS8UG7qsj5SkSRrLU9c|pS-vfMxG*&7Tq=0*sXFFA^gE5Z+aZuJ~_5RDlFCQLvzkc}i%fkn|g%#nY(75grpbp$e^%lC0E%-JXcF0XbX3kMtA+rd+?XEw4 z{P^|52Obzf_r3Y>>C590f2FAW{P=_fw#TQ=*s~@2t{~TUb%E@aJAgFS>g*R=XK}Ti z4gZRm6UYEISEoQ0NB0-m;*9-8wq%AB+0rs~3}@xx04Z03@&FfG$Y3gHc?k-=?!vG; z>c>ddaO7(k3|=q0N3mSm(ae3YqzO~~?v_A;Di^10k{!k0w_t)Ei!nYKCOhz(c!jPe z*qWdu<)-eqFm-tXlmc1%D{+NmkHE(Z8CRI5tcE_WFg>A$uIbl)guoZ!J$Kw;<<1;^jnI%pbknw3Vb}6S=$WA(CqFc|==znqgi?jk%7E{G?Q=o_R0<+= z5js!Sc55@K=6*GnF=7L03 zAKPRY@@LI#Oa;yD%#n!F%z4XAN5@377MQGS6>_+ar7-HBWCXVyFR+$uS_rp|gDV!Cp4`iR!o9mbveP}S=EcI*L zLZUA2Uyp%81%br@Rr4DC(ATK8A*TiPEh8zCJ;G6>xuW7t1|khSwds1J3N8FM(!qKZ zLO{wSjs}2+n{m>NI|?T?=w{?LOQAvIa;`zc{UCs5h!*^22C!IH$+#-#$eQ}$W~o~g z676^e!9#ArBm}=9Ud1Bj3>QUiV=*1b+ckUTCO5?mNum!UIcn{h#U6u=&?egcZ3mvB zW*n7D7#%UnBCA+eg7ODvs{nK1LtgO~|3KMYcyBPL+h7Ec_y z%iP`pM}=1Bm-nmn3YLtztkmb8Wx{Ej=1{%%P19u~M-P9y=rdiWKAcD_*y%OE+rmd< zy_CFqCJBKRuowhkrGyO(kNS1zOPx`-vB>a(~>a&YLj(s75B!eYXqDfGZVL zy-?H|&y+oMhhK0Gd4_0AqXPYjG$+76s~&YJ)xWy9$$T~pHjyr5jlC%Y_Q{${q)6XH zG1y9rQWQp-E1YlTM=(OAjP!^w2OgauM@Iv zflM3Jio-WUG1LH3p7-iU418RdvNAliqGS~a?PpTYBtCczOSu&U)N+~}}TR})n3&;GC+Q&;6b3s2AjbXl# z`v5XZY!4|7l3}*c8nM)svC1;nX6X`#Wlk$!lx>{+kiC^reWc4ZvOnODPTpxkaOjop zh&o>I&hM;c&3kG-uQs`^GzRto{jN~K1fU>I0Ncu08qtqrE(-1sUKPL^GyIUPER_nn z`L1Ky`IS9I7cofY5=bH2DQzQ)7lxgFhhoQ63N3iR?o{SG7!Gr21Z=lo~1%RY*gnW?i2 zmWbQE{q)C2GRxOYPS^^{ob@NlqUbl!@({id8ut)YATw)?l*9yEAWMgcU4Y$V zdXq?Evs%XZ54<{G{df^UpE;w}ui3l;#%FPPCXXmep|loXqH~8wgv>8j1`Eto64@nm zg3P?G8l%S&-O2;xg}`{7NT-LfJX1hy$Su_kk8L_ewXB@rABBqgNuu$94|gl zlm*a}vArc^;w3&kSnw!LwE&Pec&8}6R zFdx;2GRO3+Q$>dX29&aJ{ACe|okfjL$iNNE;`=Mmbpa4$mD9(!$yHVKTypb>P#AOW z6cq$ib?+VWWvNb(mGKcOpPL2r^yD_0%tI(}KT)peYPH+EdbLJrrBr~VGvwcyvr+x5 zd?B4c*236+xLsguRE1b~ntVFv^C)|o*<7s=ztY=ewgCPrgQb{{^Xre`Ra~r zy2eSiXZMn+)j9HM)M15pNVtWrSdkTj$gO<&@Xuq4CaEoPd$6_yW>{?rqfJ09Te5?+ ziDG|M+B^x`w6)8PCM*`T%btO3q1d@D|D3!mh^kt?L7A#l$lf!K#Jjzp9|HxI^*50g zAONJpB0x{C&HWmN8BEaq_drtx(a#ucham69gBo}F=?vL8QT>^afy~5&LvfCr-SwW41H9nMhffw$=PtlwB z7lIii*y@mPaczR^vA|v~R>+9a{sdwJYx@=gTDF9$bcZU7TA8HFNm=8vWJy_q%QOoRX=S>%CMKn|K0AeBr+}usg+c>6Bz;9Fj8O07 zelxF9g^nMq$sCWrURjN*T7|05llc$3FOOjR!PZk_R8t{N@SW6=z9^P054|x7^MA6(0EitH8o8x z>(^2lQ$}EbMnP|fR%S)s)QJGlUu>4j;9D|2FiOv9WOQ9E*x`w@4}qyQg9+kGXpA`0 zE@=D>X7CtL3H^5SVyN0w?=qjeB{)N00HWd&5Xyeo3rCy%m`0hxLc{$?Lz%L_T(N!; z?xNWQ_oLBpY{4t5hoL`$!ZJSw7Evo^bc!8TCMk`HqPINP6$8r$zteN=hqU9r;PCtz zPA;RQlVT+@A{%ODGLqTUc9W~KrV zYfrq0A+DUo49&gh*>ZFXuyDJ*cen>Yfp@8$Y8aT(@MhSA+YSj)m(cH+PWX{I0)VGr zMal~r!*u2;>UP$YlU6iOTj6Jl?d^93K$kK*@EW_31wpkwQ(h>a z5O(ZVy3pbYM78o;1Fp)2%=&IfXu~tjSuXpGsal^}L8<@AgD9EN)tRD8Ag676$qF-95Rv}4;fR;Vg+e5r zyqtugolqMF>3O0ni8z8yA}(|9Y#7mKt&@iXPw6nEnL)e8jsqR*qWvtIxr2X^$v{{s za9?tW>A<3<^XKfH{%6r9V3LbZWPuQ*@Y&;`UjC^RFAcVzjPcRDVInI@%tPPH^+iGB z+4UX@&u1uoQwT0E7Z+CC6;F=7eXtezOZTc9D=u2!fRN|$vNNLd*_Mxx~{ z;-|1XEAdmwWu+!1hlB*d7xFUU<2ZyS&)QC8Kco4uK18JWb`D2L3k&uWgBIf$K@~sd z(xy#?^H@;4$XpxfA^Bp8(!9F^Y}fEl1^#e+z(``7~O!lIB zWy=2i5}C3;zr?<1uZm>fba>A3LX@PS4_Pbt8O50sR;^w*lQ5;z6NwURkNDCa+JQQMht6a9^%AmNTsSoy{7>;{#EFIPA6DyT1p z`zZS!b~*!kFtI&QGKWR`gKD>cJQOCzU*Jj#8s#gs2P<@1ou;%JdlP3exrd=xnCVL) zw7I)JMek%;g&o`oOThGZkggXfBF#wn`up*C71fJ$bK+iM);F`PkU0!ZRdW=k1qDb~ zG6jHu&E`Uz;f+iMMjlX_<>^2LQ5g*0qw} z;*y1De@16>rM+Xr`5H?PT0moNbN7x=-M-@Q9W|aTR$%0D*^?dA=>T|MyER_p#RVkK zVmMc<*7el|vp?arXu^NdnEyg)=2&S49&1$Xh%=qsCu8lAmYWReebhl&l+7_?!c`I; zi;DF^iN;>{^pz?LR=QpcXJO{DCb>owpz)WAPbk5xz}2rELl{+tNfKdY6d3I$voZi0 zs66)$tP6~mkBpw=0t`9L05#Mt^^pDf3HSE^HCx5)qdN=Z!0{Udazd50{sn6DZhsN zI=!}xyDVe$ay0UJ!xQ^$GxqyIwX>V1?yWr*U1X zL?o7i8Tdj<(9*E5W^Bgtu`43^%GvF+-EY5q`TX?hk2ha-U!Kq(q2RTF`j69_FO@Ytqk~iMh72206d{S@`P`s4r&>qv} zYvVs>?JS#|dQG|8T`BBXGYiY27?~fDfM_^;v|$RAr7SuQ3ANjO{Ph0ghxZ>*O$R3- zt%83EvX^}C>x23NZhxxE#<)&S*uS3>cP>w?^B&jvd(I;$C z)zUFs@g7ePtJh=jMy12CwERWNF;l$8wRD?!VNvVye4EM(cjxdVD5tE{g)EgqQXF_C zC`fHAy5gONI@fi3$Ua=uiq6_H(v?8OD?;I(?RZ52h-rH77Ay>P2%O8cMg$Vi&(J** z0oXnWZzg6#9H7EDkwjD5R|xaNeHD>3B}cui?|?7U zlZA!Y++~ZIU)8CU^2P{ZhdP2nnOr$0%#G`pb~KD$Y@svpti(%eEifq#vN1eMQCTrt zKol^ZNJWiS>=oR=eINFDrr^Nam~C)M1p)o+YF<1$uA8Zec@%sksK!rU@$oPo!&>pEfxWl~WkkbvfOa1b=a4ec|TeU(PO;KBVFUk!r%C$e;tf1ao0` z4SLlEO`#?wl1)K*ckA2WOx4lKlGo>PY1DU>jGZ`{FoL;iIk%{|u3^qpT-R&5u3f;T zv~MC5Z7*4q7QUQczP@u+RpZG{JFAU)V;yKR>Q>-Z0gvbp3LAF;GsC$@ymdy)Uok}4 z4m#X$J_$B}DM{LPVVH$MmMlUXXVx?42qf73?ebO*#aR1>QhZ14$0CK6s9 zud`^S9g?RilVt1B>~_9~m$z5fKQjeFP|A)3H|KC`k{zeyYGQ_l0py?wXy~+^n4z&f z9IVQ)tg4f}InwZ+o4fnSB{Y`NGf8fsitKQ1sY|Pd-H+hz($yUg&=gf2*0=X}BC}o~ zD|R=3-Nu&NWg&h%Z{;)cbe5N4fSYMcXbN%27kOf3MVsj{ z4u|$}N$q@GD(8g8C9v*)dYnO*Oc{c;+{r!=ZMT@^tJ5=AR*3w)A|b988+^&0LBDrp zirlwtPE5s|=q91*b-!EpQ*txWz2^v2%qLXq0$2f>&tYWUp$g}&OyYN_tSq!}N%%*` z@v}2vEbqZTZ5<;Xxm}*G5#+K?J3G{^GrwKat(7T7N&>!F`0bjLvHR`B`|BxTI2J7{ zyFDz6<6O|n7YP}Nz5{1nGI^p>@xvdiR=h)3MWXcK_5c2R{PP`M{+|c`eJ~vSPgrPQ zq)wyH!v#$IZv%}F{8yj;`@j7gmW}3{_i}#t@Sk7af5G&!w=*U@{r&Uf!`t1Lhj))p z|G9hks523cy<7vJQ_ZoZqgqO;zfS?nYJT9t}D+oWlMD-EoUCOmhT{PCnQf@ z{=zCU#1#ih^G}e@vs#?rT&B=y?~DqS_0Lse^TH}*TqI}Mky1J>xuBb-Q|QJxO@sQJ zhgc2fgwHAg%fLz$%ZZTEc#V?(5XIS%)5ccNKtjHB2X&3%tD9_B1X>U9tAxpR7w9LZ zsZ3I7ki&aprE&wQxRmWmVt7d<(~a?3mBtQvGRnG3)w{^!YUNWGklhez7OwIDs=j9X zyjj+Bs}Ks#X`T)ZLDK%5oYRa`LP?_J%oe&ks83Mpe1gqS%4%(}LW|XDN`@|~>D&`> zyF^0mHhZr61bw!^CI4F%X5?Lvc)7hp$<`pFQ1{ZP-okz3G(AoAL2^B8_Vu+W%UBjf ziAv07W?YS#NR#cm>0K=wG4ql)!^oLN2X=%!K)t98EY4^A{Hu9B7rlgT?GZyGw;29w&8f?~dsZSo?u|jv=g_G~1Sm`&M+(5D z0EJx)VV4vJyP;B^U^lc)^f@O6R%LCNP?}Syry?#hlejf*TK|+3vjhX zaT5q^1iP5j*ggvS6|V&Y;^L{Dt!LW^&mDDedHB$ohf&7~`Vx@+K{(K@gqjT9<^~KK z)D8YO96AbLA0q86{XY1ApV2OtGWppvht>#at)z0DZNmm#Se`{Le3U@8A4G zoF;i4%;9}ruSh+`!Rxa4i20Dae6Bl3&$7HdagEYBF*U%{Glwk4_!egfF|vngq&fO6 zYY^>b4Rz=mNt4$rK#O?JE$)j10K9nSdEWuK@gfIib_bOam>UI9y^Z*)g6`63*9apS zCEYmMHio~EwkJ?Sw%50J+}rt3*^zpn?ts_dCMT4++R2cLalRax!34SY@?&m?dveW! zxo)_Jn@XOK6*MzHF;FaEN5K_wGR!kN8mrmAy*b-8Kc4?hTG?|OL3`?-Y;i1zW&cLW z^UYn<;vnd_sMmB+rz7m`JLC)CVu|HUVgBJ9FbhksbO7***%O)Lo(EGcw&pkhEXM<8 zN|0N)dA&W`;CytfYiKa|0^@yBrH901@|(LhYPmF2yt&dFaSdv5iDUF=*bT9_| z2)~VnC`2rptbClcxdp|ik{B~keFTn~ z5G!=W$bKLkJQ|}`arRV7&H$sJd9Yh*s>Srpl64^E`H7Q!rSh|#;n+N}(*Z0#=PN9i zkbR*xW>=jCI%9Gldooo;!jkQFDAL!gPSfpCmy6bn?Xs`pYjmAhIW61so*8;`K09sk z9SU3~eYdz;ky+(9UpF?L2iLf{!|jnZlgV%jQ9!OF`XDSOgb1}*mD0gfyAk3ZWJ4iL zlDKM?5>9ZtnVc!h;UF=3$x|GEqD6sX4O}8!&PHzngJ|3SAq(6c%~W1L((R%f#T+?P znbAJ$EAG(D4p(=;923YzF#~rDnjb_phJ<`T&JzjWmJSKBSiaz_tq~;|7pSTMouhP1 zQOwBL5iA#aSq@B=1t7JPhr@@lVXqXZ0;#$qmKU{UGM4Z;ST zI}SDjlIoTl%_43mvJp``=I-EeyfR~IeP>j4rRGvLtY9KqmT*9gYNF~VavpAF_-*3DXh_M`ChkocA<-^F{NIy3OKm6U!RaEVd- zoW76e`(Ccsw=4YV2z<%+jZyi~?xO^wTw6fJUSy`MuMmCYCXL3x_zDQIiIisvERC7+ zObtmK3D62QcNquFuPQNzu~s(sHspD6v1P91V{q|%PU|c55Z%!KEzddj3NzWU^XKUv zNJ0GM;eu$c-Qlj^(9eq{^T6kFTuswc%T2HQMs`0XJ3=;R0!h~q0j2X51ml8DvGY*;R>;CHQ2B@?oc zLVqF=A|z`X-w>zOJW$}lDqYMr(|A2(sW_c$L;4Np51-$jJ$(J}q+aU?$}8a@cJE|U z8PPkie9mvbqwmY--EWV-{qpb`U7UcH`tlq8_LeY5e;ND*u6X|MFPQY{^MC%u063@a z+(NxLE>n0@C~_g()Kfae<-388M6qh4c`NRy51&2_bHTkxh&K?C&4!t1z!uJh z`fx>C>zPPOGFOgQG~T`15bU09v+P=HSl2L#d1LbA^zm@j(aN*c=jo%>>38l3f1+zW z4Ae~8&?*T7HD1M|&>ov6!a(}VL7^~yjxEXDm*5 zxjk|Ru$&myGp#=z$dEB3Pj}5Rvvyz)%+d$QCI#;Tb0nxNd-grE^fuIksEYRIn@)x! zmKorh8JlAH1b!MJsFJEpJ6WAgm;gjygES6+>-6Rx30P8ub4oLCBo05~fG=qZ;5-89 zbqjOg=z9P}a`lPUl$cbW#7l1L0u%^!kHb&sS_Qi@*iC1k6r`OG^8K)(TBuJDufagT zaSIOWS|CJ&y`<}sx;&i%TF@q0t-(E4f^Id@4D^R}w-l2kalf`XK|wSbavy>fi}Qo% zJK2gRa>eCEQh3hhw_rs>_#YEDb49!xXX>4!)Z>f=;0pH2MVCZH*CG)}=*81uRd$nP zMyz&#VoTbs8)=}d3DUX*P_26F#G;={&W+P}dttb;aF*l?V;OBPHz@C?0?@k_rV)L7 z$#N-t|2!||zw~It-Rte0lDnscTChPLiN|;IS_`;HULojkc$~X>js-7L)=i}&$7~ia z(?re8!{`u)oFROP>ll6oISC^#%0OQyNz=h_)_XZbM`f%cIBK)_%@tDf)+>T8Y~?t` zx7G$LUt67K-z%1~`e_V$=sVdR(N*G0yi0UL}h+ms;7 z<@rv3xNf8`QjF*`xowi@gnc($c(SWj0FbM09IY8she|&xaxvxRzLp~Z>yCJ`XJH;AhoQtWB%DVW(tq8qo{`oy* zcU9wtKUp*Y`l2r`p?znfm&DZ>SISV2a29)$78)iDla@330R?L&ljGs!1b(`4Gj1Ng zZvZ~q!gIE`yTNzEbuSDQJ?%jsl%YrbiK{riZs!YL74<7bY^MxFp<6g+B~*<7)iolg zVU%kP{L4Ez1C#Z(l#j_)#Cq!Gkd8C445I|(->NfSTi zLe$W;-Enzgd$$&r0r2ANJA3EoH``f~?5ncOy6tg7Ph+jnEld29Z3t*K2t>|c`0XunHn z+kTHJo3r@!{u&{zC3x_9MT7ASaPD(tp03u{H^?(&P6@g+TA?%kW{JXN(LqnDX}HHV zDZc75P@qUGXnnY{u00cVdBeM$fz5~XF57tSQX*pNbx1RjX!z^Y2ZyZ%ML)fD^k zi`A~#Nu{%2zP|tP^!{UhRn>lzxhaJv-18P(p*1w0GAcxUNn--h@)!?^zNf``)?>5* zmE5gP^E8<~v;5qf_N}c?G_HY)Z5dOh$U&t~({?gW#G8|A+XPv>sO5t+c`O3gLw?g$ zEA-2NK;^gvCR8JnxQkpEu2{1w3+r?pIUXc~FXxco1&$qEThpltT8V-Xa}X%45}`6z zF1YHN?W>EOWL-xOY1Pr{7^p1m1x^5p3EPK36k89eOI}7a!%;1lCmzo#TvekzF(umF#To(EZH?mst9Ge8Hu7rNPtIJuz*$9CC*rFiCw^C$WKzJH;q@X z{j2=*yMI4MUka{E;F*Lkq~2BvL4)IIHasI=a8uM+<@$Dm{=_7W5NN$KDl)BqE~#FY z0;o9DBt~!6>lZL~T?nQ46njo6KBAf;izk2#5R__>chWJ1p8Q9peSNsX}CL^TEsFG1m+wL`_V{~>( z*Qm*!q;Y52Fjxr^hYaXtd71way)(h`sN8%%HL6{ojx`3ZN*AkW<|T8 z_u$C4qGy83{oZN9*K)1jlzm!SEbQnE(&XU2nFWiy#p?o~B}HtVKSnGZ|luX#)~sLUIEz$OB{dMa?dh^ zuEna;99wluJw{Do2(XI&Hkr)Hr+0T3SF9CJD7bdm3BxlbNx5(&TQDb+Y@f3jUMElL zqKmTR+XW_xm9ZF3bKyK?RV5KE;t)VS(+G+A1T%KUZZnZ?BcdN51A;DVFsFY$eE9kZ zw@P`rB1T#hlnD6XadH9hAneqWu1z!}D!aRy)IjPG&l*bk5C8J47C{v*x?WNc!3GXCBt8 zo9pnDpb&h1NN`Ra&e^(N9+CFPEM#VWfwS$aixpaz;{o7YBU2*eGj)gw(n}UATE#$* z6yXZe^M^ji?}Q8TfL6zJw=cfze*N(2mxm9`k$n98`RngbyGQB7@D{kfH@|<~z5o0l zyZ2wxMg69z{}0swzbo&XLnZqA!x!A@&4;gVACF+?5F40Xg1e0u-4Yg@yFKw@gW9Rc z3*?(Og|7s?gRy;pdCAx~EEv-P1Oovu5I#5QWO6E}hHfXSr+Z%j?Y9A^!1k~Z099@A z0Jxq_=Tv4F#7Q@9s*jKb^esJd0yVI9)xW$uCSPxdR2E6K!0qyKd(}P0?HRhY6oByJ z0!<6Q41yfqF}AeiP-ux&Biyjj6Ty$Vsb>@9i8M^N;Bs^$mvB90PLAxE`to)dsAqHUL+42|Kgg6wBjb1y3B;8TvKZKCW38KNG{q%`}cA1@dh7r6!QG z-22O0DKieRnnE$8n9wL;wb}|Q%~D<5UggyNmnz6{u!N?;1x$iwjR*|3B@>RVBMZpo z0(K-^)~C?r$6+q02e36-QJU-XxbHA^$YSF`M!SVn3DyXi(+-1`cV%sLA6eIYC_jeh|x2U`OM(G$b4p`32v%@xz3Ts3L1vlvQ#AI?AiTt%&Ncx zMD-DtY^#(qdOSf!19Z+kQ(%@JoP$?5IA_|7BzvO0TIaw`?r_f3^lkW3ZQf+83-8i5 z;*6y|E_;wneZ|?)2j3Da09Ge0|p+(6mgr3viv_a2A+4ZrX~p4;)Su zIYy#Lp&oBu!*lCKQ!QT?TY?gu_eH=6Y)lxy9Y9dI4=-JJ+OLhuCSxin&Jdk}T?=+u zAFlAro{9Vp#18-`KU%y|Ee*#B$%PVEs9CdzKuMGF1f?;lC87f{AbMMJhG9<;F}?2O+5MCd z=MHEO6rpR*&R<*=~0$9Ko~`(5?N|dH-&L5 z$##%CjP7M~S`HQ!Bvwt&m2w0>BxH&PuJ+!14JFq`4q4p`KU|6zKJn$sQ8LDiV{;Jt zfjN%+Zn?Bz(`In`_8^}*1)5cB6-?1B5LnztxU;0K0DMXa+aN%^mSni7b_1R$|Fx>! za0BFSWL`{gWtnVhyz11e4*9X_d{&+@*C*(+7q_QdhZiO-%`~q2%5^>^OII^M5C={%z2vf~PnEk^ zi0cHZj0k#J%!W<+AbZ!dA$(DNK4p&XhZJzeXt>WWEi)RrrJ?cZvoxK?9PTJ;541f+ zt?`~ynwBUxFk7cJBeh6LWWHkIP!vjR4eJNZdx=Vt8|>!tXVD@MnHBu0>WqxvAxj^d zK>P<8nU~&SWm~vlI<Mnl$?H3dRH+BJ040GJ{;0cu+k7hyp*`DiHA5W*K z%^)XA0%X|iHQGM%+mprY*`F%_ZH$gQ`NoMlpCa^Q+Clj}C#EwDv7CC_P5sHO2sdoxW6z%w@O7dRzU1o5W+$W3>vZSsN2Y9>rh&8iYLn}par#r&eiQ)F~oYE?drWv3Z~t{ z($D_6ofQ8nKDjMFx#cIT1&-G@z(i#1F|#T|kKq)5dSLVvyI>s>_Jl>QvgxKXOjkS zYVooXQDBPSeu%d4P@0gk>3M`a1huY{6@dh}af zb2~VOL@LE?_|?svDuF_FQ)q#4TA=1DFa}A0DGrnQ3CGLKj}5y@gMnZJEXbM>h;bCS zFxr!J?+mIxs<~8$C)768V$E5SnlA%7!&9mw^(Cb6%e%}ogDuOa#FSvf$;LrGB{g?5 z%;p_jDy@Tg!lV|~!F=X+8PJQP9`xcA=tZa*v9<*Jq-a!YL{}v{R^Uw{Pwr2FQwZ+Z z_30GTgshW3ZLk)3f}TZ~$RfBlCS78Y2O+Iv4fKha;+MaF`ursP4eUrg>#oH6ley1J zbkWWvc`n=XVQtG-bbB%D3ZR-$B2L?-@I4^gzFw*j?T@(zk!=L4;$=#HF`_%cb3_uP zgn0$Sd9ljNrdCGvV+mJs|NJ+$`poag8P zAcsuZ59E+3`+*!Xg+LBN=*nreT2WrSOb%TtH>5etqW%2#@aG?IzeM~_(kE)IJ+Lt` zrVE^id!(2x?oiE@i)F{@w&0CbmWwj`qNboCC5X<|EV++ZUR#=SYW7ZO_o^3jI3;LG z&IX!QfXB-Lj+&nN$u+1ZH4OI1E6Skkou{uTQU(HMdGrR+NMJ1o5GfMQEHs6%hq^;T zQe}o`WfCb$GnzL{w=$t9vN!*u9yjz zjm+Rre&{aZLx-z!xD=RMc z{Ke{ZZ*O=VtL$9m4)X9kQOmmvw9WRnkg9{1H!m12$&oA{AOC!+AzXOfVJ_y2Y83~8 zNP)mq7UCeO(sJnxld3WX1bxgSG<(1momoZ~V!)5a12lI5u;2t41$#uIG=q~QYArW}K6-GWjNqMploRx} z$O48NAJGJr21E7MWW~n$JP|8X+YSM*MCT&lg?|E-shln6=VT>2t-2g+qG!B9aeXtD zVPwAiac}jk@i&yu8pCO!s>Akfi<e zeAJfN4hm=LI~Y@QVv1tpC@B>f%O52nQT~#zRm+sCPQU(U{ZpLt9A`TmL1hgFsrp6o zZpHfd&Zz7_|6JVz59$!24(}4Ib@}bzq!vGLzeo$?Ei!^iq@xd5C_s_FpkdmnXP*sF zzpg+%AaI*eczZ}`+vz@KNGH6~G#7jD4$;pb+$9z^$vxDa$GVzsDW~=Z)zivMsmiE6 zMCnj*KpuJz(VSIDx7Y!EB)&;eRK3(!gU)tV?Q1+l;wtIN{DY^Li|ZddZCo`CvtLVM zl4i5NKB-KZ?Ny{?3W4z@a}7R#gp`UJB@0~4(dALi=d>w&T@7PsCUueg>QY6yzXZ2l zy;`qdfdYDm(oozX8?lkr{ox_OLu0 zrTg6yL10R2u+|{S>hk4_`v@)-5-Sm_%H{R_MbA@WI7k3vdqGARrW|;?zQO^qE z8?I@)TtW+m0U5@wvd;$mnO3CC{zaHgPW>p?rI6I@;8w?#GUBnkrlNY#;<9u6^0Asx zc-8`e(~SHT;ueuKK0mn00IwwtoK~zPo?V=s1wRcwlMPl_X?2=%Q9T8a3y{L&PRLjN zx}63mTst{Y9-vj}jBtamQCBJoSOg+bH@aN9aT7}?*6X;HmcW#^!YERzgLQVhNo%2Q z*HmBwHH|o-wjO;`bxlH_D>B@2j27cT$HT$&B+0xY%XR2kuE&%eSSsP&a0|rKmX|Bf z*qWeE2KyN|n|IPw06_Wt42{Znub^qc|6s+Ghkr_$M<@t-=8G9};Q1nGAc_8wSSvKI z-;-&@QDErFeJNMH3cY}F*+LRco_KuFvys-VG$+QCU~deID3WGz0wJnyq>YpV8!|S$$G>hqjz(pHOdZKW2+ zB~n;g;>xYzQaAjM+;({`Y!@*Bs>QNBM)V9NcmTwmvyf8HUac2D4)SUlsLy%y$K%64 z#3?k}0h$SXF+_VT7r#N{j>*%4Mxy&PBbKt-KkgE*cb2>hScCJvxwC-|SDYWlzo1tX zqtN$vKPA(_9G#4KL}7$ujU@g+vmJ#y z^_ztzNxK@WMX{|ijGGPVW>fHLZ~{dc_$jz*@M8J}-)pV6}NU?H0mL}^mm+vy6ti(CYTp6DU~U7fxl)z(xI z6dA(FcCvE>JJ5be$#Hc@IbVH_&?Ud8aLmB*V(pSu5eE;MOcwkmY$;=>N#C%4iM}D8 zT@=biiCpo6!_Uj%-QfEHjJ&S8VFg5`umx!dwYKvFB_!)H(HpWTOULuWPaDNV?X06k zQqs<*vGPUuZ#H#Fo2#cRMYUK7Qui8BoeOw;;IN1H78LPq^PC0r%$9}DSbLleYdRVx!} z@i5wH@EDx}6v{OD#r0q^hQSSiiip1P&^U_fq8qD=&apw_w$p2^1DH*>n8oR6J~aOyW+EeOh_S#quZY{UwfDdcCH=VgY{Q z@7Nw_zi4Y*&$WwYL_v_WUYf2^UX6OBk1I1I;hV!jw$jhDM{7Idah7?~+}|clgK@ls zW1VU}yc#hIR76M-wa}n5@1)uT3)k(=k^VwPVS-wDV%$9`8D<{OHyV-B_wiW}0wB^x^HUK0`@>o3aZ>bi@A5e8pO(8X7f0x%@k*T@Y) zJ84vt<&vInZmw_ckp_HVf^&n)G}$K5yM>-$(cDKmo9}IVSU$s)gT=*W33)0flx}GZ zpbFW2TJE+P#5AtZeq&SeS#s}uu{46mge18=ko3WVRe8$2Mu1N49rlIjog`ncOiR;o z48V_`2z0tAo$XfaJ{Q@*<$&!NR2|<)!-g?_Kp+yep!Se{DAO*b#)JIDH6`a!`yD;N zE)W8^K84eli>)I?FlVeIXtI9G25x##t#6xMlDAYpmG@vbPvj~3J-%4D=b#~cCN{&H zBB4~w-36!+*$pV;pLJ0h$JTzsXXF{!hY;ziJ4R>$EoP0*-JnSJmrAx+!QB9Xfyd7u z#aSjjh)^~aTc7O%-Duf}t|_0yOe5R86t?srD^+*%KDCin?tEg4IP#kSWdcIjfZ95s z%w|HxB;FsdrD7y61!R~bg9jQ5gIU%%8Yuw>k@nAe+K3_QhbT9z-ld$&O4(b-rE~{$ zVNe=t{o(>eo8WFl4(0_lqjYeMPewE8rDQ_c$txjrLT>1-Z86YRU8-zY3h{bR^ zY{FtV8H}|_kZv2C2Mjg)TahIRV9c-UUD7czKi6p{p#22-kerORfbL8pokDVgw!Dh$ z7Qc9agI`ECl&J&^--9yxMw|uJ#^p>1M5;Ul)TD&j6~RWS_tGXxB&~O8QhF%AmU6I* z<{ob0YIWzu-Jicb{`zn{!5q)yoa3CA#Y1csd|@&T1{X5y0W6y)kNVk48&2kFJx$(o zlx-OC{-b0NoATV8RJG@1(iDCwTz2glLids#9rdU8c*dm{Rs;pVUKj8!ntRBA!A`Z| zTR!3>)!AS(Wz1L5qtuDDo0T({G)h-Cz+>G4RjAxd-TmyD|m5E zASpHN2O=gU6Be%y4>S&v(Mje?*4APf%an}J?G>sw$Zvl7_RP>lqik^pNoZQ5MKFoH z>KKR~0?rKsZ2*gZrIwK0p~}gvOwyApjAc>uww03b>T>j8gs5a@yv%9M8ILw)E#3h& zW_WNB^%K_svAbgMXloNOd*qniUt8S^h(~}~`wra6aJjgqTiMd4YsXFIj7JBjpiSf6 zyWGCILSlucXVa_3)6BA#OANhTDt}-)4T`fkB5@yaP|HlVq?|#ah{ZFh@Cb#?ET<2K5uAUvWIp1=hTP@kO_eS$? zxY5Zs+-E;E>qFlkUgzWcgX@ff1jvU!c&qW~@LG>Y(?i>VDZ_7>b8v}xql0TLlfLCv z-!kW0zU?rHj7M6Rb`KR{$~Uah$?zK<8vN?;YGcy3-0B zZb6i`Mj|AYShPe)msbe#i#uMGRddt`Le^cINfXpG4d{^4v?Drq{PO;3_xbUkkDtFh zA{zgd8XatKg!$$7GKL#&g90WTFd?`!mdofhn&3lrU3GBtGpYMQeNYI;<}RpWNIwMo zX+rcCe@G7z`HfvFN_UZDo~c$Wiv{d(IIKtLx27uuSCnL8o5Q*@&~jL}$IHD`AVGih zshNnGTRK;utTRW3xt9v_!UZHHX?^)1hyZv2x=t_+ReYfb`oZ%QdNbWa}}E zQD9TT)47K=k3QGO-NDg&7usc-EHF7iw9SoEY?NZ!D%+w(M7S*$KfYS7QQBImxqVsJ z%)cJVJ@mnG)wS%FS?`7_>zyV~$y?*&Y8^(2ix2Pr@%Z6C74fU+-rerwFF1Fu~yIl8fq2!n&xiRp6m}FSd4{TLSSN8qJTLot9Xn&4xE*6N9;ioLUu22 zP*s{KAeIOMuft;VVw<@?C6sq$#w8$vE5P}a`5+9OWBMEobh9``Mhe2DIqX*h3XNe+@S%EDF z-vwpaxNQGd>?abLpUfNJ0hsmb1#hvrVDqva{soSy3)nxyPepZJoCq8UoQD^WPrHW? z?|=PB=jHQ{$VVD%-tHhjz8npBWpIRRh++>Y?k+YzeFVcYCsn0oPiM@|hj@etW+tV^ zKBU0hXmTv|Xw2zbrW!gO`0;6trms*zFtXf)&1-fPDYu--eCVx)El)S=@*NgeO2Hk= znkevKQL7!~*?T8hY4`Py^Y}jTAW95Gk+`oqD=W^dtYZ@Z#At_04LlMs*Un3!Tpo1` zV-4&zGf?2sPsrIJUwySX#jo%qZ5M1$pfF74beMXPBj-fMu)4>3vG9UQjHICa$39{lL`i7iIR3^whxz`S$(lY*59ja%*@7Eoc+X=hw03#7w3-s2}|zBSRHL<4b+uQb?C3g=H70XtU? zNO)*bee}<6;L|So&V)*kqdQQh0o9AHU$D>Ezx=5{GJt@JhS`$1DG@u|!sQ~11#3KZ z^z7GeC15|#Jibo+-9XM(5+=95zqc%5h-QoKhJ`_07H=?6l&eKG`!ynd>Con@Q&u9{8A~+w=xLCScY{xv*@RZiJf4h8Vt4{48huji8wW&A>jWpgvm= z`5XWZteOJ(qd#FysEUtkta4)HD6xd7h!`Bgu)g(4LXbRAMoWrQ&9<>Kru&DgKP+?p zw6k`r2;JrwYNyOQ#bjuKHw`pH@&s!&VNXDvX10X!#F|qSqGWX=bgyxi?$C&vyR2G~ zTYg|A(SI>-ewJM(MoWzm> zSj5UtqXIgykE%7WFtEr_Sp$&tEg%su;&r-1l{czP(w8zaB(Dp;70IoL^HI+0oW^uQ zrPVe~LMt3Dn+3H(e1ZZI8M2N4K`SYc`FVF-t=9bCOq!6<7A$5DHUa1Jfd z@9H{N$C7_Yhx7xa$pSZIh@z+hpz0?z_R2Z&C#|^v5H3lYdd~#cOnUDVlq@Sj>s?tR zAAZoJvU|1rqd2sYI`K=+x{N1Y-Ym4lPgBsM2ZF^X7Q~py48%xn-fza10!dmHne&!H z*iY7TA#1L`WYeMsxo(j}=Fdcjp5L4;zNRN!! zP((uVnPD;+tV?k%u&7;Br6uR~=D~MIgP_xEB@eA>9N=D}L7G5=_;QK+jy+>!OG$}6Ge{IS4rhZ3PN72Ag7_jUSAS{O>lPRLupPrxpS^% zos2?R>(#PO0DsFAN&$mpZc1BUe!Q8DK`ljok>dsz_o#^Rx69j%cC&l7XX%RO>=z9# zq}d?J%FZ{DdoBqfvgcR38=#s|B1Mn*?AZtS+ILT%c4);xwH|+BerDPWPZ>RX^WlFT zeZ?gH^*;ybzHI%L?fQR14c)?(B#A*oWlZ)!gpMFvJWFyWwPgQHf-@Mu_c%oDoJcAG zGnS+hJ5CLb6Ar1+15T9uOza1Cf-gdF3F-y)-2e6zU1(=Vr^1w={=s_Kp5CB9i&rqB z+92D}@?WHa^W2TyXE?BuuIsg4ptsQ*n7CHo6nz?FFT*L|fauGI^!VT`Q{4jBaN(15 zAP0&_Z= z&=%$r$?o8g7pyyU4nkdC-midIYT=*Y5H?tG6L)n}U^O0NlceZ}DXHVHd_w>B$~<|{ zO7$0dX{5J0daUPVWyF0WCk*$kd`|91#|?YUs$zeZIeEfA};K#J8UK;ce@53_Vb ziaUKxcRD{Mr&sFWSG62511IPk$41J-o>GJ}lp?gVn=6Ra65Sh@acLn_M96nWekTlj+-UMPxD}sf_B!sDlF} zJK3H6c&c%vNjoBBzFTMs4gNTS?5OoY4ZEGp1}E%dWFdpn5h{hd z#nx(hPyP5+J||mB0@KwSnqlXHrcIPI?dB3^brz9{Vz2;D>=tnX%=haHRKNTAdT|9w zik8VYO917I64-ENsX`0-?-}r?Zx73(?;vcE%&>yUe|UQO{Qj4(PmicUbo4tqML)iM zK9E?<T&bJb1tbDb(n_v_WEW{_l={WSXWRkA1hj*!* z@1CTHGNa{t%g`|(zqfjdM&u7qX)pymcX2h|t`NcKg8*=J@69+tdM0^>n(oCl=#5>T zSfER+T6P+NAQe)6xjuUH;o-}d=jg2X58_o~Mt&V#n0Ki3L68iTC-y9$!prhW{0HhM zkqBq7PQcMv{Cb$OEypY@Z$7ts)EyZjMn;MqA?F2FHI--Mwr(GK(X*Ba)LAej#iV=1 z9K$?p;2j_6ov!B?AZyxlql*mPEXTl}ldAP*0flFW))yoYn3noxs0}_rs^Tt6R^xq9 z0^s}M>*f}Q-{p_#Hvnq<)zH+DPmj(gi&K;nlK7CmPHV(ZW#yA{EU5sS3rT@1NyEpumFraYRPZO~BmNpY2sM((?4J0*8gb$&NKsK_1#;g2P)+y z_bQNI9A*e(RZMU_dd4;xTa6J|7_Jj%&r4a_AD-LH&#KZ zsi)r6Xj0U>ibUDqAbzxCq{274bCg^7^LIj$+}2SFM-~!d6j4p?Acz>Q6Er45QC0jJ zk5w6~JXmd(KAKC(PQYh?BEvo?mi`O)OLlo~tGA5Ita^ifzFgJ2!;>Sz=KmdS^EyQg z%y;aZQK#uM#*juf&|k{=oLAY80X;@q6ZGBV!_(K#k95#E0gjWeD|6B&G8}1aNWXCD zoy3>tWXpJJo*TH;d|86ai&qTfp35wg>YX{x4IOb|3dpz_)5#}Uk2hPCP@|WgOlh4; zU)2UHD_NapyPZdKC8n%>8>w8~0{Rl}FalouHD&j~4mNl9DSNIqSb3J}w92#KMP(0B zIs&e;jz6!|vt^R5_m|>*YE9v*bkI0M+>3XbH zyQWH2OtF{D36l|$)A4v)76tN66WYzDIO&Eus8u3)DyHte$wgafHG;$AKa(8#UM4fYRvp!YP~^(HB?%6ffNNcq!nl`9f&+6O^vLe(_uY zo^a&rP+a!ssSU~_5zIm4UY|vU!I35%U?xsonFp<(9_=-`cn57|+kc12p|6-6`U>m1 z+y51oIZzm?Cx)A7_0auL>nV(0_EeM zzM{}<6|t)%tc(Z}b%Gmi5+3iH2v`$eF${|?aX z|9O430tIjXZ`S2$gijn1OZ#62Hb4iD*2Fh|tET%(oOTIUD{@0Jp2sK2hwR*jewq1$ z%M*sP1)a{pNH0)xc8fX>Kf>jsF^1D-mR&5Jdc_!@){RYj;<1)VqWZRT#WuDG+a`)# zj8yiiJ4ZJwguChv$elMqt37V1Apv4pQ~a9H2g`u%Xy%F^SCZO)=uPp&osr5DcjxF6 zlRb}7dF~|m2J_A*h!UcmDyrO|3F-+J5YrGO+}@vF-)tE2M$Ir%&c z=m`D7V@rEqi$ss-Q<`_3ttcoCX9ndr z&_`;*PylkGWc}D53I*iQ9L&p1NAZgo*RDl};~dNFTan|gZBd)0 zXL%>&u>0`%@a1Wq;T{{Q99w_FX~^+` zPcvf!*b-69t#v!u1v>r;_`uSiGzaiRK6?_+HT4H5To}!?jPDTlLAURA(plh zA!1-_f-6+8Lnct z0qA1_dlkj0P%sJBz}v@1+=oPrqki`$H4}#iL8{R!$FL%=%<@B;)Dr4#{kjuQXH=+0 z9XdrJL4Q=1j>7K2tu~lR>3U;?Qb*AXiz$>QetdkJ%Db@!aVwH+uIdS?Cx?qINCGlkJmdT9+UVC#J%840DvYn8iYFnMGYBD zaF4Opr@Gz#4bBkP04UK~{O*3c0Y8nctBzK7us%;WQs{0mERq(-(nrn!L9U&3gCsq; zAikG-M?#~c6LCC>h=A85L`+GFk9K&y`HB+lX}R?11TT9aVC9H8kmctw2b>%fv)|K; zlA~7Y9-TeU09G^hvy?pCj&YsscdLS#+cA4)D8IU2jxP};W8p3shi&K2{qlHniR@Ub zNKu^u`ZzQNNO_skuC4&xiur=r@u4jrl}z6%^>VpwFHH3K*-tRip3ypjwe$J$Kfb>I z{P<<}_~)AsU%$Nn=i|{2Km0%og<<^N!^e-G;8l4<>becf^)LRpw@16(%LQc43sl?% z2XWH3qj$Mp-?Fb|sb=&{k^`2HEu@~py=Sma2=Wm=%D(8L!f%$sSn+RR5r&y7T_7$1i0orr!`v^f>h@= z(2BXgN2-**tlau81P;^z_!A(qB+Mr%} z-M-+`331*GrNRb|E3hm3n=m$qn=m%ogi$l;Iw#D&WTfa9`jmr1;Z0J!QpBr=+`L}@ z^5>qoTQ;G>ef#bugq5oh&8)Xr-!=)fshh<^>ND7P3a!|FVHnhs$7! zd<#TV+@0Em6VKR$w&cLLe6yQ+&vzBb#SFQpUWbiB?;TA6HLhuhAce+8-AN+lG|U31 z4e4XLr)=cPpLoh#Wz0Tj7E{9@AVXcXp?Gsi6@Yx|rVJ#FxZ`;;g*f@1`t)Ix)@Xe@ z-ZiQWdC*rgML435B@lHu96`mg#@dHHD z zN1-@jxf>D$D1@eNRHe7|4N~1ekPLp{oSRQ(jD-}Fii!)%L`CL8YoHW-i>CF#N`OX9 zQt%pl8*V-t`W*$6!rs4~9vM{8w$RfPeT&Vgmt9&`2JP&IZ^Lt0tzJe3Z39EFJlDLI z240Q~-N0WyBkqdRr8o_?1!>oCP25=;kwYi~jUL=NlZeX^m1usCQh!t7>Mk3G))cjVIIw&Iy*+N{?eXbmd32F(vpy?Y5h?6cf|+vgP!i*q+o$l`*@KCyr&| z00IFp$tA+#{xy2hK)xc1Ex73U5b5qJLiOEQ_6@8EJSl;v#f@eBX^VE@FBVsOIMc5W zzy0>GV-g>JQ;LAl5l_z5GVDMSO1j*mf@Bj&t$R`8ap!D7+DP)s*X*0E-zn}gVQOF{ zka4A^+8&Kl6@s=}$e=Za8QzNU4goCfIV>m71tQkx)8s7z~kl2B_% zg}4klQJSMCn4K1#dh)CO4c#%hKgHy9G?=oZ0jV1Y;o_PY*8v6A`!|F4A3wbR_!zAE zbT~S0E9&;1;lVF|Jp7LQVi>Fto4arO{kPxZz@-P5A+?JonxkB7HxSqiGbn$vE-AHfSp%+&<5iHZ zDe5eX5fl!L3$%<*xVZL^zNxy%#d`%O`jT=Lf83uag-*o1ek!Vx2A4npV^h1DA}ZEQ zkusXnD69cT2z3O&5)7crYQCNIw%K4aRdc2={wNKHkc?-ZMlz;|@$y9yvI7W`B zk>Uq*@ty%^M3rGZB8J#|3D>zFJjT*dzyX3`)DWOA(ROIgUL8_tXljX5qj7=pZs^{n ztS%Zuv9?G?VbyUZGd^g>Mqv25=d3cKACV`Wz=}m8i;|6mYLIeA;t%J1k;R;tl6B5D zLx^|Go7&J;#$ATM7_bW|%zCxRSU*caMXrfc1Mr=5CPM7a{mS0R0}=Y_Q` z3mQp;i4uL%zRq2S!^poEb0&xreQAn)8Pn{R76$_baka-2v7a^*H<17cUogU8Zph9+ z>z?g#@U6wwb~Xe8tq-xt(fws$Ib(krSeYSZU}gJ}1WkWKSGnfuO4J0WhfCW^xuSW3 zGw-r<>O!(GQJSf1CZ+701~5$b(9qz(byq8401VDbl`W6!sOz2`9rVtcqcsQ;DL0Yb zSd?9}5*U=vo7daB+tz{XI&5W@5~eT8%-_CTlt?Qr7iE^|Wf35>5)@#)K&PgnkaDiF zD0Bz|C>>_^As5SAt;K4yghfl2jpFuq%WrJkatqp1dt>v{L+)`opTL8#Uup1&a&e63}?}dJA=4T{L5ZvMZxz2TcDi>j< z#?`D(35-qt`ua6|4}E8TGoJiaVq^V|beS^Siw2M>$Cx64eF%DayVf&-Df2wiSJRU9 zCU$Y=o5_%}euovL{VHwQ|DVDE5BWHAVYfQrD% zUE2#f%lpS%QK-!jO`+mGaSL007vk6L`cZss;{3A^ozoc?(lj->tdk2$2kFvNcBgnY6vSFA$>=3&%$3+POJ9-&Pe7Ba-BR0v>bFlM z)4=Z=@;`ImX&HiCpSydFL#Gi4^kB8-0ht6G(v-aW{~3D|=C+P3P1HXH;fm;>x@A9H z00h8((=&sOB5iI(kdizd69`$NY)xtLNR-Q-iFm*Lec#z~xe3x0WeK{OxVSg-$%yV@9tlH{spz8)yvzSC#rrP?KIvK?WEV8l1Z@Tx0H?r;&6Q>KqknLR$`;b1BJ z(6jE*A9~h3`a=kFcITcc>ISTGw?&TbAN>})(t>(Ww&0L|4?C|I6#>K%}~mu z>@J2{lesLIR9vi%Kt5IgopNd^Nfl*&fElDo$_omW(LG=B?BQ93(#S`rFk{HD)lm|G zko{O|SbwI;;G$-PHgJZ*Kko7XR`~2t2Oybr5VU+;AkyJi{E8xs8AGs9-uO!QpbpTJLF|!qQ zmVjqB%8D`xA!(YU4H46xr?REUn+%l=$_IGl3-B74E1JTQ#YYD{Nh8*5hhv$`8M?_i zbQkWwSB}^irZ`MVN+&#@Czm z?s#i@K5?5`&sUY-6@opZ`f4GzhI7p|+Gd`@{91it)AT$fVl?VGp**Z|lsr*H7h3%* zM0*Zl4RZv*^%Vn?FY*Lr*GeV{&N5OtIzoSB+5d=Wb^RL9e_{164V>b zChoGLb@)l;J{<}YNYQj)q`CsPMyTB|2z5e2HEVV>_7x}>X6%J0%Y_+7(tI31YS}dC zwzsB$b-9~QM`4ejtPyd1ahaV0VOq=KQO;@6NCS*kP5>7Tr8@L4$J=V?9iak%-p46# zO7=-kGaT^2zKUJ+(FXf!&*P>c833r9JQ%YAUP=dTrfP^P1zx{-ej|hnn;bQNZl&n> z__ni>=e8QRGfyfYP1bRkUkNmQp6P~^q_H0}rpLA_YSi>kUyv0L9K8v7Oz+iPy z>=gYaDEAkLb$%h0z&-B;CbPL%!H*Seu(NCs(wOMByuMgfXV1?z7XZalczkx*AA5QG z3^*bP&4pPHIPrnx)7r;srs!p5BpW#{1;rjFcBR)vpdJhk<0yNmw=#DU3OKaaP=X8y z0K{vs%)AJT{e8wVEzd4)S7)a`Zcsz!6b;ocb{E)uMqT1niP6}y5pvy}W<0PrrmIv^ z!p3v5c-h)r?bg6Kf|Bcj1`+qBDE$&=+q{r%+PqNl8-b6*KbF|uwtIuEqxOxsozWN< zPG@p7#j7nO&x?EvD2}1oavr7hqD#R3fG(EU7o!InYN1^;^y~*A6PfZbsv=XEh7tC# zX$cw;wcP?a4I?*uMNvg41TaG%*kI;{dw`o1usm8cIl|w&p2)=26qi35U@y9+aoJ9} zaQ1W+mp-!@>82KE(=R-=*x5DTV?J3vTQ=B~phMR%4S;;KGJ z16J{LH_CKx;2tVtcf1lXk!YRJGggMi=*{J`XGKRI@#1-M5!f~>W-Hn{(%@u`uM>be z3#XZUgG!cI<(|>qp^%zGSFCeXLsxN1>w(58?M=~BN(QcMRx;dr(MwwGvta_s!Sb-d z6u`u_4Y~xU!e{3(_A@b>dvOX~{l)d-iaP~ymza4s>nRS#%~4DG(Tp6-5h)1yt$+-i zN?L0Z00z);;6ffJs)|iLD%9s#tghwtC5j%C%|e(}AfhyEJG*?QK%&a*+Yx$`j+i@nWkO*5&tF%op;$3izO_$~H|8Ns zUdZ$%U8HJMzG3_+*CZ=EPh82WRLXJ2AD270Dtnil6VwCxJFPN%O!84-LoK0`@RYC z<|tX=6Je6=oywPYJAO2A-=i4p2+y3P@XY!tq{uS5tQlilfGuIoYu0#-;-u_)XWUOG z$;lTShEbtvTSPSK%vriT10-`e{HuY=(Cn%&s^aWS809j^srh4!e5V3?gBq6gj;dQ3 z>e<URU1fyGYAV}8#M7pS%|u2W)-n@u^{zk!#QJi1*%ICSi&Yi@7qfx9Sj6S2o?MWJz<_(T6PG>`!CE)+V6egI^cMJk2DAR&1vEyJnl34nw|XfrtI@=|;4 zvI6g7dpSf-`UHOO8?&BBKRoys{G+Y^M-nh)(sCYwt#Sw;qi zEH9D5&w75xv`U0`$YX~y8SKw3YQ9c!U7sN2Nmq2`bWxvQ>=A!zJBn2Xg%MR6Yfr^N`wnw@(MOOoIBvc~X@Q$z-7f+9u zic|Sp9)=<$L34;f{QT z3SbPGR?USwn)$+2g(?;|#x9q`X^zbzhTl+TU~$;NY)K?_Mkp|1oi&6YAdVvPFeBwy zaB=X+Dru}`POTwpYcg&K+ujt)K;)cJq6=mCOCQTy$_!ye#KJuowsze;|-oc1n|;j%Xal+H<$oUaZ^_p9lUPyGFGKA)>xR< zEL|I+Zv=*JlalP;DJwFnT;nQwksxlL}E>#ka~Kf-PKt6&yF zv{}~^6c4CndpW9iMivW{j>}^&APlu+l2m33=j|+<7Xia|mJO>K^=KxtfngIvM?{^5cp2<% zHPj%i#w4XJ+r~UsG!IYnHDFn66i0eVEydmw6vHT~)(m;cd^3L5@Zz{v4fUFTM3APTi^WxbJ z>fPnUrnJl!qVYlnxoz-9zB0+*N@Fd#MVjNfZlqM9G_*cckfSybzJNOP>pDO!)vjdN@{%^Ns8AGkq4Je2BFnK`d}g;qM>J#fy*6(#MUpZ<-E{ z$Z8$GZJDwG%Xp_1N)Y7|L}XMo7#6pqyfY?8HGDe1{I@DrnNhS@9^=yy! zP0?)j#>5u^2y5V)t7yp`ripo#^6hyJ|u$JAxShfo($QgwPrsg6lG2nt{D^a zR9W)W_06+0NMb%S-rAOEobodfx&`c_)IhvK)^ldH=r8aH@(geyc2oRHjVA?SH^!5i zse(@DRoE6&3n!^FXW@ySj9lS1r+^v3D9NktE)?&S1%ys)GL6+KZ@f*3I$5=Jn^>vo zwADkpgIb<(Uc)g@2CoKx``gd>?=}8=ga7XE-&_3m3;z4n!9mcO6W?J%rdgjq*CaKR zUz|?}?l?ysXGUx+&Tf9Pg{9Cyd4CwH8S$f)$ug=6I;$9oCX5;g(UT7OM3j(?2|`x3 z3!^B;S=c?Jv4=Jl1|u%}HYA>RXuZ!05u4&AI~B1Zsfn4RS@ZVfQt7Vfo2nAlOP!G{ z%ak<_+z3&Y1A#u+kYmkhT!(%Drud-I-3zXx?6_wKNUW}GmePx_bF(eX2t^AYnc!St z?=;ypWpb#8O(r+h9-YSII(S>eN2bLxdMuK$DJ3V+#eR0N$f4%!Mbo$)3Gm-6!UnK6 z_6J2`&ryH;;u~)|D#b`h9oTVQjO2$6^j_+b>htCy)Yy*Rq%B_3bSc$cJXiiU+%i65x(IHb!)vAP$uU0a9d>t02~Tph2j; zDN3X+Z630nQ6B^QYd&icTk0NC@c2{~B>81-6c4CKwqI!Y4?rO^~(DKRKC zm1anQZYK=94H}(YUT+68Z{9W@=12}|eoWcH?awba?@(C|NjIlUkS+6Hg|s|=u`wNnfVGxVcHHx)q-a#gh+bN0~uw%pel2co*g_&!0L^bWGkM6{eHXj+Tzfue&P z?H=yVpi>?U*q14X4+awHl*jM#a5$3Og((PD7HNovOhY7$qXYme_Q7OCL`!+z%Q0Cv z4S=9Hl1K*zK!C;j_gGbha&*ruxx?;ieZ^3N)5QhCDUoIELOwa-%Tg@l#oz2+|9=1e z?xRR{Df0)HVAL8CUt6#8B`OUSye|iXgu9!aLJ?IImxlvPG_*t{3K7=F0nY`;Dy^>l zcS1Xr+BE;%_aC4k`rYL!=xdsa?u>kF-=(Wh z5mw3)%>+K&ti7LvW<-y{ z9dEz<9A4i;>?X@nK&FJwl0);Z@8{eN)m5SKoA?GRSrLdU40B{TkLELyh?pE;nA}c8 zSZn>KQ=n{n2AqfWhGm=FgVEI@MJkRABPyye3%X&hkBW8#dj?ib{nQNonj8`9kzaQ_ zf#JxsV?}Dx0yPV+itOqIpANQIgxL% zL$?U5D@%3AvP2!~lNWgIj6@rwN}_re7ko=ry*AfP-fA;~EOb)*N@-3S|B;FIRK@Uf1R_~vr4;56>cGq-mcTG2QGC^-~RR7W- zGSru62Pj(j?fY*B_}f60)Kr72dI}vtkVY4cA{a3Le-SbdKtTTYRKn-=vv@ER=Rx14 zjdMQgJzi2gF+4c4&y5$_Cq5P|Of8YUZ`2tPx34irc8*%>2+?C*hVCIakAQ0pK znsHq!6FBHWFNKyt4nQrcB$eJ2r4kV;Xwe!8Gl$ZmwO~?eyFrYRb>M3jGLYGpZewxV z^UL}=^|2FU=dYa4Y@%#3Z?DI)a83h@-Z$c;(;Ams7B4E4Lcjx+Fyuv53llgm0yL7S zN^}7&k|hO5NBPU9GUo_o0mM{-fsk>B-Ga6Z&7MfsCcfGY*T|~bS5JY%Q2SBaTYu8! z9HTPGqU#^xN!7HmLjUr)L(xyr~FKiac6_2*v?nO4aTx(B7ei|yeX=G!Jp1Jd#op8 z420tg&tLl@)H zb%e-G4u+vfEAt_Te;AV6AD3mfT^=4u{xW+$Gn!0|RutV9GCqP~d?bc3G&ux(iAJ}i zMrV}b)u1$#=}>`1jbs8`L_z zS6ghH2UB^(ZgH`EFr=XK!2lAyxPcb-qB)jE${O_B%|1WOJ5 z^eMc5pK~Oujz=z6D%ieIKJqP$C4W z&u6mp74m8=rNK}#yCl2Kv#Kb|@^rCfF`JV9`{VMpOg`dy6s7I41F(W10&?=@4ql=k zg!)_EVI}Rn!MVIKx~8S~b)v+&%9(sn97Tpvx%W?dA)xRC^0l@7R%68ZZU39{>Qq8Z zEcV`*ERc$$c`}U#9*DE7W+)W|#;vE-0y&R|5?qhln>jXa8tvmfM*BF7c33w^a$#@# z?I~!8Ehzu!uD_N3HE9^a zg4z;@RU0Uv1Eq(!OJAuh1@2P(>F=gWo}5yG_!57cArba+ ztyxNqIzglA-IyG>TVqkj-KP`3D9L4PDXMj&am6XD z*wv5NA=*C0BUn<_-pP9&f z+}O2E(DRXC2P@(45yW9SgeumpIuCr4^GNovL4-=AW;1>y8_^%&CMcGHzb>z`>D5S@ zF85HE9kl@u+RHDe$>Ij#AH+Z|u3kVi^2WW(iGfvv!ojF0cm^87QBZ9GYG3X|$oHSz zae+MPmp3<;g#o*Nl0LBMDwpf=7|o37#tTC44>c&gF-b{Xbl&B7n5j{~BP;$nJZPtFs#z3e*Kh6URFRQn5F36@`tN+ z?pkY1k$n`c$HLBGp&6(dP7uuNkrVz z%43leZ$;E5D+}!-8CC&a2?}hl-Hm9APGE}i^r`vO;f>QB4OcD#s1m212>to)<7Z`I z9!8*wDf(J9d@xg|O{3*2ojFc){cj1yiTYBMYE-6$s^?j2sE)0Xoh~HURn4=#)rDfX zagy8jk#`dpXB~zPQSC%Q&$2s?roA?1;$J-UB63B%TX%Tmjw1yky2HS=I~IZ>CrJ2o zG&^;jv}EG0J`|k21TKm%c&6d9n?mebBovC!Wn_e8BGR%cfhL-ZGc%zT81#+@Q}V%7 zc(ZssQHNd2e6{OUqg=Ijh%G#?sa|#V0BeLf5RyX@;2+YCCZsoF8$vqf7aJR043pXt z4lW>$zGZMTbG`@~DklZpBhpP!JVjn|C}iltzOMgL6$CD8tyrM(WC~4jT~ClJe}0^i zT!eaCyWKxu|N06I9H@8hcE8?#`uOEwC*HZAt)T|~1+e7uVtEV?9$(KrS-D0O*X+j> zf9WR9GsWylJhKC!BE5HBz2E)(^)27S8Ti#X*m3C5nmma(S#QYP7}1|pSqZZjo@ZLW zs}slB8zcG^c^S|2gv%UBP@vPFGzrSkHODn14EkIU58*Brucp?5_5-2u4pSVa##C#X z=w1W8yYl+931zufI! zeZJehxqFM|G;iRk-wJD z4@HuW(GCU4VZ4mvX+j&F*Iia4uC{RA5V8(4sT$FHyWr1&bH7<<#UTu~W3y|GqaDrU z4LmRfxr>D9`Amo>3;vB4R2jLxxJJ5ndX7Ca^m)+O7upa195S_nAEdS(KTr`dPe^#t zkd0E5plnajdkY6ZYmdbCh4D*H@0YvR-;@~nKoD{yp!3AOygK0D*y|^Q0Le|1N#KQO zF(A=1E0rtEw#ErqqH$98_lo|!0vwntcE7r z!1Yha&ii~%b_89FU|WfvC^kLUz^Z$B&%J)32)Wlv3R5A6?71NL(8-&Z{gC$tjb*62 z515R`dW6K)nkSHI~}M0*9PS_KxGL%Lc(0IAJEs2?>>DTR54q>y?XtRJCqhd z3#wlr7Y{lHZpjhH(Shqd_By-P*yMavcUM{BqKoF4SWW2+;|9@Ceg`o^S@{xlWGujq zA`jle8o&hiIc6oSVYE1xmgSg^UxZ{t1QQB(qCwqSGS3+LF#Zd3CY)0mZEonJ8ctzZ z!veG*TCM}ZDX8x`Xq8Xy4ygd6_>)zCvMvH+)KEytdnzk4jFj0U+!W*1ZV2G1XUE4Z<9VWml#qme z-0A@28V?9LD;Mq_>EdFk=@eh+C%$xb;>!*_fbZTwXrXSZD(oooeR07^EO)}qj-ith z!1otM4=!;1Mn_M)F%H(vDWDkY362U|5SehVPPr=NF%zFqoqc;l+U1iwK^)uy`{-X(lrt5AE?~IHqz7$_Wak}he7k9*v9%=4c0A>gTqc(}9 zByR7;-A3aa)`e+oMXu`WmCUP#W5K96#Uox@Dxh078;U3iAm&c_^ zDT_iNNW-n%!`B+pcl6U9tLbG0vt8diM{nUrI?EtGIXOp8ubo+f=b~%lY4qs$a~Vchyq$27z%L4NHN4i2H-$rW%UnH+#_|oh*(L{BgN+ zLr3DcUm`_Z)xSEUh|*`@h#&CAxa>vJ4LZ{$Ky`bx19;`A9f&S#34{!yd^r+sSDWvG z?2caAA)u##e6k-_kT)>q*-niIPB$08cOv4U9I&%T)h2V&Vt)%uQhc-{SAv-FuM02J zGI$ym=XgFm#@qR6&b)1vML>B3biiwbT?6mZLJSDNwgi(?&9nF_d96w zzumol_x2qy^F#+)$KodkNX(x!nYJ?dCWmc*(j+QNs1{*z?-K2c%gSA?VO>qU6V%@w z+Ig*h+@XQVZ}&(~`X&l_^(!R{zKGO}2V0q@NomhA6{K`Pqz%j+5F0um>?n1a3kR5E zs0F6;N1c8+kzLfQexd5n6~%MT+;bHqn^Hcj_{~J|xYFN&j6sS`uY>JjH`f4dvyjmX zWpQUl~bNCLFFxE{Q;PAK8@5kSxZ1S+14X3PQWa8<`;6E4F=l{>$hoA4> zyt#Yx-SoSkKfn6;`q#ndyWih^A?7xk98Jcv|M@L$cY1-!;*1S_4>y#CHr$R-naawu z3cf<88p{93XFLWRwxL5%RVZ~3<5%wVB}FI(n9&SrE)6K92v=NPuXufjzu~X_iGQ_*^EVn%a;RLbhS zxJC*s`taJ{L5{7#21PcfDN!n8K}lXzH&!el+w14PM8fUpd9C~FK<8*)cA{$y3eDB0 z_ps_bQjd>z%S)7T>qWxob^p2kx8%p%Mp|l1F^2g-?Q-|0v>DTDrEQc?H;BVv%Lu9t zI!-?5;amtAtS*msv6|gbHuenoE3}5`{)k;Ncx!kgzW#2zB6b z?4S~vpB~>&F|j_)F}`Xl!QpFV0U8 z^^1s&E1-)g)0>M9F{VP3GfLpjD6Pi!r`;Q^M0+pRi~QU@yF`()xE+bse!f03^P(}5 zcGPq~i~G_h*x9k>PqwD$4dkS0u@Q&0Qt}U07N%tvwYXtONrzMfMFq0}-=84H)zl_H z(Wth>QC8FB?rN19q^iiPF5m{sF?_&MYf!UE0@LUGqH{IMfpVx|%m9LO@CqTkzVW?* zYUk_mqE4(m1@ekDuV9WHN^5CMY58O4z#PgGf+S&|T>pevCGaO9PwRxb^qS9}W@m+d zQf*H$M-8hmph68HcPYyRdGUCPV+6iSET>Zuokcuch-?txi)8>C8#n!zyc0sM62L6HP6jS4i~mGFZ?F})e`e)dC2533s(w`MqBr9&a+=I z?T-#b+nmgXKv z*`kSvztvH&J_kYM_Yo zzzwRXDig7=lD*UTvIMYlJ(}SdW6Zga4qR$o?T{ z%@gw?B)Bu^_9(QZWrz3_GD-75U~^qDt_MnK+54jVJfRsgn+vBIfdT0BIx|dlpargNPoAQ_m97pbRd|71);;-Z)f_H{ ztF6PLmZf-`T|r(Bhf(<%8kcQ*ak1L+d`x~ouzx6A;jqY+t?XiXpW+KNhMz;T22pq5 z_FRx&1XaokECQ>XOzQ8*>yzD?W0`uVm^SBI^m)K2sDit%8oMY)*+-5et$Tfm+~=o* z@4x?EQkCYT!5lF&tPwNpP<0aWC%C)k{$~ulsNyEEt=n@^1o13ze~3WMp0J*wJ1Efu zUT@DybT~flppt`7GapG`69JwkNX^(Cb{emM%i{~f*v2mx_G#jiCTD(SV;>}dnEoUG z*2KMm0DXM&>dHQOCHLnu@8$h`=63+3XS24{NaSqyN^KMg2>nrA7x`-4o`#T)aa78S ze2(W5?p}5_Lp)UA{$6LD4JT^&g+3N7jVbri*6QA2-L|kXl09PY`BkTxxU{wI14Id^ zOY$$vS;h;z{_X4T-RJ+kd;R&{{kzvl`ap2?J<#Oc>rY=zK*t|;rLPBh&c&`DhpAxN-R|~>~%5?N(HR- zA3Br%L$;K36bZWV!8%x^V{B7$W}^v?ZRWq?pO^#CD?&EPF2KcP(MCbY)%!HMkn z(MBps&|UKDu7-46X8b{Zg#yg-dsCAjjoiUkPzW$ZbtjWd{N4x21k7SV8Wd8Yjv zV6bDwvVHD)g%{nejb-ypv3)WSOIy50n8`!~J^fz$$7NY{T7zOLJi70Ig@!`r-58K9 zhp1@}3jtTut*#O}DgcZlZWk<5xw;>cdV>E%dz$=2Q}>0|Yy`=g-KN}62PmJLeh-^0 zCw2|{CPnA9CnzOl0T2kb$Ri+jaG`xgz7t%cB2pn$XgJO-%6t!cwFt4F?loEOJBSTn?tNE2Yo{s+l}e=mImW z(1k18wGE<3EYxK?P+lev(xPz zI$S+HCiU=Of&QJtmC+;=OtKS_5ONkM1h-5I2Ze4>oz z2Si)@H#7FzzI8c4Z;LNqUI_*kCURJ-M8@K3!&EKDNj*=#LC*U)a^8oU^X{7LVmN~) z3!Z=!U{_>|YnA?)O|OhN(jW^I-5^JQt3@7+9>oX+=XMxFh_~Y1Pzw=~lc(4-aa@Jd z7NNS?S+ip;7($w0OP6?pdk|`@DI6T4fw+aCHKx=c#`6+&>5t1{RPQ;|V#^RGY zk!38-Zas)m)gS0K3S$Q>kN66#Viquh5&;%6l0BXZQ@SrvoJ|&F?mRm73Sm%J8|2lP z;$$mb@2Jm`1#qfCMhfGzw*O;y$iR^1WnatwpxlbmO(~|RVM@pS-XXtbYl7UA$g6}7 z$UQ|Bl}|?e#*9s$IMU9)TPCKPPo>MQRfjDbDuFe93DLwz0j>b_6d7~nVUtQH!pQ=i zLXQ^+8qGq+J;Z!}(RZt4DyH0cjKJ)oA&pUuZZMVxqKb=F$IB86%O$#NN9Ffr z$)>%fW_iz1$HEiMvX*LFPdfyNvvoEE;eLP!w1=xX9 z$U&v4+_i_Aqo;Hs&6H+jsg3{?9KqugF{0hn%awJRDam6&bH?nM}jWL zC=-U#M?y#9L@}y@g?kW23pjtgYM34f%aQ0q@B@;#7Z(pEiVB*}Ln3@sLf*}mLgY;i znMt{giC5t$Y$;^Txh+Ky$k;HDAOu;J3Q{O96?Xtx@TDTA^6GlA1(sC=1Tm-*eIK!b zrl7G5ACi%wov|mNiXShPwu0A|TPS&B=D$r+X?UFNCL$Z#&2T1p?mF{Ne*DTHL88HPCA)3 z``=o;nsvfGbYMbZ-~=u2I($p3pHlZtA&QY3u_u_4xe-bArqn2*bb#e*GEgUQp{cKd zrZCr+DEa1f$0wPj@RDhwCdBF|hJ=b~EXi$dvSZX8_JDQ}=-cXlOxw$5I)0zcy#2r*&RZ&)M+MIm?hfBuGIeXo9Doygrk-hF)Ylpo%` z`QxdN@E-`^^}R-)ch81t`ZTDz0`}5&fTL8j+pLiQOc5$A9%gTqrAn{jHE}?4wrIYP z#^Gqq(u2X0FoS;^_NrCUD!G8X6TrudJ-|hx*L^?gQP~T|Dh45-!V1~|25(}&QLO8S zSMQMvv3vjSA9sVoDyC6vgEWbYtA7hG3XpXRx*BvK0b1!CZ+ zFFhtJluWuwPpawVHhwk<{LDQw*>8wvhL-sTM7%ebVKYYoZ4EudiRk5cEd6iEqllbfGQBw@gQcl(r)@fy@^6}5^JRDU$LsfBzr6eX?f^~>s!ft~ z^XlWrPxr6x?+#*EuOo#=@MjR#b7VzcKidk}lA~QWFOg_ZeLPN8bF^`;+Vk{eiF_A^ zsqLJKJi>jR~@R0tbO_KbS+q!d#jO=t&$_S|Ce1 z!1+LIGLmu~zB(c&W&IkegTSEh1TWA_2y~My09A5b`Oas^!^QdKQDL#0VujJ?U3pI1>iVey;PV3z(FIY4o=uCSS=u>%ZDDs{h|scF<`X!@8PG(PP2L{QO8 zy%$LkhD1`YNWZub4}TmD2Nq5lZttw#3Kl8GZm?4e0W2fQP?3Wn!0nf3 zL#Qb_aH(?o&xmp*!?99^if3g}t^)erY{CBc?kF}5E(j4Mbp!^lQBdvP9C&$gGG7lP zyGEIt2(Lw#Co|Z5Wh6SIQ@FsEg(#~bLg&ky%NGhlZ4?takiU?j`0?(a-y;L@?&JOU zyAMC#fBNU^-52sltOb;ESdr)m?tjTfO323P3RO{`Z&p%WfswApgphW4dq!N2aUH!$ zJeFc#r8q$2VbII8jrZ^m29>~&In$~IRt94_{sy^_gMU+NmL^*AXonz3x-I%(v4^x7 zigb2>Bow^ZDm`k^Q^#aZFP?8M05A!eklxAxRYvuov*j6zh8LQIB0VYX+cto%5Erfz zz#>n8VpKvEGk-kbZth_}y#OXF=s*E-+IzGn%94`!)gS=A3uIouY!1(AP`*&?v~w_5 zMp0VNIiUWqD)Ou{L*X}Y9eOc5a_nAbI4_mgG=HImxlM9iAk+wy%GgOskj3)!>=a$1 zVctGtx-&{K3;C^1*9iYUMvHF5QU3wrTAAK~CLaFV_ytDk2FHNkfF~%t#DaJRjl>Ze zPNYk!7%fY!!N!&#@S}KvlHej(5GJaUth2jP!f`~2gZu0$h=N$~tRT$dV)KIiR6Wg# zRk$?FV#H=#?8cR#2wHv;geGC6kXOpyC@eDero?u3b$+sDi&&|9nfM@ym*NVgM;00< z1(aCLGx0|GN-rZueVgST|((V+;g(CnMV%{e$awOqu+sc{tH zygBU67n4`#x~oHNvE~z0S?c+aEFgBR_gy&z5~`n7XBxv>xn9sytONw^tzxGl9^kc> z-G!HOz5=+6?=uOfQmUMSq<^$e{GD|~5IXBvd^O%sYxd3L!@~$YN5r`Z6gGcAZMS~d zI3Rc%8K#O)%C{1)-&`W#g^)hfHrC6D>o1k>b2gSEq;P$ z+SBq0!AqpnT0*4|0GpjPQro^~b}Mb%Q(VMK8@usVAakSf%#pcRW=rWp&lt3d8(IU6GB%jsM+}uqK}OeXpObXu#`9Y5AJq> z=K2RWKGAce0^#JXjzak>ir9{#ystQ_HqR+bX!t?}p_%jQJs=9|?fPD$L&s`hcncFX zcSmoaghLkfIDj)iv1Qw8t)+wDNZQl0*`P!JX{|`8?VQ00g{=ut7KFJ4Xd6H;xn4c& z?8MmI>U6a#MYnK2yR4I)#lpaCfNQB#Oncm!$lkk<)YF?_aizN%k|OXa1gQn{sFapE zI8-FgHqWyrg~1zIvl?g4DYrSDPX#tXvyX`JUaVm|e;7*G5{qy!7ZDE3aG2{~!55R2 zib9+zH+(UQV!t4UygJ^<;9=J{o@)g zAM&8mPyjCjev!nevXt*E{Lurp>5heouXF#%4t z907ifCWWr69Iwj=N~z6pvgKc7*TMyGD8Web%C@>5npkXn*uklpL``H7G0+e4h#0;+ z2u^JpQPg0t#7Sz5rh{Z_#sFP6m9nLj%jH38)m%ye-^?6B6uk6-ceomEY)t`ARU^&< zxk%|TIMkb$a!A)V7?+bpWe%pJE{A3cmX#u4g&jfJPfAV~k!?LURCgGRlYFUJh>td> z*nVC~J1ElVoZ@mab_2|+2b?U>hxg<~7CHpprPrK;a8dKw`g*4SW#wu>L^)F;1wh=K zk+}R;i5bPH+)YVT;y)Nec6tH6d#1K#FIv;H?L<`cM|iU#B zIAl#&e8zjJIbz^XdyYK3Ml5Y74`Wp4+lhfxX~&Noimf;N=<)qN!gh0K+|@I+{7qE- zF7%(qc!MYJxs$Aq{!VD;v{`6V@GI$}FS~OxtLl2CNdsyg2WCaRNDVFUB5Ev|95Vi~ z{Bh{cddS}|cb{K>`hdUgjj4TxOvXt7GeLSZSP?1(@1T{NQUwQQOa17^?d}5OP(LWS zNd*>P$Y-173LP~e_%=|tj86@>Rr3^NoSUZ=%}dd{R0zl;g0f^9tLxAh@k7)4rVyT& zyn~p0s7~5+vKarBVrqY7*3sxM%mPp4ET_*TT_)<)P2x(oAE1>f&4EUu6+CiOux!4g z(_YR86-tCW*hUv>GA_HCEQ@Dlh7g~U_e zFM};KM;lC`J&&aKBpkYSTybuABoLHk8l%=sgpQj@^WK;;jnL~m#``88EQGp;G1UW= zUSEIF^*$@t0xp5dtFZ}zrJ%brk#Hdq1U`!Z$KOj3R=B29LZj3fdkNg4icpyf$ACR= zKzpWD&W9ukx&JMIld*O+40Y$`C0LwmY_8*Jb=l4O8AazYa{eVDfBnp1Z=!P*ajy&s(A+_5Io#JZLLI3#*;{oFHrD7o^>N`?gsqNq{{ zwL>f#*pN2oTU?_jhpD!@O5%HUF_6&GV9S8G1^IOqeb!;X8R7P<8;p#!M6;n-uMJ67ihXb8>Aez)GB z+=;sqMVNBc}5%;9F31{WlRq`-7Qg~=yU6Q*|_X4 z*87gUQviTb`XfHLRcYe&fthkAE}Rh!&Nt33QtL4QU^H{_COShfeTXpvby|TLG7A~T z;+bNBq~pc;DXPlxHVFLVVZydXb%6H zL(loeN{2;^e5iKwXB?Fxhj(5vvK=VUqPBJNC+tMY=2d9te3_&lX&<_6QLix~a?u;5+ z!%BrJJJ~Gz{|@jORreG0GCJJnQXCqLr%T93|Yv_+JyE{4>VMV-V|LgVZ541eWg8Hg&ZEsBWlzOFTWrEo#0&KZR zUSWf-OE#*2uc$hm*@*v2aX>jPzmf-)tumINVz>23rGq0An#~#ZuN>6Lix6ju~zc6_;`guHp z(^GD|$tMdnWIz~+$2}p0By*6kxUP%pXI5+& zH?fzR7p6PLTSLsLDm6TGUne$yvF-+F-JDMUY+sJR?C~6d8Js|IRtzkUOuRh2!mQ)PN$=GQ*pxc!e&VeVzqd+4N8#@bjf`(|+CWd=tk_yz%y#X%| zAv!$rqhtHyg0weK3DbIfU##E+f;7bndRe$sdPKN@ksi`DppW^}rzG=ZNf<8t0NHs` zpjhU}>N-&~OOf$|5@#>(?F%FBYP7|uBa*sEiq;vC%)^S_TLM9F<>MHtN00~*wYJ0g z;85jEhV*20u$3FY4go7|l$c%}!ZIUw4ff9F48kg*UiO#}oUvsnk#k)!540wqdUO|O zFBZr$)}~m=mq$rbO^{`CtP{0+8X*G+S8O7@!@xRoXeJoq@p2_WKT-lx{@_MvNdv0) zsJe?lqh;%6faxq`!rK_O*0YBx`G^ zA`ro0=cop`9EA{Tj5UNyh?LY@<0Mt^0%DbOcG4SFO6M=iGKt^q$Gqr1BIk>dh{2EzQzbxE@pa z!PxmA47d{0ZM7n+R>sQffb5x}Pu0*Y;EjQNwt!^QE?zoneT8xtw`bR8jcWRBA3?-j zi_}^mQin!t-DEJqnP4EI*L*U+N#YcZ!3JHm?jbLwLDzl6X_5&-jU4EX@^qdtn|JNh z8g;G869Z9K9SY_MisjzeB93;4i-%H~WXkmMDYM6?%pad}_+Y4$KFi}%W@~fFrskfA z{zTQ}qp)P1V%LkGViRkd17nRnY|S$6pd!zes^v~93&inFp#WlGNwBh?`g}~O95%_# z?di zq|~WE@`3zYl_w!yhC{tX_y_#k%6&&etHrST5qUhUA`ER;*o^gP;S)d|ag{MR0(Hl; z)sGsLJ98<5niVguftTo)N*O^LnlaO=8`6T}dl7tlEaq*lF>ew9xez5i_>nb(qzO?l z1u#zhpEWL>3#9&=;0Iy;3V?<$BTh6Dk6qj$e!|tRY-`M*G)q0>-?22CODsXACheVL zq@qPdw&!r6S}&T@i_;q`En@UnKmoG6tZZvE(QEr>Ys>_dd=E10atH#@^`z1c1#^K) zVlV{Qn10WI+!QuWW0(ghM?t~kE?Am7TLd9L1!8m zUZj6x^RjP6e^7Qp&(%226}q_hef}fuZiP&sPal7gR>HP}h%Y$#5k+Vl?&A^>-t077 zPGhE2=%aA~kkxaP1)Ihjsrkv{zqw8&Yx1l0`=l{>pUU=QEP^RzjS;0PmA0d@lKY6_ z7TBjN6N}L-m&O@#HY&@`Q8hy|1^l5@l`8^K9I|x5HmAtjPyq^FVNDfLNFtU?P0v=e z`%X0iQ5xyXMjI9^w#I4%c7=V|z~`h&t6-9z527QwKBl52EZwU)hB)0jqajrH&*d8z zc8_E&UTB?KAm(N@qEra7Q?M+nv)eUOU+>?2KzpYzh@(5W|6LmX@vrax>;3PnV@a${ zuFHk5xI%7$TW=B#Gms&Vky-wPBbOqTA?IWh$Pj2a+3s0!w0cxFj%HPd+Hc+HsBpn= z5%^N@I($1q4RlZ!9Y2W3Zl=ewk&}J4sOLtVU3flGq6n=&ZveN=dzArHCSj**Cy)*J zp{j@FUC0-Yx94s(=%>x@mRt?>r?s!@0~7q_d+sB@LD5zDk#nP{9x}o-nTGWdnP)DR zOp>_Nt7hoegZ+wU2#*1w(HGtU9iIb`mEa&t-VnpnZ>(UgAEmw^HWfh57<5Mx99;se zCe5nSMr_QxQ4qc?Jmg`+xpTsZ&^-N_$i(S%4}_gHS5qyDNDnfeyl|C0G|6|ceLva2 zoQ@@A25OhR6?5k3@>OQVo@5Kiw4Zjv;2+J=2JdLk!;>1L@~?DQ>a*=y@SDc?17mkV}u$BJSy)xH0>4Tci=?;k@T|H=d&!LM1V8_ zU`k9xpp;J^&(Z1n)z9zmPSKC_^!o1~_o#N$Ns50el|Gb~A#Z~M;>RN!kJFfYY$I;m z(pX8~<+yy!0vCXS>>2R4tcT@UDOu|P z5{47x);)DY7HHHCUVDf9cbXG)J>97ncAS=-?NW+jwgu3vy?oB_586ICJ28@=y+YIj zqY9ICvnYXnIGC{XgLveZ!%;$1$)41ef&`snRTzQ83tqFDjEIMgj0!=pVDwV^usE>Q z*q-BB&*FL{6C7CXoUtlAy&dyUbNL>N6M*3CoxaAE1>LGh+7d z?(Ij^H2NY>C%s(|7~_v7c$n@qpU;Qo;bdDE%!3ZY7(p_!;!uI;Re*+*J{7n0_}RU) zU>HJ|lhsa@ghja~?PQN#iy3sIKth{Cd~Eh$}SYbeh|0+K58f;i3xkFOg3!N?gj13s@c9jJ+0? z-W_a#2|VU@h>#A#o#*4KduIf|VgxWg`6@Rr^z8eSgXdBmXiSg?$Iap2&9d`RDuIOA zsvLsgvAsi1f9(lGJ~+~l7_ex_tUdkMiqQ9fv);Js`B%@7Jh)k`cS{QNgEwF9pMLrL z`sw?-k52|4?moQ!?au>VeDZW~fb0B=L%B~cPm}?s7Yba;ia??aOECw=KvVIWnGmW0 zN~b`^*3^A&CKHxjOXej_b9^f^VH%_QS}tX*1YMNlmYvs%M<9xQ!c&ze7>ySfAeQZm z&GQ_FY>euU5-u_?DAc@ufyiU7LS{s99bCSr=6U~m-9)XbC%nsC+P z;vBl)f7H(G8hJQCnd3By%r53OfK@_3BGH;&9rQ2x`+hOUQE;vY>eF$?X!z(1(Y6B% zq}JD_bM%tr4ri5>XMu^yD@;- zMoh?pF(FvW$(F)#pT@w^2mMW)AnEtDq*8<1v=$Qr?K#d({bd=5EJTIovQYjNF zOczxdaITRi^@)u!jHHVp+9TF5-t0p^1df=Tq% z;r(?4v|?c_BnS5xUftCCEQ4Qj0TBd|zojxR2_$b`ZoRjDM_yaYAkvrz%2!rISK9FARc5)BQUTm!!Q10}Wf#`CB1+AfjRX%F{!v1yi?Zo8-U zK^R&~=K%ymw3S{Sy(dK|9Uw(R)Vm;pB+kdMu0aL4;cWr5CeO7Zu@w+Npa*2AWMh(U z>Z?u!y)mHy(2YLEV)ud{PzU?;0xgJLH>N4RLL>^@Wb4xfdfisivURf0uRp_iDn}6$o ztXvefVz_bhJrngulEMg9=V~tt>}=sKqnU~G9FYLQ7A2-VI{#!mDGGJL!<%i__FCUP z>X$HDakoR?4m4(1yj1Jilif|-k;o@05i&1ogHXwKwa2|Jco*muW|r~$;NLhAnIP?r zsqoCKDs`5?B^z}{`h)rzbfiJdL$Q?Pxo=5q)Of??KoabYyXtSV~^5Dw-z-B1X53IMaFacCpEmIUy zQNppt32-_|2*kr`dalr>d6S_P=C;~#a3`Y&`)+`MA(-FR+2{m{eYWn^h%UMvkt~~% z9(01V`$iJm$i2wYf<#uBSw<2BY8z#6U>uh#+sCx%Lxk)We3m5yp#E}yv{$zJyhz=lt zdZ4i;y(zjDWGPC&$f`w%3?C(Nw#KOLxd%A_%I4`rNv9O`t--I{1Lm>AHMs)~ zqd)^+g~%cF+B1k^xSq8b?a{OP1ErqT8&`#t6j+3r5RsDDScAQePFS4@uNN8}0Izhd z`jKo%`M+z$8km)>H;-jGsLSn4BGpd>PmA4ejy6c3Jx}StmvTAoa&?~J7pAiI2FkbW zebLU%3{~Z4TF=3A#}-!-;tP<7K|@O3EXS->A#QJSt2acNcX?&J5zs@NQ}!UZ|FC=a z1(*^7`OdEpY5*&5u|hw)4eTxCkEg3rWA)&-SFitZ01hC>9A)TW)t;VToo&#@>jn`H z4`Aoq8K{er4T#S`(_!eM4=UUp`r(JAfdjaBk$wLA@`=?-RL_%%g3^l3I64SRWQ8zA z6YlCrHZgPTwk%4jiW4m_ryMlVA8HUpW0F!su2kq@kQOy0#=&S7Q8A`bo}nYh6?$D* z9#fu@TD*WxzsX8|JJq3Ro_N&|FVAXQo)w#4EUB&d0t{%7cG*V?p$4~So9)5JS07M- z)X*aN*Whn|lbvLV$fpC=p2b9Q@ID>LY-h8zSf3ng;>_XTa1u*!d2o~} z*Pl}10=1d28XOMiP}79U17YkLds;dw480;kA@z}k_(Rp6Zj@S|WbNGf(j|bGHbFQZ zpT;KXa~cJAy}V<2n(>~eiFk>0(4vI2B#S}M@xxXR)CaB_hY!IGYL7}Td0KB+$jKF~ zxSP4IT-`z;>-b_cqmrH`wgRKh%dmys#U&U;OWOkR#bpl}>0=C`*=opm7S1c}X8@KU zHwEP~P*&>sy4$YXXwHrXzzS`x|J42 zEDj9rb@2Jg;M@Or|Lp)DOpe|NdlBCiz2i8CmXPilDjueS5nlq`nChFd3NixszT6al z3$$@*+76X@vQRFSMnVfy!;MLVSoN|R7&DH#;SB6F&T-d88HrHQ7RANt{N`hz>@u&y z0@myRTR=d2j=Wq?B_}-nj2ZmHzWa)~C;$D=0V^kL_52BN5a&gdlBaNfhpTlQKAxA~b zysatQTyX7_w9MN}Y^A1nU{KvkY8-0u-?y*6+#{SWul=id1R!Ax9XMef<%ngKK(KmH zUz;ST{4#||;@|P|d&V0}uy3X=M8OAfw72JI-XaCEHBDN{h!u=TMa>d`Ln#3mM6V=l zFx2pPx)G!48di>0PZpFaU?@ZzC9=WqtB!-}4cU2WPtbL;)MyZW27DR#B{XI6wft47 zcv$r8GjTm>O6$qIt*}f&TJxR#aIWwHmH*eL$bU${86QDjn196P6;~TuR*vgNQ9KN< z&Tdh&OvHrutvH7WMBWyzHU_|p+i^kc)VFuY&vRpfoMcb~G_sqNcLN8B*c84|4zl&P zB+W1};tFJAyQK@C=sSgysb=W)CFqHy%`yZDJybZXF-0C6+wJbdL-)Ohf)*pg*!F!P zcikZ>r>c?&aTcML36JZbA=>zm>XAMc@U+H~g3ZF3+%xdlR;U1{#UZ5^VrvZ1 zY7JHVqB*Kz4`gFDU9M%mPk>GeHT7gKE8b-r*&MfHE8QDUiQ_g z2j#(czuetpbMJO{pFig; zdDKGNN>N0)FfpW)JvOvqr$qet#|2y^{&}5$9wTNXbQ$xSB`sfA-&E8?ET;8|&4Z(2 zp+-=hsa->nWB`vUfU%xgaKS`^3()uLb87KshkkTuK~7f^HEX#3$T57B>;TAxRbk4K zG^G=+{($o2XUYJu8CY0Sl1tR*1Pu}a)=<+wgg_`dI5aNxCK>>$aOM@ zG=k!{o0+sAwFyMpYp%}dVmPYP9k{ms`U$tHwRGqvsIx-5G@W)W84liw%9}UqrB;eW zLmWcYgtpP8i}F;lJM;?seD~^&vRr^I>^co~7@zQt@F>xo4;@dgA#()5`lHblk+z!d zqd#qppM`J7V+O^bkErDR7{HO-C}+!`u7EJetpOxDXB;-$D!~MAR}-2!XQV`hON>t; zX=kDqLmzBk_l<6j$|h%>PoP{sVEx=~uYo5rUs`G)l-7?8DrHvPnds!@T90PhooRH4 z7-rzxp@L~Cg5xc$T+_9vfibwk5|b$O(R54OQAa+-)!tg=;f$Z!^>Wlo5bXi(4Ca0P+r7RlM& z;x~yu=WT4XQ#h~kKh|RNsv-V2`-%6x=m0>e^Jx?H8}yX*^$0Rf91Zb;Yn-;E1i{zb z)`4cp!rG^5&rtlxUQ3yfcHOXIj2X8ub6p-x!xs?E46bv1@bT%_kI3|W^JMV*r+04# zdKBSc`A8?ri8K)Ue88b6@U3|t4)nBy-uU&m=*IEoKR^D@gO5-CUpUnmYaz@D4&^c2 z@nT}343d;XSVEr{=)`)SquL#aq9P;`bfSczwP15~ZgT^p=61t?B{E7%?K~yM4Rhf; zFnqzI@!KIt%gYThT1_AsX{rjSO?|;rLF~{PJOj}cgO8E+2 zjy}Ejye9(=YZ+k5uQrbuNf$_D;;VhfME8d+z0M|O^~Kw%2!v$N~JlY5XeJu*vK zR4u+VfDDNh=-c|o{abkZZq|gmPmpH6dxmYwk71HTMa6AjPPN|lOp+I|BjKk|ij!uF zUTat%TXcwJR<2j9iTY*OuJ#P3T>H9n%>JltHV&aWp}{Gh4geSMk3;@}4(tf;#u-w* z$U?HDqLV=!fzr z4uF>aVRVTRb$gP$sjIok?J%|j1A98b;KQO=L@KCUU$4OMYS=s^5M+E_kXu!PpCT?s z8podRQO@Bz^!ylprtR%T#3e6>(SFKc6;AftQky$Zc@aHR&{~aC$7S*#= z4C(K90FR-kXP1k-h+tz>zlP#P(53&edk;Le6dq{8`wTvg#4D-rc^OJ-g#wuxx4OyBT5JYdPf&b_fL!!|P&%#DH? z?wmay3_e3Fc(EBmj91a)WC&bJus0v zlFW+XT#_oud2|iUGq;C}z?vo(QOqQjR<)CdN};X>BgyV`%fM&1ybL?HAom!S2gc3< z#gGJ#t6S6b)s@n}?C;+Dj@-%Ft0inwQe0jtq@dXbeh%OhyUbKO9{GGo4@e=Q%tYG$ zczRV;tItOK=^EekH^nhidq8Wn4jB-@pSOsN=dog$rW9jx6U$?I_wn65FqGF{KYw}m z``rPdW>zx#e0Ptc1$XzF>{Lzq7nvor?3uj6N3636ZV%{18gN)DGK(=lGeko@8U?@r zwOxdkiwZbheLGFfUj?JSQf`{oM?j`teF7! zIPqn@?$u?WLCb{z{@`rmGxac7N5+bAeU}1(j}f6aWne4epIFU|{HhVXUx*=? zJ8Z0KCn=mtkp(5A^71^!L|pbAc%pU)QScMI93JMZ|B&_A`~?&8nfVF927SD2UIDyb zNXO4#2s44QfltXe3WnkVg+=uH>fymgz!b@#O${<>cg$GIDs2Rl5AiCPoE?S-j=+Ht zK7U(z_ac+|SQVm?cOUQNB>L*@*2mE5BG-rekq_xErS)LMaUJTUX)|)d(|2t_i(B_b z_3jb$GdzqMGb!k&xLDgc*%z9556FT>-UGXEEfBzajMH(8viHa53k`4X;Q!v2$NPNN zT|Ar;%^44;M9U0Gi8eK4T*d`5SWRU{=B_JJ`=x7!gO!<=7-<_K&RiRZ4B%M~;g?rw z6>kDPAed0)4KYeb*o|UMyB!(C{hz;~qrCj_>X(Cmy!-g(DgX6k5U6RUKf#^t)>P&@ zN3b%AuEM_#S`wvd;FDzJ?DAQzb;Uv2ZudBW^BGDsBvdU*&p&}E0bSp+z>>XAR_`b` z54{16$S&GrqOVODgbVK#V>3-cB32d{ma!w-qknG!kvV`R^wpU?XX{I%FuvLf~AS-vVX7O(;lLEat*(I|NdLiuZj>R zHcV9)8`VAPQM=PiV3=k%=>v%`J%C)cWP?>!^_=rV>Rwye5IF7C^9aD*uvY?-6=@vB zarD=*Yz8oC5ot<>FYo>j*d4QNo?YBR6ST}sp!2b7M~t8tO8|w(lXuy*dbiS$_%bBw z01+XTCqplLj6xZVFpbFeOl#g)&N$?^ZIQ7)c~ezhy{1sLSlAC|z$tDqOf%Qcb>E#@ zj)%k9@sx0+yAAs#733XIPeEU;e1~}iR7g3|?aAr!%>fGyg6>Fp2VdbS0e*Y`BMRRB7E$@nt*8MEj3E^wEUL3fz!#=qm#xc5;q+8PYbhoeur)}=Q19y@eHwL@0e^$0EVz-q)H&1REt?|g?zn1Svt;6`K#Hrh z?taaxXEKdX3uf; zWT6UAz(InkB81RHl|#&_T{cSknikIZSJMYac*T0JB?`LYz9On>983M;BSm82UD4Rev38*V&X zYq0Tj&1w347sqQ93xaV<0sZOi;Nb0>-3QdH6_Jn(=ze|)P}YVYVM0HGsKCs0Lf2RQ zt4KjANAxX?SgdEo`RYdmXcUCvr5dGmhY^qmZfNW)`bXTXPO^1xmjs@VwnDZ<^%#KWQ6TzX8A zLmVSF0CiNiLyx}p0YWeWO2WvaO_Ohuhf{qEaMEg!lKUpJA-x+SX>JxftAsV3IM6(e zFx}x`g1Wm=Z4gD|S*7P+S*8bK$zb`Y^20?!C>ae+3qV^iRYaZ;6&<*;b>0yuX!)Z| zi28o&|1F{_A%WGyE$TNd&C*077tUwsv30{KdA5gU6==XPThpKuJ#2_?3rQ9FDP9MW zY7*r=JPJUln+;ICTvLzT$>Mt!Fda08&85q%J)^n@S;!Xj0QT25OWFYG{y5)GSNX*? zI+v`oFq|4$U7!vnv)WP`k?$zho z6u@>-l%<%^P-Hk#Y!{`4A4LR|dCa@8O|nZU1p1e=kH(1J(5zuNtXmfSXw+=UiOcSN zQP!4_U|98w^>_fkqHhl2E0%lEKE{q(=M}CR97A3gEx&TtNMZ~X4pMFN_6!)RY~k<^ zI&m^-T)W{z!Fym%L(h9?Hi<)uL~8Owl!$bRpbEkD3xsmr0#Z&Xa%#<>(^=6?Dqsz* zxgvxcyDu>^0JF8|(G)GXrdpWYi|u8_ThI$Q^#n(8&SJ<3p3JEFt`K`v)oR%SOfx_( z9z=qFLMpoD6!7{ETAPC|)1E!3z>$Y=m!QiuG7Mb~7iC%GVQdA7`q**h@)SrR4Kzgy z`Fll1q8~HJ}BpN4XAweleTL+kiXD)?+)#Y ze!2Vj`cJ5d@M8zJ!={(TI2xlRYm2tRVRH!09XTnMGQ4w|xJ6m94p0E? zs4KP}{$YcR7{2w`U#tYUA>p!LE)HBQjtW6lKPv>@g&^fjJ){r1L-6>D?M2QPAjvfx zX}iH#kr`))5>{3^sB&&8!$S5cC_DUy4C*gg&7Mhe18Nq~hV9L2MH@QBWFo+i0A0%t z_LX;NvS56)_G1HfS$%Zr^<;9EGt8E;HLR;~wp|X_(t>^f_sUE^=9FQlnHl-~sOrb` z8$#eH>-i0SQG6?qT^ZYqONn)oh79qrjQfg61Z&#uK7QK0`}qFdM-~Krb$|c)-Opc< z&$>G}`1nb$L*jBX7<23DeRP$MxB zTaIq)RitenY71jpTNv3%4O&PA+A^UhQBfV$EL$P9aghnLSgnxGUnO#~tMJ*6!kt-v zW_5|O-SI(rnDZ8O(-`;10?fDySTL7?(U-R>ed3#w6r+h8Z1raUSr7R za(e;|f4+(q{v=RxnCO|?vf-{9kEITisWg>^r1aMW7l7epZ$&*7HjJZFjS*c;ay~0$ z!KyIA`aWIX{Sx@v^mr-ppyZIM6i_m@SR@QDU=h6~xI%FXE?-9A)WeRiH-8uU4eSBF zTw##WZwYyj_^f;d*)0k-EQfRAToXyiaUdNydVMAh)1T(4c!t!#XP9h&-A)6mf)7G|?sUPT{bB<<(J40>5z zF0QwmzAlPyr{M-wx9?+goyBr5={!=kkcv9~2m0fh%KC$`T0kRvMqzx`8;IlA~k(@XR*T3q}{e*%il=150&-H%}JTe1&3X>faajm;nI&Q5P`kgBh# z0pHxN#w(b81VBMH&}I;@3jk+x3=MdFAfwX>Lc@T1JTL_Y#-nr4BYfvexX`~^k7|j zmFx*ItuOC>`FQtc@cLH(q6k{TD_PI-6>MxWckMdFs zVvDaXuYN-OCd!Av&G{zF@8!B4mvpADtu-F~u>2?V@_12VH{I1tMj zr0If_Y8(HD^JWTT3=+vNHmIT)Rzjd>-gzwQvLf1)f20UH zE~?mi3=ZGPAKWx_a|dd;9(5}2DH^;fcNCDZ6#bX8W2+uRciJ*Vm3FaKgzB^Z| z$`<%7mVCxh#;4vvw$aA|rH2wIZP{$-UgnV(@*FV7Cug8!2qc(ka2lgE!Z~Fz$`_%| z!1pTA{LgOl-FQA;uX{y4REBk~sCFLJCPIqFMA8aO>mfJDN4YIR;DR@);*vOZq0bnr zmI4-xVcWgAdkbs(O?Kdzm&4f8V_^ALt`oq3RSE3JvYcg4FpVMsP~l7vsx@sm!s#{- z)7UdZ`F$Z0?CZp)SR0Bg01N-m*T2eZ8*l@bM3ErFGYsFFF?+1Nn@}Kv3r7c1Fov1d zE$QZnAwqIt(})mXB@j8JMd9m4=Il8bbe`4Bi92^gw8)S;R(bQP5eO#vcViFX|8?YQ z�~m4Y0ZmVz>=RH>MA1Z487^L8V7PJEGChAz`~5?Fpal5I!6Bb%!7}MVBrkZ+lr> ztSsw%Of%P20&HKPZ-Hz^AS+-~neS0_(ah{Na%fD_w}*ki2P1R{KGJoFl-hT;IodJs z&@5nvW{9$d&frXMrR1=!q+}v1WyvD3l;_MDZy1{6i6p?p0f3k^vZUC`-y;*t*$jON zAwfJ&p3qS0-K`mNz8WWj@1(_8Wue*l_k68-qE*K=6u;C_MUgBg$S##kw=3fIZ#WjXm)-qPvUBHVT2C@ zjy06#^GM6`vM#c(AwX72GTcAP>fk<|G=v=hW1*#daems`TRWbdVXxb#Jn-*4T>;L00Q+qBjLuVt}sOw@TFU+l8-;_psS9^qg783GW4TN!+kvZarff-Ms#mJ z3M|W{T8a_YP7 z-Tl?)cb`7LyZ>{rgOjbiMDHiml4tm12{V(+e0uQ=`U}Jv3&YHgR4oyiQ0TBgo$&G& zHQ9fCALt&9uAU^{wHOK}pVy0%%d^M^HoldFu$k`gDE#La}_SeuB08EKL2 z+)9Y-;Zo8yr^wG(ythS2c!8;KhA>Hvysh*o3CqlKaQqRWKr2@RmJ0yo1uV)e^bCF+ zsb;0Azu-#H#DYHRp+p_JPF_87Pf>#*TtA=^IZl~UAeMNwa3Vh^1bGXsSYQ;I^it6? zq`(7n8e-s~*<5aYLcF?`P2Jb}R*RGnf`U>h2-`8!%*#FP4eNeN$UWpc#3$+=RK0!n zILl&(Wg{s+icEM_R<2L^BtsT21j{r>`&6RO`Px(mZeCh3Q-4$=^_=|D9C_Z|?$=je zcBpD~_wmcSPakot`R})PukOEozH<%XhYlG8gWLiU04g?#lG02QMW(n?{ZQ0mif#ws zHEOAFRqPN31RpCd1IA7?Z)#X z?Y2bdmyouY;)fx}Gc2SSs4XJjzos$00G&nAD$tyO@TQzCF95pe}Zt^}52GjOLyaOe_=wr9w8YJ4#CtIRFO3Knh*I zgh}PAme-y0r^|AsuNKcXyBCN)%XWSorHxX*fRF|#MCx(!$J_HJ-O_N)wq>-ha1W}B zKE5p@tYm1NiUK~r23&&!1orR6Sqpt%qmx!A8-S0CEkb2bU}kxHtWio%`?*z&pnTcl zDBm0(_%kTE0S&+^Zc3e3b0QIc$bGEFIE@>XW8?#%2#`J_RkUv9?5+4dMu$kQKkQs= znT%XnX_oMHu&0A=>T)QXIxAe4*i%6~_2pTzPtl5^Yymn-WhO+N!_DRO7F8eOwCGQaq0+*1YBw@@4*6dOj+vNH z@Mk(5Op%0|L2A&agNawy8`8C&!AAz3;-n^ZfES2IPrl#8H1+5ZN)CRRog9fLmiMmO zPXci+WAzBtkq`()^LMb4t?&72hKP_p$8tDuqC?)6Spv{ZV*Qq~ic+=U;`sXSDR#XY z@Q3hq&xYk0l!4RT4TG~+YJ3>MbUH(PcI2m6#4GLfqPrhYe1a&KUgDh8AsH^P{UYhT z*>H^x^aqt}xl1H3bT$HZ0qdk9tYZ=uCxyolDoSi)5_^-mHItXB!+w~f_$=Lcp4Uz{ zo?>)@)yqD}AI>TS`*$do(0rOA>uQ`&@jtR5&CA#!3k8U*nNld)_Vwfa(>$&FMoR0A z4s6ELflPrLBTtYPDhNyfII8lsk;NjcPvO8;_r5(Q#SR?@* zy;%ITMPMXXap3MA2Fxmd4`&y9J7)aO9>|25H-5|vTCmd9an>(N&onD%AfS)>RG4+$ z)B~TwtTiR1n?7#XVNU4oLphr0ds*1g(BVeIsi<9MfCv|-1w;hDjIVWdqn@JYLCkxW zy4YUcUIP%La|Z+q=)y7UX4i#BnkhM_*n1D-S+hJy1V?5to`rh!97RQT)*VK|D!M{D z5kQ$HXsk^-oM@lG#gQE?vM38(t{l4ukJGhMW~PCdeT|85>rbgEfFg%h(32NoDF(`%Fwp z&aX39D~u;;-J}ch21Jir-Nz8#8oLasnh6AXSr5X)E0nt{an%!ED@u)2t@&fP~CG>d;vTiBz`y^9FF7#p~XR60jhEi z6xK8sf&TD3@@}gieH(|Ri@vw0)L0aC$9BM0z@J=54+uw52+4?sKo;+aGRH9_wMZHK z9>ob31VPmN-rOv?qPD8>Rtv>b22o59iZFGp>v|@$aHqh=yVMLV*XBQ_VErg@KDXPtSRTBu2U z!`V0h0lhBj>cLi*sPyTQS?KmX&m`@y$=`~B7XuXg}?y-BQql&ACynE%F<;N}Eb zN`Eb^*BsIM7vfsL2;2(UquGI;&DPY!<6Mo0;o*mtW9O>4Se>hUtXDQ~^NguQbZZnX zZk6g?r&c}ya!YgNJ;dC9L5%YlnK*nx7!aW)HAfq}(VnL}B3i3yto)4RK8B8X$k|V* z3aECW6@f(bjiSS1K~$79Ks%zV3CMWk2LPv zpQCqm=4V!uWGgv5-5fKf0VCEu!$~feV$sz3DTC79K{Q(j}xJm}lU*R~M{*R3~xMTT}$&igUmasj~HV2aD z^didzu{?(k`Tvwk?Xmx#y|VzctGM?5PJ+9;T&yIJT#gG6;KoQGMnVXFIT`{nVz|3| z@#0XRSn=ZSEn3`*6(~@kP$=;I*X-Y%bN0SB(7x}zukU*=d9VEL+I!BH*&}P#tXbn< zy89H?I9pd>_w`ZCn}Hz052hAdQ|asV9&c6<#!qHoNe<4M8ALf|zR=N#7A{l57!%hWk-P<4812Ae;=ZAsYARf&i_Y}kIYtb3b?Nq$uNd9_)-D@b5)_ooHYAV&IlxxGM@%fL z1^GmWc>}m+tjpfwJ|KB>^xLxMxC&%P(xDb!X=`wJFu9(>qZ_22Pz)pEyB^uA7+9XwDlm z^H?)2(2crHJ)^W+Q;5w0Qu?r8R4wNpa{|d};;^N(Qv8~0Or@3lgPf`oi?q4≠?Ks;`YXq;;4W+JyjiuK!sfQ@+m_U8 zxB_Jn9IRWjM$8VViia~>rUP3ZQJvii?QPsE%K2C&Zip#gnyVY-L*h#jx8BbyQZmHZ zwgs{(H(Z)qBB3}VZXS#R&9Ilv9;-ysgs*p zNg<4x5oW%U4bzZOPdPx2df_}G?OaC}LtQd6JYtY_Q8T3#HojV`wbq~Prqk;-S@@+a z!&@UtB&2Rwc<{~5h508=`dZpGiL0Wn@_O&qLC(81zR$bYx&|a8`xGRl)3YMG%ZX?W z?efEh28KmO-l9IrA@f=-C&KUQ=I-?6+z7hi=F{90+RCK0c;VM|>Zj{VO_6JK)J5mMq<6DY%dL$zoXU2MXc*KA`ML!$*eDrHX&#R12@#x+sR_rnonUXf?lR>AU`ng zjIN#mu&<6?PP)vjOq8v7fmE;Pz;OLZ$GEv#*4=kPFsmS3oo3^nQK0);`t z#yTrkSf$)bUb9@PL#4xU7KJ3S#={vvKSSQQcSFUo!If$3VO$zhEyip;azHcKe*8o? zHK z8&jKt!Q0wsSzDZp?A&^&4gEVuk98wk{0IhFAaz$Yeip;<*ZCqhy9;+Mj&ukWcx*AvcW2H|;Pfl@G`n(mF zC9ckx>n9=7PIG9ei*9F0-`rUS$IgWL>()gj2Fa6h&I_XwgOaI^aH4EZQ=dttN&gdR zGM1*HS0^*wFEP(}(|vRnBGXu6PGi~(yQ5vow;kQs#03AiIi+$Xvc;hy=@$ev)O&Ax zO(q&Dz72qTy99kgt=|cK6KT-xaEAKX>mo{ zv?mue+>es1ZfU(lV+hu$Rn7h+9p8pKnd(v`ePKvte!eiIG{NrcXgJfNerlu~U|aXR z^$A>Ws*(5*+v`Rjwk5lrB})5hHcU~iw(9V>R{*;HDlAZDNa;uxqva`CwAh>SSk-W> z%MLp-JNgaIycX;p(Nf3r&RXGt&iJZTvtz>$P>og0 zypN8frc<8%G6Z(-*{?CQ4}+B$qA*zTx0KsLxOfh`RoC;)YNa>~|8%%5yH2Atg<7N5 z)Hij;F6Y9UGEgD=8W|gYcsEzy>DOqR_F;Wv%z~tcwAEAbr*HOBUCwmVLv>AK?;iHw zxD0h0-^}%1T{k3VhT!Mv(9 z@HvGfu=uqyJ8BpR?_nvC>@)jJd8H?LSvkHu+ zP0W><3gu&(@Gx6#>s?Y3joj$wTsPU!U8?Z?eRVbmPuDjn#|EnDwmUa=C1`3}iYc?L znp8V0^}<)5#!Q~uPrx^tb2w{1jtupk-YaEb>kiwnLRW6=L)yhPS@TgX`~0eKh7~hh z(#%i0wgh1X3s)nz1jcFPp=(oG0_Jozg2q$WE4133UAQ;R0gku8{NCo=dN69u>Imb* zg+B=M!WDYgrdlIpF6u1}O`{Y?5`-D)b2+-F10&l=B@Odg0}(ULTV^T7EXrr9n?)bm z^|5R3BwM@`mfl_a9XmcJy~H(DagQdov!TCfBlt%ws$e5fvI0nl_MavkfkPqWtxO^xa7 z7&)k|uYa#pBMxU{^yH>Y5`J6|8N+E06hAov1owuQO5um~C7z87^Zhw3;KVIgM0) zew?CFirq?itB4kj2sn*-rJt-~;mhcCQ;M3=G1}&AG4Q!IJh>sHqbth+5t!kFPoAOQ`#r+cP*)i@vzEkZ;G!2V-!^W5D=-hJf z;L$BDY3xjRZ&x1u1cnRGVVVcl*Dl9>Yt!{tu4>tfgwsFHRd95pmx-U~rkn1P#ID%T z47&7&nrI6ha-DL}-7MoEl^PohH*(i&Q?B)E@gFhooJ2C!VrSA&#;qY8CwGlO1Ga0) zL$5N#Qbg*Zn-p-pmFY4rw4Y_YUv2D`lF3D=F;x;h5nigbN{m$)1O-H}TB?b_#?*@W z$_ySBj`~}DhnnW(IZfV#;b&+yLj9)kxMQ{*Fs60B;U`jsPa2vV6zE`15m_@XA}iws zX$T`{Pp-_IKB-}0_ObROcWOAkxRPQkDE(rtIV5xCFWT)n|^Ue39-xf%Tsw zG$6M4*P#p3fJnSebxBsfyfYXT*y*s14P)eY=Ni6_R9Y>v>(1R!A}O~L8LUjf)keQV zbr}Ual{s^ebxduFvSp>FWjxy4I1VF#Eo(O0&>YjbOwqiTHhUR0W|+1XumYq`uf^la zoG&^g`(>9cy+osAxB(!2)d1_IY(Z=^aLX`ThUU97vyvpzb(b?u-Oe(_r$`SOsLQxk zB)Vd=rK)vvHY{^stdqi{^Oh=Szuwgs{C=V>D{G zZ2j`~4P!}%GcmSo$G1nmyfmE$RRJxV)!Cz(wYm-_Y->ByI~zK1Xru9}L3gJbd4)ok z%6KRDhQ`u3ll@r}Un-MrDzOcVsvbw-_*}z8X?4-&`O0R%)H^rY-)0-5{qhECs?dHY zhhaZa7OuT_8}NApuvwYs^i9;I&hgYg_qiH#NvdT2VM6<1V_mHr@7OC7pvbO#S!BAOs9?D6M_ zd--)e?_S^MoLe2~Vre(@maeC|(OkMoWY^W$RwcgayVaD;Z_5u|qviuMidc(2hqK{p zwsbg+_F(wv5hJ(L;Mq!&mb692Kh1tHTsf84%fbSMT~b1El>$Y>9UvFHtrQs54D#Nz z7iw}o=yfAcx;dIi_NxUF1Tn*Y{jqnRMg0oOIif7G-DRoLcV_WItN zGLdW3zI`%A)~dni&Y6>^RVPkwTXO^bv8D`wS|u=b%7o78wR&y3w$!Qhp0W4jzNJYM zOEdIGpEiqVGu^`3%+y{|wl}Fe>d)(V%d$V|G?MM7rl7B7DVvI`cS4qrPQz+x&$PSi z3vAU^WWjASlD$^L`*rh;tQb8^t1$H8T#iYp>87j5SyvG{EI6mdhNE0d)^>L~%vcs! zx|T_gX4xq<+l`pzwPn6Hwn@6;xi+k$$>uOxk;XNS)*kC^1B=DBTzk4*8crgaPvlbS zsHWF!roYywZ3oScY*3qAu2rkGYQJ2+^7PV_%C2qGYqKZ15JIU;F_X^k)YfnE%w12jL-`1@+Y?1p-D@`v=)?DXa{la}zgc(z2^qZk8 zOse%)oFA_S#$(*d9m&_JR>OrVSm>t|YJNWYPuPbxK4J5_EBKE^VsPKcyAW#GqhFz{ zrOT#7gcnP=fHIVa#om8FRIE%I- zeW%gUt35FnYQH>5-Dy(pTU`$MZaH?sS_ISp6*bL|X-cB|`7te5i_DKT+sQ^tDBD_N z%n+)_b4cXw+B(jnopdWH6&l1jhX``IJu!apbG}MHn zQtdfvWmSE!VrX`Kq;$gh28Ny~Enm24Yf2`&j4@D}Z7HeugEkXa_f8UuqviA76qe?y zbrlvH_-U)Jbj!gZg9rNJHAr>~RU^Hpt*+5Zbz6YmbeM`Q9BB?doZ{#9j8&tNlVxa* zr+j7XE`h5Xot;zb^%=F9Q|rC07wlV~SesPs(-uA079MpUq;>xs_jf~QaQCoJ!H6hK z+5KEYm#esP5Sp=LJz?00susFoQq#H8%ILDd#>eq`XzQjkZdFk8OEo;9 z;vXuL{@L%8QC?bwR8U$?n0cFX(k(U5N_k1PWn^`+p@3g4De|D|4ZeW-9SJ_>Gtf75j8(kHnp`f`xZ5f~H}&o^t7YcBvs2Ccpkdl^EWThi(9t0^Ak;KhFaW^{d2jv;_lVEcuR$=*u0ZyF*YXXCMvHDIT|~i#fpaW z^0gfI4)`vp$KX+ZZqhxrY}~`N38V!A+}67?so7AM5}Mn+IY@1YOh$eIcw(>6%3xoq zOA6PDwTza$TVjh-Ww@cCjsMM5lX3r5PHpE^h^U1vp2*$6+BUi(Edo@BZ%ua7`BwJ( zVIyt8u9a~^J4cNizMV!1D3Kk_jS{3oD8yHrlt}l|(yVM{n61E`GIQ##lX`b*gZR$Q z@{B!8)6yxEbjI%rGHT@5aU*Oi5dAdO8vaMuQ;cE?8!;^+9fa-g7(S{kle0JRpU8II zOjy^{)$`RIZtXpyzj2xJQ#aMZ$)7y!FFnUZ?hd|^HFcWd1GZCiuCXokVUB5h{gt_D z%&-C4+RzlvakkruG%JPpLt{>*y3B&QS-zByMHSJQwL6N}n61$H$&-QlqtOw@PjoYE z?vlh_w^4yj!E#Non_JjctL7RFI#oQLB7E1@`tOYEZeGGQl-gD?6%5qmCtLe;Zms=s z1}i3pik$wZVv%zlifhMPs*{3qj2@+D(I$wrD9yIL)q0t-A*q(*Pt<_VhSV)XXB#=& zu&+FEl7d2O`^q)LRBPG@T32P{8TShEZU)Kt3AF;PaZcjrm5Z&RB11qfsY8B~-bQbsjZd`#gC!V^P0SU5LY@KE zSKEk@^}Wrqo5;HuH@4ut`S6j0v8Qi6XVqq0Y|V$F^teDY%~jHJ!ffM6n!$9zj=3Jv@+sm(unql)!>c?8H zZ>3n1{iDsgI7D-7TYJ|8Wt1{^>`3{RyVw|Epc_|r2I!#%kFgs_#c%wr+*7yhZJKsn z&FpKJl<}k6dUto!SJbZO+;^adX>2POX=w^gH8;}I6dK`rh_@*Vcb7ntp=H6TXpLA> z*3R1t$R4U8vHH1zBNC&Bj~gq`eYH4RsyR?bgcc2v8J;oQj+D!k`(-Q$Rp?4)my~@l zZdcDY4E^DDs4d^aZ6?{MQHewtEJSLE{DGTs3f)+_8K*GGijhX^7O{hBjN6SJv=DYW zw&+BoE!R&;$?H^X<1WT=9~dO-KAAL16^+J_MQ)wVUadK2E$gg0HD~$oo>kh6BH2yS zyfz+H(w2tBtrz6GB@#o1*#C4(*Le&`wz!jIw0$<5GDTS@;pVTI=muW8fxZZ64Zs4O!Fq;%=8Wvoy6eBK}xCZ>?Wl zHY)UrGYwJ8r|+87RfOh8rO=JGeeGB(#7ZIWy03b#B}I+b3qOZd`a;U`SY?}Htu3ge z4qGJ&73s!KA@0q5kfMQZ@_Y0E3kluO(8AuR^;9=HS~rR8Wx|nXvXGN|Vb)u6T4n$m zhQijC%w>9`O2`$uISx)~yxaXU6YU-Gvm;@pY531D$yPrlP_r*<(@I}=%q2oqNBh|I%aKc};%V~Et8d7Z|7SAfDvn9G; zRF!Bfs%lI>TR&|E0_zV$L!-?;w|*&`FOGLQs<`%CZUER3&fDs-)X=t;{B5_kmSUR@ zEs3|SO}zT!U0Qx3DH)b-9o1P-S6Sj+3y{*Ji=rD+9<5Q4>e@NB&f4V!` z=7&*>P@+7nW7Z@W*bmJk-sV}4o3OQV=9xNV zv{Qqc#u`^-yU{}IHyP*2WaYO}!&`Sh3?F)^N>)s@*S(sB(W_4Rw5d&TyM?S{*e#k0 zEsN`|9;xkY4n*dVBFrfFl+uYU51YH*Y(IF+sJ7m7KIa?D?o+t$ZC3Qy=9G;p)XlkW z>QQ&8!paoBkp&u)$FSZt=S^A3LbtB?(pBg-Bc?1J_NJ`pM5WDv+B9Y3fxAE5%`?v- zVfffBDvzdO=AiPV3qP;&q_vnRQWWFX_2x;eme!_N6uh7CyK!rgtR>2$PKG`v&a^Nf zk!yNDXedHRm*~;x5I_2p=EPRFPJyl+X*OT2cC~>P@-8$vwU|7dQ>h!6xbYn8>wR@| z?O=0%2DilCO@i7l%+TlhL)k)FCrq2p3F(4!PVjA@cfuN_-Qh==6+~atJo=o)ClZBM z8n)}a=XlE3n{E=gO4BSMNv%NXzJw%;$)7DDN)sy~VgE{_GSHf}X6|Jz4kLd2u`a~2 zE?f%iT{ZNM@pj9#lxC7}hE{nbrL^0vw!RxYe)zBf8jYqTIOnUO9b-GU8aGV-1S7SY zMIozW!&IAEkx#|8ai2$j+-F~OaO=*x?CTmiVnifzMA5oh3UqAOQ5MEpA1qUsyesTK z>X&@S+;Y%AMf8;aeoi0HcA0~9hcmv`N1LHcW2;D)foZv*q!q=~S*l`Mm3Krf;8v`~ z{#sIJYyJlg^((>@by$&g<(4bYiLv2^WWKl3Bs>0D?8zvHZ|g6B6>RnWwk%RAXh_)( z!{GWlrd5vmlv{1p*{}<4U7Mj$@skuN*V@XyQ)EkDV{08MPn#c+R+LCQ< zfh5Lm82}m~(VERkCtJA(X-*JC z9-?bvSKa5(+q)cWm`g`(Jv!62ew(`NR&B|4x=^fO`Auy;)CUH$CNyqAvuibSvAdJq zJ^o9Bcc9g8i|dVC3Ye1XI47yqu~9Z5Yzsa7ga40^1qKj$47`qz#~ ztRKv`$owM~?6K55%k)^b$MU^a=&@ptm3pjZFBkjQdT5^D^B-GJ_X?)Bib1bnMogX= zlV?TpA_!&$%PWQ5!}7hS75?8aaAN3US7So*zU>Gz7I-zS!S zAD75mF_ zCBZx{{ZXySb^W9Df8oFId5(_#-k%NA^a_rN{rT9v ztbAJC5C82OJAb-A;n*uUBPO2}lh29C=f&jnWAcSD`Qn&-X-vL6CSMVguZqc6$K-2c z^7S$KhM0U)Oui*1-xiZ^kI8q&R*poed`sI=Z(qp$K(ZL^1?BB(U`n=OkOf3FCCMYjmgW$n7n#SULz*26_eMA$?L}CJ~4UynA|TWZxEA{F*zNRvoSdzlZ!EVqnO-3CT|*( zJ7V&{m^?TpZyu9}#pEqx@`#u`Dkg6glgGy7aWQ#(Ox`Xg?+}xBipiZZxfGKtF}W6# zC&c85F?sixJUJ#$jmdk&?v1pKq$cqINBl6#rJY6_Hm94vfgF2Ukbrw&0D3yhgC-{H@npGuSX9 zuN6#;$ZH2@MC5gXMjl?G-E#Y_=ubd4v)y$;D(5t3*L>$`Cyra zTd!9L21ev!Fg+q~7@QxGHwu0qkv9(h5s~``?ajfHZnR0TOGMr@I3*%)7CaDfI+1bv%>30-(>uwz8tIyf#Oj|=XK z$lC;eiOA!F)t792zHNgM5qZ1dz=*tkaD7DHA$TVu?-(q-RO|J23I;~xor75sxih#l zBJUEs7?DfC!b`VauN-U~kt@NZh+GZMiO99!@rYax{t=NU1bthLF&b^|6p<$eCq?Al zf+r*L?m>@bTc2-IP>jfvgWV$Xl;G@$JT-VdB2NpJTdwtbd$bDDXZMNpUcG|-V{$}P z`bg&_InudEigXT=BaOM_NMkHH(s)XaG=7pJjg{m`V%`<=#N>5ja_^YjCnm2Klh=>QePeRJnA{$d zH;BoJn4FBsshFIO$(fj(jmf!~oR7(cm|Tp>8^+{~VzOD2oBw(R{hNaR>ZSg&^zF()CT|my$H(MtWAb(}dHa~WLrmT=ChruJcaF)OF?pAmT#Cu%m|Tg; z)tFq1$@Q2#Atvt{lPAXH-D2|YF?mu#N??ld0I@~BiLL`w)KKmvzF3)!48tG zm$R07$>6U)PUgI|97}0_;26pN-xB)c*POS%mkRa?ZjH$M1&>7J1A-SL@}9M z@xN;Bd(()(?YGFOOjmNnR8dkF3Z=6$b(Zat6Zizv6p*DQg!c@arw{g=oM}(Ar?cr) zwUREEi-lw+pDomjxq>!0&E-n+%_&x^E}4R{=%L$pZBG~5i^Xy_kxJH5N}8-x%gJ1_ zQYaK_iF_)T%jMJV2MWA0bB3iav}dxpO0}5J<_ft)E?X+)^Ql6*o=((?g-WJYs=M@x z;l1aiiRI4O3M{UeF8XCgPnJ&=6NPLsU(P40xqPacEF|lde7&Lwj}@&3w->0_N;7B4 z$~#v*|=8U&HRg+!@P z%U08wT(MkAxS!3OR+=%hX1P{quNNz&QoU5Kq|=pZwN%$H^L2f$oN~LC)^-QseWojF z&OYHUirHEzlS>x#zQsy5U&|ND)nchoF4t@6Og2+?znDC|HcekgzsM%rOSw|1kk00+ z^;)i0E~XQey4=U`3~r&zBOVYN}eQR*Sj1vN)O7E2Jvf zYO0>|8Bi@xojOUkNfp}@`D&$>E2=!_3kBtACR5JW^W|!?m?`M>$>Y-GYAU%~vQVCu#cZXN$rlp!QuzLr$ulO-XepoRRC}hHE$EXc^!<~i zLaJC&DNp4RwSsaWTQ7t)OyP}cos}@Uk#3jEwU_OElf`nSoYd#6Wb4UPC1W2qTThir z+3*>|+fArc?7edBwOY1ZS81!(lU04ETB(*$SjSsaRJl zc|B<@rDrRr%gIzdp)#$K7gmUp-9-72ET_^|r!%=~A)n5bYlT`><)xafl~vy|;WsK( zNu4ewD%EVUR!S9W`CMMhcJjGGxuCZ%6mrRG!F|!Hzji&X`clcHveitXUR9c0Mt7?! zkIR{YYCxD;K0x84)QiPrs$42m^y0NrqOMY3OO-2XFp9}^qF4!&&zKdaRz1-(W^?si zMGu{>CBvL7CX#72A*H-aU7N_YGl@ziS+DA3Nnaq9))qc`mrSvcsb-R;MEIJu*)#Tb zjf&caN;y;0_o|nwst{`E%E@fDq@tq2R?28687sOIDwB2(A4Z95iCQM9&{x$=uBP3L z)kfs>n@l}fsw?cfCEs;&Ndc|)Du+KQrOTyKQRO_JsU%C)VxgqgQq@9jUAdgkOQ~q4EBard zR7xhR87khCFpO*XG?hvzSIty&6@~rGDPt8`r&>(r^dKc2%7ga@SIuUtm3lg*h9FVN<&(vlKEKL?S_if8;b)yDhlMbC zx?0bu0aJ}Hq*daR$zr9V$0%k}iM)OnCZ9f&@0wFnt^bu$YKM#YN-3Mos}dL01lE$d zy23EIX3TZLTt1ntssvVZ=}Mte)FOpcqL?bA(kV5v<#Z-2HYx@d;Wqq5CRwZJE4idf zej!;*F)mo)e&sW1na;B@{ zs(hJjFNgw>eg~8m7rWw$<+U@|3TG7>&+^qVy&9WRP!nd ziE=?(L?zTTl;l|AF5PGavRRd=OkPiuR&Eq?X|?fc+;io0EuSjasi^+i>Pr*Zg6^me zL!F=+po(;dv^pH?s48LpRU559PK~*Gx`O%&X&oiCmF0wfUD1=(RMx^gsWw`HLaA2B z)l$WBPDLeKt7Xasl?Po!xyYvwGnpBOXJZ*BBYCX)uW-CyvWeTblHK!%$^5vomxe7orQOqWC z$?)UPD2L5JSh%XmOf9b_GF8r{ipfkVn^yjma>aD6Skgm=-ASVrNU28TRrFG|M7p5H zGAj+Mqz)yWuBs)Zmv6KJiL$C!Rq9!?q8C@Is4ObzGpM^Ot5XTzHrfj4_NiP(bxyH) zv$?W9WIwHN7JwpvW7)Tz8ltf?&cguU5xyoKvFIlOU3iXOqn`APXuGg(&Qe&V} z8D5=6re4d|Gv!)NZHL}5m(M4p(nyW55}nJY!mMvLGDUSuMU@;?N$dDZ8ATCHmZaoX z)KMg>VXDWk&FUj&OS!yy`AkMDd2)qfT17;KTAg4PtvtMk zdMnco%E@xQtQI?^Y)qu9*2-6E#kxv=UhSw{;hW8CHvEO!Cbhe&H2Toe3v2pbN!>D4 zPGr<-6A~+^Xs!^q%0M5`HE^{tz52Tq-LblaOS1%gx`5)g=bIb`xFbhaXy#T zD5xYwC$GlS8ZHf#>S5C}vy$UY>uODOA)Uyj)U(ygnR2d_u9YjfRK8LVzu3%5K1{7% zF;!01%4yTFm8I#bB2m`W)fd#JWhngW7$`s*z|<0{tW;X5jD@o5d|hQeD@C)UF#!cs z$tgYQdRgzDlzuL)G@Y&~{-&DHjB>NAB3cf+ZzZSb?8LdCcW>W2WDQWdYUMfr_n~}bzUSHp@sPSs4t|lfd zLE+F;pRUj@y;6EzMb$*wX)PxuKV2>+RjAb9nvU!qtul2=ec}YA*K4$^Tx6wQmb2xW zdftLGZxta8;}TW1jq0S_FXTHvW1{^a-CnG#g{$jpB#UX46aAgesXnU_PDqzwWMzZI zsaBSf?fFVVjY&oAbS9J407=8Kax$rIRb87D@~~l7`f&KJ@7gY%OPWYJotBc5RT-+P zvni@yE~{r!(;YsMGOcdvW+vZWQ9i2ZW$Psy-L{f@R#NIx)9S2CsanBmTBe{uxqD?>GGK4qo>arAdZRo{RW-sXlc-mR1=YTQ)M*OWbZ4HDJ47D~xlI+e(Ux=-YlvuQO5()I1NYXzlO zOQuSil_p%1!5|#qx#+<5&dEZ$y^>0)G0$ZxG6y6zz>(`o~x0Vm7q zGYTaw!!1;6dSzWl<0O~9bLPa!H7#GUTO`_TbXZr68?zn!cL`-+Vax z#f)h+)44Orc6BmY4J>Nqght(p{il*HH7BVKPl|P}=DWj5vP*^cD3{f1qJT8 z>!K9UO4dwHHYy0qtIw!hQDbu(?53qKt87a5NJ~$!|5MXiD(6ewfcl^zxvUJT)G{&Y zo0W3Xx22XA_1bEHGc!H9TwS?ZDyS+arR64dH|3am>{>nLvQ^QI6?k1gD70&|o{%X<*=`?OnWWK} z24a@I#hN~zOW(LbHrHNNJ0vqqS_AN!UQ_*_>D1~mHGh94rAF37XoHE<#!TEf9HkXxtFV!m{tBRW5Y(?*- znpdm1FB^7?E%%dEPPv>`KPp8vTa^Z{?p;IBazT54$ebe^MOectZdDNWIs5psM#uoD zf~eXgCAwCUs#3`7eo_n)>Q2(($DB5C%H&ylg+I{fSxuAdF;z3gNX@9{)cUB#NKMbF zfp%{;ZR(ybCv*diJ=58YlqMSxYdj=XH>(n-R=TG6)CoGAy=D2D++q%GwILST3l$kN zHLg;=*zi#8i)ylLG*#Ilq+j#JLQAfmb?PivvJ34R`erH_8C!}{TWSRjT4emt52X{> z;5f{bP@~ez$s|_Qz)n4KHB**>rfQ>LDUy0j#w+}atDUkI{h1#yo zXdIcKRLze(TQzR#^vKEZ-b$l|YZIqbYkRQ@C($_+Lv*;JCK;1cF*zNRGch^akZtC# zXIl`M9locgC2c0Ir>2)}My=;@J)BR!t(91W^ZzVu#=57b5nXys_t}haPtj&}jW$Eu zQ#ZAl&Yqecv>D5unl`kVJv(nRZbq9aGmeHf^JB8j*cff5!#EAjf``DP;YsjxcqP0E zJ_w(OufVt9`|uO^B{WZ0yT5sy8qJf`Xda?Q^ZYcLN2k#|HH~R#o|Pt>$E49bA&utY zXf)47qj@A6&C}3m9)w2o3^ba@pV2(|jQhfa;gRrocq%*#o)0gDSHbJyE$~iwKYSGa z0X`4kgzv)7dWO%{qgTkK;9Ai9^X$0?!)@RMxEDMco(->pufi|kyqavX>n#V@g#|ba zZVz{d`@!SkUGR_aefSMraNh7fE5Y^Q#&87O8BT=ra9g!d`OyvE$3ab>WV1HarTR4Sxgg zf=|J>;g@i}rNirQ1joS%aBp}Gyazr5S6C+eeF{#12g6h0rSKKF#IoV<)`J_vk?>G> z5xfz82p7I$KXrw1Nb$ZPp({c zy=CFrFbxO5t>7+jDm(xl56^{@gI~dUV z{o%3jHuxZX7JdL%UNgK;A2=9}g(Wx@?hlWJ_rs^*C-6JC;9BAJmxt@XG@JzYfk(qL z;pOmV_#k`^z61XXd#oMaZwa_MY=`~faJU294ekw(hG)Sm;BD|3IC-7$etW|s;OX!Z zcn^FMz6w8r%lsm|&RQ@9JK$*838%pQ;c@UBcqRNDdtd*~Ug-I*UQ3YUf}!qwqAaDAvaHtzS@xyG?S90-TOQBWaYT)G`#2~L2M zq2f-ubjQFm;JrqT>mNdX#;7*r@5uA6Cs}o4VWZw_DWlr@<={%jc8wR1lSZX07}Y0l z3^y|>-4@8>jY_w(QMyMN){ROx4S9c~(j8`$u5}bV&Zu<1M84RlbXOXsYhD9?YgD>B zkRLTF-BZTJg5Wv$qEYGIM*h^Obl)1K!~Xz#t{N}}9a_kEea5JO6&mcG)j)uD!^_e&U+4+W$0Ycr$rb*NGKKia78WdH0s^FZCoU2hS%gi-mSOE|jw>H1Ow z-F@1Oy3g9s-Cv3Ii0;1bIdtEQ$)bB6tEVQ5n;G>SgJ3UXDsU@GdS3#fr+rWTZXx4feNW>M z>9HJlcDv1kpts5TXx?7e-{fH$_ZYVbLi^m7L0~I_dkzl*Tglr~nc(bkBLhXAkUS~~ z4mIkdJNw&K>bFcD69g9;#|DA3w{0B+H<>(6_1vgTboRCJfm<)zbK4+z&5myu1n(QS z4}#B)I|RXZ#vKEkqER1jhH=***xxuY2#z%F76d07cMpPdjFW=kQlmcdwZ>yZB1+wR!8s`}IQaLp4EqkhQpCCvY_YH!L zj4Djdez?EttH}q*-eEjY_EO_PDu2d<^*+W!RQ`;I2Eif5!-C)hqsqk@@O+~R=M~7m zg|`}y2!gweN29QTM$V3Qk@as!4V7@^DqS7VHBzfNCmTdNpN^9Z*e(lhq_Rj)khiPFB@)tU*;J zC##A$s^~kaNIM=1m9w3e(3sP<@eIazMWkic^$YuOu{^L?XG@55P2AM{j!daLw4=3 zu3c8T1CbAh$HJ4LYp0d&Jmibv74TZ<+HIw~9r<4PFnkg|3txh7KtE6N5wdI7b-k~V zzlXi7UDWXfp=;+QFN?e~Tm!BPUAwPzY2+f@6b^>2A5gljkjKNFUmZF zQ0V8uPDDN(o&zt0m&2>!4e&O2H+&F24xffEz}MhA@I&}1^!?d)$USKH=ZA~JrQwQj zb+`^(A0}ZQ_J;$ZpT8T0JPz&vOK<|541GViH}Zk-aCj^{8J+>pgBQaq;I;53csslo zx_(mM+4Ym+vmAd3z5(BbAHmPz*YJDTi~h>bJ1&mAEL<6`0oR57U>X+Trf@La5^e>@ z!=0eTC9(QC5&HSeS;+gsgW-|zcz7y23!V=zg;zm8FM12|o$!9>=S%;9{5*UGz6IZh zpTIBR-{FsN-ubBia7nm4TotYb`@jug7H$MP;O1}y91FLDov;RXhkL+1;r{SYcr-i_ zo(|7}7sAV-#dopqb_2W(-VGmwkHe?o3-C4g4*U>)3crHi!5;I6_nRMD{206c(r`t% zI$Q^?50fwt`@?~77#s!1!5v@;PJol4pV!_S`9SFBxsOFY8Txte^N=rwejfZ<Z^z-Gq-%6*#^+`~~a> zo&7`C^ZNM!jt_$xn!D?chZ;INxdt_~b@B|Tp{J7%hDSlKk823!&TEL{cnQ1;{uX*Y z{2t_o;qT$|@KyK@{4@L>yO`ehIb=VMc^mmd=>6!vM*ac%@yjCU-_Bm9 z>#c;m2J8*}xFv_&9}a?B!ZFZ~S9U?30H?rN(Am>;Uq4PchT|v0GoiDqDcxnretdEx z^6l_G_$aiveAX}camnk*@4}Da7w}u?$0HWU&wlUiZQeg{RgSL>{Wv6rT!fp!&EZJs z#~(W)SD?4Q?SZ@(^y3b1hdZ9*r$J|j({o;g?8h6|A>RVMf8vA4Pe4D;cp3RE=fIgmpL>&V+s(aR~C!@FaK! z{1x=$huBk3Kb9@I_h7;j5=*I;IARi8ogQvo?p&t+Y8u?myGrSW%0R1@N8RVDXoA7<;{Ybt3 z{|}D$LSI$(x%w8@*W&s>Jl#_KZbjGz*M;rS+g&$C9tbrxn&WEslG;Z~{3GH-Uqpri$GC{?+UMcdIKDJ~};3N^Lly_EKZakxZ=tvMFM$5GI9v{{3f;WB`X6uSPjS2m-Tb@GZ;m_?di(y4 z$Q8I7+ym|fyc{4nHW;VID1V_krJIlKnm1n+=;{^~L0r{Rn64fr1P^HyIXe+PT^3ZHjD zxCHd`RjVPd1N*`>+z|SCs-eiEpkIHr6LJ;$`Kjs1d&7g^k(I|D zeT@7C{1*05!*At&0qEzGmP1|@t_|0RDOiM?!Oh`FI1cUz{ru5x$a}!O;DPW6=;w`2 zLp}#y1h0VCK|fz~7xIJf3HU7Z>+JkI(FYv=6#fl<59eWAvoKr=t_a)Uy09H);l^+v z+yZU|{rWvWA5`b~WH=M<3lD*Q9_S?GGvKe_rSLb<&;R@m`Cj-4`~&@A6yZ+u%L$VfcIK{aju} zeh2;;eh$BZ-oIr&`o%@zGH_+MChP+fFb_9@gW+&E7H$tqa921L&W7HPP``4+hc{pJF2akw1xek5xnuMbnO2seY9!;x?t+!0ow zU-$3*M)u4GpP={m_$%_?p)J6$-_H*hgWk`>$6Hv7$<12=*L;4nBEj)yzL8k_`Yz;n@p4>y5>p^u|67I}MEg1f@0a5mf@ z9tMwvr@*t|1@Lls4ZI270q=*8!KdMi@D2DL`~-dpzk{~M%Ie#K(8v8)9(gsm4(tol za6{Muhe97GWE_37>gyZ0j zumX33d%(Tmf$#`;JUk7a122MC!0X^G@Gkfu^zmq(MV9*G%CCxv z&V>8IL*UWyBzOk=74++heuI1i{2ja(J_7xEqCXZg_tj z?`Pe?`OV=7I2L-p2k)0tf!EIqDti#E0CfpYu0*{6#LBFo?SIC#b-@qH- z@8G@g5%>rANBA226Z{DN75*It)R+0;VsKfw3S0}W2a~V>H-$ss2)H%e0hZxJI1TOz z4}gcm{S=eg*#ldr==3f=j{`;Og)fupi98 zjo<({43385;m)uIC&3wTA9yf43Z4jm3D1LS;qT$|@KyK@{4@L< zegl7m^I?}<6fOf-hHJt;Fah&$6F3+ShhyRPumpF7Q{im5KRgT`3r~S(!3*H!@EYjX z58i=%KYR>64PS(Q-Qau3pTIBScd(}#bT_VrOTgvfYH%Ic7pCEcumcW-qu@4hCs>8M z!|8Bucn~}io&ZmW=faEOmC&yTycPLw_z-*&J_r3ez_*b^z0sFxW+z1YU!{BH*9_|cla1xvW_kjn)qu`0~m+(Az3A_sa7TyN$ zfe*vq!{_0v@E!PP_&NLr{s`xz|5+3+16PJ?!agto^KcV57!HSH;r6fucZE~oY`8x> z3?2(lfoH)B;N|cdcoVz>-VYyxPs11C8}L2&3H%a%2Yb>VE(m=b^yQIPgX_S)Fby|^ z9dIZd1-F4a!7AJxPKSHLgW!?y1b8|;7hVjngxAAcp9`EB?i{0x2# ze}MBcZ@38b>+DxTUIX@q8^9d&>+1(0Zwbf1?cgrZudAPeJPYmz4~54-zn=a~3Y-P^gNMRn;K}ezcs{%gUJY-Ax5NA3qwp#C z0(>353qOWmz;9s>>=FyW#o=;rRk$`>AI>eOVLkm<%jwUJW9H|(EDD!_E5rY)_zqLK z{%p8EJPaNSPl0E_3*hDO8h8`D1KtlGgHOX3;Tv#n+<>rLJxM)#4!#24h9APu;Med6 zI4}D8B5-NA5?llJh8w^f><*%n;4Sbj_#k`&J_}!lZ@~}Xr|@s^dpHmJ`oeH2xFT$W>%w-Jg&V_x za0|E<+!l7iI-Cq=!hPW(@Mw4vJOlm;UJ8E$Z-Bpp_rgcuAK)M1Yw%C-BluVNcNn0j z&kq-a%feOQ+_(W@yS5N|`;u@4xH|j=><2S&BRBy1IANoa$HSdr4NihH;6CtRcoaMl z{u27QV3#0Y1%C@~gZDrm5A65I&%;;YJMhoY#{v5W`A0Y(b*5BlPoze?tBU{uTZm28=WPID0YVW#KAtEw~;`!UEhB4uK=!)^G<{ zh7;j5xFlRlUxIJK_u*gQSMVQj?)uBHp3Pl< z8Ro;&jGJGCZ@{_hFT?!#-_|bwvwj^f((b+i--DmPFQND6=t=vtAY1}24_AZhz`igI zH-sH#a1fjhw}+#ODbd&7g^k?;g~Iy@I%46lUO!&~9q@FDmld=9<>--aK;&*0ba z2RJWwzD3~Da3#0~>+0E-+_OIpTlq9k8nQhe2cmg`?m$a3@%WyTj>lZ+H+q5}p80hv&kJ;g!(ub8sv2 z-S8p!Bzz9O0^f!o!q4E>@CP_AcD_a6(r_iX2J8(tfH~M74uV_4F>pJ$3!DI_z*%rV zcqlvuo(#`~=flh3)$m4mJG>7*3ZH^6z}Mls@MHJ|RCDyd%0BYX>f!&YfAv4e$6n~0 z3&ADf3UGD!3)l~4;6`u&90o_j@o;BYgOlJ4xDPxS9tBT?zl7((OW;-Tx9~Q24}2K@ z9zG9Wh3~*W!_VP2@JBcwdgr2W8MrcB6ZU}#n1`Fd!EiVn3%7?QxGS6rXT$yBVenXZ z3Ooy5056Bvz?Xz8-+=GIPvDpEJJ=I_b3wQSTpq3l*MWUu8g2+X;7~XU zZUc9MRk%Bx4)=x!!6V@b@N{@Cyck{yuZOq7yWvCdN%$Om1-=bGgrC8$;SX?L^v*@# z(r_iX2J8(tfH~M74uV_4F>pJ$3!DI_z*%rVcqlvuo(#`~=flh3)$m4mJG>7*3ZH^6 zz}Mls@MHJ|{1)~=-&_DL4wr+g!nNW0Fa?WnGq^b%3CF=5VFm66_kerB1K|Vf`Qc)4S-1*Z3$6!~umCrOL*NLwHQWJ~;Y2tM?gS;WO|h_$GWG{sn#o{{efUZ!QFvge$<+;V+hzpQWjceRiIuKWI1#s9dF ze&%v`HT-AS9X~_*m*AW5efSsX*Bj3r4~Ol*-0^Uj4|B)EVLr?q4~O|McRU>C!`$(3 zm=ANu!(l%BZyyi$V_ckp8^Hl^7#t19!<}IbPJ%PwKJZ|86g(0B5}pSyfmgxb!rS0I z@L~9S_&j_Sz61XZKZoDIxq4<;?jJ{g{JHoxe2Pm&5Iho|08fYK!i(XR@OpSFyc<3Q=dM={zvtZb%3(gt zU9TMG!`$`CVLnV`-fbG(6CMB$hsVKF;o0y)_-lAAycymJAApa;XW&clP53_i3;YWH z1NLJ6YwmjG@cYeOuN>yXf7kWO|1LfE-*w;ruJa0W$9rKt`FD-?=IYa7{?E(2=pt}w zxDs3g_J$k49PAJ0#{UWPb8h^fFdyc|{|WQq-yQ$we`>$`YwSz#T#cJ>Cmz6K zcowhVZG40;@dJLxDD0QVz<8JxQ)4D{#e7&4OJhZ>f%VY~n_~dB$8Ojchu~?1O`G6i&n$I3JhcTHJ!W@gSbS^LP#K;uCz0pU{ru!1fpm z6JT;oi&-!y7C<*Fi;Td74P6kn$ z7R-qS&<)FCWvqn_(HmP}TkMEEus;sNu{Z^1<04#%8*n@B!y|YaFX2smfY0$Ae#J<< zA9KVwm>8Wg1G-=yEQ}?wJXXWH=z&eK6$W7!?2UtPBu>ETI1iWN8r+P#Fa(d|IlPK@ z@G-u^kEro}Dk{dr_?QgSU}nsL`LP(5!Ae*Y8(3|t6kfy|cpsnPTl|6%d0*v#u`v;*#PpaAb7LVaf#t9&*1^W;i~iUSJ7X^#h$C=3 zPQ$sl1XtrG+=&P97@ox|cpD$#OZim5RZx?(;oilwn4*1-Dch0QSl z+haHEi$icUPQsbE0GH!B+=_ef5T3*fcpdNIQ+$J;F#_+aqM;Ke#1xngvtlkRh{e$z zt6*(xgg)331F;kK!~r-Q$Kh0*gNtz$Zp0n9ACKZ0yo|T-A-=%(_zffTJ})}P#Uz*t zGh%kki$$;$R>1054?VFNw#H!WihXb}j>3sJ1Lxy1T#H+9Hy*?jcpk6eU3`MC@e|r{ zzc71@g$Xb@ro}9n6APdlmc`0g3mc+0w!pU75qn^N9EM|Y3eLtwxDq$ucHD~q<2(F{k@&s@M~s7s(HS$K3+BPXSQ5))HLQys*c4k~5O%@dI0#4L1e}iZa4D|A z&A1Cg@Hn2st9S<=<174#8sC!;6=Pz2OonMNGv>hjSPaWxC9H`JunGEM8|;AHu^$e_ zF*q4#;X+)2>v0?I#lv_CFX9cnkI(Qee!+-*UxEY1#zdGB(_=QwjfJoTmcy!82OFa= z`eQrnjJz#T#cJ>Cmz6KcowhVZG40;@dJLxD16UD42*|KF*RmFSImb+ zu{2i18dx8_usH@`d+dgNaR`paNjMW1;Bs7tTX7E_!jpIbuj4&@if`~UMu=%SUJwnP zFd?SEbeI)$VL>d8?pOtDV&){Xeg%9xs zzQ=DEIhJKQMaQ_91XE!~%#L}n2$sSMSRL!3CpN>@7>r%94-UprI1y*yd|ZZWaSQIo zgLneZ<2AgCPw+KiVZS*(n;upxS53v7!Wu?P0YVJO}f z*p82x93Q*J^tp>q@HKuyJC6I;@F~8*&lrK@KGDz#6JiQXhgmTf7R2J{j#aQWHbNh4iGkP& zd*T2bj^l7D&cVgF3OC{o+>b}`3|_`t_z+*!?IWzYhgq5#unHXJ7N#)kHc^*PQlr@2v_0;+>ZP32%g4EcoQGsb9{$iF%rjp z95D_iMrX``E|>=kV@WKJ)vzvlU{h>`LD&U*;~*S~6L31t!=<~8iZL-hCc`wC8FOHMEQV#U64t~9*aZEs4R*lp*bj%|7@Ul=a3QY1^|%f9 z;$b|67x4z($7lE!zhFd;`#4~1OoS;hJ!Zq)SO`mCIjo9xurd0gKeofp*b4{Z2po^o za4s&v)wl_F;sHE{XYmT&#z*)PKj3$a!f~G%7!Q+TYRrVLm=B9$X%w4H+xtb6_lxR# zLT@vj9^!LU_xn`eN2lI58eLEENAGu|uB#}?`^|D#1#6+Yp5Kdp+w~Qe<=S?Ag=IR} zuCK662ix@(mg!)-zQQsc{@1Rrux;-x^ZhW}@4w6E_SF6DjJEex*{;{Y#^{Uw*bX~m zFC2&?a6C@Kxwr&ZqwRCTmgU9vIbq9m_@kc_w%zaBGTm(V`?gGn9vr9bkHc^*PQlq| z`~EA-bQ{TW+X*-w=iyRZgPU;|hTw5Lhgb0qKE_x05pCapWtk7Z`}?o{*Y5ZIo#Vz) zI6fK!<6%-vjhWCD^I=gejTNy5)<-XFjse&nyJ24(f}?Q~&cp?{9M|Di+=GYkBwoPl zcn_cA8~ltBI4&9uoiHJ$z;u`ub74U&j_z0mYhxqy!Il_^ovykiiOsMz24h$3gM)Dt zPQ)2FAD7`;+=9FDAfCYUcn$C36MT)I(2nE0_81EjU~){0SuiISKsPLlm9Z8!L~m?? zZLuTv!2UQ4$Kn*6jf-$4Zouuh50Bt!yo5LL0Y1lf_!T2@y`Uq;!Nll{8PEmuU|}qY z<*^#pMGtI>tuP3?U~e3RBXI&w$9cFE*WhN{g&}wx&*4?PgOBkQengGy1fyb1jE~7M z4Q9q1m>-K_8LWggu>m$gKWu{?usim{p*RL7<1Ac=D{wt-!@YPIPvJ$pf%owlzQr#X zk?RE=Fg7N_l$ai~VQwshC9oV;#X8s+ebFD=VQ1`x191e7$7whhm*8sLggfy79>cSE z1#jace2E|MJ4WGp#TXb5lVWPjgszwmi(+Z4h&8Z2dSP=6!1mY;`{EEBjgxRDF2Lou z4!7bSJcK9l0$#^^_!QsZXN(ZrvcDM(oiHJ$z;u`ub74U&j_z0mYhxqy!Il_^ov!?IWzYhgq5#unHXJ7N#)kHc^*PQlr@2v_0;+>ZP32%g4EcoQGsb9{$iF;YCs zbaKQvm>8Wg1G-=yEQ}?wJXXWH=z&eK6$W7!?2UtPBu>ETI1iWN8r+P#Fa(d|IlPK@ z@G-u^kEq4BOrNM26XRntOoN#*2j<6OSOzO$O>BTo&=1>S2kegha43$!$v6ua;tE`k z+i)))##49^Z{U4=hHvo;MoeItP7W9w6JbhBkJ&Ic7Qzx(4y$4vY>d9>kL|EC_QHWU z0>|StoQq3vHEzP4cmR*#S-gU`@e#hn5BMFUB(zMY7#I(eVrtBUu9y#tVri_1HLyN< zVRHh{GxF3(=8N7_Q@FBjy_xKGXC$>zd z=olB1U@FXr*)cB`!BSWOt7AR%#AetUgRv|2!NE8RC*lm8kIQf^Zo%Dn5KrKFyoPu2 z3BJZpXqUt?ee5w7CcxyF7PDYZEP!rU7As>dY>3|20^4Fo?1BAp7>>m$I2#w?O5A|k zaUUMR(|8GQ;sbn+@9--|N@|%-ju;0Mqcdhe7tDi&u_TtqYFHONuqn2}Anby@aS)Eg z2{;|+;Zj_Kn^Bxbv0dMnOVbYjrt|#~I4>RzoiHJ$K=nO#S?SM(1+h4~qq?52HvNsz z2U}twcEX-G0Ego^oQiXBF|NXmxC8g&Q9Of}@fJSB7x*5(VPro46dmJY5=?~|F+1kP zB3KG5V0EmAp4bdqV=#8bJ~$Xh;Y6H)^Kluj#VxoS58??tkJs=nKEc=c3GMiNmp#V9 z1ehGtViwGa1<(!4Vr8s_4bdB0U|Z~nJ+MCx!?8F8XX7GVi5qY`?!zN^8ZY5Ze1OmK z9e%|~eD28+<6vTR#ti6!d9W~+#PV1T>!JrX#a0-EU9dL}!jU)ur{g?aifeE)?!pi} zj_2?y-oeNC3O}O8dGe?j6XRntOoN#*2j<6OSOzO$O>BTo&=1>S2kegha43$!$v6ua z;tE`k+i)))##49^Z{U4=hHvo;M&vxU1IETgm=e=tHq4EMumqOFs#pgbqc8enJM4_T za3GGr@i-0V;u2hqn{X!{z+-q8ui$NbgfH;}e#a<${wW5=!=#uRGodTy!=hLkD`E|- zk6zdu1F$`I!@f8KN8==%i3@N!uEVXk2M^&%ynxs79zMl4_!%Q`{yG{uVM0uS=`bti z!h%>F-LVSR#zyFaEin)~VNV=@!*Lu=#W}bbSK&t7f&1|&p25p_3m@VOe2?ESGUu_Q zV_ZyvsW2mE$GlhsOJN19j`h$Jn_+7V#;({02jeK5h%<0LF2l9B1$W~?Jb~x&8s5bx z_!>W<9p|s@F%~AkLRi32)*9e2(w%D@Nixwj;*D#ORC}&;|2gVJwN|u^QGz4{VC9FbKO~ZybapaRN@q zdAJnU;AY%~A$T0m;Z?kYkMR|LM2+*;Q86aQ$7GlWGh+_SkHxSIR>GRt0Gps6w!seA z9sA)>9D|c_7B0jUxE{CRUObGa@FL#8`}hps;unm_d29!ajfpTNrpIiU8w+6xEQeLG z4mL(#^v8DC8GGSC9D(C;8qUQfxEeR%PCS6e@GM@z+xQ4y;s^YWQ8olg9=l;*9D<{963)a0xE$BvR@{S!@FZTq>v#{J;v4*o5jcMx z4V^F{roeQV6?0)hEROD21#4p?^ud-Gh@G$}4#43!4yWQAT#T!5BksWccofgzWxRzC z@ddueZy1^L*wHaACc#vg5wl}nEP|!50#?U*=!wm+H3nl>?1O`G6i&n$I3JhcTHJ!W z@gSbS^LP#K;uCz0pU{r;*Y+3-6JT;oi&-!y7C<*Fi!JrX#a0-E zU9dL}!jU)ur{g?aifeE)?!pi}j_2?y-oeNC3O}O8`Rk|{6XRntOoN#*2j<6OSOzO$ zO>BTo&=1>S2kegha43$!$v6ua;tE`k+i)))##49^Z{U4=hHvo;M&vxU1IETgm=e=t zHq4EMP#jLM9Z%2o`;MpoyFM@9kmJd*M&dZKBiin}W_exyRrg){yT9)L?(OTwdb1A>!Vx$Qr{G^bK7Vz7CB~x$ z)JK!IkUbFbS1-J~?;3nLG`|v+~I{d5K|F3?&zw2^ynC0grp2z?6`{lG^ z`>H-iugiday(SHDK=iM#a@&A?c?*A$4 zlmC=atZn~#?L zK%?!gZTgr`pFjIPEGGLC@i7^uLEH4VP5%huJix#E_?6N6!M6Ncme2px?W}G6W0`-y zI(}q#-*x=lHvRuQr+*CgbK+w7`?lNme=PI;SIfU`I@zX^+)uRje57r8vMeuu zcDrwT-PvAuzw>o>o8w^*@j1T5-*vpqw%l5`-2Tz|VVkbMXS%BCC_YvadL3w76t|HG z?N5anQ62x!OMek8g%z+ms_Q*G>2HRuF&Mj|dVfEd{!us)XW)EP+u^nJZ^7Mo5Ko}G zuEX}dxt95ByMEI$9hP$4=^EUOyD$Wg<2k&FcknU3!oTYJ%`y=!?XHA1u>m$gKWu{? zusim{p*RL7<1Ac=D{wt-!@YPIPvJ$pf%owlzQr#Xk^2BRpjtmU^7@U9;!&|@ z{!e@?JoN8kptuY^v_C1PMs>c^mHvEK6iZ`8tbz5>3!7sAw#RPR7l+_zoP;w`UDvUk z{&lz&_uwH^*Rfro|2p2or}zfd{*LW_FqY-Pc0U-)bog(%AI$Gwj}+&1^SiHi=tJ%x z9D(C-3eG~cUQqi%fA)R$ZF2wW_eDAEEX!9uEP^FbUH_%-BlWBAh5Wl*=c+#ca*^@4 zj(71fzC?AODfKy+i0n5+!&n#}lVKXnj5#nr7Q-@F32R~lY=VB+20LJP?1w{f3{J*b zxDZ$1dfbM4@i3mki+BU?<1>7VUoay33l10?6JbhBkJ&Ic7Qzx(4y$4vY>d9>kL|EC z_QHWU0>|StoQq3vHEzP4cmR*#S-gU`@e#hn5BMFUupboz<6%-vjhWCD^I=gejTNy5 z)<-XFjse&nyJ24(f}?Q~&cp?{9M|Di+=GYkBwoPlcn_cA8~ltB#D+m0SBi#Cm=IH7 zI?Rf>upkykcdUZ7u@U-UOAN$L*b@ifa2$tIaSkrVRk#s%;C?)cXYexK!iV?*-{Uuo z%>9(2V_ZyvsW2mE$GlhsOJN19j`h$Jn_+7V#;({02jeK5h%<0LF2l9B1$W~?Jb~x& z8s5bx_!>W!#!Gk;AK-I*hhH%g_fvAjIG7lnF$20_9xRL{u{>78y6AyTu@wek7wnCL za3oH^={OIU;u_qHyD$Wg<2k&FcknU3!jGs$vn)qZF($^xWS9msV-C!Z#jp%k!kX9s zo1h=I!4B9R`{7U=gOhO1M9t><0E{DAMiUy5eH7> z_ALg+!=#uRGodTy!=hLkD`E|-k6zdu1F$`I!@f8KN8==%i3@N!uEVXk2M^&%ynxs7 z9zMl4_!%Q`Kc#5sgb6VPro*h53kza#bjK=K8yleyw!}c}ggtQp4##mg73bh$T!kBP z2kytCcm^-yEqsVC@I8LR$l`#RT#lk+Tug$gFe7HiyjTQFVFj#?_0SWWVQUP=uGj|$ z<0zbnGjKjG!?m~tcjG}kf#>lW-o+>Q8b6^O_fxXRSeO8lV_M9DIk5n`VOgw8Wg1G-=y zEQ}?wJXXWH=z&eK6$W7!?2UtPBu>ETI1iWN8r+P#Fa(d|IlPK@@G-u^kEn4!rKlJa z<6|;RgPAc0=Eq`K1}kArY=BMB58Ge|?2i3#D2~C&I13lz3S5uda4#OlQ+N??;C+0C zZ}AI86ej}Y`p5xeVolg9=l;*9D<{9 z63)a0xE$BvR@{S!@FZTq>v#{J;v4*o5#m~wqiE=a2{8qx!>pJK3u19}$0}GG8=()j z#6awXJ#hdI$8k6n=ip*og&T1P?#H8e1~20+e26dbJ$}Q;+%GCR#>FI<3NvDM%!@^^ z6js3MSPwn18Mek??23JGFpk2BI0NV7GF*#Wa5o;r6L=o4;az-!ukjPw#kVX+_81Ej zU~){0SuiISKsPLlm9Z8!L~m??ZLuTv!2UQ4$Kn*6jf-$4Zouuh50Bt!yo5LL0Y1lf z_!T4ZeMycO2NR<+WHK#9p~XvT!Wi&7lz<* zJcn2D4nD?L_z^X6Vq0$4qGC*pkI66%X2u+tAB$lbtb{eO0X9KDY=a%JJNCn&I0h%< zEL?~ya6N9ry?7W;;YGZG_wgCN#V;6zIz;aj>>tJK_MSpCE zov{}V#1S|ir{P>&f~#>8?!*Il4A0^fyp50WC4Ru~7$vb~d5VGYFe#?SOz4XFuqc+s zidX~dqZc;E0Bn!lurCh5(Krcb;sRWb>u@XX!9#cwFW_~&hfnbhe#QuVUs5!5!i1Ot z(_vQ3g$1!Vx?>frjg8O;TVfz~!k#z)hvPV$igR!=uELGD1NY-mJcF0<7Cyum_#VGu zWO0E^==*<+i%BpQX2k567mHvitbomO#75m^|9EB5c2F}N2xE8nIZaj!5 z@H}3_yZ8iO<0rIB#`Yg$VFFB!X)z1t!~*DsWwA2W!iMOLEwC+i#2(lmhv8V9g0pcE zuEY(v9rxi8JdKy|CO*LD_zu5fByqu(T#g(u4kkut%z!SK2Mc3KERWT&E_z^7Y=uGC z1$*Nl9ElTfI?ltTxCS@lE)2orcn+`P9ej+h@FQv|EYl|{#>DuT4AWp{%z^o_7?#0G zC{9;}PJeOODpaxi8md@~LrsmD&=vDxQ7nxWu?E&hFKmwDG-7DJIP4m#czYVE*qnzd zMnBXvsGdJN{duqu7RRz!2~|7(+41~Wx3eDY{f%VY~n_~dB$8Ojchu~U=bBgR4XxpHUvGoTCR!NRDn_bg9;HLQys z*c8=uov(fv*0CL-7 zH|&c;a5PTBnYaL#<2u}md+-pR#0zNqe3a$&u%GSdQM7$uwq?55zAxJ{9e&sMWvlg- z+TRb~AD7pq`hEEQaiib=YJIByPCc)B9`!yW70;UyvtwQ?f~BwmR!6nH_N2cVw#H!W zihb~pZl~3FsOb{=y`$onq1S)fYg%$KAcFYGA&seNC8T1fF!Z`janR34_KS%XEEOZ) zUn+K9LXQW|*EDhZNRyw92;E+Y{?O?mazn>k^t;R9;%`FV$B2GU*)N_aNGke6-@l0G z3*A2x{h`OFMEG2WFO-Vs4_!Zt;X7r&XvZ0bhpw09&Xh~LPOXBxnh1jeEjCw=f_ys$ zX)ZoqL0+0mlXmSi7x@bpk9NL+-T|#Ve0-aF1^WkiH1}%lCY>1c! zE^2f=#I*AF6(ismkR^bwO!{=IjbU=P2*wjSPr;t##O{KeGt z4)P0V?cp5|EGNeQuWA$M+g>-3+68$9`C2Blk8hLUW@1p&05OL`AA2=vBC7R6{R6!9 zyM;_ug5Jf)>vEEt-LnD?!GTZ;uFtfpz8S1VCe zym}=Uub`knzb3&!@_CvC1_aCZ7uI(2Gjn2*{?X+YkHkwt>n^sHa{nU|KjG^N>+0lk zZ&W8=TPjb!k2k8TsH$^9{-@d(n@$>Xm^ zbzOCJD^2S9>graR)X8;{8sF6>bz^mPYfS2<>gv{-)Xmk^$^BEK@s;yHwND<8HmZ}) zrRp}A)NR$(Z8WLdtE<~&Qg=jGx7nobjIM5rN!=A)oouVo_}>h_t`W!2T~ zH>s1~-JzcMfJvP^)~D)1OzKMM>JFOJRn*nV_j5+mrKYa#ut{A*U7cLEjq-eSbw^F= z{B?E5OzMJkb;nKWy6WmqnAG*v)txk{8>*{2Wl}d*S9jW^ZmOgwcvrBVC#>gq0<)E&{)T{5XVqpQ1YQg=mHC)b5W?YpC^yJ}MR zL|1psr0$KbP9Fa-dfqR(x*H~S@;f}$>-?rkU36XDEt5KV?oZ|2HmQ^ML{N2iOzP6= z>h7Ail(e&rIrqbal^7>bmOcUYOMN)z!T;sT-=Rdu38LR#*4hq;9INPVQ3}O~1Li zy0<2EOLcYcOzPI^>fW2wZPnF%Fsa+CtNUnDcSKkB$)xU#uI{r*-4$J(+}ARies^?r zUrp+s=<2?i)VLQucrPb9%HmQ@>45;->6q7o6FBMf6)ugVluFl@1u9U7Wnn_(nU7h^CIiu+!?}?|{ zC(lzG)iu=BMK`JQ(bdWOsT<|_>+0n9J{Z*n>FQ#c)OFR>IhoY;)z!r|sT-=RljrS? z+Ba5LC+{0?R5w*u7tf?_uC7jg506paQe9mFle)FKx`evAq~f(KdbKFxM|N99oxT$< z=g=;d_+Qqk!Qt!VFxh1gKXN(xRb5t56aIPCbBRHkdR#eNoxJByVOc;Yr;F^$i=SxX z$4&f%cF`R)XYoKDZ)z`;b+TRl;z$3yUqqg(_?_xhUUTsaeV(((Q?HMXVwlPsBOdGX zvWfvtDvUbWJ3{>E^D^r4I#Va}<*_1tUSWg0Md9*Xb$MNNdGfucK2P40=x6QQ6D}{m zE>B)ZpvL!RxV(l2d2*jyKfZ3dyq>x|xvkT;&)*(dTtF$dj*m zeO^^vUSC~a7EveXi&~zCiaeRGI`z21PwEn>BBA)R(5~fA>eMh+V#i zhRJId)nhrVLM~17()~g`JSiUNpZ7#^2hCIbPCoB2@ptNZ<@+`9??<;Zx2Adi=nETm zLlo)rT*b{xs){<9CtqVKPu?p|pBFuk7Fwqw)v(v%fj+ON$Qw!CC|#bs$DH~bnbA<> zEfVK^RHueT7IpeOCuaxkgJ_g&pIld{_Wj6X+D0yS6fyt#ozJW{QEbeG^Rba+em%M^u#-HZ8*ERn6(3If?O*d6P`?3Rl#$ z)M0Tyrfv8<&w>u2=hI}~6qCHhB5!~dc>_h>L{TU6rkUj36?xUH$a5Aq$MGa@hDl!D zN}49$x2aA%eP|bce0z#K`8~k0eX~S`(fmy(HjFLEkQn7nEbO2S6vJiS9HCL(2$3i6 zzoktes~sx!)~EArI* zT_lQ)#`lfLOQ6DpMtLtpo?MU1_AN2Va}W#5WwjG!l4tKG@_2p7ZM{+Z?$#0O-58>P z&ZvFP?qYt32`JmQLev`NZS%G6_2ynT?De+FB=5S&bE9n%qxQ8CdG2DkY~LE8QTtLh z)wEH8O9V&?v8v$g^hsazQt~8%**Vi9GpysxxYzR?k7ZA&O-C)OjH> zyS4D^`#8Sb`ty9V!fd{qr8m)V*AGWWtS*68sBtcCs*G8NOeYe zo`GUNCzhpsdrb2B4brs3^2z9o@-B#*mraap$=fGtjoMdrxVXMV?g-Es<+T|g<_FvJ z1ESU_&t0t4vRE-cK8$wIdWz?j%gaHNymm7+t(Fzz+i|Lxzl`r;lf00*n$}nhSDn%L zPMjs?hbWTmJ1R69-}Lh|?W+~z>onUzYtHg_+$1l}a(Gwk1{7y~3vnF{TM4r4asOpT`*L<_cV|{Sm zB+p}~*uGe^zS<@B$Hh#Q<9pF0FXmoN>l|IR&UpRjx?i*}rX}yPN#4K{nl@T&2UTY@ zz6;NYb%g;)_*=vHEpvM^W(!?2kiy(Lo~s# zeJRAj^Bqam*cwm22VX^AO3V1(Hp#mx4yw7u`*q$0aj{(0gqFO!CV9!z+G+9_rRt34 zN5(vkn!FFQTwd;*alI;_Vgkj!6k$31vn(_R7A@byLVwor3Ul_G-OeQ;R ztQC153OQ;!MV-uhX_A*Avz=DgioA`59kn*(y*A0~A@aIev%C}$%byrY*}k_Xd0(>G zX}zszU&rE(+Ctj*-XyQP_)yj`@tmqt5C7r)k+^vDg%~K?_t7NJ(bZ1dsKSIsc}}H7 zo)|9kKAYt25qUGkaMc;*Y2xOjYI*)Dij9^R?;O^(?}W%x?fY($mo%rHRr~il%Q$Mz zB1ev|IxqCI^=UpU^KR(!)On$w<<+n&nZPn{R~S>8*L zS6|IkF@4m-@XKF_$O{nz<@8hMg?^S-A-A1&MdYZ?C~ssrF}~zE3XPVR4C2FzVwLry zQxC(pZ)JH$ttWZvywK0uS0%4?d9LCnyDCqe7y4OVhkVxMt*jK5Cyx;ujqi;7*5$cY z3CmOGg?`q)0|l(hTUj+MPn{R~S>E%4*5$cY56e^Mg?^Tou&|vbHt9b)_3$6&uefMl z%@6tcR-@@xNPLOOtsiN|%d=}O$I#_UF3(9#@{$*|F0XFwu)O3ZdEc$f>sco(FQrM| z&0^NIZ(-fAyi_K6d)%zcJ5etzFO5mwyyAA6*o6G()Wh)e_k*~J^++*L&X08B$7ueZ zEn%n0^Wmyf55wmTZ0M-T&o9e7u}fx{ce$jUCa<4Rol)M6Mvj_0d19BxFz;+BJ1v%M zJe^TqMh~$+De7eVvY6!E5l`x-!h}Y7A>LyDMGTjDVi(1*eQC>Cw?C9fd^knzKW8_| zn^n$j@NyCLf2@+?+yhIy&l*jaTx zz;%@4kMjXym1LNgL*&&}jT0KR&rRg1nHojF%^0tdS z`ME>Y8RcaZdCf(U9N%gtc~0%DTi=fqd1`%M!z8b&$a7MS6B@PehRBn3vVFBo@;t3< z-wR#)>X_us6nXOapz4g;=Qd6p%oYP>`|6qGbqKO<{pU75Z2i~3ByX6=8zP3QPCX32 zywnwW7sNo>zDDB5Xn9W5-n#a=P7G_Ghe@7`m3i*EJTH^HQX(&t8d;$z4ei2@ucyd! z6+`6sdYk065_#3gkQn8S6nUOvxXkl4$=fdSipn55qr4X)Pp$u&ndE&DdDpGj9_1Hb zjv~KTO}5X^B=2%(yZ`t3M5Ff2oEG+aYiW}AMC2t>BP%q@TPgBnoort#le|dcWB#i3Ng(4B=W3U z|A{=c{tMRSC5aF=&1FLzx{BkSVpXI%)xHFxPM)@LW55fMreK)L literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.d new file mode 100644 index 0000000..0d07ee9 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.d @@ -0,0 +1,383 @@ +src/ASF/sam0/drivers/sercom/usart/usart.d \ + src/ASF/sam0/drivers/sercom/usart/usart.o: \ + ../src/ASF/sam0/drivers/sercom/usart/usart.c \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart.o new file mode 100644 index 0000000000000000000000000000000000000000..1d5a4c257118dc4f088787fb45d186e83e15bc88 GIT binary patch literal 800696 zcmb@v2Y3`W)HW(*EI zOn`)hkN|U!uqAL{5Q1nwpS1bCN zqU#jhpy(z=wTS zrf3UATPfN`(RPZqSG1#|ofYk>Xm>?>D%xAozM#+d{E*ZlsbNyBq}zMawj0dJaqZjU`YH)%=Is-#Ux&yTo!ghnm%`Tg3?WLvLA`MCQ~yq4hT zlw(7wqA%My1_Vc6-6_&@v(1FCcoWXg+p+MCpf5k{J+P4bW!p^y3*-D`;LFjGgJQFpff9tSU$Qfl_?vF^MA zTxQ-on%higZl81;WXni5Gv}QE$Z}NmNssAaq`&O3ENO60Ej?zmo?ZlYmnIGFu_TE_ zvMb9`qK&bn7P~U`_TbShQe^HLT{9_tFg(~eA0FhLiqtq`S?i>r1Z`%r(i-+AhJk)MUN?%FnIJb5j`eV1SJd_6Nq!6h@EXy^`L~ppn;$}K78?E z&IDIN%(VBgKJNC|h*Uik>5>vdyV9xNvJuOC`1P^wf(a#hT-)D{9}``L+%9_d)$fKlndIAa|x! zf1b(03g5PB!ZJrbi0M_39p4#`_TbDv!rZhxsZ(MlRt@PuiA>S9wEZ*9Wh~ui8MM%5 zof3ESit1Ia(f-<)2r}>e+*Bczl1N_7^%Est3Ftk3@Dg!nS4KTRJL?4#Mm`8*g`w?p zn^)A!_+`G`d5A4;#frVmOvv{t(|?0)0COJSnI9TYA29cm4v`&ucihzRNyo@en>zLG z#3G(d+B7LD=5bS=?I_m62l_h8nVb`J4a-^Yeq$E4;AiS1RFhwR#*oFB&f+EYoWfWQ z5Bq;dPmavzW+nKQ+-yMYle6aS46VvaytyKJOYl1QJTdnk+yKwP2gdyH;D)JP4*(w>bK}7> z@L}M?W4?M2G|MrTxxakye9xCjwUfr~nSkqG68aTwyHj4d&yPGAX+*KeKQc@9 z;8oIh;tD9ji-y%(oRstS;C#z=@}+6*dX3~ILNllBEP&?AgILu|;#HSHGoe;crh9bN znvbsp*NYfkrRL99c%&)-^ zNWYgRvBG*$*KOt&8?TN{%OA!<;3+Hi;7ZSY({_qYH>dKYO`3H=O@cH1SQA-;rAdDE zme%?@GX{0Id&OQa8g=*qp7|=zZafg>x9rSoN6Du5;nxZHMLv;lOOqKBlwdlqSJB3t zUwx{vlXDmgz~K4cpU{n-{f012_ZhO%z%*$7{Jjs;n|>si8QB2J8n?|{YBEh2@xuhu zXFSlDS-Bf!2%o@EWa!4x<|fmp*D{#dXbffOK1@reb!cIxop07G!|<6>NAby zw*69tvplxF%LL17+tyQK`E0*oVU*wYWS7Yb*b=Jxu!6P?a}79X_VQt6Z6_jq;HDq+ zYuLi~>a3=%R!bXPHmO>Az4{vSt-J>H^wkl}DIGOt&y&bl&eC#~#vR9?^&E%u3!D(4 z+aM+h{y(22bUkz)3GKs-s^Co$$dkXy zBit-ULTUoDm$L*01pXDyL8H7S(4|H#X0KqSHKfs#?NLHQNILZ#!t9k60XFBLuHBiv zGAS|r-dV(~3RyEr4W=6m0QEoAm@#`p3y4hn-`@y9BTHbCVA)cbZfpspFFF1OREi}a zU;Gzz^kMc?OW<*){v59}O#_LQ&NL$>re_qjF?(~eZ;~3E=?zTge`BPCE$V zo!R?Z0_n_|NhY)RvjozaFG$ee63D>RX^hAWumsW>pbrk!f!-z;{2nTE1gTQYdeuOV z6|+GZa3SZguAP~EIC*1vJc9I@USlT8jwBd^N|^O=^3&~U13>*Jny60tDbg#zB(QFu zI|n%y@cib)%K9%LC8j_B5dm9BWld58(3%6F{wo&2%G!Set-e8}Wvi~sAXWmcw}4vj zLVPw*S(DTNv`-iS>Vnm@eZK?>^LVs3961)yfrnn8gBH+PK-&*dS(DTNbiSS!=)%8& z&h_#FT`Wti^ymu<=o?I1_DfXOBsBotd<5$McMQ7K_Y%mKn>x+oKhdpjNr4^=L5{>^ zG~5rCAb>RD3&5uzrNFe4pyz7{?nr?lJKo7#X#BfY5l=P0vzeZ1{`8^OyL+U>^z*^+ z;yyWKkeV5UQ=o3=yd9XJ+Dm{hxWwZHVKF$VK~rYel%xP8LHUP5$FL;9(q@QmXM7CG z=aP+N^8CchnD|IN7CL(u+SMkF7^G>=zzKHq@Eu@+Yc)({_PiFR)m*@I@vDR*G4t^S z#7oTl3N!L5;#WWd7^G?bh!ZeNaszWf(09+!4y7ziSr-P(NM00q7G@d#$cq`JFnb?G zzbq>O4AL}XZ~|s^-kUIA6-0KNg{kMGXe{^}4G^y+)U0Hs8lUC0Qj=FBUV5IOtk_Dy z^F#@0kfyl=Cs=95kAVpq7>_n;Zehx`vtEh?ljSr0gbDLZ_Q7 zjToe9Uc?D@mvGG&cHOsNcd5dZR@%OY-DUr=vX~c!wq<1nzwWj2iL&x#27;6!Aq~ikn5Xy=FhR>t zqZLjoKc(lx+rx>^mBiC7C;5+rEL;C9pF_N~a!y$Z*n>jnC8R-`<`bM?&8JG{Q{U`wp(lq060_Ly0D`5r_=F|UR{=%ntF`x5f z;-#OzDa;=tg}n)wi|u8CJz(7f*x!-%6P0lJXznlKT)(wO+FyJk)0uIuQ4Jn5Nvd~B{vd}RU>Ool~ky+_rWFZGLCQuFu&9|{Q)ZHVn%aXM#M^>))r8eE3n*#%9^AGpdLFx{a1eq13e{>M$0+^>VAbvOQ7D1iIqTd zb;$I)&wZGqFO@Y(4L~#g0`>O~hJl&?2AZD7-vc!Jju&W-<86zij|G8}-_`?#=+Qk3Q4LKRO1HinIn&q$ko&!%%Tpp^5JsEoKy>v zd`d^o2zwgBfVJw;IXN9vk1Ae3>5$h!oeCrP1(ig)9ilrzc}Rd7;T_?;H++(#u_Hq2 zvCyFYDCCyTnxqNWWBFDvL94!o>(vw-Ed+yPB&#clbX|s0Eru-Dt8Pc1REr^NvX{}V zp-M^DWq4~UiFAD`Hi#Y8(n#kX*Q@eOuj{pV5#nVRNs=Y8pezU1YfEQM(uC_B`0zk- z{ae6wR0gE$mnTBfNlB#Z9oC|6cUBS^^#dCr>7pbu>gn4c>8d2s^)a~DaCB1=>3X;Q zkaSlPay@ABVMux?3C-R?^(EwXn#ohD@jh0Tpa|-041TDil8F`{cE6-q|{@fVgJCv4`tJtq#4K^JNOxD$Te@^#7-^;4r_1?;g0S6p%-p9-$=ad7ki{0 z3%y7K^mQ9)C7bT&4{oodfko>A7f=bPrj4(b`5)KJD`ZF&Bt5&_A z^F>}qf0KGFG&lzJej!~mNYku}Q(&yaCi-CQAeU(lU%@eH0MKRBL&MueU76&rS#9B` z1KaqNYc10pPB9JzX_+>!Cwh{f_+IAPTw6bavcD*dQB}BoU1v3nzBxocnY6oJq@g(j z#6FpH$aR?`r)Z2#94z8o=_3IZD7s-_6YgMF?Fb};L^%2wE#j6BMa6@~_cEE!wJQ&t z3=yB9jfLA)Cm@ky|7nFv4BlHS#fXU1FY0R*>sA zM;`H~TzFP=oqYnkc||-zB;1v(HOj}Rtz78n%%G5&B#@_kjr(GJ7qy`6r#s8`&V`wc zw!fUwG@YoK?Q1;LbH-Q#IkP#>L!+D&XmZ}Nor0i(r9tD2|MP1)b5^nhbXofcmGNQD zDpDZi?m_Ra5LC4^d`aUV1|nyyC9shoBpjgCtRix^sd_$W#9110!@(A9GH1M{LEX!L zu7M&oEDhPa0(588bW}=Cm(GNRsJEQmor$D`eYgoIP>ZT0ya@&rpGtI88CjjSq9wlrjwWeulV0#6Nx z_kqh+4W}=q%F<=-oIy%V-<7N}=S-@UNouI!!T8*s8XmHMvTAtP5@glzh!kY2;W0}? z*6<~+X6JEBK$D4odOLKqlTsjP?DqfjCNxf40@>`PhrpdPQlQHU-HFBnfU_0`4I2J) z3P5nq639la*cG7XErF-X2il_!R+TS)OtqI)R-0a5eTzoDM71>Dkg?hqg9++X9)8wSXtH)id*CXouOzfW z2u^5_$eax=iB-Ew7g3i+N{8lX%(l)t+$WF6i4>kr4#{Rpm3l0+&W|Y6M4B~86HavJ zv%y$S{A-!A{~oUWe=SpD@v4CHU(1wQv(fHqQ6i`rEJxL%B%7n2MTy7JZhX7f(SbZS z@zPOi8NxzcE*KvyT{B4&j?Uy2^TN@=eNcs2R=uq~rb&g-Tj!`q$W>S~Y`;3wltiwu zy5X^lGhIpKT1mP--;zkzgCbu-XQ9%eYl$K{gSUcJt63NG6JAG`NIe!ht_>VrDqS;4 z6OQiUdOnY%);5rCIeL02y1-s#KstJdO7B+^+4S|Npfem$64~^%8YVF3K_!uk!xaxu z;loNID^qSWTIQ&d(8y<1=9rRrDzlRpfoE1_PVin-F&XufQjdkM$N_gwN%u|CL}h;D z)2K2fsYl+n;N%Wc&~yg|jXO#rufXDXQ^R>zN#xa)cNN;YRsI$mO%3;=Mv+*%Ax;N&ixHUBq-XgreT2r(Ckplh6>iL=jK`6>W@#k+YVJ2lvJ;K|~|ZGMOZPC-bryYOA7r@YbEhCR5P*KYp{(-AYK}5s|=3qhR)JX znlMQd2Kx!KApGwi4_EqUvl#SlDz1_N*-b{xN3R*EB(yjVl1>a(5|0yoMKK^+wH_jN zdz~06^;qboNJM&=G;5M3oR}t7fC)}at;L+v6&yXA3vSvH7v>Blp?U|Wl4Pcm$Q62% zCiO8|DGANKmRoa_#N*afam?%1Tv3^L8Jjez$3p)+kATjTj+mqgx7LZ4VIH^EE4VDT zHYiD!TN{-`x|Q0p33F~z66sdC6}Z4RD~X);e#YhM+@d6M+LIC8rX(KM*NP9}hZWK7 zBGc>o4yngNtM|dEuv0o~k|tcgD9)4X)Q`SUXwq})N0*c!s~=tdkHHJ#iPvDJm_fXZ z)fHv%a3=izN}4c969#`04Z}VC=w3F9RzJF*-K6YCKPyRAKYFMno?71(1Au7N`jL3& zb>gwqW1(MQQRsXk&6=bMC;k!l!C3w1y@JbXFs9LP#d%hPaV5#>M?y)m`jM_A9=HA$ zJ`o_xR)VqI%CF$E+$x|XS#A|nlB|9drX*SY zC|pUh`cZ_EWc8y$O5$-nuXfVwdSNX$@zV7oQjdjJ{s$ejsC3pOO}HMf$?8WVmBbU9L0Ti&uwwI}cHZmON6M|aVYsM2mX4UD3AfU; zhhDelDY&eDl&&OM{b;_DWc8y3N|M!&7Ai?rKU$`89=;U_vu)UgG4{*7N(q}=kPf6s?SX1n>hf0!F-$zO!>nm&bL`h^PsDl~k7bWmi z?zVOYo?319RQuCgxo1+3g_goQ-_GZu52(2=UU<;!=-=K3|X8m5{EPq=|KT z4Lt|CN08h(s;QsC++l4U1<5uEb)*r4G|g%_!EP&ksMl`m|6#XHHoIh{rM}2(rJY`zcv-Ozl$AWgabdKVkOpa* zt8s#re)_LqtlIVeA65orvl0~YH5zfCl6Y#@SI<%0Q@g?X7O&kQ%I@S`usc*5F-X(Q zixccl*1LkS>`wU~cBlRiyVL$-calEEYj=iTn0Q&=nab`^1FO_o(uhHtW;#x=i;h?V zcIgqeGsD8fUL{6_blT1NR9T^0yPx^kZfqTk8`+evw_a&rBnwYr$(944fq89|5uXVW!^WG%A z(pz}p-smpkrN3{b9t$;M5&J(SfJvHwvl$b;aK7@z)~tIjA7iZ-&TjPZ!a1ZK3+;h;&q7<*k^m-Y0xs1!?uBdeAGj3bt{1MEvBnG6T)_n%$0*lA z0+^%;xGqMHQXcQR{s*qJQ5JbtWxE?My>LAgTwQE&I(te0lQaX%IfojpOXK0ke!QdU z9A+%Q3Lk-U$?<2n5rmx~;nw9#Db5iFmI+AOTyo!Jq_Gbh5A?)>UMz8bXbh3L0rIJX z^CM#$ZbRt-2E9k({MdNUDH$RkLO4emuW@rB+_~ga2j^(K_eaT4`Aot&#)w1P(bJ9# zc&EfU*6@|NF4uD0&pXE%uP}uQcOKWea|q6Oqb3GI;m+r}M#>Y6ky1HKzB%KZXq3j) zLeE0vl`zTZj2Mq>HU8h>1`csSyL`=A&tfw8lkSCvZn0Wseyh#Fi z3T^WYrKcJ-DTF1Rvy6^qJRzKI3`dN;A)I3z&K|a@8Rc+iNkNh!oM-q; z-&K&(jgN6hLqU=uoNokW57GkTv}G%621IR$FzI9R`=3L3Nd##w@8^(&{R^ zjHjxrgmx;60c5w)7hM1`I$&%l>xt1pV>b4xy)imuM9T|CT~3FMc@j~@=!o%5#Yk>! z9W|=U>&M^f8pn*uc;qD9IjoQ!Hzv#LM_pYfj0g#(LUz*lGJ=#<$W9sgWv&X@X(N}s ze$>_VxshAus*s&AE@ThcSz~ebkexG{N#!u>ayoA`&K{!+#x2>qOIR_wXjH@XL6=kL z#NFseUl?iVJ|X3tnZ`53D1UEX%nMhHk?0HgZxH{LypD1;X`IZQS7l$zzhf6J@oO@s z*vN%(=XGNb3hVoh=*%y|jApEW?!>uZ7`{N{dd~$u%)ew`S~woNhcBcC5gXd+8y}XV zD=!B=K=)tU1o~mC@jj{l`eMW`YoIg#4J2ib1~_#FCog=B`PuwePen4^-a|0|&rly! zh=sp7hY}mhAp>2W>1{h8xrvJDLn6R#`-@ym#HM#Zt}!SQQTM4}xdY1BVt{n^dw5Zn zSRlFE_htdn7OWng@e*HnsFW>y&JdJWDQEbgL0PE)cl!}6AjV%}1S0|)VA}BsP6Z&6 zuEXf6R)Q4@sJMZQesbGn0hK-O2l~Q=Djuu)Rn7vcS}9xj`n#|bYo(mwdC(aGs2SmG zK=_`sJ}jWRl?o2OHw~YV#967_;SX2CLcEpA7hYrnG;3I?Fji=xsWTf7sQZF^S&Fxg z1L_e=p0`@9xcl$vs zV4qTUw;#>|_FD;C_=s;%%>zwkn4RH;tHASvR?6SqzEd|AaHzYq7!bbdCNvLQ<#LB_ zNP_)SGAQnR;ft0bl%G$pNWO)IH^c=IaCVPO6$(E)3VvVsPNs^558Dcqi?3v=q`Upl zPAuR{%R&@V@cFXkb0wtUbf#r5Hhe?4jRjo&OO}fd58VtuuQ@7_y##mrK0x`#LP-pt zgkd`1JF8s%@P>FFE#PJ#T2;6khwuCz<$lOffl^Jw*PHPAZo15C8E)6%^*y@egu89H z6R*Gr+_zA=;G;O=s9aHbqIwq{0cVPm9O2J{EQ7L&)xb+z#q@y6g0fw4_YkJ4!op#$ z;A%+5iyqQ(-Bs-%-0>uBt`ckDt0(Dn*{B=URAmEPd+#7OK}@JZ4hFk!pTqT$q;jKN zL+~;}Ky9%^=9Y6+UX3s(tK3Si^eRZ!6PsjitZO%(e*`oX)<_WV>V|vMfJRC=(KYrR zlpCwuI<8!J5h5T(?2u*aySD5{V45oB#;!_tq1;SX79n*_o(3mJh>lXZrHd|-fR6=T z7@GT>a8>;S*`oyYdA!LhTy+Pd663@vsB7-;4cFe^;K^iB6!FpAw++{+CeWWEF3bF1 z3

    KqKXgZ7M|eDAijW3z1?b`ZPXxH?IV+_eI`lOxi}Z$`O(DG9kZ#D$kUXEq!N{* zUYex@Q@0_I<23a=d97k#XaLQ;o-~I1DxJ|11^GhMvG|3an4tsQyc6_l{8&1 zF{1?3oN z-2u}*WO2>ChPz(j(~p3jD!rMzW=}?k>ZQ`Vx$D9w!0)Znhq-IzF_iD4(x$y0pW=71x^L<+Km zdj#GPM&KzSaq=?Djo7XpoP5D^V4_|&`(es=6{AawE~6=^RI{xYi$K_Hf&Kio3@9`aR@bXMRgumFTv*1tq zfko@EQn9Zz%Yw)wekT(}@bxM_g2kEJQgO!jt5nXX{7v=6t8pz~EIF|YZrM724F_!p zuq?rcw!>dwJxJafKgW?upDaOK$(A>lphcp&ab1fPBtsIugw(s!1F=sw; zb``gf`sXS0#zOjNI1w*#+ia@_;tIExHQypnwmiN-Df8N3xyq0>=cUH4Qc=+#^N4By zsPF~Vw&fQ3zIROyfxyoh=mW^|co|T)^?XW!uZW14tW@(*v<3BQ-7XTSY{%~Ktn{Y7 zI5QT{O0~x~o0dXu(FF>n2VKLgik@aG)&Q|*rB2^4n4s}XFC?Zxp-ju}2xOS;*m!FF z$GHslo|W3Xjw_TZLaz?_O|08k>2K&G3xuHbbDg@MV5N^I+rR{{((%}D!0T3Ufe`ZLRQyv}v0ULq4{u7XW1&-4MN!mHK%kI*+XF zLNS^2FV=$g_#idlA<_?IkcHdsN?M0+)gQ@<3%A2{wlZ7{VuFdoZGc^KJ30xNtdh5BqaZoPju-9I({mk{!zJkT`%$eiSE>_viV$xs^a_d z+$x#hReU*;d9_ohEmoqghWKh+&#!U|xq7!ovY<*9b`8YGf_hPvEaEyi56Mz0S=3eS z43bd_s+cSCmBI9Am0QyFem`={Y1RNz%9ZO=I2o&yqg)Y`OjOBouF{mOuacEqug;m$;B#J*0t~_c(qhJ$f<0!t7-(wE?3DIW>6Rk=yVfr zP^BHING}GXhOa2@#;5%Jso?aPKm8V4gz;82aryR6$3^)}P)sr7v9iZM!)X3oG(F{UEggcVDG8@#a?f^&*Uql+JtAk~eWjpFahVr-w0 zRhPq1$bbz_1+BWwly&(A!m@Lz=Ced=)P*+lth&sWbxB0ps!N8Db!i%N>mhJHmASZ( zS@{9L4gzdUi86rME;I15J}XZF6v&_otP`h@O44wu*chitkW&SQf-kopCsH~rl~$4x ztIQ8#wSccsyzS8oQZb5gDr!|BkE{Z2i&+)f9C<}Ds=!lXu2A(OA7{k4S48T?Cgp zU&d*HA<`O0XYNLFC0_ySA}v0q^$he>>*I_=C|sU8yH*SBGoWJ^#@EWV2Jl%qYQ>m4 zdr){CKLtK7=9k!oX!p=$#(qom^iNiCKV*d1=kQvw|iaQFXG?;Rf8-EQc z`f51-M=)cFc?@PTF|WYfC58d`s2$gv1B{k-bWD6MFjXAL3J0^4n37;#6H^gPRcsp8 zoDXIuI?!Ak{Cz?Kl8eEvq@>OZlTRs-t%Po3PnEeGnfSvYaUUVQ3mLVj1PSA(Kyn5W z{3O&G2sh*4c0RxZ^|J?xGS}nqK)od>k5EE6{UlWEY>?<=L<<;n#f`vaSOCF~gDkc* zm=bNZa#NKq35Z z%Ba~Y)N(rum~#-JX8mYftcR@;d` zea1`6OOaFa){)ad85$Yt$jdg0uG(aIg;u2TAX#3V@>`E&c^%5HJd*F*aaGkJ^yDYR zCQG)@ZN#9#R+7>JiZ_(f5m+Je#gtx!oXn{l%lPEO@BN1;0jJ)zW+xpjE-Q&kt&3B2OYsWl^UO)F*-t0k;sEll#W~# z7|+QI`v*BR|GfUq%$z6}U@pNQNMfQ8zN4z{&})<>&h%}wyKqvzhzaZ{#c*^_x zdG#F*KZny%3By$m2yK=FS;BzOY{hbBY2b!M0UBf`NC6tjL0M&kmHNMgAqr3y%ax^p zpKKZFZonC-=E_1RNAqNrqGVoKMa+Hq06-Ox0Qs}Zpl?u^2)1AlDoz2y2$2=- z@T>#@Mg+-ldxGUw<^Vvsg=8-ICYz#g2rCM_A|bq}(+YV}LpEA5ub!+!aWAM$mLPqK zT%>9`IBhju$*i^%Fjp#D+sl%rW!s}0m5~hU9aWmgIc04O@*yV=IBh&fpDv4>O4G;VlC-DQ1W4uo#k^ga z$3DK-JXEXF?ugYw1Q_c9BxBVazz*cZR?iP3sc@pDqm`^PcA(2j|KrOkriNqySu;N* zR1mu8<_T0(C1ugX>;g<{mK97}u+6N>)Gm$oruL-hg~?W}qx_bg2HVC~KJ}owN*STH zRO@9`5d&FPt_P|?R*W(2lveSuOkteMiU`KGEJrNtl+uhk#guq|%K^qx|1Cl5%O7ST zCfFz(wCN%FV4@geNS>SMVv8>Z;{9RVVVpH45-i!KMDpO!*-PFygE%V3SyP<7zd&%D zr4S(v!P43ijk3fS%7F08E@<(?%G{K{~q&VGTah5;Ip?RJR5gmpD`zNu52D5w_ zlo)}-7hjy9Jc%etFkT(PBa2=Ec<(QJ^Qa~XCThol=E+C&u*LtYc>*AVzeI9Lwi%HE zIIQL&j>;hG9h4Qd{um2jZdh*-w{-8@#QRMIRVHB*U{#$h!NaV=#=DcH%aef>qiiTox;oN{+43o_jkBexSz2dH zgZ2K%6@k!soFJaOD2+p-5r&La@g&Gnk|#lCQauT>@qs7N2~0On0@VAngG2p^{JZ`| ztPHOr7%NsO*<+QGL8bCZ-4r5JOt3-4K)k>Itw!EVFhU;INKA0Jyn~7QTYMp+bVIMP zQ4hgp{c?ka^F+uXE@>j=a9Gzmaa8a>*Lq&5n?l5@Wm6)aVksHK(Kanca3c8QbP_Km zgE$MXDO9{a3okVbuX%R7REb9$ecPR-pNS5uC|IPLr*oI&D*Mtb(L;z_;1e+FMQ;Arxt^NcH zOsNY(k$^6dXiG}Os;76!vm8afmc=l%f&L)*vKd6F4K;=hF!J{{4#CQ15T!OD-wB#x zP5l4nJb_z)@m@fI2oauzQ7IcnrED1S-Y!a=iRwo-s6WsS9`Qu28a5_EyLTieN<9_b zn8;`)COXy<6QLlGHn8Q-yNj8%NL>nBv`v|9E+Y|bISMwEtp&B*q8)wf=iR`}LLs&F zII^MqZzTz7Ck{lraRxDRw-8OPel(oGW&Ct`rW0M_^rL~Ce!VOrmtMp!R%s$sJ{|`R zTr@0sex8wr8iZovX+%%{SCD)14q6V#tnBiokgvp%JwFOso;4Z`5p8jhtyMVI;_&=D zdXzs5$A9yw%qKWjs=TeBhjDm*CBe|J*#$@T`jVWmNj@6KbR609k^FxZKi!JH50%41 z8m*9S6nTK}wY7;N)F^_j45pRGA27gA*DB;zOhubf{8=YF^N)0CzIhCL#&o=<;WQ0% zOFQ~Yf1+$+uKRjZTX#PIg<%VB_?sx{M@+uWDiu5`K&|(FZqRM&DQ7m8|94nDS*Gd*rMamU6iX6kcqgowbDbXJAB1YJv zx&VnQYUx<=!NrU`WxjytQN=B>$HF6?(&=V)hohD)xH&0YkT)L>PxcMSZaSKGe z;ueY;id!UVDsHjB-&>P-OGKjLmI}P@B4x`&lH!(&+KO8t>L~6Lk*v53QCD#*MLorR zD(Wk4m1v;2)uN%|)`&)m`%E-e+**;MxOE~`aqC4B#cdEx6}M3|Q`{!eTydL43&m{_ zEfu#_v{KwQ(OPlaMH|KK5N#E=Q?yguF7bilc8m5FC(qg_-9Ue%Z@ffbMQa@f>*HPb;M^Q&qN54&5kv z`3&CmDc0Q*8D#*&wAcW{(eWj&2ER_a=8x+nhrbbg<4;`vxqBF<6YEc1bNOX6q-F4b zK`R5*dP+vC4v2(ahKOzhaI<%|g1VO2$MEZ6!xITtU!!PRFrMmbdHSjHdHWmgtGD1s zkpYIgdH|k$WG`xAKjQ<9pc+%C+;cuiH-G1!uE6^XMndO{@VC+6>?O4LK*P2bFWhPN zt`?LQp#0W?hZtgJ34+NrGn2v%P3s3DdJi*ME3}bjxq}KB(xF?((3$^ig-7S<$a82J8bt= z;=gN4{K}U2j{}%vJYFPYCom=&Y!V)O8|>@Xcm;{ooj|$K^j;YYL=QFCm*~$1o@r2S zg_?L?!^&+WoYysouiu|?8y%sunTN_=#9x#)*k9#|zj~3Z%-xL_X~tuB z!C+@5lg{x*lv^W`B;W2NyIp@J&5890XInYSEf`NYfA=9ivo>kIr8gni`;nyCQzy+K z2)V)9e@O@jqUl_`3i-Sh)2G26d`-62nav zVz8#$2y>-_bbiCTV+JcTjx_t$Abv{@(y!Z#bZ!@r@oYzu9#@GUxRQ5dBR_Q!DIORpl#<|E10$$E6IjX&a!T4TI{=OxLC ze>~NC%pW9qlScX(SS%Q9GG4GTSj$4hzg|G+@#mWpv&jM>;JEQ{9JR4mQ}vadh_ifFygXQSSLPDtqL2^7&JAL|AlDjWRG< zqVL@y{8L!ZqBqW?vR&R&?)?PfD>o%8tz_L-pCtKo$#=a>`qweRGYSiJDEzpZNMLgq?fZvXGSXN zH^hI1fLM(tTPFsQe*Mo$azS1Z>t+%^rUS`eAEmNy&ymFw`3e68{wELA8q+fR?H7db zIqoLmKW>pQl6*|^75G#a5x{Gj@Vp(#zZpjSF8rltT*a%1_gz8}NDd&)y3a{oS&m>G z^AXxfd_!iiM|FwMz}M~=Czp}F|4y>_pat>Qf~i!CWa8Vsq4QrMB=LDb{FWr*=Wd{~ zbAP2$lfNR&KC%zoPN&>fxUIp+EaMaPjQBJ2Wo+>ZAFkO0be=SvH1if9KY#y_&gBZz zd1Yxr{u>)l7;O$xsqux$$_Fx%!{o@&NzOq#e951SJ4yc)rZ!xaQ^=FyzYy{_73tjn z8DW;6OqyL}i&U0%{Q3{Vd?LqHevmXT$q{~t9NRBXBD;%E657ZRDtqBO!fDf!ylSw4 z^!t=1ggdfVzxbXsXH=q6D?g&#+Dk~kiX0*Tz)k~f^(RS(zEtXjjn2>T*P{*g>jd)r zd}k_qxhUzJ-huz&iG|?q#bk+5CeDl2(E0i}yd=-^%+vt?ry z9p}3p$=j0o$cHos;rH%Nn0w?^=GsoSHq0dJHhfZKvYn5KpYW9ALXPuA@K+Eq;|G&J zufHIjN%$7RWL0*MtzYYt-7ja;IdltId9jV~Bk)QrdYzmHTVQ_yOS2PnUXBsWWI0L_ z!u@Xuxtg4z9%hiAm9Q0nJ|KHVemP%!@ne?c4L+jAm?KB;m$J8%bl~|Ztze2C!gh>N zti?m{B{DL>PvaR*RAoA!+!AC4Pp$(4Gx^0H@M{(?L<99~zGXS|=kRW?P+%^Ls@cpK7l((l*^cb%}L+x=MiqF)H6FfQtsgqpb zB_ZP!FWwc`>@P1 zpYq=fpv(}r@KUHTQ?#SGVV20%7^&If$}{B65raMhnJc2P-DRYS>93GCPyC4w(~NYH zo4W0M@uD)!E)bECFuPF1lAnu2&O#uI#mLeiOGJetu(wv+L*&exVloywW}0^8bD%8L zrtL$ME!XbQb^fWAj=!lOgI#1YZbprYCl5oZYN@AiEpEbWRHa_X9PlZv8baRUo4=vc zp<{kn?)SxT$cGN3OM4t2b_>~4`LVsonZsw?gxWH`2z$)NO75Qmm5qGCW9V<^#qe;) z*v-rS0G0h*>ki>jKD;}eIn58zoc0C(z8DHz=99O={8v2kHuA3Xr!?l=;BR)J!1p}r z4KyF|FZ^NQDNl_>>J@i8k$TJjyabgAqE{%&O%)G6M{17XVE{`PJ!%6bL!|tQyftFb zw-9a>Yw(7Gu}^fP72*kTkCq}AM1c+vel5CPLV=&egGwMji(Pm*(|9C)oC}p-gyTD? zJQMMIKwgN(xbrYxi`uP#IZdm+87gzMk<>GnYBOk|xI$}s0I5w{yBg5ktF7a}Jg*&W zi@a;vEgG3_YxVJ7x$!{TI}IwoX#2xaqt{v)+~FI4YnApOHAQdp1kh>v>m4A=^-Fo+ z(ocF0+&mkP^jgQ!4zKm~yQzSl(GnIW7;}QjCF7rs$eV3!u8jhV4SNOTtuWFTA+^c~ z+z#l?MhjYs?=b40fpDL(9IrtbM~odEAUtIZi3Q4+=;0t&4f_QY|G_vo1Ag8$M&^d* z6C=lL2!AtHIpNuBqh>ic@y<9r1Z13fWJC#Zxp{^ej5(3n`R*HtBw0+v1AnZ-Q0!^N#jq`yn@tppZBz$Sm@KY56Ug~ zS=0xb>wPYD1K4IC%?D(U&$&O4cg&~#c%(k}35rGP3!g_Ar;M+BcH{xd_dY(kP`}$g zAt@k_eRk4?^wj60*Hj0e()r=;-#&9(=(H1T>^{m(vt2w1GSBwOPq4Sp)-(tOmfHMt zf_!4Dp9;-&Hncu7w%O(mf`z@dNeM_DwLPaL7f2b=pY$UWO2yy0g& zvzhOJ`No!SA5!Cd+u?bTF~_&(M?hKZd+jJ(TIUwYW?3lgc02DuE&wB=#XYD!5Lgl{dusWigBw}-6V${6p=TMJ~W^MeYg?QG}l(I`IO*|#RlE_DvX z#)Yxcx!DipHaRy9gm8zm60J%1Id{E9fg{eRHQ??kXCeHrV#ayrj7G@2?(9ZA>xMJe zT!7tl);k7!KR9!{k$1-#wFH>=oR_DAJaCTK0+q+keP^NatMd(w(9fMs2P5^;dGa~( z{%{s*1kJb3$uvN`bH>s&_TG6APl1f_e!WOI$G2ERMMAa9djmr&$w^J`0s^X-1yXeGVF?+d&KX6*KBQwRn2`RR2)4*GRp4&foc zSembo`nC3j<}tt36Ht|tesN(Cp7OK3h4nLjdkP|T*6-a|l)K=UGzsOd_@&Y2C@nhl z0obTD%o=4N_GwJFRJKB+An_e@oLL&-O=&q^tafEgu4DOUgf@<+6~^Lc693p2S(ABg z+{~F%`0wP!RNi1E)*j1v8EkzU%lW7XsJ!4M1?u^Rr{EJ)W2{(7dm2+kZ|Y2Q#g3&& z%@-rSKtPv@I<(H)DBgXA0tdyQQW#pu(Hy=vAjlJDM{anhL4YaKsT<_gs$7FdO{&t4 zL*JMCkUNv#Xbar6{57qo4)dT;)apy#4&Sk1a$$TW_RwM%R?fDBJJsuW);4v_B-H8!SO}Ch?NeESV3WbnVPgKXsUPeHhg7sb$O?%~qiyq}`j;Vli@nL~D zmmjwt9>j&>18F0~XxKhH~TiW;|~)7W1k_p|^y8eio*e@&%Ew zv5KGm5d~KBzdi<8!y6kAZsl#AD7TH5vH@i~-%t0G`}t?bfO3G3t%uY>J|Gz=r+M*o zV1CY@)4Jvi|FRrva*coN50&fOzdlsH=9m6J>Sz9OPt@-Le@$b=Lq4!Agn#gcCsF)0 zkK7BhZ+K6-^_?uPhd^_R*mD`FsiO96>;tygF zZHwF$AL5P&E4B8tD0?D82EoD~;zxgwvDz2okvB@gVY+W2;D<`rbYFJaEEqcFras8hZ{h6LVLUm&?}#&HwEZA zD)OKYN>zPLn+vh-7}T`dptUGceUN}8uEJh~AU+*8Jw}bn+u=#gINBsl7|{TljT5mR zH&VX-0P@t*Yk=G2VGdw6T^tL(*~bTf(0qp<5L!f*!?~pcw^)|ddR?JW_2meZOzJ%W zPcg}tm_;d&))cQ{Kk*9rRjb7pD3_F)gxuO|U*TNmN1FYUrwu~Mx@++Pt5I)j5wu8s zwgUPMGVnR3(XbA6lt#NZ;oP`;A@Xr5ZBV3ktO4Jfe2veAji!080Ik_(x;<~+=^yB{ z$V&rY%Z>O?mW)>QPeZ5m{acW?IbRJvw2f~Bi|tLce zqC1s|23qIVv<1}VhqAEP^%dQicWaDi7e@C5Lm=r!Y0;Sb|{Q5JA_mC`e6`Gd1C!H8kQtJbADc?x@Ma%fxGSFPkBcDUKf`4VAz$g42zNg06 z+hH&4t>isuhx1c@g%)$G_#hg;R`YHBAzZ_s(=GXDJRM)rVaAz5yM^nxPD8?a{^)B6 zH}En|5aEsd2|h_QHu0ZmV`(#Qe-EiGyhbCqw3Qp}fw_&Z2tv8-JdQ@r9sD!eZrsUl zHAQ%K@fI;4yLmF+r8oBQW5to$%X{uXY9BvBn}7Rx=PK~!03XkRd5|xrz1~Co!X>zL zn0JnVy(7H!@6bHT-L&vJ#&6=UJL2Ad-U|p%@aFjJ5cmGrIl$_H&!oZQH1FFID4+Ab zI_#a{%Ti$PEI-~DD(84?3kc8iA1R&}c**NXUF4b9kotn#X*=Q)KZgh5n0v1cg`vy* z>2Rbn`3JOTdxd|U59BNUCGGoON{Sm4ODLOKia_1_qpYorTv)q{uCI$^Hzp*8C?ao`JR zP8Th(ABWwV-X&pSrVy7vW{KN7VQ9A4jz3R;U4_4*VQ8)xSqlZyM2j6r%@ZwYm6|S| z6bJNtG58@;3&fN}q!x;Bn$Z`D`?S@xSoG6T_a(w#hj6J#DUQ@KF%N$R*;p>>jfct# zu?J5ojZegRdV-K4{+^1|N)h=G<1#;%nNo)Cy!k4D4P2v_k%-bxI4Vc{`{OGdSDxSU}e;rLIC zv2yjrTYAPJaXkVmhs6UY%pMV)Dg*PVICUDukBRncL5_=)w7GCX45o4Sq-cxXNaK_^ zL0jafMI^n@D5u#n&moWgn(j|x>a751x#Le@sQhx$uMqqal8XB(0I*X2MUYfZDh9YJ zw&8HQ>h?_d68rPVkW^cE8ItPPXhj#d_&Ox8V+* zq|4xwTI>X7?MZaa)tS5oR+2Yx;M9HA1jzN;zJa9vt5irD?5+cZhGmwbRHMhg0kiS> zWss!w#s70Rp4@xUDZWDV?&xQze3&KTKu0VE%mehJA(U(@pA<8@CU z8TFW+R*cR=E3Pr6z6C#)x2y=IaXfbnQsa5uF@T-GXMPJy6Zx+35KiJvV&TJN-j=rA zr*L~RRHpKnf&iSx2OkI4bRI-w(F~q=82-%U<8!0HG|@y&(ZraTXe@~)_TF3Uy=&~f#V)-_6I295Q4m4tQl%);1Z;>37C-?(u%MzM zh~;}GzyEiRhq*I5&&<5{zVGhL?B455aL!b=5@Zj>wScAz1ucXXS2}hU!oBo4zTboe z^mn<0{WND3uEC9d+6UnQDtHc|I}Mc_&x3w>4u77+L*VEjwZa)YETH!X!_gtKl4QDxD6!T81Ntpk*7MCY?=ceLSq&{7)oU*-LQaqNva!8J@6$2ETC;= zV?BZfxWYpuMag3nMQaBkmT2l&4q*%x41%LrIwK#-X>yY_J&vBZLKsgAI>A^1Es=yd zk&flT!x=ig3&OKB?ka>ywCpF~&QaT32$Sh*E7(k-7Bb28G1tqKjc}aUOLFE;_ml?2{I+?)3Yg%LhVGS)D4`D4$NCfT; zz3+v{>L|$y!nbs|6Fj`5@i-EX1+sgA+%7=?|`#Z zo(F)lQsJ|KvsT%2Ahc0S;9S)#xxM$QQFhSWrx-m5ET9Lae05Xa_|a}GptW+D?y9vV zBJ)s*(mL=|LuFI$pxQbJDqf0`pmInR$ufLcHI^#MTiuZ&=ZIR5UoyY~+E?yDALT9+ zi?7--94g1u1zDf`)FV6Kjw_bK1^%j!4Lk&>`eqQGP%Hj`=1J8VXPU5pE|sSvNEI~4 z7>h@>Cgqqsnkob&?$SmTJ=${%))9CnTr7s}}ADcTYW+{n#R9@&H`1YO27MC~IkZ+*chJfWvPB$zg~xmD2*`fm*s1 z-pf_Dn;5H5<32$6P(73;+aq9&aebN-czf?w9BVVcbZy~H!U1YoBwYoVMV>K#N%9vV}GZow$_3MAPzS=G` z?OWBtA7k&7WorQ5tKo0KeNZpI0cXmcs=%4?)V1KuIr?v0rUiex7@Q@C4*{{_3)0fC z=20@Y+VGEsxCUDufieO6K5GKO?dD0JfY@^zsS_QT<@Agr_mKvm6E}DXO=lh?$7S~L zB>Xs*!G)hot?kNTtuVHicS#F&AJ1qGZa@DM0M3nDtOIv|Kk)|V&S^5?dT_Sf$(~#+ z+5SPE+z`Qgv41xhJH%McaO?BaB^dMOpXP%*!k^k=>?qev1?R)TvYU+x<2uMOUh^7b ze!Nhs|Kogc0C4`CCEMEpT;3i2PVl8TkdvIh7h{3kbrZ&dc=7~XSTH-a$7P1_g^!^U z%DXHOZWwRq4%6Y>qynZ-aqnROM6iiWGm*UXBmhx7X(|BGoa_#pF>EW9PAq#ZhRSLF zN48_)_!53p#SqW_Qg|ltxxWBNAaE8-+0&te=B%MlPKlwP$agN;3$viX&!W3Q_ zg#c5zLnCl$Z1oKQ>AZ6m$a&6AL4X--nFc^6kC9wFi=TfE;RSZT4`UZOc|ZJJ;^GSU zyUZtjFm{D6-vi0!S_cTPa>fsU=dhKO%h&k#1OTpc)msQ}aAk97=JMbyc+ca)-MFHg zJXZPuw|J>NJly6xQtaK~sKj{D=aSMr)N&eSZJq*@L;L?cmQCfdr5I(t?M@jvC*fF!<RsQhQpc>eV5cYufMZf5{ErH71xFhYMS z>vW{Pv>Rhl`mN+=(K^ryV==ldenrj@tIanfmeaacTGw$p>>vQ~`in6bOVFLa0+*;G z=K*j=FX{~8S-nRlxgs*O zuszW44@d_!Jcrjqn_IxcC~rKI|7o+T#fT<>j#PxCdk-J2@59L*~PW^yD=>*U{W-5Wc00vblmBPTDj3RB$H< z-Be4trUPod90>7H^Ou41R0G@)l9!VIvI6N^Ybk-f)ktaG9#NJHKzvl1Jn+8ipe%Ax z>RA{xqg7NU#$we)`E*Vz!(0eose01cs#ZR7Hljw|TnSRErZcXuPQ^_|EN@lB7I5#? zsJ}rzD9bY-W*jyOaC1K90b<1+i{Qwb_xXa@@=NJ=*zu{!FlNu4B+qu>r_!Zy;~Tz=d&FX*-5< zmm#nc!S`f+iR9AvFc!mW?BFPtKVA)$I1U~Qm3S_eLMM^e%I5eP?sycM=lIK&aFooR zQgo$q{aw&Z<5F3PGMMFfPbLqSw7H1?{T!s2cXR^aJ_nRSrIZ)H0{4I~zky~sKb70? zA#a!cut#h!`v8?(-Ut4kvilf#sN!G80PupVr7=>?*JYdGH7|*VN-gJp1MUr%?S;x) zE|uK&9e=(Xm-0d{#jS0q(~CkuYz;d#VzD#K{s2e24Qr&$X>S->51NjK{!&*v8OmEj z=xmtd2xC!(ZaV;oHl#_W8)Im;5x7`GqyOeSL*UO)i8B<$g2Wr#XMrRbPEUuGGlm}| z0X=Joc!J2142?#?N~Xb6KB_Fk^9ZP1GMN8~%e-os;0>EOhE(afTr&*8k9`!3fhNSgM;(#HceefmP^&-nH) z=+FA+IpniHI6yMTwFOXfC&(Q;Z-SH=^Lx8NXF=oz*jac{8n?TuB)v1G*JDAzp6 z0N_Le+rzUnO_ZBw53T8}yAlce&Kb9Kt;Em92-HR4p?l z8VqZJyG?VSLh}y2sz4C=H1iaM1+-LpGljHDO8mPN>LZ<+_jFCB!4LGu1^`TzuUwy*S}3=Zxtb+A2^MOG zl+Ko_(IbqxtGp`+&_lhF?TmwJrhL#|s^ynZIiy}!fgDz|U18H(b&yj7M^w^8ct5Ik zL}JWGE$9S*uj(}dR*tDEnQ#46n#^j))oMw%{MGZ(&;Di4<_pD)IkIe&T^S7gB<3PMZnHUu`UxbbQ@vgXZl4L1Bo9z59c%tqks7}=i` zlR5st*|S9+01jLv3!Wp}#slZXd6qEd%qzQKERZYB!3FU>=@5kQZ?fYU%E{8C4C7zr z;R@#fx!q22d;@rh;6dAQ4UuePz*rQwy$g6W(=p&;_$R5uV|jB0R8DiM%ocHcv;$6}+eM z-huFt&Oe+0?mTbY2Dcf!M!o=pMFv~gD8#;$w!sCyd=h|*TrR!COPnM3?q$9z2Vt*p zQ8D~w^FAYtUFDo~Sjpk}(y+P4ze+3cI{)4gq2NJW+DHd;CT|sv@587N(22U@NSYaHcFk z_qj;URh9C*vrsAHrBfh$z^}GKSkA*XfUDr=QgA%v64{M^#8ZaC^kZHf2l9lQ$sAJ2 z{m+AY%3tk-$}?{K1RkDq<^*t6Y_|y93)GF^UUHWUAg?$o0UoM3c>u;<^AI0+sNn{y zA+*wdsSsN0I|pIYRxkProSj}T3B+!_s2XwFYs)Ji{oev~QcCf5e?5iO_V1+K+jE^9 zitp7}7QsF@O!2pGOff9=Ycmp>{jaA(bHJ7oSR2@FKSl>7$zJC8LlKZqXe9UVq}F9{ zGWl{pyq?nGBwkOwG7c7|4U#?i=?2NJW-OLea;EtK*qrtAzpyj=(jt7+b559JbZ(Q| zkk6YZ`QrR6*``=9CKHl{ol9VCT~BFpuD894(T(1+IkU;XALRe;mtN-PA<{Y6a``HJ zZCx-8v2Ck-f!EvfK7*YdlcX-)*-otOB6)*N>0_y5%xIOQO6F922}2enzetYNZc<|y zu%dsCK+~GaT4T(HBGX~dmP$LqpdGyu_-?v+2mowBtOUS;CM*ZQk*+&}IME4dWjfQP zpP{mctPTS1LJ8wx>@cPD1HhXO`~j7tG&2~S54BnWoG*3X0hMFaSQ4igYR2GVsU#Ng zI6CknNIZE-+c<$X_W?;H{9Yj*PZ~26A)Tc)KLC(KrlNU{eog^NCQrG2Q|K#6ic_gf zTBm806#jl~)=VUI@L21KZq6KT9d6~A# zQP?Z=;UVDJbXKN=tJJ(&hsy)VTQcFJo zZqZ#i@_CzHdw|@bna!YrJ?hVR&d99(io%;ivzmVW3&vj48JR+BsOuA4LoKbo3-X5M zn?hJe9;*=UTiV?U@ON}s?gD!?wJB5_l$JT(NqyWGHl39#eh5RhiRJ4qE^2ZRI9KJo z7n*+Rmp#xtt}a%B1gLa-jGa)0l1t#o-(vs*)xaAFDM-1tL`cCZ>k_<&s2W)_LshS~ zPzh7hWyuX!9kOBblnRuFQ-qo$oA8lpk*tzYDz_hm(Q5zyj#rfqgRxj;j^jh9o+@O! zCr)|D#!$SRo4|zxvR|OH1|DKwiv=9HSQ7s z#j33Z#!8f}6m9p_F-Zwam5(IdWomm@_ ztF3aj;fYH54WttP%>%qYRjuXef2IO|1bME4egLUbb`HS3P_8nczEq>67x_vVI!v1h^G4g#^{qh%0U@dr7hZOy}^(`&<QoUALUHA9q$?l;>njx zVdWtAlr4`#y!l&@!yNZ5ta$U~uP}Cm-?%{YD32e8kbL;jFHrGi&l1=?#=m_G6+gZu zn_^L1z8U_aIhUas%Qq#-JJnSRjs(6MplV0$FVNiL= zd**|C#rtI=qnab6EpDN!_W)q2+jWF#Ykg)5Y}#nI8fe<;$ubY_(l`DFrY>{Q_ zY4ZK2t9sQg*euio)h1YZSKtwgqT^i2wzyAwf7BrDI{KBEqaQ|nElwgZ~mS=bI;z4-5q@F~$bi_jF zFZ=0hNS2RHg@qL}<@4IQ+8UB=^=ld|88I2*S2P+DUM zT`2HNaC^ybH5B*Jid%?kKLy>ym>aF{4nGG-Np<2*T{>XQg9e@h7eJR~^YsMX!n=rC z+UrY<1<{(%00^di=O7HBu0s)QC^ftf(_uI!3}HCcod7(Ef^0E%juPY(OQEl2jZ38y zrcg5Kw*;c$wc_W~5hrV10 z?g3d#F;z~cS%5zz+X*0#s6iZrkEx+ldr!!22ZXiMTW*avlA;o0S3c<4XGD_4v#VurT47%xe>!o8a}NYI)8lckc;1 zQ`+x?&eYD?kW8B+v*gm8?y$3Lm1IvVJS3i#g+9<+m1Kv%tNTmEw&q$t=&voT!|Qb} z(uQB}IvtV?`((-AsPpi5Q_EqH|JzZL?#;(n!~2#qM`3g8NO>l6wpJN zunQ@BKFB>9FdM-aQP)7kQcT-tLbHU1{swZNx=ItWlyZl|dl`PE2R0wj1Gx#y={tG2 zD`??ka1ZfcErEMPOMZvuWBR)c+!LDA8e^5T^}j`&t}e&eGwO#83S^hvq?uSnHZgGf zf+mJQ_>zt-h42-b$@ki;>HHA@UeiDFtkuvkIkr|yzHOlLhPq1yP)CuCq4}0t9|iZ0 z21s-LJ-x2QWqu%=EZ8)~iAGp4Q?0gP?2w9;IsLHueJL*bi26x-MMss>EU5UX=J-yB z!B_nyn@7i#R|x#!M{!nR?6|tH8E}7f?FhyKR3q8)I-z`bVeF*(TW;7u^?O4IgVavB zb%K?z3xpwR?L9aORYT>z4^v}g-VRqz^0l&4%39u~2$kOrBvP45=PybXtN|`s4QK%_ zMj0)@#j4a_fjg}}H$WJtvipI=tB(_b%Teol!QV9%xD4D4<*^K!xoWknZh30y2x#6^ z-#5Tz-cni8zQ3(ZZvt>fWnaTszA7;ymI8I;HwX*WxlJH141hx^J@((O`}AU9^2S}u*-2dY-qtaA0Qq_Gt$Qu6wDYI_FAd-Y0s`ldW% zDu@~TjD{6+J|}0wEcm{x$(B6l8^EnNu?;wDp3x3tHrQwdz?LVJBThR`YzJez*;npJ zdp>jnRvg&>1#phsEE&X!U;O|TXY50R1hT&~tORjkFh~g3mk&IYjekKij5!=u!g+cD zJe=Z&o#7#ZE#_h@lK+_t%_xr9jIlhp$1Na@j9{KjR}Z+dSt%QUh19x&Yw|F294|U-G+_7<3$Cb^$8-gG!(UcGrH=2~!TVe8D;@23oUln+4#TvmURVSx zW_sjQ2+j3RmH=4jzhr{&)+V(89MJce?|cK5W4fC>Kz`c$F@(qUC^?ws zuYZ&&C_sNx3Y8N&Qy$-wI@AbwpkDVk072SDvW{R~AP;4Te$x%`P@Snk!gQ(J?BROu zd;m`ArV9Xw&}dKLbJuTV^^Ve+XMu~>`!2(DjQ%MN0mka)vUPDr!g&3a zOt=X;=Osv@UiA#Z8~TpiOSwAJ4Vt)h9^A1x~=QWT7F0ChA@_|qom>}(04B5 ziVC&+*MQ&EyFUhZPwzU2Ac}M#{?}OKjGd)bU!sFc!QI!-P6Jn}KbEU4(@&D2`9L?4 zpQ|X>n|gz*(1~)!;Gtf<5V%L$x&~vfHA(7Gqs?SteWUyJ2E0ytdc)>hZPyvXciN{P zH0=z{q!+f^5Z(ivgCV0o#vBc!lc4EjD5!;ZXTv!;w&G=&Q3>H8Lq};QcpLofAv|Ij zEggoVh9+NP%*U`*(#tS|nK%kJO!0ut2!ojrzgPJHA7S)RIVH5n}Xahd{P4|xrWK! zAbE!SvU1%tWT(LUEyEkZ-8Q%{0q&0B+d}~48>}R;D=@?lgs{*sPL3hmHT)d}+&#lO z4~!KV-mHhP*s#wTR!R)!AA!4XXqJzVN)5~9R9%^2fE3~n3@r{prQ9%UI8-VOhn@lc z(D3IGs5~;nm_qp2P}2l&))T{+;Q&+`!sO|AYFIZJn$HYPX9ND+u+tA?Rfe0gAN<1b z?U!)$($LcrW3LPejbWwQ(Cs0{UK_3q0j|biFWGXfA-*YaZwzfEk*G6#Ee9mt8j7V= z^UhE!#qWDV#XnH_U}!TMoTED={ypZSJ+@y`%HWnt`Wkbr+By$urtxP`jMDW%o z&!oKGZ_?{EI5(3yQYO2bv={^8VG^|nRy<99ib9+RP41r}q}oU` zaUBbIx=EsB!5Jon=b@5mlDZCDmdQ60VeEp*vlv|1MU(DB;O~-2$Dt5jHuP$8jgS<1bbHvztlcMqP_rYZ77qDV#EV&P%nKAkj;O53IGP7G44O75b8aJjx)5^H# zCE(Wh8a{+J#^0spwl%(!%^W-9k<}3HHok~~F?-`)KL{O+$9sV|8vB_+=ww_e)0(r< zO}-Pj$2e7zQWvB7Q1Vsd#(#8}&2@-HZq9Av|Do+XbP!ae|~3 z9>yJkxG+!SHL0Ku8hvFA_cC^nYVwfr>YpHojmM;e?rm(81Kbf~l{11rY7CJku8;A` z4vhI4Tgk0|%xIK4&(GMo47lUQ@^XaaZ@e`R!T@8S^eIjlT_pIE#@&;E3pC!9c{j+I zu^uGYxKz@Z5aX+c2qM(jFbs~uj9*m4ZMZT20F0e7W=d%jVQla%gptM;TR@_W3oM}- zZA?CiYltzvmE|+m*!L;MP8+-IhA_=&{TM3ejU#%)bcV67%*mO?Kj%R+%Q#y4wYkQn zM*+BLRKEal%eXxSW4DdP^#HhI{5}d}4~$V#i&PkG_95Jd#_%7Y`N$Z(4cuenV9AAR zjPa5lyfGfCLY#HRGj1Snjk_lR{?53l9^m2iZmv@w{jVNxmJUq2ZL++zw>pm3y*o-S z)yGE8Cigo%1cv)xmfUARn4GO2=qH;kgD!T3=HL%n;rMqF??6Aed5{fP@lDZ#Rrgmjf40EE&uxs+H}yGn7{en`dd3WK8EMN>WuUa_o_t`0NAIR$=tqQotulXqpF2;Mtl_h z!z(<;YH)L0gP-!2qV2f){t1NsYMHzRkvQZG(^1MG=|YV1mwoV9_1Vv`d0K6fUh;Vr zYXB}ot#tsGrFO_UpbP3^Yp7gQvt^I`l3Fh9(aWkZ2{x}N)7u!!R+X|{P@ry0pQKRj ze-7@R`olRdAabSCJ)!gS8G;4UKlxekGCw0gh9w@g)9k<3_>Hns(3wbcq z&Y}x0yZwUo0QPv>6gGQa>Vhlj{dOSK`}A!Aoxan)$LoHrIzhAlz9D|6Thj zM#nXgdS(3SWB5D4XBe>aO1eUG{!2Ncw9r{vk^iJi?_*Jxoab0v)(kpJ+D-#@Y3GNK zEL(X1)|QVTSYFY1I5by|ISR?DzS6H*UB4kDYv%q9{k6-bnYHeL9D`V2CEfH5HPSNM zxL8smb7~^Hj}~<30j|c1*2_@{Yx+kfEE_s|3B;c!c7^uZikzN67(uUP-zk!EKZeaH%HIkSO^f;i z9zz)m0f;5%1Xwvub7azuqf*I};wkh?a0&EuF^nZr%f29I$Vqnf&eBlHG?S?JpWx2X zD#_}TY4IAEPN7HNBfwOeBo9g&O^`}2ojw@?mGk616SxctliDYf-hTsl7Pa(+=?nC= ze1I2ei=-%*DESkF^o0B`!&oKB&#q&>Yw#Pm=k!S^RI12NGQSt}(=(8lRMZ0=UXiOe zaMhHt2!PjAD-T}{Ro(=kmQG5|`-Y}}24Nkk5V(Cyi)1B#M_zY9-jkIai~2xI>%*q0 zYVj#l%+wXR;my@xIpS!cBDNw3OXWTfV^(VDE8wh^mn^C_>Xm$H)K=+Vz}cxyY0%uQ z{KbmBD(?*9pnAMO5RPhp4}|2TdOCqPs{=ED+oMLxw+~#DyR4e7YMGo@->V8Ep%S6q zOV%5yhOL0jXqCPZT#V{0^?t0H`4mB%R@RaT#3}o}P>EN=`@m6xdg2aaiK^XvkTdGj zpW)%G>LiO;l3FjH<~bE03u>}TNrIyk)np1(QdPi9SV>bS+5wlY)J6c#tDVvp&QL{f zp^~Zg$u4P@Dsck2pr(F@ST3qvo4{RC19G8xS-q7y`ig2R6>zrN*B{(f^_gTQIqDx- zaIUFzBOF~I;=O>YbE-Z`Cgs zAw)+=s*m?*XTj|UH9i(XQ!bWfxEZ^hgLiYBtVDnoJUjy`maOH%a0*D871nHw!dqa& zt+#;KvWpz~w&Qf^`S0etV`0pmTT2(sfoIzS;K*%qL7ezke^_zm-qNht!^ii7;P>9j zfOF*~W1zB^(>{mIef*Wwp!<2(Xb?AUI|$?ehgm|!ox91D=)ux>#pd4i1Mqi{cbCAQ z7r&RSi$lCtrlrIDsobXCY}^Z#v)niifFxcR4`DLbZv2e}dfR|!4+|rR&Z3z53V7I67FV+vHP4l104Dm z?O?@JPn37iOplfQa0~6W8^luA+5v8*W2NzIt@lQN*ywil@Mo)gh+8||poo&uA4S}4Sxr8(gP58T`DPphfWOv@zjGQSvsh1euXhFT`X_iAw4q~HVZw2lu&EOC1LRalAF4_ARC=$EohmZ-O< z0dPjMJV$5sTgecU@MRDPdSlJ;(jek=z=QgwG(DbsYceA6mjPnX5!ypF#C zD;fHi`*^c5^`6!UK1+MaM*ju<-7ExnQI{Nq<|SPu>+@y(?NLxDvdcb#X1M&7M~Q$(&vCNzH91o%eZ97{M?z=AD+8=;d?K@iB`rCMVJrHjDL5NCATy*bb(IYbJ8}|- zyYW+sN{+y%BQ2M=!ii={o79=^$AL?r?Q-KM(zkd=k+Q9qGZ9Jj{SJh2 zjz-E{noO4c0Z*Z;avmg=-v0qW8g-M;Ii0))z}R^jA=yL*O>=-SleSBKjW39MLGuDd z%9i;>3Y-Gdm#As;qnkAKXOLU8L!SNH)LOE^J9J5G=2PM`SSg?bMhFYZ=?1vF)LTw7 z+#|QSFkM7eBcNGK>$*Z%LS{18+^4N=p;=1Hli{e0*2u3kJRmEW1W8 zDP{<8uV{V?2&?J&FW_F&Et#Kd=-F7rSxa|6fhG>NEd^Icd4*63Py?lQJE0nMhGw9O zlq(8S$qk?xth^)_4NxQYO7Q-QL5)b z1Q@N(%E71@l`o}Vtcs9i>$EyL2v*{hmE>IUsdz1*S7p&|z?ys-CnF zvsA7^NEejO0J*5fN&|~`|AOBQ;k{$&0FfH3lQE`m)k?-j`~uzVe-{kX&)7+T-p39 zRLds8^j+n*6T*9H`EGDUYOWM@#mY%)+7i|4GPwJylPkDVb>|KOEK~m3APeRxBC0DSr7B9LROJ_f*#O}qg(&OiMIfIlBe0T;kUo^W)6!zCv< z$vyuo9(kr5Pzd5vNl*#qDcu1NVJ*{BD6jAZAdG7z%L?Z&{BbF#xRZQ=D1!ez4nQPt zl#d@L#iUG$W)JBGW9L#%Ajh(+ypgBb?G$Xr@mJCxjpxy_GA8i70+2*bc!5~XaD^lQ zXSqZY#w50g1{_~|k{#q^{zbC+6rLpErn3JmkTf1Q6>mX0*F?kgdG@;ocm_xG1j*!8 ziLjEz{iHOwz;izWxya)h;!-YgtxS%Wxt}ZwSJ)&Sn%Vq!Yh2h>KGzIf4!4r$?;5vV z2b`(i+X9@K{!4ma7W&jsXj%2rn7NQe&feY2{(ufGtfol*%xQ>u=|CC<20b>#R zzPyor64&JunQB^)O1isMimWsS9whkoAIaxQGX<42Gz?BEW`KQ3;tx#;c#{9WAeAnYvJ z`xe-xRl6WrRw1WMmXBBt%Paowgh*D#oyOl)zhpya^_y4lwPuw}Uu)0ZfaW?AsqNQO z8;oukAoJ43KvU>%3j7I@e}|rg&gK#K;AKm7TcEaP$V9R2$8PwQ_Ov4eHXSJ75de-f`w@grbUzg;&Q$&faC<1P8$7sBuroMUdb|vPz4X;W zkbU&=EdcgYY8)K7(Yilzxd&*cbb8#W?S9zwpqo;ucvAcz*gQ!3EHu5SPEvzI)Ugoo z!}PBlul1%MWHagrRZ3U*DD`XsxDPdu2gH|#e+_bsHcf?TKbmNUSdLTGAQC{a& ziqF&F-vP*=33B@u(v>KXyR;z}fFhc<18$3{g_JKPv__`$`?Nr=p_FRniwtEH-4vP+ zX!;BUQBK{LVyuGBEdlN!t(RKp5m^iZc}yeI0eC`t>p&{0<3Nz7v_ej>NG(9PSx)lruR zAaChwX-~eR+fLAYPhanX@B{Vv3jkBq=_lAUQ?BxzLvuAi`k)qS%SLEgsxOCQ%t}rA z1n=%Xm2?powqLc9qtge}b*XUN)rUzS9%|%e81q#9Wjp4e`mhDWONAVQ+e7LK%CrB~ zA4yWAwlgKzX@A!qh_3AdVERwzXOMSWXbDOG(Na7PSl|V-gYt7RI`+M6CXbsp41Xt_ zmeqJ-ggiczB7zX)i=Kpe9zz z_S&RYa&C2U-?#WXrDOvxc4~PcqMtTbwh%TJd;tra0{X)8=EId3-C{4d;@0<#@ORtY z{g7|ZO@ro+Zj!6-%>f-1tGVM(iggSr(R^}v`l zUCo0D8)_iAoh^Bsz?dC5f56yoIuZyg_H^(k2p#A}3WSc->OY z0bHC5mB{4mN?WQy_R_BNu(^+JcS1<}X^A-iZZr_(FD9R%br8Cfx4dy4ROWy&PomQ> zc92Hg0_R182Sf7^?fM8o940%N>%GbUF1RCj5&%C+mVM#Khuj#%m*!jp?ii(afu~`LBSd-kyI_)Tv2pY`q|OcT^{%t>NgG~mUb|3r^%@s#%@za zCy+a|u@QnVpc$)SrI3cr19z927GdljU6=EYMWinQUQD&JeN;kGjo|h^1<8tEN?*%H zVHvrY0seqqRzb6zj9);rg1(UM??WPaf*w&1IbrpfX3FpNKcVsWV5O4o20{3guCxVt zMu91SKPRtlctfh_V=1v-Q2*m_^peaMgL_3|j)7Fua%qLUrW~2&YG|*NiM2G~1~^Yu zu><6w`dy0iL&`*+lEW%RCSGsVTB`aZs+-g-M^(LO81qqQe?)-3YWo?C9aCoE2;NV% z9t4%+YOQ=6{_3wGAOUKUq$?-X`ZF+gQvLfB?`NRe-xXGZRK#|0!RkVNXoje+|3Vn5 z{G^K%rowB$g{vi!%%4&n4bY5GgGwQcRNu+H6s3;d0xnwZl#(?@HTfRzQmmSM7sAtO z*AIy7wz@7&uRCf@BuIhk&;_JWHF*rcUF9Pm@jcaJFH9FHUJ6IWYDrg^E>UCpLwH|p zlYUI8dYKPgnQ~qXn-7%V0jQL#1~MO1sKouiJybiM;N5+sMzn;@$7+TRRGz44sVgg0 zfZXLz)zOPkd8VS{q4HcUcEVVdYWg=kyio3i@b^-+{2kmYWfKIO_?>52j9;tb7Pzn) zl_0l%ty(0-iW_&7;^6=fya=2Je<>%rJh|=_ga^6oK7?M_76o^RTQ7&(!#wd{*!1QB zm9Tk)k4X>tC|eZ6m=AyaJH~w3CKquY<3ZAL@#6!oP&v+{WP{(Ie{qEO01gt(6Z};w zR8I0)`KD|jx06pLh=)moC77>$0)HXgD;35DAcnOb`C+$oHkdd&CLyWMu0&q=uZ^nfam{kq#6yc2GEr$S^0wE7#wT@RGv z$U|p_!iuMEoC3{*x_1r6ymUbj#t!KvPe2Z9Uo#MIePs^Z9?^-@z#Y|wSGWcrz34gs zzPj{2$T9t1(gZ(kBMr&p`mJmM`Ris?7z@xtzJ~CGp0Wqzq|TOpeW3oVEg}ojRzQ=`gy=7KfeY1>{{Svb_mLzlTtA6|@RathgfK!+l^vu=J@^K=DE+J$BwC+74HBc9 zOEnd%lcZI6T1S5mn{j%qWKr?j>1*H;^n1z761BagPiOSE3m`nJXGxngNxv%uIj8I7 z>`=1qCQosSt|#|Ps{ZyIVoB323xqoFz-;`T-)SBGF6bs%=|WT4F zY`k9dHV>~i<_7?~>Hb>S-`wmuUTmRHX#A>?dh_>?bzB6m$=i?3)o#h zNPEwg7JUlFjv7jG=SnHv;Ce6hly8T6QPp6m9HKQ%z#XR}(sA~uK*^iKC}cHAIK|w? zSRBok&AWJNBcDJj70FS)G_qd~A=<1HVC4#(=nry}(qjR*MTg~CE2gQouvtP~WbS%Q zS<(c3Li_>3YD$(pC;ZU!Q&=%o-b;Y`4mhBL?>BfJD7d?O6@Y{p$5Qj2%`R zVnDprc4?Uhs4NBW3b=HCuKDvQ;mc z;jgM}SqE<`k|p7eipM(fzr=X5)L-pdOa`R=+yMx?$1=&-B{4JYG_zebNLp56>Tj8XHEH1{@T!=(k8N{Wp5y~qt7!T+)alq zVa%SoPl87W>L_oGBZbSvhA+*_B;-sprBpx?{tq}8atVWqD>=ya>0at0OT#|;q#=a+ zan1!c-6&sfv;#E97D9KrC#SnT=;;CtCs3g!yd6E+;_6p!1dvKvQvkqQdf|v5-jVlf82dogp&+Je9k#yl;Lh$0&Rp3^ zYt2IKkdn$xU6Ru7fO@e4a1YgT2Q)p^$>ksiRaiIp^HSOm!5>mn$3b{lecAy+Z#7Ns z*duD472rqJU)vCbk2)y5VqbN+4*?sU&G*o>JBAKqAyf5pWcxa^z@dw2D3q zE=D~Z1DmnRLHZ=A>YcbvSAFJyJFo1#pn{)|2?WVheo|}SRS7a<7pclcuu`HfNXMvD zmGp;)2dZueNQIgtkIp02whrWpYH%6GYSj1A$*WaLS}AYT@k}_XQ$~9T-zk?y(0s2d zz6bfBnpc9Da=;?s%=zhjc(>pNGeIo*=kf4o#qPbq*|4o-(YD+m4ex{p8 z2M3<@E37#3Ptsg=;>#8==FD9sNp)ereQ@i_=AVPx%VvLo+s_sLfV=TDZ~0Vs!aRIb z9_%m!W1jr&S_lvF@6xdF;#M-99pja~1>p&fl*j2LTls+tj3UDw`~Ep+1!3O$W=DTQOX=nm8RJ>HXj1b8$6%^t~Qs~*uYU92YrpPoA_os zOyB0#MNqlJ=cSvG&j&&vEZ~*n0JzJw67D^IFL_WAkKGAU%wzK*yw6TUpn~U2&Kj2S znwtpl0ar`bUcr}o!qG#X-V)p+j+WNcV}2}Mh^PEsPKZ6@_L6!&=O5;SRIz7AjJ;(2 zGsr9MASXDg`GE#`&GxZ?*K!YQ;NI{BPXtlN{<7}AWqcz+lJJ$&aP1%1N>T_@Z6VdR zneMR_niks82_7tU+wA~Y>En#cwAL*pO|jE|%NF`>?b{EWy|x(*oP+MT5;!MaD8Iw% ztT*q5%{@A;6jogHnmHhQ^`Ms!?$hW;ONM<-I^J&jPf1eU_2W~B+Cz7#fN4*y>%;9q zJ#PR4Jfs)N&f8%o0eLJEC(Wckt1J8$jr*o14SKG5u#h!2R@mBRGFOcri$T z{%{B6gdRHs#sYQM4&Z`x!`mRiy2TlI57jLf!&sP(lG`*~`}cy)Q~KwIP>Iw7B>#=l z^=`v$w0 z^yOdi_N3_H!QfJLJE{57^p|cR>AL4tXlCdcHE@)v-%Fz@OIMEvcTta&V&Rg0Cz;Y^ z{Zz93Y~A=v#Bx=8CIgwOIQsGZV+8C{f)SpJTcM%dUn z(v2DCCR-Zg3!eXf>obyZmF?(D8;w}=Yww*K*g7i`~|=<>MPx8KkD=X zfa4Sq0T2FUJ`b7!bZIokPSA#O2&3_1LJ-E#6GsS7Q0YPOPI<1=$nONWbV`)O<~&u& zrd0-wKL&UvJ^KzMi+WZ-c!4_04+vi**RR3dqHo>-cbjN2tmIRuENKN4I|u#>X_FLk zcd7kQd?@$mvgD^lbn79wVj3ko2PNe30@rt+TD^dkQraoEK^a*Mfyx7Fv=UazDSt1< zDk$qXOh2TI9}wUpI=B)-)JoDxdP0tMuu@6oQoBAS&*QLSt`;j03w2HQ<*d|#5@=eh zNnX&jQ4uoN+o~Vs2DMYGTEo$9b+a8b?N!A{a1P3EEXEvFqOAB%D&{Rha#l`qDSK4% zDX6%pYH4G-s-VxIxmRte0*CKO$e9a&b^8C&^c_%D9?jqG?o%%pV@x!86QjnG#8?wc zqA|uEdvCG#8hcG_fD|h#ND&l}CP-I`bO99$Ac&&$s-S|3iXsAjzsdi6=Wv)ieV(>E zJG0NddBFU6WDKId!0$hX=>T3qvqB*MjGnk4&dqTs!MwK{L_+vu+7lPbHA>OL_&iEw zE^pDcjnExv(X-MGz{{0@21aMF|QC#RbQYgahx%!8 zt{K2Z`1J>H6+cn;=#1#_1+cRsVJ~dDi9}kZxQoqm5QK-AN^RA1!Yvp)Poe#SE9NCe z)4SZ>BB}~JA5pg*hvF;N_`=b7G3Xq;`-#q7VZ~qk8U`yD#K2~V1c+hOuLu-&)cA`Q z_vz7&5thYJh!YVj!HXAPYz3Ae7Rms(Ma(XUq=|u4CCtG0!9wJYh^AV9rr1h#!9x5F zGTau4_cREXh#fRQl!{Kj0hEbZw6d!co|JaH6@$7WhSML7(`s z5uYbD((+((^L~7uvhX85&;Obd{{@B;Xf3KP#^=Swzkt5v#X9_7YFh*PhQG(a+Qu0V zU}y9D7SP=?_z)zw_SuR9+U7y?ff*a!3kv3}FEvRHuwQ6Kv1C8dq-4eT?=WM{+J6l@ zc5KFC7_w*GsW{}oYH8=0BeO37=EQ!Q4&cn1_Tjj^+4gae^kM0Jpnje$Gs3AK>)8(? z{%qA^U>BGzZEJ~SohglqViG0H(QLR6crmOS_1$8bEmf1^SnL|`Zm?cS2?T06k-eg{w29f!PKIXo{ZoYWk-7g2Ju`lo+A#Rl6RNdY z@J)`u4sc%@j_|7|w;^f8?_37an#=QG%!YreBHV+#uQk9S?iLD>!@QV^cSm?QOH~xb&VsYnZegMyd|3Q=WIUYC@JWpOpJ#{bM z)fXb(JdfP^@PBrK=*zRHHgukUNI|%M{F@m7{ye)4^e*uAR3Z-Gzg>qyAa|hwHi%a( zhudJ@=NcqKcomc!%-VaUfpgHeZ2wiMJd8ES!HrGk65|wTI0}{$VK8qxj&* z;9cgC?l2wA&$~k;hI?1RN-SSR>+(20Zz=r6^RH<$TmojH6&gCa5RmWI0pCTp za3OcO16~pDoeZp)4{m^!5+09h`+tw?Hd?56AN&Wx=<#kKm_ytfpzz1m#rQmI{|;Cj zzVTnkj7WM9%E;j~4vy;U0-4eLPyGLLL3}T98L%+z$Z1ffAEiA2Goon%nfWFF|7V31fjc{SC_c~m zX#hU|wKf~}=Pn$M`*dDkdYtB4Q`KU@r!M%vP%6A?2sGO&#+z9;GJbHbO1Nj zlC}W3vo#lid9dz<5IM*0)^)w7yF#%_egeNF0d$;Mni5i`|Cci7`EsTKr9QR zF(rcV;uo9SOZNGcUS~n zfJ~-K09ouODgoSO^A-ZjW$3b@CukqU+@aqNpC0=G0!O=7PCil5p@Zxr)Enj>qa%^GIrw_B+J>V>9F#O z?WbJoH5*PzO&$A-o|m^Q;S-pC$M(|3z!rw*cnKk9P)khI{RR_p{uOo_{w!F925Dc?bGJDi5ATch5OqOcRqQ z-yH()Ui>|!INrRFI<-FhU<$nZayPof=egZ%==t%C@sRZA-~0@{3;YucfB^nB77Bq} zbOIK{%L-s6n5WMHF@(pc&#V^C1q=8#q24NbQ2@Sf#@zW$0HUG z@#r(?ofB1oz&u5#R7iS>$tsB6;_v5>^bt9f*87Uxw2nJ3nn%D&jQIFD6kjI)ruCjR~k9;a2!-6sbiZHVgL!(EBL9p*JDSq~GX-m`mq>K=2k) zC1oiGq{%eLSW44r8E++(ZiJq-H0c)*ZKP|b;K)U?ppKNQ#7{x}th9`lS8me0GMqto zsq?o09?}W=&h2wj(SC?{O1-H<>4h(s1n|c1>j3yj%g^J$e5KZ7U^7NqcLT&&$(|nj zcxhcnI=j-mUw|b_lZPR)E7ELQawkcBLV+bqv)e#DMGAWWk*iX2D-f?qbvE#RUAlV= z#8j!{mk_xj6?cSQzEl{CVslI2pu zLl7$@%Vc1Wq$4{Z`B+*)J0y`N*A;0DR^7-63*b-Vz9& zpZxC>*o>8X_lK1@`TG{InIKR86d+OF+7ZMnawx5AlH?D?h%;Gsp`w0@Jdei6t8#b* zuxqm2O?ba9_o5P5svJeDrF*h#0!-hR7kvk}59BMf_41+IZv@=t%H6&L_E?VE3s5P0 zoPp#M`QtaxdnyY`n4igA@*r6+ueuML@8z$lqVz#_=mo41UnCBjP4WZUhte#+pwasy z(rNI_lyB*=KdN}Q19nU~`z^5JO4?y~IH5eGa_&hbECHtNl$mtO-IRZ6UFEJUI|UEt zlqec1J(cgM3hAXBaR$*_$)~9xLK!*<3X#gB?X#(q<@D#{uwl5#%;s zsieIEg-TlqR*IB@1+ZDHeC!6j66NR{@T!%=*&x;^|Aa#1h4L&0yqC(xTZpAr$s7Wa zSIUj&z?zjDdIA2U(smjY%+&E12;j^Qtdk*z(HM18x$SYzAqr+ta?#{ z=(Kv79(xzHH#Hwz)tSElJEKmbJol`6{2oNy)O%4-a96WvK=M#0?uFzz_0BE;Pt{0c zmX}&UTSvUr@h?I2QTtFbS5UXBX50N-^<09}9)Zr6>C8{M6x&G-$cCt00FolBIA z+yJhsZZ9EnP2K$%R<5gV)1a5C#!-{~hPvxlDBM)rZ-&S%wfYT+x7Air5J^)zuLhQ` z&b$ZH8R}rV+3%s|=@^b|_NXOyi4cV<3`5*5EQr<}uFQNB{v9%ju+MRWv zI-dut9SRJ;R&N8$ll?paB3`WTEdX!UcpW?+w$K#-J7?*{~!)PFT#=O4(R?YlrxTs;? zv}AtHI<^Dv1xunc@sj;Uy|r2vJr$;3v0=2W<2Cz=wuZl9RreuT$I>=H{VnsScO2d^ zHwwO%y;_(JI%j;2A&IV9t8zg{)RROo#CmpR>yC> z{tV#8Kj{U3?tBjA`W}2Dr4{G+n%i*X$=CM;(Thve)9~hfKL^i;Zx{#6mseE+JJ0{@ ziOBF+j4YVD`v8RSc2}Vg%DJgMA4S_#QR${`;bnfo7n0FDm?q&EKISe&VtEMN zU~zo2e`s(MuL~bm!C(R$@~@>OW}RX5z` z54g!f#437GGFW8v1o67?%?C&own@;tDW)Vr@0Peu#%_z%OQD`7#xx_O zbfI^INQPKSN#Y&RKvpt^IgMFaA~*urT@gWJYqp470&q`s`w0s7#i+NinImq{sPRB( zOF?`nHqoLtS4`akEKk_bSo%aXya0GAen^LhYB7zrxz&i#P9Q!Pt*H+8LX4w%>?MBn z4WL#WxeD*EM8Bs1uSLZy7<(hOGy&9!lK#+pD;9o<$li%rpFzD|q^|t+~Blr|XvZc8DD0MevV z%I-6yA66sIJJPSifn`cV>9)y|*2cnSiFDlv^-}3;DkYUmLuma_A+?~2pz{&S9q5_K zPiReIE}x)1;1+T_O7;%OTRK3&QXW1C3J!9cJy39zUu;JZ&hoeGK|C!lv&3P#$kD$5 zxXNAs`^{_F{3#^Q$`7Bziklopsh_)CL3g=_eC+|$&&i*S0ntw%iNcr!@ zAYPVt(u@}^kC_21Mjqu4kyv@rDTu_$C+KF6m)|FXmmpV0BEUqs*BXdik$ZH*(I&|` zlp!R`pJafTBERf`({oksUk&wZvQs*OxGuL3gq8d9_?G}Va?b;hd?-teu$ham5Ckz# z9zm^ygIq>bGUsghr~eSos7JM79*3(!Fw-vp}h%*mDaT6KB5TPvv^EtOa;$Y(N2SS zT&eB~a6$>8*Vaxdh8Hkqr)ZZElD*P&4ZKrIr%O<9P!dd_?x=Lw0^p=v>kM!~F`?Na zKpFWP&P$Loo6bbAav=d&h?0H=AXL$4KR}o=gO2v1l3suSFDV0);V4{*q6a-f38Bpr zk&4q7uoYFexkN!R_B^6fGZZ!5+zu$it@(;6s4nbijB zcNFu(0GZ0tn-IxTqz(|dtGu3yL&;V~(~MrOXl^iFq5Lx&K|EIG(;!f(v>gbMDrMz7 zh&)jqQ`z9Dau=zUc7`a{o5{rx9k7V0CqBM+zp zsk3aU#?qs0r5>Uw(poKh1w9)zA|J*Ms{f-L@{rn&meq&V_Eds8qOxpA9##Ln4$NIG z{u`Kw`jr)|c&fKg;4r<^zzpbltIjka`KbA)A>ym%w*l|G`mz}q78Sb@gunWNz6j)k z>P&^*0JVblZw0C+91(7idea6Tg4J8pd=61(P6IDg9Zg>fdR_f+1nQ}3`1b%e)jr5!*tAEf_T%fk5()erj zF9r{9)Vb7Jd8<0y0rpO{{s*95eN8Fxdvyh6YYl1`?Rop4wrT}lqk5Fy18P#wP$#ch zJ!A`!k7{H++?r`?JHmsxc4;|y7FyIkfCHMt3j|@QWl@*NN>hhH!CEsP3Cu>DO_iF1 z+K<$0a@3|wfr69vBi-z$wXKuDbJ5x^1m>y@y9WDMoOEawGpLIh|!v;7!a#@w1q;P zcCs6=+gdYK_R=&@8aOkwDRJQ4(T=o&u}saX5m=VCfg!THT8mQH%+^-X(|=D3?E*(- zT0wvC$~C(>s6W#DXT#BBtq*PHtJK11e_@rDM2)5=S~r?u>$S9KfcM(KwvhawSyllw zYX4HXs7bpy7;c-j1WS1MsI{Y8-%Ni$4Lo!G5HZ8s91naJpw1wzBdjbp9kIw=K z)4$HaVP4cbYy);lzfKQ&xIU69E)n{*d>m$^ej^=NltMohG08jJ0Vczep8=7y4A% z=JQf_bq23i|Af9qwMidICB0^S5tWk647>aQ%ng68K!6s8LLDLp4D3@7j~kk))Oo^i zn9@)?L+fn-_J(ih20LY_pz4o@G(G*T(a z&+r|sG$IVs>EVhry#61K=(6E|9stn>vz~ApV{j>jUaa9zBqVPbd`yAeG{m)nmD`5A z99;f1Lk(pm>4tj+u##uk{U`MD4OSPxD>S?c0jY(E)U?6}s%drGF$T~Se>=1LOY3?u^ zMB7e|uvIJJ@hA(Y0`oDp<8#=s#hx`N9B1>W0Ca+>{ULIa4XVSD+ObYXSg~g@10ZsW z9igGnfz?|Bb7Y?H0G!yD{o%ox?fVUqr`bzyn08^lAu#RAA}OUf!ycD`c$PWRnB>OV z;q`0OCf-?r=fPyk(9f|?rh@3netZC%UaTc;$MI(0(CNlk3WP(_mrbCjCYk+2-M$pI zdNhn(V>hX^f1No|J2;hPQc3v+vnz$T4SV-Px%W0LE#?md>hZOv+$v zD6l)MC0(0LHis7NSuBITLhUZASr3tH_KbGu-(!2IE_0vt+l_E@*w`5W4`}|xGs`{; z1}~Q#je@^C=0FYbd{)^PAr-J38c7S;BC7lpu@7V6ub3^PbtoE%InXO*+o(EL#+sHx zubhp02!)T#<6rR1uzCh&!Nch0Jiy=G0MU{kq!qap|9Ar7TJz^iAY#KuI>X9AK7?NU zJ;a;osvPD`v>-gf@6(k&${B6UJjPp&g1RmLl#<-zyx%7vp5XjTNS@>$sG?=Z*HBT{ zo~NOCj2DpnZy{U^P%p{+j&CoG>@R^*ahDc1O-=qmJ+=)d=8E3 zXZg2ZL&S~$NE4Jh_iltS4?gc(SPAE!4Mw;Tyo4IaQG5rzQF)n5PhdKlU;YCkF+8;b zR$_S}EtulCKW$2h=P3$^3EW@{ERnx{i*T>-JX;Wxc;+h{Uozi;+9nDC^^>7@mG^iL z$!pxs3&iVu+9m{%$`>65c7wP67V0K~9!;`MJcTMh&Ah!YfTJ+@g6Je-x*?X+qN*Q=E@C`QWv;?zKZs{U zVg@{%72`QPptx`ehvF_KP)pTA*wU94pA&~}Lc~+J(w*!jHjRKyZ(-U5D?Z|{4j}r9 z3hEr67inkU!B3p41JPfECPMFmI2{8=0b&#_Iip364iF=1U&2b9*iCa7USRkFBKQ^E z?*S6UN?I^o5$hemOA^z<0dhnF6`URjtM@RSD}JQCOnG7e4Tkx`uo?;lBA@CVh2rL5 zU`1kXKPVIn7fM!3#BcPKf~8^!&BJBl6g}wWq9PelSBT^ti1U$%*a^MIVst!+m7@JJ z5Ua#}Dpx-d)2MmyRJ@CT&1WLB29B!57ab8xjR?tvkztgWaH>B@NgGy}Q!G1`zK_Eoe=3UwTQMgdFKu1oR$A z$7ws`L#eC;Sgw?M9K1Yf%TK`arJfyOr9jFwMP!9i7rLlVrEp3mpGh8*5oe9$Oik(M zQW+K3Uq~fSfZ52`jIeT0Hd_ngVY&5VSUDnlJHzHtR866GOwRcPR%~TPZ+;z@E2zA7 zLcTQ?#FO&Yh45!5GfIT)<(jgCDkyNAO}YROOy{%NLS>nwJ??>S5w0* zSw2d;0#am)Hvrl47mLBWC!1EmLk@lw1L_ZCyITn2p?qTx6msPq-vi5&dr?8{nY_sz z{;K7XDe}fvay>o zu?(1p(t9kxIc5JjsCz264+Ha3mOX-qw~|cDSRdt=0z~GkXq_Pvr|fA3V!X1W4@44` z36wZrQT!%=m!t&H?Ut;prq^Cml&v(eUsVdjp>R#{qU!i{^L zkxE*(lsp<#Zz~OJahB4Q0CRwJWhd=V%1~xdetJil91feA%El+q%Tlhkgu-2A3w^6; zwvuZBxA&CLz98OLq%*LYqr_I@EIm*rZUlI!TnPm+SDDotR`L|P@leQDKGL0Bpp2kZ zRH3q!ChsEU`}x3%l?799d?m^!+o4yg9A=O#Q`~+7C|6F>tX844KLhYc=|2KNJXZ2) zwy#vy^#`a@X3c`+6D5nblD$_NJ_0l-TaN)WD#Lx@p-K6w0_x35QEzzosC@b-cxGw` z1w?ao>}(J%)JI!j?10*D1r#h*i!?kJ|E(kr&@|Bf87=pF%%>&fu&vae99~29t&s_o z^^f{Rh0@H^|7WQ?VSo0cB>bP_o&%Y`W1bqqJg`q9+f01V~WELm?3;8826;PHw{1u;-z_+W!Mz+rz$u{ElAKzSw!<04TfmEyCx2WJ-Mg?K&HF4zc<4 zs>Wfqh{MKFRzjKFG4}Ny09!WnJc!3x-g9W3V0*^H!AaJS=36`Vq!uFfY#wFeUd)1? zcW<_bW^`W`L@V9%Y;7~t{a9AyoVknQ~$p#(AWULXdurWn`^ zVS%(v4`opo5ONqh;R4=8wwx};B{qvHR4MEZmFTasS0#|V&SH1MUn+Y^{e>IsZ(8Es zWOcOnf^MdZ8ex1RvA znHNp$PuW{q#y(?P@54$BTh|WYIcx6#1-v=q2k??bMgY{Z@jpTG73)t~-fLF%58`~o zuI7MP$F@)|{FV)({?I#CK((cM7EI5>dp3a*o(A^GQs{kPYiQwmkQY*q{SZGH2kZ#{ z?h3$BZtM!;F>Y4_o9Fo<1-FbJPx1lp0{@Q6YXN-u->@0T7hMD~h&w%n&0uap>(mhb z8Ew4?<&JcVhw+p_kVGSq&dVkKbr22=ugp{;zzDv(79x@S{d@4D_zzxCzs&zV3M`uM z8xN5fK8TX%SnfxeKpY=WD~5RPM63D)9zG5rk*9Wri-avzD3ZHTS zB3HTdGJtD5V;MxSexS_1kiT+(%_9D1KS-AF6gr=!{PqceGXCX0SSjZdT0o?N+pLGe zBkmE3LwU@@o&i+yxHy0+K7|sAC)_m;*i$}~;fS8`#s|Qv`G+k~ui*L{2F7OS_xQHbzdfFMG}O!}7L zFcGpH#Ear@HyFDlI#9VeT&T-nGeV?>0*l1=g+e4s#HPZ^Wigxv|7fx36hMq9J_@~9 zaW@p;wy2~!bDD^sjW{!qJcD>gIIRViDO&V|l`JumYQlHL`d(1a7MJaS-4k(C5G@g5 zR1PT>NWmzr&!YsSLJS{)SRM)WIk3m#BUMLU3G)q*d@a@&LA_3Fr3UL;aiTjU--&fg z;kI5hltRQ(N)CrxD@iefO&jUdJ%EE!C_PSxBwHk;XaUZo6`!M&M30)26iw61Y3US| zpIoFj_h8ypDx_M;8EFa?Q2eBcesJqAO{WrlfD}Z>Ea z0*jRn(|)~pDQq=NCrCfW=?Y?dL&e zS`SBfnm%L^KF|1@`d2f%IwFKw?dWy4*_nNCKg`*6A2NSM(c*V**;T|cPqBmK{ByKD zY{3B9Bfs!3nsOJV(E@UDW;W!PEZPe0(rfheE?Ys%)8#kcfxBY)ZE#oq`!}qus_z2b z)w8Yff6e10$gE9li~s8+nzR01wjH0>@1knLhD)x{+L#gm%BF=+VQ2GNs>yBfHOJ?z z7pQ``P4|M<_Et2r?^yK-xaVh2$AFUWepaHp~bsZtQO=E4s5C-$BxYwWRGn=h%Fje>|BB zZ3*#Wn`k4oH|tFWULWW|-IpEN2xI5j3VQ%Qc7_@y{;bpQz%H<#=-CKhLl443AbU*( z$L`w8v17X7`QY-DEYf@NkQDrE8wXq9_4RXRGKeXRvKIfZbv5X?V|Mhr*zq z#U^?};VxUd47_aCJOEbiv9t!*yw3{Pf|tWWY0P-QGFw6KAq#8;)4432I+S^AE4{jx z&j!(2zJT4UfqEeuKr?C)3)l&TVpc(UPYJ7{RHc-KP=;B?7SLl?&ZbTWR>8`@1NMkn z&`yTO>^KEc$;MR!RI%6eb;3_rFpWb`S?pU_Imw@U0NC+2bWfb(c~JlkeE%*0M=nzV z(TOjlq{x}KruOk^{>mEaE__}Sc&>a!0eEM4qXgbr{#AbfH{L!1-re~SdfGksPO2H7 zU^Ihe0x!@BINDLU_?7NQUyCrvnS)S=27O$UF81@e+4C17qR5<3Hd< z@T?6WM)KcJff&UjdIG!5b7_Sa%}0F!y%>IO2(Vc0Ko4~s&zl4g&nvD2B=9S=T1n(L zngFiwm8WsE58)5Sa(Pq}^z!+!J#bXOD{td43whr_9A6R78v)5;J~9hdO8D2b(YTc7 zOoo**zW)KRa{h>J_6q**Md&@^B^$we%tzCcQ^}{%mkLzzvuO}{!gqTDJms^O!_hPT z$1JE<^Ur<)R>Lpch2C>sMtS%PZaNp>CBNAM0oL+%6#OfmOYPj({9_<^Z+I3>!FAla z8gAe6yDk9lcnTF8>N#5sz4v@WH;6RwKkX6A2R@V9q>a2SJ^f8QoL=W_=JB6E?;{^T z*UwC7iEv~tlISE`h^i8(9}t=204&84s)ksJ)!!osYf-!o!P|(@)H6RQCQwQ3kQhbz z?P0NQ0*FV%8ghG7oW2g?F%eExFk4}k2g&22O=o};;t0)tCq=j|FgtO{5eoJqqyPb) z5?L0o;vila@PI6Y*(UQVV z?5hLMUEDYYo`*=Ig2_42OtoH55x5sTFERfIIPw-f9|HIYE6Q4Z#b9b5B#5#)C?pDo zniHynCW~P@Sx8?)Bt^7w1n;U?MSYrUVgxl+u8TT)O(a!(LA8S$VoxRXZi=*L0Jnra zjf1yE5#@Mk!kzjC>EhNjU>Rck2Z-DeeW{U>DIQW4B}*jig6X@$<~guzakUFX?uoL| zP`EGF&|A4Vq7$972ck`K*-@<0D7(+GiJn@b?OZmc|dbI^&1MO5S6o1SCRwNz; z0~Cv8w9%tPG|>}YDoSXNTAA2HIbOMlehaKZ+@!kmBhmj?0DOz#R@kf*nN(1y62(*( zdLjni0q?0;I0&&k6Ay>MShZ;L6sBv$rlBA{7t5&h{z43i0r92yo)!bOVr?;auf$7l zSa~fbWCMF6DySYccdPEq_7sqZm63B4&~sRnN?&%L0-XQhO^nIv{npg&-`Y__rWhNz>j!-CA-U z3!aVi^DrnJlr)-p4oUWt01ivBRGB#$WsAPE^n2)rr3X;CkJlcfiCz%d~6@Mw6 zMz;&n)EfW+(#lkX8z{{_3%wv|H4Q<*(v`E|g-Euv2n?0l{Rt0YQldY27o}Ej5Yi>7 zMImg4OLyErjF2iRPl%LqFTr1wwCoocyDXK`T^B7)rN(`X6g?gavC>F8sK-f9+e5Na ziuHhGmDGp!a6Og!86fgZYV{**R!coevPSaxABfMT?4_{sLb9jGUP{gn!K;;qzX0zQ zDiKh4Ep>GO_D0%j1yCntQ_=jbG~`p5ekUFL9_sbd(?39bFHQUa^#;kFa{CX`40@&; zrJrv@q)D3gFN`%yuNy%8D0OLsF*AAW9AM`1K}sYn`qhh#HauOF5d4Fh&WwxHYXs66llz%hB+D>>@uDgv`++?*JBJT2gYHWDObLt>+PF_hRbWiy&TF`jO zduX!pmPaJRt&jX|DbBpF+zqV6CoKZe@`Py zoIGv^c=59LOn?NrFYVb(lpj)-T_yLSJpoVThqrJH&*XY{VAXOC4Y)P(sK24`T#hvZ z_CmfzRrZ&%C6xthWk)k8yplI8fXHjvCl&tQ$Q|xLq)z^oRzPp%gY-u1JG?OqP%j51 zLFBz0p8@p-dDR{``XF2PhGZjd99U_Rl~WLDmhDo(`zQw^kFC=FIC#gE;j?ifPbl5vK|HDWZG^g=lJz5u*(>q$z&oW(=?|iV@@yUy z9F;8%u;Qd-j0VwJvAqE7wBkbLFBfIbW;k+H22;uEjFL$O?z4*V7>v0og#nOsR~X&G z9!jrX;GI+2Q&-eenQ;~(UdoaP@Vu3jX7GHJ9iAZiDxIhra$Xr638J4el&YrwO06e| z7Zl3@P!CXkH2?%EJ1oHqQpRK;mSE*6UH1@0qH!=(nZE{+g(>T3mbs{KT3=mKF1E&5 z3Rh0|0T!XeNU##Ac+q$krNq#3__Fdjt@@*tE?K~0lzF@0Hdc9L3oCI-Za;u{McWLJ zpp2=6dZO}bDzGcc7XyJMDaVVUm#qBz13-$>f~JY9O6_-u?3xn!2;jQ1yCsN^mCD&r zs8qZDJy)>a!2PLAreXA@a+$KHTBR-R z27aZC(m;HzSagMzH_8|)eAFqq^f13wnn#29PFeRWuzIB}t=--$tLb7i%gs276 zp&qJsquDo19eEGnqUvIRhJ(qiBz}zfn$hLZK=j_S#72|RJ3Zc z6IhJ;2lcpP)eM?bg{}B z@6hFJ0M`^$s)x>BhG^sVK0Gi<)#EzS|0LP*eH=9MY=khCZwfq_XZ2 ztUt80GBo83lO8VxEXY=wX#dF8K*t^1dighH5~vFv^?rzBx)-u^S`3Kr;H^@ zEBy?-WX-uXcqv*M%|KVRly(5uwDa^uZr8O121K2zO*#yP8(RD#sNd9_Z-979^ZN?m zwsx!uyfn@KD;P`H3ctdkWN5y0mhNb&bSGzO)fd6b(#mc?{jTOm*;=;dO!b|6T4V>P z-`57yZIh!d=!;k$XazJaJ=Av4BbuvuS3o3B8&Au?d~N#&7%R|Xhd{DW`;~IHA}y^D zSh1FV4Oofxyc@7m&6WnhGR>3vgymXz2|$H*`x=Zr(mv}0(~q@-7h$tfTXGL^R%zFq zfIZP>z5(`BOYa2inRb$LzH03p2Ueq<=nd?-HihuFp4N(Fc)b)6CyJN*GI@$L0QAB1#D|9l`~angrT6~40UgP29+Uwbax{}uIeGn0j}vTG`^(j zbAE>U4Si1{ByZ}oFT?aL{nx_)xAg&~kWAD2=YyB7TO3A68TxwE9TA6L zvh+i=ZoI3H-VBhfr~eGo_w?2Fki4&ViUi2fM^YW}fj*q(s)zdVzkucHN6vwlr%&<& zF<)Os4|;)q;43&P)c2KuSEOe)!)CGGkrtCBdRaNJQvF-1y_V@|Gys+H5g+7OB zevkC;J0keU`g&?IRq8iJj%uyu$-!&&W5hEz&UMLw-Mf53=TiRpR1uCq@mfzFp{QgUqhRfFn!)oK&xFp!`W*f`WyZn z2$2hh_5E;O0t`hy2r1AoB^)5g@Cn^+!G?R6VJyTjHwwg11E-NM%#eE**hRxm5L};T(;TF@_0m0Ada6`vSxnjywQ} zH_Vy~y#zzAH;_y;Y<&dNR}9va0VWwD$V0NB@it;fF+@=zf&(Q05BhM#GMy<>QL6(X62 zGgPd~GW_x#Oy4#1qdrr%!DSFU+%w$o32@)gI|u>h7zVh(!vlkBTVSsZIA)C3hJ4B# z-x&;)J=GhWXyNzXFmED^H5jtp!TVsyr`)^I5Httgn+!p;v%<{eH%cqaP3r$a5EdpC zG*cchnKl4=mL^N*foNs&^B4eY6LXqj51CZ92RLj}*9oWRh>812fTJdjVF1TWPE&2o z)`WQ@+~X##Y2|j(5~GH7?YQjiN~9)r1e;W$uuwU5=|_FAbG{4p31IC zCic`yOg3q|9$1RWnGk^MCO=ZSHq~TiEzZOZlX;Yp-89Lh#oaBFE@l9?O}J0>khLLt+nB~80oCc9Td@})^m7C^0ue-Mu7waL#^*LY*%LwQ!6Nk>|f zyfw-GFYhtAGX~!4O+KY@>AguWs$eykd`BbZ2a}O+0UAxr=%O~6jM)QY%_bwL>G;uP z7meO#Mm-mpx$&D0@L*wFRR`>Vu@epOmc}2P0j!LddVptbw56=s#+Xje?LlMhQb-;$ z>U8fOHY&e^cf{D@39zHaKAnIaGp1{Z%+~m`4D7gZ&v(F182@<+njKLE_pID-}rPR8-HA=TL!K`F;+*>`BHhH0>rEm(U=7)fhh! zde@AneuLh1<0Pu&ryA$3f#eP2gP9PyY4oD9$Sq@aOIW#W{DdaAG~*I_*(BXKmg)={ z#sh7@yJP%FgKDO6Ofq;`#%;8d@vd>z5m?DKx{ZW~dq%T7fcwU83m}qXEG6Cp;}H7c ziNb=^iD>BvGFPt3XL9gGZY!engA;{rqY8@V*Hj`W~Ih1 zRDvoq4yT?+x$zMdwJVGvXtl_XjMh}?er#+*>(ojk5@QU_#=8!Xd}6etME9w2@D*H@ zXU5N{!BB1NL32)xaXwwD=f*X3K3^DJ{{;5ZIQIh7YmL9qK>f;?br-zX#`TnjzA=s? z^*Uqs?htuvJhK#5-Wh*y175w+idG@-jc2AK_y*(VUx9rv)>B)f(HQd;uqNZ(qflrz z)>9(!(Kwp23p3N+R4Fw#U7HUP3)5l`fCHu%;^D#Fv|uQVd6>3pfS#9WDXmPsO@HkJ zo{y8tfn4>3Kn8j_)= zbE&)^X1bF`%!{Ubsg4q1Iw1l6B2BYs;T~nWVjZkpHeE?2%V^UH`{5|YbRVS^v8Gq3 z0vT`mC!K->(<#jWiKgGsLvqD*a}-1hOmnF2R%kkoCX^CW`~NPZsY+Ox>8rj_C^tRp z3Xuv^=O2MRGTo7YGx6B8TM;6AVrriT$)~2nJ0O;4rUkLUs!gx8heD0%14_Z4o9?16 z^9$2UjSzWdx~d1T*QWa}0efTmGY#T(rXjwF^R4N`P!QjlCN6+py=fgSvKvf8Y5DlU z)ae*NqiNk55SvT`I-}NuKe_W1T48nfrvgrouH|43en$7_@21p||D!V%yoSy!#^+%= z70rgX{}eJKdeDMwhEZ<6L3gxGJwo`?;x=T)jHP|NW9#8S9(R}$?C~DI!_Hsb zXl*ceRWm5_`;x~6iUs~J99RY2MZ4M}!o{r~gR&ugJtQ~w3kPMh(?%xqvWaJ*9>z{4fq0Rv3Ip*H zn@|b8aQ2r4Km@x=Yt=~RNvT>CGtj+unJK3MqS?`Bh%APEMn$(+rcx0lj+IS?WIPM) z36TW$=W*~7SsXosS6GJ&z>-)XWeUk`&L9v|n12usrTc>*<0$rl(9eeK(Cz5&I4A#`u_`?k61Qkfsfhc{oqwH3yP(RrJMuy zgkduoo)8w|3hWsh{swy0Yy_>-Ygj+JjL%tz&!F&v#WWz6m#obWxUFU9=0M>UOSl8< zHS2c)lK4p~TC3Kv?@s`;;3ng7$`9~0l&V?rge3shd`dd>YZ^TOS24l2_8oCyMu*0KLoj?pT0mK6e=oC5BJm4PGo? zm3Y88K6vlB&lxB*@O2&lANca+2(XcR(YmXN|3$kbj*4ABLhqP} z^n=^u;ycPuPl%kQAf6PN;lS)f-(>LYMd@u2Pl+$6Jm4TI>0N3^VbcXXCsAhr(MR;S z0n@%>9}U5NLY)W&f04Z!v0M;E)b9xp-xMQ=K(Q$sl0jlHC1Am#hMu?>api01#frb^ z`o)V)ULYojY}z}QC`#!C!7E}d2bLqg428c3;sbRcbH&k@P{QNpLA^q(_!g3n#F02)kAKsc%r!>mAjBKDaAJQcxo(9eV!jT6=40woMJ!oL$tTS(4(!8;&rpp4r}5>(Bw zmih(&vyuFA;NhUOcM~KJNg>O?J1mW)asP;PgRc8g>6c*mJ0^{wzM`$PDF)baso*D^ zf)kSN2a%If>P{%wNi+V0h`m&>7B){w6<&~ZkZgNHGC+Dnm4`s7@FqM2<9Cjr5F&+8 z|2kB9MVnT_q~kw(?O0NFAvV8!L@hL5!2!sFxQn+4}+{NOS2M@e=V1Ot5)Hs@V_8Bxws3f|8}+@gP2s zwo#k+p`=ssB~S8P4=i8Gp`5TldQUTOq13eph(*%w8u%-go^GJ)CtaY1RH;;544Y+A z4n4Q!(wrjz6;eOiT<}OroCv+glJg?Of*0h!g+i6&KNY+uQu%#IK9#;Nfm<{Ax4sZD zmt!8m!vT5P1n61Hv11T~mAteBJZpJLBlK)AnM2{A{7({$9g<^cx9ws1huI(=ksW#h zJ1QTfLExBNxEKny^5h!uj?0dj@OMIXqKWUM>`pZpJGt)=VD|E1DkPkex7b0_L0&;E zXGgikO}KTEou|TBAUY{H6G8Ht;ZO*XEq($Bm3Ps)BuoyWC+?!$kCxAuY9%KzGm*sVTzC`~P6NKu*nt0$z~c4NxQ>ryfhO?7kcdCGvs; z0Ht!jRgf%`(^|k^xjcq;j8w={3KSm6v9#IdvD}u5gOze?BTQGx4rMroC-Q5} zQjzbO+~+zZtL2^x5oZm)h!l}oDLDcjtd%et*$*l+Y@l#R8AY? z9e)6JOxe^03bx7_e*ny~jsPc=6xxn>QW;7_JEdh;0DI+hJNP@LOrm?$>7D=9RHrznRGLGr4SM7@h^$|@s7t}B~8z)Mw3 z<^$YNGH=1(O{M(_fLqEafzy+#Skb1`JmsA$hy_YOH>ejXo6`|>k@5}oBa4+09tgff znf(^LQsvi^Fjl7YRzWORzM2DKg)*WAyuVgLA3))aGIAuaw@TX;F#S$(qRFjZS=SkQ z@0H8+pf@OU+#vZunP3O3QF%mbxh5r;M(Ac`_+ofJtd_3??}+L`H|8+A$oryR#zdwj~)VF^D%TyQbgU!3DCyn~q>cx++a!;+wg~ENcjus?2>WDi457d(1 z;qRe3NP%9i+Kajed1~1$5c5^V1jGV$G4*%fs0SA!_&U{>YM}4b2?rojuYQ{W?7jM& zQq%@@%Nr0ssB`y1vQd3S2|<(kns!Dst0T@q@}rtg`_s%czb`>F*V@pHVxirqXXSu4 zW(M>uwLWbDtTe+mC|GNw%OGi^Jy{NLQ2UbV9=)Lwv?j!X*P7Ju4p%I;b@bz zfm?CxDVmK1u&bI`AVjWd7PKO~uAQJtK&mFupmRf8Lbvx#ZF>}Ww=@gd1bbU^DgiM~ zYu*8obZxT=g$!*Woz^?rM(ROiYQ6V?n59KtfaG1RY!t9;ZFDz?+|z#k9L6d&kG%j@ zn)x_H_EhWtFC?F7KYHK{R%Qe@r#PQ@UXa{5j}l--73; z?=`}-lkQE6IA`6q6NsnvK@I>edI9Ysa@7}873+-N?lc^o)z=o|P~7xBH^Fn)&(mIQ z58a^-ymR`j**IEHeT*}Jm!3@(W^aAOA*lQ4?>r&ttDmJ!0_XKFTf&N;ev=yP33^}+ z6cY8qv9Ot>Z)pWyvR+HGT8jQT%~e}AZkoRH1tin;lm7tA(07G`cSkSn1)G`rrGEgP>i^QYex_^Rfmfq1 z{tODw^F0qXQF+adW@KSa~# zJH0RuvDE9oy@K9*J%0kCZqU7`J^Vqx{vD3CQU8)&!Ee$7+5j}`g|SfhsB3h}%?x+^ zVa43gybh8UhQ@g49WYci16UeTsWaqa2&OFqu7Bt<}yeY znT)y#MTAX#P-%%yU<$=lJOTw&7q2-sJeJPL-Q!zP(GfjeSS zvm#o@O!_kPI&RXDIiC|Iq2aJI$0Vmbgq<`|s-tzvq!~{#PMbXAB5=l}Z!TJAP1f>? z*Etgp#`)(>{<;B07fhUb!j_9B`{sfDl1Vl_$IB*jivxGXq*xXxubNDCfs|_|M{59g z-Q>h>6gNzc@pox%nq1h1)-4nBXV7rlq{Iv`+%cKM+ms(o>=|o+GI`86C(p##0t{bF zjJQC2HAz~6;+skDOep$pqS7_`VN%2tz@H{Fhr^aE(~Bp--ruzE74Qu(o$rsY(xWx3g`e0vbI+;1;Wu|u-A}%*oCZgD8n#pYb4%1FtKX;l&mIZK^ z>A2q@Ww+`5GQjOI?RpA)drgOPJKJa4l?QzLO~<-}(;fq!e7&Uoyd=~jMe;l1fK27Vt*t8NDH zqv=)Nk^E%3?kmRnvuQ{WaD&aVpQAO@EGhuSFteA#pnbSm5`B#kW^wgk=SZ_X4N)L@ zy%ADIn_2RU7c$D-PXm#~^AF%~h)n{-vHBnAUb6)} z>S$h(0jyKqN|5e+kKUt z?LZ%Te=6w1WTvCqj%7X}+=5|HyHp=Q+W*d+NyIu{e(6x|Af!c}9}jHDGEabwGU1o< zqE`h29#ft_yBwR#!HT=m7?AkUynmWd{T+058p`*p^Bvw;>9UHkUZOY81d=x70Frzr z0g#j>JVow$mrg|Ll-ZDwR+Bzz`UZQ@WL&ric(>KDke2ze4?@RE%UIV3>F#0XSULwT1E#!gm{hQ$<`o6w^eVbKsjH96f-WDF*IA zF-w%@Um~0>93KNWM@**YG*_JCWS%F^aL1l6)^jObAdH#WS}2|uff0+umGZzX7H4^G zu|(+c5Vlkd;jOD>LZkPG`&7ImxI#=V3fxLDXaXo#i3_ISTP^&|LAgduVCcVAL?%M} zIn3^3%0(ac7C5lzdY_$GXqJNqtt`7ZnrKg=OzxU}vZiV@OM&g@ZA+1hB0mPQAF zVT@GV84P2kZ#%#+PU`v@!0}R3c#Q?`Q08dB8>Tb_r`_12U~J^E3lRHiOF`Ip#1a_P zWX)-aaJa-ol;boz)SK4io761zKCsONV=5gnVajE zC!ld_!5zhYERTIW%5lznzBXW(y}t2UV2k1mhP^GAt@OFE7F@p5Y6I?Pk_vkNZ5tph z&~gYY4zgexDR>y2xDanfp{-r9>KBYn!Y8zC9x^#+dyBoS=ky8u_3tv!Xb}7n5*xnb zJhj`&gUv>}82;F&Kj1i>qHEi<7hIbHStgUg(`;`&_G}s#ai@ojR-FU56T2K30UfR% zdA{MMH$&Y$fop@uhR2ZQ`GU@&*R&F#Z=r024)5iAQTI8^pxZYj1rq#XnLhQmp)b@j zcrvuKT3HR00s93;CGfcuAVJ;Qfh)Kb1IAEw9q7Yq(79`y&CE*pr*hzJmt+e_`&nEU zBcd3bcleWsMv-%IU|G?E4{RXcZz}^t|Hcw1TXrC% zmCU42fMX1#1zzPmYn_R>w!o$`+_&rH-G~v90oRkZpTt|g`Dnl4|MKx_ty?ni@}7IDRVK_ZQ?*}^QJ7Gwhx&$ zte0y6Z2j>;(B0ttAxN>G!F!#JV{PzkGMe{k9ptgF*U^@qMAI2Z0BKf>^SAkU{$`<5 zhrQHSgx5=4%6fpywIgrTxTUlMjk`q_xIDh{?eo0x1C(BAzJRnybcamuK0cuIvEPik zZ?CVA;8)@RAfK{y0i+JF>j}U zEA}xDq~f+Q@{GU9&oiN%JLo$V<$KmSh!=3WAoqx!?Rp~UlOFIbN?tPrkd&@F0qNR> z?qsSm6VJ4HJike==!km8Q+|7<+fN39nN9lu-n}2+_#XEiA-Sh#cR+gi*8pYjt}&qL zvyazB_oV|@=pa?hvoA4z!<_8Cf$qaf2ixtez4-r;R zAbY4N<__R6VaD^E;UfMNfFnff?+`Xp#7zYdKSIUi%4pH~8l;R7&)NexR+!BJaGZ!( z2;g{8sV0CE#I05UP88=CqBTiG-GF72ML<<_Wr~=_C~K-n#Jt9C*)AEybg{z>z!_pL zGbb~}7VeL;#L!?Ab451&x_RO~lehE5B4aQt5dEUSuux3jggz`1tHz*MEL?a8ZHdrK zpna(rQ4b``gp(gSwp`5l3+yXI<#iCYQn)_{!zwYXA6l!$0j9Cni2n3y){3IM!MIKo zEe(eCqJj;iY!J4aAakRzGD2&U_?m^*X7M>1#TL=W2Mk-qTz;`-n^?hg%68Fs7T9-) z;3Z(#DGqYm+9m$v2De+BLiAGbQh)LQyzX@VDud;l8xUhvtp*sZKRttF8w)1X8%*~G zq+u>!EW4p|@N6_c4{-YiTOh1)%S(7RxmgRN;?SFkSjQj*(wa_Vq}r@gMZlY1_!Dra zzIX6+p3CstC47oep#^!_kl5yqC2*mE+^NHiX?fdyxA6?O{|i#uWvoEG{m;d~M%)+( z$_}B7#v+%S0NXK!;crxJ#+cE4vrvy2y9D&H`{si_&VnbA@hvOD;)F9?B05duRO#%M z3d_0-eFbdddRtH?^*I1+ve_NLQ|fS2=(>FcC{t~C*C6c(Gg#@97K1)x!B)V#?L7ke z%q@)MyNB^Gvxmbh)O)@h25G&VhJ&W}jD4W*vvC!q^$p?WfqqW!VOy4HPXD>Ts9FSk z1H_XEkPQ?Mcwm{0zmx>lLE`ZRkl;1pyc;4KY=-O+V)H~Wj1o(&!7y5!+z!EG;Lo8o zRv4Q?@i-C0Wpcc@%MMNur{@AUQPg1QH(A&fgOn+vPA#;iii5oSI8E%i|7$w*z6C(N zqr6*M{|_hB8#ukfz%`t}bdb%*N}fptvconxnA z%n3Mq3<9N#pAM|+K<=z=AD97mPpJkP4}W^{p5ft;@73Wd>Mio-qwf8J>yb|pzR128 z=^OdYW@gZT%t_F+T*wHqRn?uK3^<^tPRE4h4maDQ|nZ#w6kCX5jMS zUTp?wJgRf;_k4C4a=j{q0^Xv^dun}4kKbn@BS+sw^hN!~R{)Lwhh)&Syw(AbR?ZS6 z2Yg|?9C&jko^2VP52Qvn8sbR;jmt)+m*fuxsA#!0K|T1B>L@mi4jKAr)hvUTf)%0d08HvI^}Tp zabB?WiH=+gdKa^>kVi;%{PF?Yb*o37L7e2&AS0#W}l&3AolhKZuJqe3i{OW9-y@kp9Qqe^TnuJP5$i{ zZeajq*6uuvxHl%mZTWjFe2xKXSG6f98jU{8er{rr+t|tzx|<9u4XlGRmsQ7(>3}zV z#t~_@>^>-)zu;XUr(;}Sofq>|+2zZ0KwLlZm3Ax2Z?}2vnGeY=mhMQBQW~A!Ulk9?0q1 zmeF$Rlw9zpz2!!b{zU;iqxuHu>bBP#(lR%n1%3A*=D~XeMdI1hB@^_$>N7U(9lYh& z$nGf)PS+zp0Cjsa0Co3{3hEwd^yoZYn5p-=&$}coUTT?EN1{4_;wfi5LD?rWCp)sm=$vGI-acual2~MpdzrLVjJ{^ z9diU%+lu#456|liY`X#UbKC!M5V|7jjiGPs; zc)}34GX?W~C4c-NV9sOU0*CCtGiVM^Yl5{Euq*^$7lj=|mIK~q$tTo9OMgH;tQupF zwo#Q)563wG>?msl-R-x30wm%BH@ptk%Rm$9cpLSOzjLFGnm!oV=s%f(iiyj&>^N_C z#8qDcUGb(0C=;Rwf~HgPm#BAM)d)T5GNTtDiHEC$GHKIO$V@KT9~P$k;5_Z>$oDXH z>+g_|wv#g`-RCCi8NRNlcYDPcJM$=g$L{Yt0@A~u+h@3Ui=P8TFYb8*MNiy-E*PiP<3JVgl)3uAl+~~$D3>Ya;1I4CA-4kYY#rMdOl?y4 zLw4x9W2lE!WL(&G3-8^BXWxS4cF(wGwomH{IT4L60o&ntBRnJbt^rra$JsNk=sG;7>?PEA<@o>CP)bpRuJaBy?-XtY_wccYt(n%80&4*QucC8OzJU zy`q_t>*v8$H%pY~$J$>!34y!;qE#;_8Yudt|JrMpF{2+~To2&D0KV5jZ^8iy)~f>& zqE7;(^&E55+eA@Ns5f_yu-JG!+gAP!*zm>7gtxo)4m9l-G{ZBZ;z3|LjHrocX`Nblu=GxL!);#Mm=T(PnTk+1%Ws2Ktt5y%ea9);VV{swNslgJUc(<{OD4GJ5u6} z`shtkXZi%mdS z$K$@B@A(IBp!M1@17e?>6x zNf7h|9p`KaHsz-n;#&>R*7FB~w@t<*JVW=M!ZWOzF|@VKWmFU1#SYrqrJVr0eX+8T z6Y-rXnPJ=6T%nsDk@*V@5e#sb=8B@RD z+3i9ko|%7fEW1x=j%SZD+_QRq;LWRET^Ka~TA- zaDDm;bU@l!$Oydp8GJ#n?I0sKo~PC!FX@iA-fe+rn+8Pz57l`<6gGGk>TNf#gv{{O z>+x(C!CYzkM}r_I;?f;pJ3Qw~5Lx^pEbX{uJhVhzv`0NU`UrXxbK@Pbu~+MZH_ojR zc;g!|VojJh2=Y74xChG4t1SWP63`vIiJN+$p0v^l^<+a+)Kh#$fwF5?-g{5YC=LtL zy3PVu`gk+YWPHruhe{QP{LE~=%iR%7W1PM$K)t6eGqSy&Y=R~IUhV-+mS}krMSqcZ z6fy>geY~hSP`v2yYaQ?11$+S)^Y;^1?jAuO?m&O=uzskA?DW91^-xbpZPT+dq=vpd z!*P1T^}6j{UKkEHVOG7}n^0KV-kz&}#B1J=>ac;cEi#urYsX|2G*O9sH>1DrgtVBO zqk)aJIR!a!9?X5mXIBR#A&+N{om%lksI&byPB27c!(u3+46G^g|3HGQKxJN4r&Rg=c0qz4q=MxGVHn=>u%f0Ss|^9eWP>{Wfj@ zSC)ud0Z@Ojo1gIju_YST-o>-jk;QPSe-n z>D-hTl3XmlL8|Ld_S>!KdO+M$nceVcGX(NI^Y#Plb%y(Ki}LjOz4h{d`%F#)jjt=$ zS-;CeVY`2){jfK1fIajEjVcOh!L3#U5>g}-ysh6}1x=fG70|QLlaC-F%!0X?wlnxn zg|BIVdb>3hQE#7h0F)6sn78jRpB{5$Rr*jJt77`7Q4U=JiQdc=F2;;G`PggUp)0Nf zzhM~Pr9GYrWf{eEs?T+xb30}!y40tam$(sLYr#8ro%tBYbM*h49?rnC*_|{{H_y$$ z)2YWUJe|w72HYk05AeI*UWB^aJ!W6r6Vd_kC~t(i=Q5_*y>7`1;g!!4 zo^be1tPC!{IQGrIe?P!mT4Oe=t;R5S6+GG**pM^ayIP+=2nlT#NT`QqTYxtVH&8J; z)%fcz;bFX;*RDGc(Aqn<0&m2mKLF{_isK&Hj_X0kVp)(JwbS?4ELiv$6pqe*z%{LU z6%w0WxB&jCPUSFo))4Hwo|- z4|4(c{<;zU@tMdq*moFz2gA>T_WAd30?L;6P5|4gOcVA{Wp+3ulqsRs%ea=enZZ0; zsA);)3iBL?dfOsgE5g5Bg06PgPD4WbjXCULO|DlRet5EnyEz9tJ|2d8)I0ZI>wz8! zI>$akfonSMD$ZF7rWKYq^Z0v=n%k*yGbgi)p(%k~#si^Lc zvH|z#{2uh4CwrsrwQm6QwRp&#()(Emr1_j=-0r)*F=+hW@!j_Sz_+*M?%zS#>J?Yi z;PX673#m{Hkk+}pQPyU*3Ft$&^79Nkw-xZV!|#DI+j zJ*SpwWg)rMLSD@Y{<9?DA&%V3TE{O2eH(Ym3B6th&#(oIzS~yfS`xk>il5>2*Lb!! zV%|KWI=!V1FZh>JBhT~TxZ_#A!%@2}etm{p5nHQnCwK?i-Iw=LJX*hlt)6$gf#1vL z0pKm-c|PR5oj!xlgFVpao5MK5&#)G}{tGxuTaIVk)@qj@AOX@$)B{IzWeO5}bAnCV z0TObkDW0uc&;w}`&3HWY_tAiaEvSlT+p4+H65g08z;^9^LVo+{JbjO-?*;k}_grCB zC7t6NlsvH= zq@{G{Th`T_`IywfTm{oIxqqfRaox?>5CI9@YA{EUS-Bm?uKNST7zH!p@FUPQ@4}tV zX%AN~XS1<@xXkB06W4&6fVds=gMIEJ?%?S$lkb?Pdo4V@jGw`d7E2EU?tP{Ubo%=l%YS+`nMmb zw~eHa7QVg>uH6wPwE$2O0&1jNZ{ zKO{QWVj9zBdH|lT%jdvKH=FKwy2p2hl^%KHLF3u07P!3L^S(oi_jDM%ofxC}+~nDj zuT?5+^80uOaQ|~<@ocH`Jfc-oer^Fv;!qECWxz}tHPFw=F3opjHJA?<1=XQ$H(ZKBzbLqJ37GABP zagV6Rz%?ivtN`P0?GqIqtkOx^g0Obhs63fXdM?9C)gHRm?aG+%<9U7#OaLX*~hFA>=*) z-W2PYqPrz7_5#Cgk#`5JJ7R$&M&hnG$rtRN_%as2`(o!iFgy@FnQM6{GADx}R~!un z$s=KN5Cig94Cem!MAYE{>Qm8|E9f)v{w_>&SJ2?6FCDR`n?##mHmV0z>VdjxW+qzpM=X;kbD-s8U5u6u^X)~q6K4? zui|?QNWKZvQc(0=$aGhKh|?b+`lq;i6}T*EttEi{rHDFUA0YkS4SWNoUDY5ZTN;-K zI|oTmUZb0XrE;%9IYjEiMQo_l+8XS`q;Muwhf8)tK{-M?IRc_bN`o=Qa0B325Acna zY`9{Mk+w7OH&)u_3BGYsb*8n(OXa?TZ-VrCG597*5Bq~;l2n0%JX!j)C-|mF#k)h_ zR4IxP(=@3OH}UDxEM}EwNE7#fZ>AJH1Hf6*-X#FemO{3oHAkBM77TNx$@GBdNp0If z?R+W28YBy(>HPKPg_0+~Lbymu;Boq5X{R?@OQZl(baSaR_#+sWNfw;*%cbS>L9#;f zr*pefI#0iGl~iLQfUBjWHsD($o#LyxRtoC@+&by&CE(UejY^{r8>9ng(Ap?{;cs4S zl2$R@zge0CTrXTZRF+LyCU{zMWF53NUGxWK$b_yQR-Z zz_&;G%4KA)w0H&h_DSW6fMmb4gYWGDX$CKM9+XCKbv-0)=CS)RsbdU)$EE#;zb)oxKHD4VQ8 z;wPVfx3rQO&^^-2I-uMuHDa8*Pnt+aZojmOYt;eC<66F5fxMD^M4Dm-El2U`!OCNj zIX|!ClHvi}3F!>K%aS7{(T6xGS?&PIDQRvI$UH0k!Ql3s^n#z)dFeM}*mOa9Iu4>Q zN|X8h^h;9X3E;adEw+I$e2ps{lvkzwV8qwBG7V64T?(EE${W%W=Gt#cnh$`tq{HU{ zye%z^fc865&{MGAm3*s#7F4*r&rI}cIAeHz8l84ewCIKEvZ+Xf-Oa6HmYG=!z zd4M-Z-mx2$^JLEfwDjgwF;mun`#mKE~E zjlivv2mg-NYB@X(#Twa?=XUGlrvg&e%khqAZIF|_LAgl|IsuZ+vi()Gw#XJ8AZ(kQ z=LE{_atgQ19kL-7UD+kaHA7c+%Mshr+9PN47SBHU08fSX%c~iH9FV)d1p6Vm#4)fR zmY2)`?uZ;V8^B|7d+zVY<)w4cIw4Cuw9AqA@MirO z&dPT(A^M#BpaLi_$m{7VUz9_bNVp`AV+?*-zS0*YSLCrAkZW@6TlDX`9L8?mkQZG? zaZ7H;7~{4aJQ&3t`NeGT-Ias6tlXD_0-^7L9Q7W+hq6s7L_d=EQ~~8Yk36S_&0LOB=EhJOY)0G?`6>g1rF&r z2n#`5-S1NIy z4N#ou^AA+~dxIfcnbZQ>2P<#LH$>^r*k!0PZXo!EE1f(*GD4~P6eJ^+rij1tU%tQU zBm+>>ybhkVa&NC6E8age%JjBy#sV{_E58jKqjU#W!+eX}m zo_Z;30k3cQ8yi7c-oScnYmKL82;&1UJ^w7J zK2K-7hwlW9k6^mWcO0|3ehuiC`>!=Yy=8l5nOgPWd!~IGM>RH3n4RP z3(qK9dv5|wn?dYdXr%!3CF~{6c(&_$8qfA~eg|d5E#@UU{7F9~vQ;tA zcbvEf&!{`CAR*c@1lX9@{Cs2UGcyp^l=oBOEx9WtizjGVvnl~Plsbk`xH_hTUu<6TaPsTk?{%-d(AUP9N`0jU@eeAKG znS-8#>w&A+1Q%d?m)V79pWnD+_4W3`vtRE;pve-S8BFvSgL&RJKtypb8z?sV0+=nX zH$rQW*!Kg)U{SmTNO04H(aKPRf#S(rf6HDoIj~C-cqL?6Jcr-XsG|zyBNy33gwUfmguIN+5w&EzJ3KvE=(?rE* zFnYQuo(AnRgt{6sXNt8RC}xRzJjR$UHZo5>M+_|rlDPuM8N6^3(h9|Vv4Xb>@i*90 zLAg-8jewntL@9==i-qYMFf0-6`8}$oVuKfe%S2x$b(V|AJ;A<0oZw5fQjD#Mj;#_u z7{aU;ZhTSK2&ofrYsC=y6zjzCWLS-RnOC4_gYeh^+(vPuD1e*9#7f}XENXUvhAm>1 zf?})qybri-B8;i^4##jEZxHuXNN5s`MC^{;nJP11`u3Nye-Jz?&5F_4bw5Ahq9 znV&-a3mUSd3Y$UMU#fwVbhy)veLy)-ntB<0*;3tTXdfh%{|ww<$#f}NL!=|NzzvmB z=?o5&Ix$N>T$*kHnIok2A3-@%8WDtIlvIsh1RgEMxE+!+UD=&d01v))Nu|1>*e&T>fZHQYGD2&w^wk^;`=n0{mG(;$W&wCW zYR_Hbpwu%OiVjJ8xu_nNBA-JP=;$1imMz499GBL=1nz`Xg1L_z>Cf|E zI4KR~Eu~YE2REM6(i0v|osrfq0Pd{RawJ;kq(E}RIUt!J(t4PLi-CzVnX1hH0c8vUP)V%fqO04xIyh3X*Ew?-b%O3(RwEhQ^EdT zdcdUH2kFLR6d$FwJP`aOO*I1!zwCVhQu3rG17OP+scQl(`zqzQf#jPs;R$fxr8@&r z{E*J`7~rRr`5SOqvR!AW?JvKr4SfUTP25Qa${BTm%a+UkKx>eEryNKI%Yzt;43Puf z!9G;}J_AM!lY8>l zTc9;we#cb(1i38l-At6@-a+3axe0GTPnPdD1aOMHxeJ6%m7^K8Op~`WD>+?$$~$~B z1{Cw;dfy>)zU<2*g9UP*)u3D`x6Xph zMY1ikV2kDZ#UXQv9L-gDsoa$ZILqW)e?ZuBc~DRAt&qb~A#9~wqz{Z(B~RgsvRb~n z50q=rhIR6Ej>LLd+6U1aM{uuHBn55o4yfjrdSD<_wRzJ2ns{b=o%D_nqv z1F|w53lmjFB@yD>R&SYGE2_9JqChPp@P3(VFZlkM)KI4)m44Tc=K`hBpUl-I5V z@RVFkL+iBsjbAlBBjZvpW07GwXq}V2B2k=|cRqr!3v!G<*e}YHnLWNF|Hj`ky)5VO zEdPo;j+wEmvI!^OHMv47P+pfm_X6&Q{2M>2oAMeOe@m{+>(RI6wUdCmBQNEwyDOXW z<+>+dn26SWc{OvF59G<*q#nw4M}f_Vv5Y#O$`y)%;hC&l zg`yYo?|lJ$DNmsGSF+=EP`;KIjsozFZ1NnGZ)J;}0KStS(!G8!cjo!$2e}rGbP%;{ zp}C*r%&}nqEEi!$Gf&>fEXWsmcoL+1l`XtM@=f0R2E}(dkGtUy`L}Sie#*xcw6c^3 zRl(3-xyb!*fN~=f1%7P25pdax6aCvkirq)F1}meELhTS`J&!YnDgmX?8m6Ri(;u!> zC<Iz&%Z?iqn{nqt8?db;98r+tR`vpqyacEem`0s$sMan9kkS|u=7=e9>V!sl6OO?+& zep{xDVzjzkDbK{(3T15rkgQY=@F;VY^49?X*CX_W`(F zib)!z>{fR12k-YNUFm=BRbKL3ZlAK7*XZ^uXSl;2P$p~!!$GAYy@W$bE^Ca|`l3E+U5S6p z6^gS;HwMNbW0TkD_>>cqc*h zL**)OQ{*a>j-vHQ8RZU{kCiH^D4roKEfk-W=8e&@&&sta zV9!&IGXndfH0}%BS0&6FYQHIGW~238>CPSfhw_7w#!uzdX&8~Emal@MzuN8r*zue3 z+0Zah-ERRY*=m;zFbqJ`qqNvf|0T9efv#>rFE zqfD($RmU(Cnx-!2=Qmv~$1l9jQ2Q-}Ei={j-0^0qx!k;GtL=M3^cvo zZEAvKrMk5~aI4fBDNwswJ;b@RM)gcbu~t1@43z8CVqu_MufB;uu|X}G0GS)rbS8K< zsd85oo7D#sA!UpDmWRw+)iI7}ZBs3|JZ)E%Ng&ywy4-=VofrueyVThG7?9oSJI=E` zYHlBp>{SnN>hDvpT!1b6)sp5QIiN0Nj`E<|h-tnx`bTfRUiRcpF;r&LP?qED;qCIELv9bFBhbXL7-4%|7lbW`BYs|{>` zyP%%o!RSRbMTMQ0RA1)CE~|goVnD8_<=O&wRh_^*(KY-wCK#@(zcFWiLp{hh^`<(# z6N+2vnM(lP#@~8JaYwyc4(xY9!Z%K>vKylBs}K1(JW#tof}Ic51@u&N)uCJ`9;sCu zK=fnPpIL_|s@*gcPt~r>{XN5lS5Q7ztJQY8i$DuhpT=QM^$f zGDdi--Y<^UJ9WckwBFIX5KdJqx@3Z=15VYs12M?n7qK38u_64{WTZH(*v}zOxzFDoEUy&YYiBc57I8P z4}-N(9{CQ@EUA5{cDNyM!?ZeAAZ56=g6XIcT2(I1Bejy%AZ(OYg!$Rg+N%TGER z*vD$e7>AG3dN5NrUQ1`;BX0{eAr5z2Gj=MMs4YSFl^G|rbF0ft-~ttZP9Xk zpl_>YvJwp2wD3_Vwrk(MfpUk||2s_DsofrgVwX0Be)4XuG()dFTCvF>*{k{0frfqB z0fsyKwPa?}4rs^6pg5>a=9hI2X^ZAV!(q+*2KbI>pZ6wct zPiQtJfy>c03?u+F|InVRjGxpT+l|J zL+hfph70Z`EuN{X%UUxHlvgw_p7&hUGP$H*(~PP1x^^T7GH+-v&0xz-?ciQA(N z`EQ)om#)e)EyNndbM5_Hh<>5fGC}L5c7HIeex-%K0r0h!bq7-3Xy2Pa%3G~`GZ^?z zTf}VBd#xz9tPk48mtgp)4Y~ylpR|ol(E6-po51)y?bTwif6*2W1;baZ-6OQVYkipn z`k_@i4w*l-+7@VK>G7XY^w%3P89G3(WQSs)euCMNY`q7!&q2D^UodH~9y<$ChUn+` z6EZ{fFT8g*Oz*T6YKQ9&T7qGO?pPEIBlQ-+kU3hf$W-zeeR2)x8>?G)1;aSKeKQo} z^_UbC6ZA7Zz&BCfHWwt5^jQ}nda^#<2dyc3@Iv6G>T}EioTjh11kuy=Sv-B6p?BH< z_L=$*{_Mak-OC6@%+`-w1IZly;dHRi)l+#;H&4IJS7yHcG72Uw(4X%@u~2{X6_ktg ztV?Ju(cSJr!&1Eo9pq(t?+mnXSWynR75XCj6D##gk|6B_`Co_AeL4BI z>nY4V@6cDw2gy$TO(Uq?r5|1bVY~G=c@Vu<&*YiQK0S*wYrlTuIa&wwqT5g$)NOc? z=#V~$H(d_v&1!<=h`xh&4vy-5xRV^y{dWL&T<_i*8cyh)ywJ+gw{u@Psdo=TA5Q5P zc&2n(KfNBdoYi-AfRuB3F8z@6dZk97yr8$L4w)DAt~~L%q@UzAaapg?9!6ZzUEV>; zRebM0CtKj}Gc zAo;92oPmZsy;?T-zUbL3zUt1iq3D}Fk%yh%^>s{{{LoW41o#1|GLVvGxV#pv{)WZZ zF%km|Wq5&eprPhPD9Se2o1itwFxv)-1{;cUo((Y^ZUly*hIS>Oc9@|nb1cIR4Jw0u zgkcu*rXvk2x!;a5IMTl!Z7}=}%f=X_3{Z|Wyx0k0;|!HzQH(cCp^G!Y;8_Eb1|TtX=vUJl(P)>y!$lU za5EWF<`_{`c-$Kf2!`2KGYYaONfPJmOE)sm} z4E{W0SZ~myP;4-au>o$Qp_>7?O@{25(6HH1j|JFF~b!mypJ13FfDSzP}~yQa||JKQJge%D-Cl`8G?F1 z=4nGB(?w?tWtnO@YZywO;hf?5Hb^;dc+5=j1w$Fm&x?j3o&a7l)aUuxWy4+us8SuFiGCdrP!#7}os&?xtZMef?X87TjU)7!rA?cGpn8Hbmbun9zZ| zZ^$|Vz6S3-^LLA%5ZWOfUgaYx1jX~KWT>6Tf+=GO79Gf_@&ABh9*47{$P0cCyI}TA~flf z;XDtAJ{!iY2QbfYq!n6U49|45z8dDVhPmGiPR~L4-H@{e`hFO`?Lo(W8cLBN%UI{K z-QT$7OyC9>|Goz71C6UCgCX1a8_$Ra8K;DUWUz6=5daP`{&Wo_LyaAM!9L8`fjP3_ z#-Yv8zY)fsOjeCFe%T&;qm0`#6+hZ|CG)LgjAP1zWUTQY^xei8ujdzY#v9vm*PdW} zp$v+N#)CQMCmH)NcR1O&Iv0p3#;GHrVXCn+1EXoiri)QbH(tIO!e$sxP6XvlC$P7R*=v;s&r#*?$tiMaocag^Lx31(38viRVYT zRLSCwxJ+@R53^jcdkEZ0#h(86Dkbw3NLDN7aQKj~%j5}O8jw?Xk>!sZUfHs6qn}lGD8mO!<5y5^ z>*fbYy{TNw>br1pZm|Co;0^y8hPvGp1~!e(nnJXFPYq@_-zdWvr+Z}~!TD4yATGNY z_mdSlaExR?|hc60q$C))Q0ZoPQGWX%RKbvKGl z;vJp9&G>l$Xx}2rGeh-C%yok3Z{n>j_`VBcM%_O|`a2Xq#np57D|VZ!QAL8*%~zpZjtH9_c)g(<-6$NdCclm2c#e4k+Hri_QZ0O}@(sJ6=gi zfs~1g{Wi2FE7QJ1`xIqV2#lYmwB`4u7ARBsx-V6((xY6Z3_JzgTII4mY}u%oF}1&0 zDgOzhdsPW!67r^U=@?|*Rq%&M@MbE(gMoXZe8tQ~&TaEYki1cf@Y=@*<+2^D{-zvp z1;cmc7^XAwV`txk@~7f60wh^#Stm%Dq`s%4K3Uzo9>r3%OeHX^RJ$^pv_`$iQ0^^sZQ`Nfu-cOQ#!=NI4wN~n>lDa5 zty;71XVkdcSSs!w;Z9a3(oM`{6h z8?F%;?x?XX!G2eDPlnois)3gd@2mBvqIjTgrsw@o4dd&TtIp*SmH?2e#yz<}nADgC@g+7i1?0CZM3-vGSv>nHRg$4knPmsip|W8Yfh{*+60r7F zYeQw@-Fk7wC#1& zV^{DrEpP#&)rN9!U0xadZm~3RkXa$HeOxFq{&bH$%#Kv6$y-SH!AA5Pen5 zxd_TzqQ!g^w}m~eeIS0<3qLr?_AcZVabnO`y2P78|D=_7GCuD_xo&cJ5&*s3E_LZuGGNM;1rqxY!J>*aC)LTZ9AR}_1xPuqR-BIS>#zV`R#W$Z;fgw(Tl!UXj3-F$s~c~k^*~(`3Mo(33UH;6VJ^Q0e4o^9#nAe! z2A4$ZtNMlk*EjVeQg``pR*`&1tY|F{^sv}3cT6>G#HXqiDb6UQ_>66ZbH34_crZIm zz6F7fuW4hm$Vfb0y3zk!B&y(^TE0;CM#sSDJCxVZ+iYXp(0*2FJfm&swswwf3uWmB zp3Y~Be1Bk~Fq{QuwWva4w}>qUQ0x`maKSZSpzoEl5EUx-0!xy~8wk&E=5?=L!B!aW zp~7Vy*p`S>xxg$Hjkzl=6TVjpUCxKnD+Y+Uk}uQ9UnGfs>j2s5BxH`3OYy*Uj_j+T zwM>?_fMkRGh`EEE^4O=KJS5kyiPkCk6C6(*rf;)FaaZp70W!bJC3#f3M9E44@QTuM zI*L2WsmBoZSb10txYx@3NoZxMHJOheruM4?-~@FcW4f7Y?_t0#Qk7^Ju?NT77=;t+ z9}Gw@sfSmC@~+y30n2mMVg)SwuFi2nD_g6@jK?4?^f4?OqQx>e9;%JxR34_;F(orx z%Vm0Dq?TL_qDN`Bzk+16b}-^{+shYiw-t)7+7OP?H|_cW6hAaOu9iQwZHbVQrRUgTK>F)@?EoCDo1KHOxw?5O zaEtVlymq!q&)AA$v;NmEh~BDKq_eqA-$OTQyWZsjiXHm%lE59+vIIBr>ppenIzmg5r|y z{1>EL*3U2(dPR5Tns8M=T^5wr^lB|Ya$P@L5+pbDj!aVA)UC!s!!7;71(Wd$O;gNn(g_Os7!)uWFM8D3w z!c)C;E#RK%o>pi**H80&|Aqc%4k%yhQ+U4rO7}bihBx}j6KK8FmoJ0NclzqR(Dzepdhm>K4-TMI? zZfM;IBqIzh%M6X<^&5fxTqjB|v*>reo%{t9r*@{b_f>OB^xeCS_&7?4^#=eK}t+VBjd zejFq52KTL@$8PFQ=(g`Ss&EbbtJHEBu|*mA0fbwXT1P>%O&J~rk=vCt?#u_2-_C>N zpfWrVT{)yga7jC?IM5e4uE^sc?u25@gYO(=p$8~WDhCn)JfmdKKwA3gor$BeBw8%tj ztLW5(ZZWB8R%tP*QOSu`8EKKJ=|=w}QIE9rm_)0nF3C}y3!V`W5^ZY}k&1uOkrDBc zHvfkC-=M8h5|R=#x*J6`4o^u=W_5|d_LvwNe4wZbFQ6JxrBr=@2^CnSg4 zSz3puCntA_ijPc43Xe=pyh@~dYh4+(B*%CT16$MCE$a|A5g18Q~$Mqe@SYE&o2dCO-ld&tzTUdI)=wZ zMdgp{e~e;7%X*eJzsBi<9z9}^iJlNymf$^S``9-ojJor0IKhn0(O zpjV)kSHax-$__-M{2XNl5;099ma{0%5vpp1<2S2oKiE`s^%S43<~ zWO_zwjAcBQN}~cc)UhcQP{xz8U^N05yHZ4SOl)LEm-LAA9w{+tfMKDHNK21~Dm1Xk zVX)IP(juagqw~?&2QfNW96Q-GiUb8>_~^6@RPcTCl*EFvVAUo4gVX22HcwbRBGcx*5-H%A>mJkIvHifvTF4R#J)cNZ@>cxK5 z|6|Mwpj=L{^roVPu5c={)5Y@@74#V<{oQ{kEgVo%u@gx#nXo5y$1TG_E8dTx$Pe+TWmmboy@?R=@(TNS65YBBKi{(Z3+k*ii~_ zG5JFcqL^;@j0#|dqYhwvVkElNou3j~3ATj8b1j&?&!UrX;7b>j0&u z7pj5m{~-JYOwTtIWEp9N@-m|f8S_OUkyc;-hxIUdqJa6 zLP4WmftZ3u{eLzZ6gK`IE{IN#Dx^=3Dx^)1Dx^z~YFNOK9%c8d@~Zga3Z*vv*=(#O(9HgS-R%@bu)gJoU0n}b}eOyouL*-VN>dJPO-g1$Za`zT7@)@I* z-tqy_!bno2AV+IkE31giDpjniSXo)wdOJ3gheC!_8F9j|p}8qzXhfCDayd)6i=|a% zIg?{i75qXo*P2VxKWJyb6f@H9#}K*}5*IUfwz0Ocw#xtC#>U###=5@se_|W0ie8mv zYfCw}O_j>^s{2)t%^ZB~8_HcAsHKzUp!v{Td$~wHKsHVXC@de;P>!>d%UZfi`ajIT z8D(N++0s&O-6CIJ!=^s<6YS+q<`mccKg9jvAScG=a|XG%M7RcoxC90GMEC``)sJYI zoMv9%$jddNrIU-TdBgff0d5`Q~e5*V5fa`$oah;R#WwXw<9 zWNT;S>g(hZ;o?aPoPqIoGp}jg-6o@pxr2lGf5VZfmQhPDKbU82?-b$YGvX&9GyZHOLdvS#P0^J%$`1$+6{(lXR2#L(^ z)PKk3cM1cP|0%e(^zkVe3?KF(!rm7{{l6f8iT$5Q@`d|__~r|D4-Rw-jPUdiiU@M^ zZ5hF_a>W>73CxJ8WnQ!9|4tX-o7UOqeMo|8*d3 zA_BYu|HbeZz<-U?f9J{{A>RPEmTpc#Hnt6G!W&3Uwup{QkE~_>H@HC|*t`IqPgB#z zwt+kACr;%w)H1>tx&#LVdinb``ZbDw5AH8S{hH@)mRKJ$d-*epE$A@#*-`yQ!gz>d)#6`h3xDAG-p0#V?f`v84$<-F~_5Wk+ zO_L2-+sUE zEV`3E?OSSFcTz)5LGzE_ZQ* zhJBI&7xScSTg#Io5I}msdMAaiWzK1Ko6SYW!{pT_M?77WR+MMpG<-4qDCCJntQaqv z^-lu_I*o)i+bjlyYRiu;R={LnUGCCE8GfQZzV}^cQwSnDDRaS?as$cC6d;B`b zzk?%C{%$^nw5f1r!PB8tj9m*@R497+$2X8mzkPc5_8ER_E~c*X>Cs|;1(~rZssoUTBQW3P<)ONsA2t^=(N~to zpC7(Z!tuxVf2C#q1J;>fLiPKbhfg0~1IM_1xO;|2dH7I$c=yriwK#2~*J9F6uf@da z75wD!tD6eTy}8=WmlXY5`glnmq0%0&)9r_fk)2sD?N)l8@mEn}* z&y(MK|2|Tc3U^*XAYEQv%&AyAsySr<{Tv<5dlA4&SzKqpH$Nl+BrQ68YbvU?2zl}%jMNJ zxpT*i7Shyn($MipA2=3?#^WkNL*pp}1L@Rw%4amh_OpxWVYj;J4Ne;>?^smcM7pIK z(!~{o-~O=Ny1pLG2jh*h_&3D(@>B5gb7{+6Vm6Iqny;ISEuXbTrymXr>hzq5 z7w%VQ!k&Vn7j*v;NCD>Y4a}sZ{xlximksb`)vp!YI3GW`JTME1=5!GDZfA?_BqK_^ z)58|Kwj3SbK7alE&GWa*u{U*qG-%NWe(FWxrt!nZjQ7M^Dl(<~%!^ zE~uJpuMV=8;H?lol>NQqt`XnN)^XWQp72CAfI9>VZw|GPcgrN+SAYdE1!nz;+Ym$A z5D?>PLo^3+8=^4|G^B%!&dz$r-DAE89W!JZGIRtS;>C0hdl4o&1Rm(60igI4`EX>S z7b3c+)qcL-!>pv3JrPAqWVRoUGyDe{OgV$@=w<^xn9g}2_%wj6~C-nyvs3IKBjKdcF zv2$3`IjkRfvS2FrhqAf6h&{jFnReb67DaMfETM`otL5clyTN`{OT}Vf8=M5Tm0~f= zQ}8X*xL22}Lp41tZ&rsMl%e(ddC&gDfn6P*A6*<^2d$^83o$j+BqnL`KXP9I1Id%u zuhz>;m|~!;qHvH<$cLY-30{5@2EYQ+QuTkRwr7X4ZB_M(U^7%Sw#4$-A2z_gfX0bp z=z{FDRnb4~Q1nlOqCa0P`bYSu;6Ek*8652Z2XV8DXqL@^x8+;kF-f+bR?`xv(LfCX zo>$0>#pvwY{@#lxlx8BTORzEGLyTFLs23uHh>ol%FwDp_=^`RZ~&t_2Nj}*`8 z4`$G_=hbv|S@Z^Lw*sPGNJ$}yI3eWC zD@d*Y(fbDG6ZF>5j8uD?CnPov2Xf{o5nX-IZUl%>V=An*nv%l<=AH6Nth(( zCeZ+cQVD{gxVXGn2`9u)LF=QGX&dn`YW<{q6n-&;G0Y->GI-42!x< zap5=YKP~)*@O}uA@8X4aiWuPq)Yly_>c>WXZR>MnS*cEgDG6+|3+I#T>2Sev*NG%> zNY~Uk=WI|cRr9{y;4qjPmtCx>aOqeS@qRquox$-)PeAYln&gJNm0s|B_XY}{j6n$WW z3)N32p0!|GD9D(O=tqRN(Aoyu(I5VimJ$7kbB!{}$EHXp!7C{&R%d4vrwQL_4%)-O zejA_k#$$zw2xF}-w(I2u0Kw%#fSkol^$h|!^ZtCmzX0Uu!|H*i)hzhY4PK>Vz^S-( zSV*}70(m~&RR>61JuZs4RRNdl4cujqn1qS}Ce<%@AMd{0K79Ier1TDhdohC*jOSUy z_N+j|Z$Eu~{r>J*`9oRuhr@Aycv`}BR1Au{?~C!;{G+pCNWvdg*KoEC$LqwbE5;2~ z#}EHFsykhPRm2bTf%*{#(5~h!xI#HE=2%Rsy-Fu|g83XEaBlzA zhvna)poas5)~AWt||I_;8J_Ivu?1Wm&f0p_3pkQ1omSOfkyWay>G56l2~0; z!%x};$v2or*D@)tfh;2)QF-kG&=|2T=*J@uG!zVg&vN6MLdU3nl!hIjj>`Lf zy*Vsad)Q{8=(P>$hsfFOo76?L+!XGMY8}&$xp;fUQ@&olf@ysLFGG3s@J&?TulJ5e zsb7Z=PUvdbgHKjY+nkyhU=;IY!~cjU$>S!BY7M4ujtki~6rf8y5fz{oEXjxb^tOMN z!6d%WAU!;FFolYt6G^luh($LXer8{v?XOo0cu>!$YbXb)<(-cBCkfka?JfWUJ|84FTEWGz^}Xt;hHYy1Q{eESxzE`bVn4*7bw zPvG{~bx`%SjpTz+5AeMSqfu73-d>z9K+%^!A@)EpJcCS{!~Xr9_mEBBt~b*KDqxrq zIz=E5BY$*p#<{&u8obF3{vr{;{fg|WTUG2&L~KxKDH2`H*3-+E;{8|Ct8v-iq461c zc5(&2-H&)Cu%jUL6NISWA5c<3F)QGeF3d3~i^aD=$kd(@Nu)$L_$;;y0zvGFKJnLr z!Cmb;<=7t4qhyt#E=iOcHDLUwV$E zi!DZD^2w8UP&ht9tV()WRfCr0C#Gee=@`|ICP(!QPV*>t;RaJI7a?-uy>${+n~f;3 zI5r6PhADv)$%5qvJ1h!=sX#NPln#niDHWVd?>qo9DiPWDx4+#vJL*F%_f4H4egg0W z*%g8#s%o=aKylbEwuH=A@OMZ+;Uka^Yq+X6@w9Hv)Ju4LgNw-4uY$i-Fe(I2Oq0fFw`w%Yal zm1nh&Ia7_i;MMN)B+!pOzs1tH?{p(mgnp-a?jN0B%y-iZoJA4I{cn&E zcu`-cLijYa+jN}@g=XRCoD{y)MJCh+y%I(_Hv!uex#w`5$}v2U04^G;AdjQ|8yE4g z4reOa%D!u?!3Gb|c^}_U4hfy};01;*w-$iN4|>~^xK_=33TKoG zQATtSsG={8WTOcQ+udpdcf(J~@nGN%q83H@EJ6S=sE7f?MSp!XTNYyi3HQI>ewN?B z))bv2CC)FvMFl-*yDATZm#L41w{VO=UjH1vOCjnI?baU$M;CabG2-#FBkoQ0=?ju) zMKMSSnGy8!vlmcNTY~4Os@`HfXrCQ^!sM#={?o@_^dDp#8N=$2L;&=`*oq$bQWBhU zy}E=i5+OOjj9M@IJ2waAtv?_`G^`-?HmqPUb$y-edW)f3pTT>o$B;n_)%FE)F>nnr zrv~DvTTV0sam>0@FvYlQmOQJAscBJ&Oy+t3rZJhEUCaek_5rnkPN9NPPd2cxu<${R zJ4WQBqlPN1)FBiGRdgv;IWxyk;r2ZdXZ2!lS+KF|#}A)GuHN!dAm1nLt#qLK;9YxO0EmREvP$k-7?ZzZDY zV!jjw#$i|wI^$)%3ExETyk4FiU^*pCzA=`5uNo=|S>f$r#2Rxgz>C|GNroO(l?QGN z19z=<)62yWste;!ArJfC?#AP{uGLM8eyT1Nl&nfG1(Y-QWN)i8__Wun{h=26{4>Iy z-@m(mfcXTWtLrzi*jW*+ICgcu!Q`&F2D0Jk(G}BIuDykk39l^_U|3hcs+H~1zM(p0 zT8sWbNW7Z{tz){bgb5}%B@<}YV&9TkR|v@6V_mtaLHb`nIp%T~Cqa>%mV$RM^v*Dr%6zgX=kqwI%qnB1Ha)hnN;=h%~Kiizv4SoAchrZG@k;QF|@ zVB#JoNih}9FyIMT$%KdDHv>5x;G~BzCndxBjoQy?SLoK{>By}K0=H6>oN*79CZfPC zj|6#CGTsR_2KsUhj-7Q;3b!Nv4^Y4Yak)C&LG?o*B=BG ztkV(KADB*jy_+#e$GK|U+hD2**;e!E{Co+RAt6n-}5sIQ}YZ|ZlDWi*a55G{}9t7v(U^t-|EZ#OrOI0HDLV0K@3rlCxE zJV#KbFw;<@WJL=$TuPEaaSksJK`RXEZDUHysy;GVm)49;CL;Z@JP)MSl-I{!5pvao z|Ethn)$E)BVwCe2e!+JDHfR%bDzbvaniUzu0Xj73qkcVStM!j5(K_O0Q57w69A}{z z_6eQpA#S8Z4q)npwZoj2P`Tu2`Z&Om=^Vc6-1BKVU2T%Fmb%7e>ms3wKxk>1RE*F3 zIsXqXr1k1>K#Z+|ZM`b{Ga$lHPY{zY{{#Z^XoNcd|_O|HtuY@skl`LMbuB4K*i)y z!Ohf_y2Z?`Vm#EO?T*APo3nj#(x80$Mws*TjmE>LZ-hBd->4`j!)?ak13PHRkC&*I z`Gje7(DqwTl*dQ34=SbmamllJCx9xtMr7;3Vq3;}_OZ?DCBk#Y!`^rA-+z~6x8f@b z!x{tckx*FCn!3CpVbGpe68m9vcKP0s_78FURAT?%iYK%7|5iLMk@bs2A_I9t6|p($ zM$K84i^lsE;>||A;ExVj4?nJRozhn^pP=t5Zs2l+m;=SJ8Fb;1y2$?Ge>j_oj9Eak zGM#c+53Diq636umcyt-|Ce;`udaC90!a>6d63G!q_UubK2+8sQA4Jo*6p#9BdbJ2l zAoWA`sR>dtFu#M!KPshU>G_Q@eN2N05WrWr03>@3>c7=PNCDWgA4&_D?sl4aZw5&i ze3C*d0)>g{iGVh>vu&0>pdK)UY0!U3uB#%cLd+1hE`f3Y=^S7{sjozgG#F#n*=8qABSk5D3GnXv|wL9du7W{|}+utS zNzrMWAzUE|HV?@XDl)M=o)cKnFEXW@0DxNCW#>KhL1R3yAgfoa{R(+SP$|uHDTXbT zR0`x|Kps)B8mRjf)wX{52xkH@UHvEuA2`VffIvt_!6TT(<}jCdEp9nqX6#^aYAqEE zN6?ZoJHWu(Da%HPLl3`a{;&d0E(@KM+n#GOtKB+19MQx?M5&=nxvJ&xQOBs#t)BnH zMULEvhV+Jmoadj>6#tC?p&bLhZ>__!c?SEK0sKl_Q)wv*Aycrzq0zG) zHohJt2M}0`%PM~C77edXiXV{z|A-;R8D;;;83m;@!qqQkXVAOp&JCwb>x2MVKNok` z`EG;q000qO2Dgv<`n1fF)iE$nWT9l*8=N;Xm~Rir?_WL4g87br!6fi+i9l^Ek3qOd zMOgw*2=SJ+5haK{JT~K@XGMI)QGk8%@C*qO;df3Ml*t91{nx+tVD4e%V3j>F_Zh)u zPL@NoUoV&2YPEowGChYGjRTNh^0mnaWLbjntkr=EW~$_^c{QQLRx_UuB3D{`J`?(U zKwq*Mz43@=vO^OCPc+`|VXbhILvtoGU=<<>%W44ML@ezn9X~n6U%z9btb-V+Gvy@~ z12sgB7ec7#+bc#7B2AGsh2*(l9LQkAEyU%oGV z7BD5u4YNXE9%?*@Gzz61Afkqv4(A)k=sbF6c89C2E*g$89(y%MR0M-TK-jSGGB0Oy zSk^!TcqEXmB}$C;5=ePYx1?H6_Lpcc5GM+hXp9^E=}~VPYQ~5mDRcOaUThHCwc5!0 z($^zKAUQUe!GJ26dtzC42_WT;NS`dx6$*+Rc0JZ;>HQhr*6GCpm9P)<6A&1_;1ao{ zC;$g(;`FA9gvA^oxijioNOE~RdQpSkDR;#g*{Bd;-pJ;4r??K0Z8$0ts8_(;SJ4$I zL=!Hzzy-Al+%~XLTLhPp)CCach!yJ@oq`NPk{(WMM~Go)>1KLfTxtpfF&k{WPpt{O76AKQ^F&++AU@4`IE1O zZ7E*K7DB3H=Sdrh2h<=Y6lcN-O@s1B)%ohhd0QGjuRBdNKP6N_%?8Fwuk4o*PQcz5czI#Dv82!CL*B@I3`!m{9?@!4E;xe(I)64Eze{NXgrDvl`i znCMCQjr#VI4|dMcTkyU@^uo>&9fntDNZ=M~h@2HX?ZB*DjY@chBNpN*EmGl?e9joq zg5Fema{QM-9l~e)Y8Y-IkB*5-AF?mdY0E*8`M@rq(Lr1g&&+*b$$)P;Sp`={-1z*Q z?6^xmEQn}ywEcJU)YH^4LqA^M{INx(w_o>1X^txOF*phL6=O8 z7tLY8j;oS-8q6P6?nS%X?k+02x;K|o6nfj^-2?x}S|Op8T48;Xze>kcd7r=pO0vFrzMM!T+@_L0UJ6+_yUg3|;bqLya>xE%jMBB+;oy?Ti_Fq|3aK$}bO zV-P+xZHka+nIol3+^v8#2y1l55=by8W(4{*w9Y7)Y0&(2$uJ|5Zs)Ks*<+LBOQII3 zIWRn!F})+;_ONSd3dJQw#6T@Z$6WA}r&D1k$b^NeH(cYacVV{}EFVQ~IpI-HDksbz zv)?hn;Ceg^0GLK$SWbBAD~3;f#pC!hzT$CzFel(E^c<>W9f-OwN43RQNp(t#XBk$C z#N+x_iIO+=D63q_8=tt6N79hOGpN)e2f3y{oRK1UCJ9EjR!mJa0ii36Aw&#b-R7k} z(K^HFxcyE-!K&C%a1JLpdz&f3b`Y}BZE+@S1Xck~YGARAkrwvaoTIEYf+)hzlwZo@ zqs}hag`;n*^uU@nvGxT9>taa!_=_nNzJ`0a;qJF-$}?1a;~Pe-51O2QDMutK#_nc+ zPzzg-M=^(H(WC7d59UyB4Aea8^>L*Lv!XOf4jgL-t)C0myzU#^7?r(k%CfW78u}I( zUuv3>9WOT94b%&$<1B~DJ_a9uN%Fn2i$b*_1fBWi&XN_=<-=sd@-V5cfx?oEU4~kc zt1I!kzgY8Aax>94J&y^2NX%ry0H71Mf@yOnP=GImdgo|c1H@tBbfYn@0Z*%3<*yX;K z%A>`2fr>K-1?uo$YkAW04@?>l|G*^plsU^|SV~D#I+5&~65%TVzT5~Qg9<#ccD;oo z)@N{Wo*kWea$-Q#;K{KJl?+j1?*k`p7ivkaZbHG2%yLq88(ko7A>)YyK1F~Pz#D7f zIx$64up{_nc={-j5U7xPP}9r$onP)gpotuqP4)1tdj0k1pV5=+{oTi39)3-=C6~2z z%`{0Fp^@2I!5t|JK|YYAgsFjS#JvpvKlOG*ofi=@wl zmh<&kF#Me+@(aYIin;B-L|)W3+QyMu=kbB4o|vX7G5D17;r7j;mV38M(i`*qqH_88 zG`Nh55Gb3}-3GIBx5Xj%xaMPh;au32yH=xRP#13aMcLbyoXdb*mA zhilX?F!9zp0|M!(DJZ)wQ?O{_KLdA0vaM@>y>J+cL5Ajw70ybgvR3xk$*ZQz<1Z!T zs^3zE+VH!Zp8Hi5pA)UqAWIbzb*IVDlju&V9;w$)!$o#CNV}AOWVeIR)3Ow95g@Yh ztT>r3@#$!rOKLyT@})d_J*EyVk{DCx(lHO;f^RD(m+*!z!Rj0rpaxs@;6`RQmZ)e~ zGw~%tW#E>7W;d3DQ@0zz29bWx)DBo9sIHH)&_ng#?5|l&SHx;VnKV>|JX8`!jC#H?1{?_8L{pcUzLidS6OtaL78DYH1=qxpjB{##$`ae z^tx=~ac^Au29e2nMj3u;><*HXoK%MRiYT|d(6LMt;J=n(<27XQ5#YvLNZJm9cY8f~ zcJ|Oy1OFRq*`nlY#K+Fgpdx^;@*4`*;Goo_(vsdy>@`^?rU9)MH+kBla`agoYh~Nb zSv>L@g~o42eIM$3wG9`jPefam=M!W`bExIZTPEpubAyE+(-+eCW;+tFv36yFasgd7 z7Du13v1H2QHkM3L8!Ka5ei8zliD(Ada-7s~jm$%%4CYAVI=qy$7TLVhDpo1hpA>3j~KNs3E{^$~Ov=}{Vqso-WEnS)N_%6s4-37v;Krx5=>?n?}%DueyP7VEXOPz9>7YSi!HRyc4MBD=hJz-bM*<`kKt7OuiD6u{9ONtN=)tIt+SHsf=u03h z1R*$Hwxwl;+&VlaIO=qJxY{jo9O~6@B4-ugF*EL4##-1{+bn*+VtvsHGj?54Wf~a} zML>(1ESf|7rK?ZCNgO`q>_qLZ;tIriS zNZ4k}O8%KZ(L%0YIHs@2Q!1>H3Q8Wb=?2WK zU(Z7Jgto>&ZqGM981kX>B+vTSq$G|y{U#zXB<%s zq+`5gVY+7O0-4Z*%4l*iNH-IyDmRmHp+&c_y|z0;maK_}+4-}2Iq*qWIdH8*iGwPn zU;kW<^t*`lX<7xTCTfS7_GVFQn63ad0}nt8^-zrAoTQxNq-PppVCokshL|vWfFINs z{sa#7+pY8p#+zp105fzicr}&^)aW)K=kb6wLpAMuAz1UN<268mlVEC63TZ4cQAw&W zZ9~TLrOsM03hHq|*NH=B>UlqxR3oH;HeBwZ(4F)>ak6oH(n8(%MR-v~k5P`#4H;sJ zj61M28-$FcI12W~Y888Ks`!9Gu<)6~c+qs(K+mot*2jgw1f4tEKB6(%BST8Icqzv8 zQV`Rfu68hf3F^LFqDYo$r%)8FCRbyMd^@?69#aGP-0>`57DFzGC!v}QzKh2eLD2|2 zKf91nAwt7~%1;X%G+VF&E5>{KX3^@4fjuVTpj{3X^z&(0IRwMtFV!v8GL+b_HcNZX zRd*$33IjPwc`ITmnZ`g(GS|mtuT4Lpy|P4-gf6GKazG0Cuq-7Gi$u0GW0UlCHi3VD@YNSBzI8IxlK4+uLETO8;ox(I|LM$O$)0!jF= zjje(ZmK2Db1a^eV z7O<29$%jy|ax$ow@i0fu?gk;8X*GNkKWWh|ouf~q>(w8oR5N77G+)Scz}7Lv2DqrU zmZ&zD%N}Z6;&(c~hSNzDEJblh z#kOAdn>7aIPF(sF!#NR9qZ#(D*Zp42Pszr_>8xpKHcpg~AqN;IHkg3_Ojsdq;BBRVo~tvn~9UvLiMNn9~e47ZSE46&SdzsKYt z!75O-HC2fVbmy))P+Yq{d0J){ClK0ck|c_GEtc!)Pt_VJ?;Qia(5=I=)(Irw>#^K; zH@iV_BE=A7=0&Vus$!%&B2~2-kwzxRm3g4Ss^)bE_7QC=6bh$JMQ6wE#a(lZWo%Tl3rJnU(Ps52F^oB^L6$Q2hw8zQgbLjpKm~|XEn*<<@w)xVBY2~tYy2nw}i_<3bu%W0K~x?0yR#TjAcTiDpyPzyvpV7MO0Q}xv%Br8jG;(?1FiT_iMS-8n>k|`U?j)$+FqQCGNwmX6?mpis(<*7`4qWa}Ptp3^>qE&z^*7=b?+GLpC;nlh(O zc#bAayFR3(RF@v(n%|%SULhOYU5KYgtSdma}19{TAwh@T0JC$m1KZ4oswvo4i26ebk@g5d$=K87n*G`I;vi6*3-iZ z6&QZ|^d8OG-rqsfc~jSPNUW$yheD^i?V2+b7#wajaxpJlhBZYh{zI=(u(EUwOrkgE z$qq?jq21Y(I9^B0rPK^6C!9nihLsKgUamGs0lzswTd&h#@IqL4TytE$**Ps~W3ud~yMpcD|8WHy2sL~#Wn?aX z5tyJ_p@qcq44E|x>gX7hbPGAHD2$q#ASXxwgczWyjbH%UT=pYSp|et=DlPNmp+MzH zRReu0JJhpBYY{-eO{j;(gNov^a3JD94wkSIXbr(ntRYkBAK~rMmJEKk| z*cOde!cg#0#JM%M}v(^?B6M62K>{{FxIvH$h< z%iY_5EIz&Y`r+>5!~H+DUq1bRcW>e^o8RB>Zy)|~|LNz4-!b(cEYLuv_4~VrU%3x0 z7w%qv{Y5x16m#Yv!OL?Im?F7pa=nEm)@P8K66hK-NJO-xf@imtte|YTAt;Fh z&sg1DZ%k2&v>Pbj3RI8!VRn4@BzX3dmM3uuJVn+;Fbox8Ht%6$AeY3A52`y^-ve6h zW_=|oInvNl-OBA_&Odm(8Z(4_;DGBgBgll=p3qkWr*B->RUtQ&(pCHF9A)N`MGmYL z4xUuM?wq4H6nSyYifm_yCOE$)=OT)6x1gQO|apj2AgjIF? zegB8idZ+&;7^6VTZ8sS(rj={t-=wZ{-vS zBq+dMNa4#PBG8`;l;gEqaFACB>3_L2eY4(VBM!^{N{IH1#I?*M}_D8p00xR)2 zPzz$|a^lH+7xxLRE?*&LDJUAM?lvdL16cZr>0g`0U;uxC1`j*$mU3`NJ@~O&snrYZ zJD>-B2e7f&S<&+PK>dW&#yi^tHY=v61{WH@wbo3DyJwJ&9+I$s5n=+O!>l4xPEH*6 z&vcWM=#|c_p_3EWO`u4S_7HH2%7kq60qbRt17Wq+>$P@eyJFf%V9(s7c)5iw*Cuca zRWp%JT|sEUK;jBeL|EE)Gmi#}ZHtF$LJyT8L&M~}jDVm~o3I>&#)+Y+2Qq|$IGh(_ zD&bvPGsh9=E!kzFcFDKlAM_xor;Ol|vp`i$<6NO$*?VihW^tde0PBX;_>m|&^M=IY zTSf?zRvY}iw`ImeWK}}`<)H_UE&v&TR z4|YP%Uh6UO@$UB@kgEk{ffVV@%mcxigZj8U2I^>( zf3x$GT<W*T_4iX0z~p zoL#&(Iel6%6Ay}S1SZBBS8QHVT|$R@gebn;etcVfL|0~Gt%zf#3}LZRjc8@iv;_Vl zDIyL0g*1lw0W%kJD3-;{-Zc?M`do4@0lKr!Jxj?+nR~JzSg<0LVYCI(H{nT^F&s$D zCftk&x(s?GNBRiQ21BV1zka#9eamD-RMtYrQ28@(H>-P<`didlS@*XL3ESt&N*53F z)wdx3EGAr|CpB<#Oi<8Tv()Z|2Tyq~8%4D^WqIOJP8&@gPMN8Kcp)n^7yqcI+PYMa zzHL7|60j>wu?Y$Krxd$Q!Z;EnUkS2e4jzJ07ppTcf7VjtGnP>HOD!MeDJJ;2Cz2PA z9>29d*mC|_r|BWAt-IvhdEXnDalPXwCb*>NoF=Q|T8)x0Gik1NZF%`<+)U^KYD@DI zawA%I-sx3w5$WkvBCMiw$Zy#`L2j$SCaV1-bI-KH#O7%kO*o=vI5dy~Fn0s~%d)}W z!^q@GZ&HcCHPKwIt%CjHuu2rosAuNm@>;62IV+F=1Yve3N?{|ECmU%1iEFW1y#Zil z5oj#cVdHQMm32(ylc+r*oInw|=${Sw62swy64_O#$Yf@GfgPWz&dk)Q%P!0!n{+3b zY$oL$$;|Zd1>WvR!~$MgJQq8?kY(t1skT}z4lmM2%-`STV#r!~&Z7$I<6^A3uEkR(-yG+fRNklHbvrFYRrA zNR86kh^~R?rwHqoIPahe_tP%(nxCc#bSB`9lSVT)og?dzaq>XH2jKoIbXm({jUJsU zH>;q|p#mfIBmgMB^cEgD8w}|2QhF#9$Bj*1dGkc@3Z*Ez$|8znDYd$U$m2Pi$}Xe8 zD5j_ijkN9%q8ED|mRW>uF=*6Gch})y@Fbro25>LcmqIl>_6e8Q(vYq+>BX->hQ^(asqytf6}@_XmgGbT8E2p|+&bKjFZw<4S-PI>jQ@V#{^jL+xP+ zE^IQi1PW)l-F~}VE~Mlj(o;=1OudX0;dt1}5pbXpZ<% zZyDF4E{52w51Y_DgoqoUs5V9v;|v4()-tNA;4~dh%A<7(%^Rqt4D|-Qb1elh1go_S z>4y?>vuBPRpg*^|pbS67W0?=kHGB*Bj9&IQMHUAHVbFF>%L_OWJ+kt;uqNw*fhgBp z((h6_fW8dZ12Ijo)Q$n)w6jVA*EyJ>~Q7;*FV$H;u^^MoLL8yzfRjsDnJv}06PhPs+40M@849K)#8O$M|9y9^Ncd(nTa(Dq~e z&DxJqoy+uDgh^3d(~4=bSGH)%+c}7EG#*-UTckw;Fu>b|6sM@EYqR@CZY_+SP|VhO zFb=s?6gE{{Vj;Ji^~{lfdEj_8qj9E*SPg;}dsH-6^|gT0&H073{cD>cJ2yvIAm9j5 znN7%u>gy1r?gFLRP-HrmCk@KhILiLfhud$*x6far|C{{Mdu=&VXutatih1h~$Ldm? z#BPR_U2bG`B03}By=@U4#E#m?b?y-Y1?Mk;YbNX>(y42MIwjH?S-xy=AhZZ6gWt0@ z21(MqOqvZ41N5QXjL%?{d2k+(S}7^%z?_*4Ga?}7ya+YOt@UU*L>(@iOMQdPSVj*e zF+p30oGG_`R<=^0oM}INp8)%PX?vs0A=I*mRs(w0C!i#uF}+(dS?vW&5q1vwBh)9z z*E{(l;c|-7hn5+U*p~Er$e2tw*&^mLK8gbqG?e;K+&u0I@Fq3lYP)jgmS4d9_Gi1; z2Xb2>E-9%m2yseD86VqNZxivLSKM>=nayzd`>&TGolzz=| zC1eoDR9^sENq=tb)$sI0ZRf|xf_>BbkN@bQ1E3JytSHiu7W5V#BBq5KWXCP7oR%nH zN_U}yG*n>gk@KJGmQXuHVoahP9Ts*tocrnFJ=GwVh-#o{&~aOw7V-fiRYTAzq6OV> zEwUIHe3u!o_3QbP&uYWewBY}nU+fY7^J=-1mKYZhg79$=y^Ly1Ir12m0G~U&GI}~# zw;Vy~u6wh1OwGt+nIB=mNDk6U7D+YALB#f&bM#zm#>==-_*e?TjDnt(dAP>4=o_)( z5PTEl67^ocs0YkT9qza83ofj62st>rOe5hOM_((rkX8apa@Hrq(}Pm>gZF9NgZIh7 z7%R{o=pfL|NJ|@On+`yj?H!s@NMYK^F18rbw?Vf|G?qY3QVk$y!dNgYinB8WDtHVe zOc;3?Z~``xihQVJhTZ@E;q9ld57ie5G{ryP{}pZ=UQ&heY=2>_#eQ4z`<*0&BK$N; zE!m(CVukILYK>WP(mc$$K7&*Oj9gbJ)#Wh&?I;|^pqef&cY6fJVRY1LV5wE_HoiwF zTPqi_H6W;t_jh03eEJaB5&&`O)oDsJ@PZj+Z*>_6AYlmpBpu0iRQK}3z+;0i{wWl3 zWkM%yqONIja5LTI_Y{%oz3N9iP8~h5fP+p*A#s`YTM2sx@2R9+u4&UTq+(QtB~3|wqFKZ zH7}bAdNpT-7WoQ)0bcvQ2g}ejInR%tYFTOiiEjdyiy9JY^>)s1ZKZvl5D4GWB4E_` z?p|Y4Xikjox+ltwNtSks4|9ZdxISc{Wn)-Al(%_p?NbL5W{y-A-Gr7EOp-EomMa*j zq=bd)8VW1aoFET${iS;S?&1FU{clWce*WS1{=c8|0*h|wHL1H1e*aVN|3@;^J^H&! zUocMXJtOndi+ZTtO>nu3Z4bB}$#q$+I?u~z@cER{dVS>ut1Dlih5OZoaaktq*yh7# zP{S2A1LarXwjJXwPtY|}pF~w7^yEr}pF?siqF`{O23G~*(L)UNsDlwwpRzlbg8=^0 zU{vl`G96$)8!o(s+wm2Bw11L6pZ(Y1e`6SoO}fKG@rR}`5`9~}Xe*+fUG(sp;i4gY$<0*_P&XvBAq}O2 zwo|M1gG9T;jp1Sk>D%lYb_t0yd)M+39E#ayZB zlgyyku$&s(M=;KQq49aAfmxy|C)x@4Tek?ue1g%4VD8i6BpgVbUOSKlqWI5{hQlgd z1`X^M(_X_mkQAi4$&ln9)rVwjK~LQnkaUMzVjw|hiszx(u29gtZI@i10Z7=;+% z`)F=)(3ko!NoL5`af3MBtl=rd<@Dm!N&m<0Xg7{N)jYH>%|nB(5`+vKUDv?XQhHKd zr9MN~p0RgJdVxA4?=Xsl70oD@kfT~c3qL-8yo(8DEE&3&s5}w}g8Bup1K?{l9e}I= zGIIL@WP<^~rCeRBch@YaHT5D}&Xnr2g>vzR16bYiqwlHl1GrH1l?InZ_^k}TL9=Fk z$9nDE99e3cAzx_`S}gqZ3W{%FNww=OjHo_?w~JX>WIEaag3BWU!Ve{Wf|ba!NyM^r68c$e<6ID}iw_s!=ZAISA!`I+(f^C|diI!14 z4^)pV`qgDLGPRRWwolLxi&2un&W^>mjOa&rB;4e_K_1V+6H2|rbnI}JJVXtpl$F+C z(Bc$E*r|q*;y9p7x|PTkSruVRiKCZBS*>0i%?D+H)M)7Voq}OVm=e{b!RQoyLBV+g z5iPEp$!yM(%b|r9qoIi*n8~GlXBI0LA$E*olBH~CE4Hml~T8s_MV=)OorA4Uym)rhb>G1CAgeTX=-Lxc2gxUcRdE9v-$AAX?vs{I;1 ze*E-s`*3%xV{-A{Kk@dsLiQ)p_Fn9(3d~6M8pg&8Cf-zM`$8%hgl&$;8A8Q3I=xum z>SW9vH(K2*R9G>tYxb)irP>tkTCV7zri4eVCMl~CzGwgoAY%g_EVWvWBSQ$gYFHHk z?t8;!eD^l3uR=bt+#bZSj`|W8%kEhNVl(c!)V(-3^CR z96vFybb8Q+FXiM9O*<|B$TVP0GEE5%Zy;Hvbs8fJ81@U$n4cL}=neIj+^j)nVt|CK zxE5A7wIq@Om+5W8+-ygKLo50z0+27zlY<9tl6~Ddptyv2rg!8JKM|G3EFRf~R2rk4 z({DN*OCU{_McF_u-``A~z^^ajuS=tHX_O!+IQt|RJvd;U;FYk@p*y!YFqX8?l@AEN zZE0K3A7vaF$#;t9m;F{izwEm!A~T6`*+cfpElmc~A+2#{fc%)jv1R>A{SC<+fYGST z&|{Vh42{tK`tknr-J5qmzq_+A?K>3l{rM9Z6-*bDqeTR`<_(3;=4#T|m#_9`vSLwp z^kRX`LOocC9WCT+xiQ)+>>!jP>at8Y`lOZgw^EXZl+VJ!V!w7M`*kP(=BO+=RkCr}8>>-jrq~vWmum>}Bx<3_ zWqrOJT7rNxYs`C@TXiQyFQNVi^2SXgz-vFM5TG4Hs2SU-4-869N@b-8N%l6mLNNyC zPDX8!!)3GN<;_UI+bO(|EU+t|(faCZL$2g7L3ijhLy$`;MJKVmCCW>d2p#h$u~eoQ zr;^*>ozvuzd3aQes?1>0-u4WsQ0Ntf34k3EpNHq5^}qdW|6NgqutXh0cBGJN5{FQ2 zuht05PE?ELpb~+L_13&fQ8#0-i0ST<8!)A96WOuG6`4DV?@;DCFHCveC@%{}+sr=h zuBr|vL~XdmP-?%Ayq}t$*2 zh&{hY3%rNtXbcJ$RaJfZ`RDt)2OsOn+&I3Ri@Wa6P&JD+wLc@*VSQ#)bGE8 zZ>L5N3eh7BCRwrOpsrLkTfP7)g32J(9IZLje;3Vg27~Ehm!T{%+M+EPwJR+Zpv9%# z!PM|e>jg2yf?UrKx}+Pc@KVI0ll~0c3w(m1-Rt>FRi5DT7NNDfsRpQ!QkB&W9QUXb z7;y(*`HsqPq}*(ZzC2H_gq|_O0ThVv_^YLa$K#nOyaM<3oSTBg~tr(V*dh~ zXO@@y&9=z#F7mG7zAt5rsVixG2V<@V3c>;fPYhCSiXr%Oac&b zv)<5N4j5|7Sj(ce&El=8_PxIU{q{48v{k6m7X5e0)j+b*pB=v5sW}d{e0$3z{c>4Y zCp>U#rE+#`hR?<#w%DP@ZxE|wqQ(zwfXM}d$&g^E0n`Rphz$wt0o2Fp%o44iL{Qj> zF;A^QIWjD6OYFv6g-8HF_jvSwXTk$_6|wt<>dcA(PLrHSME$e#EC7XqEc)%STY&Tcjw5*lsD=#8vN$*IeUF z#Bi>#+t9iDeMIY#G?X#cZOxsKVKk{ar^#ca+J5v4wb(kU>`SXFE!M)yQgX1H*Zk#c zBl?9PGMb|$q#IxwM+B0HokWg!h12y0;J0TC@K`js1vm}dj!G9Yf$mYds`)=%&6HiI zHO6vIpN{x@G(Rb?a)0~b^LzBb1zu|AR51?T*plVztLpQ^m)~v?w$ig1W!nDN)9I=2Q>)5vh9~7lxYq(m8(M`8Y0(+LaFlH;F^SHic!)O zV-KhZxA6SE(dm=2rWl=Szz22>f$?*cHgDPG_-$op`cC-=@^>&Nnl%Vn_89o4sCOg5 zDG#Z$ftk0AwQ%#cS)_!(%i>v_B}S08`E8V)Hfp8W7cCT~`ftU1ZWc}gjHnG0X9y9h zp)oBACfP~_*%+8paB1MPF!3PfMeS&Vn;>M8#sz2M^F9bzlxuvW{x?_X7o9ZWop#{Xw{qwJHzrIxiGijfz zzn+K?jUm0E;@E5p3objqX64mp=t@SeUku#C(2z069)HT7L54XwR?yj)dy*iRrH4If zc0pLA%o|Fk7aS8nmZV=_IDCzf7J1N|qeoBd<7pYAefm@eQY}Jl%o1@75G%J|zZ)T``=V^<%jAHCYR$G}eeW2XF}2an*r ziqD%b9*hFLz$NT4(3~DjG9E4dPxUsWaE=A8h9cjdQ_wn3I2-SR#S)888~r6q+#J+Z zrY?Z7zQHuLM`R#~c6#MJh5@oF9FVavFXku?Cz6v+#iEvLUm(GdTQ&;yBJx%}F-ox< z;RsLPzx(AQuraZ~Z^Iu!3D&fF02S=U%QB~~7M*=1*LS*UOp`@wSPA}N-L%HAd`OO& zg7+RbAi3+P41!XI1n1=itp^N=-|`yS?p|}A5!#vBW}%F@d{EHByZeVPP_=qr?3Vy^ z2PZbRe!1~bNtdd%(b}$x8>nTo;NxZFhdq;^vEh+e*_``@NH45qRS{eOKvtbY&c|=1 zc!t@Jh6s!E^NR~8^lE7_d7J0Cmfc<6Xa;HHvJ2~$&&b-7aQ&1cGT%4by!L%i5dKGD8Y811Oozj%Xs@OSNxBRIp#hEnIR=tS zOSZ1dX!birvm!7O4mWpA$47PVh}TVqy-93`A{>y4hJ&NYxpr!A%!!1Ms0Y(MLsl$Y zAW;t_T7XzE;>%^9RlU&w1ZFtfik6{lUy#sTQj{h%M`vb8;&QsAf<$|d+~!jZClZYG zfD%5R?vJEw*!BE;3KwM+zP=eAC0~!&C<8mw9WBe?I1Flm1tH)6`svF<4`Bx2G)s zLhW1vjM~erp`a}a=jfTGy{R4mkOx}dr~x@E#u~=8C#L6dz z#4ic>q8@`Jqo{e#NEd`Mb``~R-q{CfMb`sMBco!LMBjE=x?``;oH;yyzgDfy(Q zSLqHkH`C2=C*WfB;&Q4z;!hj)ilH{gb-P5bJ5kj~g{Cs?%3K#<2>1={FMo7mcw$y$ z3E-O8BgE*`j-dp8j%h1UlOVp9mT?q4fu3k?w>wbrH~D3Vu0_bDA6K;eXbFEn!i}r) z>1pi^1L~wY$%v02l~=+;MqPa{>@Vd)1mdA-I?uju5C`B z3=0S|rP~c>EqB>|+CIY@2~VWOx4T`fU5zWbym`spUTbtroMG$54b4ECW zwp2xz+z%uWeD~{ju4^bB=3DD1pwy+XqiQ}o4YX_pg6cDru-jn|sxhoI?fFcIWv3Zj zZ}xg4xOL}{AI{bZvJa8ZAf7?_%pPas;9&D5 z84216+JA>TA`XD50^E}&pJ2tY0E-=~jsl%Rxvy2dLKAjqEKsl_+ zd?5L@!4|S_ou;JEq42$da6!3qN!-utpDlj6oL<1ZnC^BcaYzq1g00Dj!UNVkxm2Z! z(Eyf5X&RaIb&-GUfO!)zC7w7edm5O7J=uUTm7hd;Y-(jYIW1C^O+qxyxTX8U=FU`SX~au8gAQ zoZ2ZB4vM^UkB|z-Hw@?p+~kl#TQUaG9+s?iv}NJi=jrl6JIuNT^nXCTfL(;>imVS0 zd)FCE*BGeb1@&>!;w+&9I^1#-UIQE{z25Qy^%?r;cEan;DgA^azEMsv^;1OK!ttV6 zKuoi};Hj}TNz%(HZE=^^>-Rzn#x7(h=yvU)O(o6P;B(DRN6QnHp`w#bODkEmMI?Ho}+K_C3>kQMZ|qwz$mT%t@f4WF@Is^Jfc7+HW%51`B?aXR3o)2$?l^5?~Lhb;Z% zVd{gvtSwVy4T6>!$RMro0U5U&3?B*N4xOXvM2A&5K%Aad(4YVcr%{S#q?I#7RY^;giF(ij#2G6v7hR}%Sv-xJV@^mp z;Hf}f$}3bZqfY~I<+bj->5y-|P~QAJ{j6-gGX|@99>X-@^%;BjQg$X)TU8b6C6c&8 z9guuLg@Lmzew`*eA`V!h_Pa*>Ih*71m?q*Sz9p+RW(NnVlGs9EQmH8<;Ll_6=Zg~Y z=Ng2IxowmyGe(aQuFO!I`LTNpA0UZg*Iq)?i}Pt8G}sm6&(UHyee}U)&gc)!8FNl9R`wcQ=BQmN zJA6o~GS#B>&0=xCUNMo^_UK+UmtUdL9g8{z1%NBlKBYw$cw{n(I_PO?Yk445(@hzf zNHbHx6~maU(5V;>YT0!NP&s38T5^OaAXr-Kb>l)H`Nh0hXvt(YO)>d z6AxVNm8b2Fz%FzFd68qF3w-FTP^YtTlcZ^f-hrh_INOV1Cw24!ULO>K@cRcf8mkkC zfnS^sw2(k!if%lfOtG;>p}i24SFgaA>#U6meGL;|(Q0=?)SUJ$}#adq`xb9Tp zNXroE$`5fR ztu<9fQ=jF3kOkCf>+UUtJreHlu3)Hi6?%qGQpDl8a#~XW;A3mIT63L5nY_fG0FzG=kZ_>-|ONS z)Ze9}CQJH!dH3ndyN7?i`10v1O8EZ*Q%bEq zyhe@)VojBft+*13DAWkt2aLW`X6c$VY0=i_TS zcVlegsF<(Yq7dJKUm=F<6({)@snDz{Rz0XHV-Z#2G6?sMaV3+IKI*zJu>e+iI7c^Y2r;WJXr*>| z-33c?R3u7d{l&PE*Xq1~{It4QjG-?&i5sqCD|>t#>hs~xXaChl5j8RF ztu}6k>?N9zs18gGu|sDr4Lgq7HX%8W@J@J)0YXOS3d%y}!G?e}Lm7%{~j+GX36oeDw7mPOR#~r?+3<-$^V=gjO$r5pELpNN-?mR7t_v z7Q!u46)pjP8v{A}YJAWYY2RK#-~2A5(zGhkKG^E0{`G(CLwIv>T0l7Tky!?J&^hw--k&bQuwCiC0t4c`U?|U97!KI;KIV_(Et~a~#eroP-`^G`j-x zVF$$39DU~s zR`H2~vl8gKTan`X)o!jQPVJ^AD0ZoQGrW$1odhIneF%$SCQ8V-Tu>+aT2L zm=nT*=onpAe?y?;0>!LteJsGgF)Vyottu@#f<0$vM3jwF<|zEG$ur|+CGMJlYIbt% zNH}IE*F>;Mbf?_=u!H;DpWdA3k4%*JFh(3RfoXyS?gVSfIbJBm8Jt$i^4epLh9TxC z=eZhWfrP`&bcdKdc-h0A*I0RTX3PFRb#rIy0PglE*oQ!iE)Mit z6rxtfh4mb1sr8}eGq^E*8J+b$vRSSXblg$5(KHbik`}xG*ARj|o$3b z4wub=tH$V=Vj}of_liL?me_yfLb6kL()zyZ3KKN-TLeZBXy}#jKw>mxFJ)aXlBv^WeKM{a#i$5R^#m2|Nkw_EG7wi( zEDPeKZLmdxv`*uTDe!D7vapI0@c60ocjU>|;`|*1=dWp42+rUClx(_?V>;$X&dh-b zmBBApKzFN`j}FO881rp0r&*fbBs)UR#4rG@w<7@oTC}LvOC+BoA4QAkbynI7g{OJD zz!ykzEYKs*pv5CNp4RVzBQR`2%UH`Ew9V2jAV0+@FxXZVw=XJg)L*BFgs(GNQr574 z3Z02xBQHX+;xE#YksjU;=c)0T+A=M-`_+1LDPa@E_Ir5o*Dob^Ng^T6&T#x;6T>9V z-A`MkD>8@K%YI^BN<{4-6!3@Gn=YhBQ!2)zdNy~4lg0T+WUVTwsP6zMi2%C@BEEU; z?2)UdNR%5!Qp!WlvNo`Fw;1lL@t`PL1$8rQb;2Y>@GI+zDrue^`PgjT`Y4h^7?sp9 zRiH+i4B?&35K*SDl(MJd32;o=E{vGazJAI|o5$fY=MNp%< zEvmfWrq%2x)Wp)FCibA@X)5{>l4_YQ5A7)GNoz_ue_zMFnDBRAqHkV?fJ=O=)Cb*RGIy=ZVxLO~i!&RFe>!bQH zJ!lP2WPn7O!%p^Syq^VXd{1;kYJ;)^WV=w-HuD1*BeSeicYaz)L~B2A!MPOah>O3L zPs&%MvX+p;jR)(bx)F;1u9wU07U5>7T*DXu^uBpwf`4(xH2LzBP0NGes+2KfU>iwz zbH>tcs|&y-OP+-SX94uFLJf`8e#Hh;U{;gIK%#h6~%ydTU>iNqgX5@Rm@c z5ZeMr99bQ8Xl>LVIIdrJLMPB`eMnCgZ_N{~J0$l`Tp!R?Q1pS7dYRorWY%^vaM-gM zRcoUp;fV(*A0P@Vt3q!q!~lMT&1w#{Y*x!860{!is43Q}H`a_9L7`H`fh>Ryw4~?; zO4oA@{172UX$qq;TVkg7saHlE=Ddk51I*Hd*h9jFN=DH&(j=VLaoq-hFYGjKCWMPt zh58e{U0HcPtTzZqez2ff7DxntIq=v{QQr{TxekUiQLT$B1hS$>Rp^50&C1-m&L=5f z(Ky^PGgBG;p{$mTerzvNmx?HYFcU(Ihp9E50z4~*EKV|#`m}y8h9YKyj$8tg4plK_ z?UAnIW-~IbQ&>I)vGeP>*0NS&IJES4vWaUbj;R2fkZ@-xIT~klBLwqtxF^UN=3_K z*OQvKN(Wscw@r~_Lr$Z#JOcPBm3KkRE%|oET$-jz#FIsst5X-XGXHshc=zVNK`b7M z&SM3t>G|I?*qs7u;pGh~Jyb|{OHQOHi*g)4+SI)&BO)Cy04-R;R@;(O4nK{N5`MPe0qQ=>gmtG zN)_ssW~2a3hMkSrHfh^53}yTk6| zM@ob-Ak14-W{D|buVc@;aXATN02g)fNV|vmNr$EYEpdSCENBW`L4!d^qodhM$;Rvis>2S<-|jFSjg= zg+2?2D2{FIyC$@#CY@9HO{$>8(gaIzjFyDHrFb9IXG+m+J=0p0yWvpEVsZ6DTw>5q zB6yEF8&uQi93<3J162q*i7gmOt8hnI@Spkd{R1sUknT+qF*z;?07cO68Y3-h*qoz_ z=X9W~YLL=GJp&rc2GMDDM5!en(deE|URrc8M+f~QK=9rY4w*@%c87}?09ZddDO6ZB zob+UE>KV$mH2o*}8Z1aZ)zq4pQrtv8xJe5=MHwjjE#H0lQg6YGOEo9E~#_m~^h8)rF zsfkJgVrq&QrExqs+=3y82n{*Y_$qVWqudGBP9evvS_r%Wb^GX~FXc}T^FcU)2s`Qb z%29S6#K5mFTD=UIXjHP~WO~Wlpi^Lw{_z>IC_RRl7`P~=goHx$dqY;(Jpa)fgoJ=F zp_c$BI5mW33XvWFajIHI)dp&tAs=O8sb!X0`Z*LUXM7B5p)~Nq zG3pwwHEBdeSyXvIyAZ%LA{R9bM!GgA7>oFLmHqt_uR%3nX|XkWI;>Hf=yI`|USe;Z zS*77>(%^<~8WAW46KOx~_je4k`c%F7{ME~liuQD^MOj$sMk-s8v9ZDxRH49#nnSW= zITGJ8iL2JAX*b>1g(b}N6F^m<0Md;)-@ztGt!X?bR2ACum`5q(c`#>-#VoZR8e@96 zj3j8)8VkxRg^Qr2Fv_$99I9CPO!O)6Bz!|ZJuN7*G6tDK=V6BC{%Ur)*%6lmK1YAf z4|?nFe~ab!<6<~iz`CzFP!uf>M?LmvOF5O-)t6I$(kN3xkVr8*E31p$)hG@^H3<{c z4U9v&Zq(#P!`=w>i}Kd4U^e@6$UhzzJ~uW@@)86~qP^8I;I>xlu$(g-kRg)7!7u$c zFeI;$fa|P_pa{u8b9NXuCA`8snKTAonMViN1k}JFxzV0TDKB&21NV1|GI@zsG0-hq zy^mA&kzz@}S(+@z!JFg8mbgb!)9Ye+ zINvNlbkV~vxLCE_|2>>$m&9SD@WnOj&7&(bq3gU%uvD&dYL<8g1)SJnJ2u|^s>-VIw(n~ zt0+jTM!tfCyj(!-dQC;DeXN;O2Z_@hgZd0W?nkev*@D3e=5XU z=E>(tqhd7zEseNg^s~eZ z^wm-9n>D{#`#VV6<$ATCg9yxt{*)e5J>I>+A$a%k{kxBdYay;OxB`FZf>kj1XnS6a zOYdE7vP12Fj%ik4ZJ^O1L%+oR^{4l#!zJ{o!?p9Nj^U?|;a7}_Ml$OULw&9S9%VRw zT?r8_mlR3UX|u_qluSviPl*&F(iG{Eh?UBeQ}MN*NTFN9$Y<4TalK85FWA*GV7G(} zAe%wEOxL|>QpUI`x0vz?`r*j-UM$u73=OaGmICNGv^+Q>^7i?kC^q)?E+BEA|4D@a z;(};NMfZD3EqF3f)se?@m?MEB_)xni>GS!irrpqm6hyw2o|nM1(u;MDm5edAfA!)L z-b^j3*Xkovg@{>2M1Qp9W#Ic~NZ(Mxfif_hc(W_7Z4X+5NVg7HNuB%YV3rI33X5DM zsrf5LRUnv%rdSKqXnPpbvSv`pQg=X|^n83G1w?-*3NsL}yj_ z9q&HAxw}8U|L2G2AMSr)&Gp;+4`?d>-4E7$y!XQ|AHV*<`AC`k;k({{VCu8KB=c_X z-@N;u-ao$ku7?}+zQZrsFzUMh_U_Zy`|q9|eYtyc_YPjp>h9z1Ya~nrr3AG=&Y|1~ zHK0Dwq6IXj@WssLz23n_fMwN1hNkU!Uu>=kyML>RPdk};tZ221BM=cnkGFtl>wv%+ zzyM$>R4Bt!$8EDnj>goM_xJ-J(l>LR;j{sfi_UP`j^&&NEJx^lS`31qVjK_nBnnTn zTB!aM-a0$1=dgPKJBe8GiW?LlSkY#!jyT}zLF02mb!vuQo~>T6qsaobUnL2-^|Ifo zIViW99=1f;#>cnMUq65I{O$9*=RZH|`NiJt^WN*{y*CJHdEUEw-b3rGM1lvHLd{^= z{USO^DJyD(1Ix>__t4QL*M94x`ivxz%PbTe9Cxu?v!Ymu@J}kNj$o%F{_d^ga%=b% z4!hM1&GU{KfC|4srmptvs#P{>X`5p%)dDV6WhX!mS&38x-o+eEu#iA5^-|P`pRTW` zKkX3%h_CMn&bj;fw|Db zA8i$5WWuaMcZrCeMw<*_d=bXUF8dosWd*uCEj)d++E!Cl;3oMpYcjIMT8TtJOsq%i z(4zycTV12W?hgOT4tR-0I46bUH*sm4#1e^5H0#-C9nIVYL#@ zkjdw4%nxwO4EbVeyodQv4H&Tf7Cm>+mx8&-lmydKh$}yY;WHLs3{^wIQpoEa#I`bh zH-OEv|F++c2dG1gnFeVpkQrf0F+;Yq0?f^Y926Nmuj1^f2RX7)RsGr}KUmIoy4vkQ z`HDhn2@l;R7>0o>w~Q(Qq;gyve4PPFK)=?G0pEk>u}X z2$F{&H$&#s zaSab_aee%iHN5G8)n7pqptyQwM{-gY5D}yT0|t@)kG$mAB>+$hgP83+dbQTpIr6Av zm|4xHRQJdSln&J5Y$QI6QB={pEnh(-cho!i#sVz>?tsS92z_i8`z=2`3!P{Gz$~~8 zWLi+6#l7VyK~quoJjw%l&V`VZqTf{ww&;(Z^nYUxPVm={XnFOPt#04CvMxXcObO&w zC+E=O8I!?u`O5*L0Z$~Ec?2j;8QpF^KYt0+rmp#`V+10aWTcWjvl=p99rVV4GawWO zaja{o5!yR3Q8S@!h++VuV6qq}ik_M*o7?hI>(`i`npnTm?UpeCb_->I(=0y6S>XVz z5MOA{%u@{jMmHdwGy{(N>BSlbla4*J(g(l(esr-vFOR>nT0Uz#08sh!vp>n-&;F}G zaR=x9dG`=MW{wGW%#wpv13WYzwxcST4Tc@pS1lrb3eD9q^7LZuRnre($t*B6q%1*U zpDuXZEix6$8lfNrn9$ens(D~y2@*66Mc)F=bhXh@7rl<^Te%39;6W6NgvT#@M@R)4 zAjTSQ8+~#t0(c%8ZZI=~CF#Nb``^a!SMonF|8Ijc1X|%guyx^5zzI^v0%}nZE5O61 zb#$3DUAsiuOgOGVDw>D6_P#CD9pDjmAbco!jL;KfPssM+mgnl2s8446$q7<;qQtHz{IYY7lA8?&CrQ<&M5~J1 zoTImhf}liqwOXSF3xg^LgC_+6=47ldIy||grF!~)1OzVrUi{*DFPZ?{HsZP#=`kDU z`uV{x!odfsoF4oF2Vd#J@Ds8zZt4g%Mw4@3$$~Uo54*GJ3Z+8iiN*4}M1&|G7(WFK zNFapPA%A3Ajg1NN*m1o_UNb8=7;U*nCr_^S=!A=%`LUq;p_?oieeHTHS!SOULI^qp z3B`Crl$BEv>(f^+WHn$TA`*q?2q2-na{t>Yn1UH5IQ>G*;p4-ll!4h(?PS)|0{_!R zzT#WBc`X%(w?Gfn@Ml$E6A>fo1q0CwhFUd}&mq-%*H_!rxyBQhjmgUiT4q=fNr3Sd z5nTw7^ZaTL7f=v?DnRO^vWrGop1K&`G}bGr)~gG2CIB20`Kho)s^kmge1RBoFizdq zVX2WK@Q0}6l94XeCa813Vp!M+mKaMW(P%h+^Zxe!{y7sJpY`s(J=~#dG#ZF~MFTNS zf3zPJJ9co^UwZP1p5Edh*U%94PdYnHJF;>^D8=~U>$ldXIQC4GS~X2m0#BpT5P0jw zMXgB~153yE%t@W*h?w9gn*H2)A{!CJwdSw`G#vSlKWF zF;RxyMR_mka(SuskWnjS%J>VwrZW@B2*ay{_@T{;hgBYK#I!MR7J@NkR3 zB*mlB_q4h^3ZoyI2hlg7@Ho%Atlo(POJ{|acs)eoj{Tn5SB*Pz^~NDt&+33ylI6}# zMZn4RZl8uoxx?8;lqVTuDP#6>akiX@`JgFl{1UCQ(95wdSvnaug81Km;NjEt87=GIJvZHh6P2;xmSGnn1;JWjR2r0o!5%EB%Z-7- zM-gX?KblyEaRjvlwMA%}uU#Q>8i1PtN$57pQ@cF^aNBjr$XkkCdhFuMj5L4U2% zoYW-8tl|}IwLsp=YVyIB)oq<-3kyos_!BLNDD~)^fXodLWokM{NC+`-tPNUKzui{v z-`zi$saW=dOL>gUCq_lTxV)OLS1(b^;0iH`z+Nxu^qB5m07Ml9&U#`6od)q34?uSA zKfHT@e&O)}H1l{^Npxf-f^?R!b~^@K2DJ{$E2Ja48^i-`lM~P!RJJC(=z8b69rZ;A z?e)O5OlW+YmGQUKZR^;FBP}n-x&l(4G)m`8vIR~Y7Ob#hE1H*Px-@kA8B)XoA{`Ez zm13!6Lh@2u(R~RIR12e2_MLLUIC2)1Nvk3>+sirED1;|cLCzCAlGZNMDoy%;#!#Ov zOUH|eHwpm?A>k>#Z12(t59f7AUBfa?JXcw88x(p+&^mCr12o>N!x?IjAPfrVzM?!u zq4>fXW5L~pAeC{iGHe-WUBC`WpmS7;*{px!PZTvd?jCb0ZJi-6Pa&kEEQvyHU1x!m zl#}5SED%T9=16){-28mN779lZ%`D3l+d;V8aP1NHqDM!*1lDvyQPzU!cn}1khDY117K!lK zO=T5ew}|Q%g6Pk7^qy5ug$k*V`ox;3YuWJj8B?OcpRuYA&* zG=wlVg?9t{HJRnmT(|)vX?1_80K@x*2JuGK;!T9a1yv_RJSl*sw?v;_jh03eEJXoW+cT(A%UE@&NmSAV5{^;xQqnEpH}TtqD?2t0x?ejMd8r0 zqd2i$yn z=OmR@<3z0yvGw8zY^Bc}D!NzMZoATA&v2Sr^WGZAk{UD8M>At&cG>A8=W;qI3&0W; zup?IhhoCG$c$rxtA!j-IK>%I7Tw5Rw6h08)UVsUE)jj4<(LRHALaGE&XPGYkR_%b# zr^o?KO*?CLswM;!oW^JUtiU{&uuFp4^_;@%AJZB-!kCF?X^Mjf%=4JlhxEip>(0yP z1Bbe1bJRpL>Yk)G3CNgLygq^WxayVV5ri>?-{8PD8ln}(fmYv9iSk< z9wB>|Fa9n~&p*8T$k0W&ujQBCYsNm%(FX@TI907hVYqFQ)Q=pvva=ij`-o_whLc;53Ns24=#AFPI&hR+k!Vk4&Y{G~!6FpA_u9^eRoTo-)=fwv2G z5g}bzaj#DLmyZ-K=I|4Ri#bIK7pt|ZTt<=?HE0oOuO#~2QWR7EB*(ytzY_mh_n5C_ z%M9i0R2xBEQj{nZL!3)Jgwsjy6tPjZQ2I=|6EGcVNQPRbq^Mk<%#S=YF+E|_-%fgi zQ+XSneIzU|_k5QLEK)uDjmzmfWP|bsNFo08^#R^IiTC*augodN1+Z3E>q>}fb(#lx zHA}D64stRS{39XZAuJJ&ga(*Q;jmd<&{#Y>{`??eZ2W--`FQvH4~SB~`}pt!!qQP4 z_k&c+ss$!1you8VHQCf=eaSfB3(ztwOAr9~O!uX8S{Ne8L@<-xjfX1skFkf z7Fh#3=uc4AzC8P(s_uVAhlBj@qV{)*zwwdpzLOskHkJPN^o4)Xo%_6J6M3J1;m`K} z|F;YPW5S23-Sl!XL{{i>xu|%9^lwbb{x0#!@CgRCr=O6&lTXOs{Dj{EwBF+l@Gbx9 z|GVQiKDn*J;}e^sy?^ueMo$vygo1E4I1jcc|EjGbre}zldf5Zw1(%5*S~4}IrZr;c zQW0XOI-j88!w=4MkW0KE3Y!D@5o(NUv9#&c!P7ocbymTnXrQ35WF2xe1EoOrz*F6q zF-Prz2n8Aa2a~{nI4~sy?uGRDS&_8SVPd#RSq)3WJ#>{tOB3J$oGhkop&sV?h`DK+ zN3JPqX#esJ?#6HCSlOc0g{#kE%M+8f7>p6P0(Hm%dQc3I5sW%OlGT9vQI?>)Kz^B~ z>Y_rB{{}$d^t0wuyFW~RwaE1 z%WD!CeyH9Vvm#msoRPJCPB>ZIG*U={`_F~o-NzkO-2OnhtYexixkK8-g=|1t~(^I8=IMM`6a5NRkJaAU>5Eq;|vz zjfEAAL8JYNR4n^V{xryku*_XTQMYDUm>H(PHq zUrSUU>yvafs71*l@{2C!!E&!%Z?V7Jab}mE1!-9`E4Cn*M@tPL>6zBn^ zvrJQ?&##2a(n4z+(%U7Lvh%`=^99HYkN1BWdlTk3j$}=cKc!Y<_6(e+w3T(B3iGym ztS%5};ZjurgnDeY3?xAb(-g@EfD-%d%zxkS^CPZ|1f*^fU`A9{W`u{IpS#0iqfONp zLx~^^EV8PLC`JR3jQqkR0LS94SXLAthDF7fb+-&dlnqv#9@+YJC;j%Q5PoYZnAM~K zD=%u|i`1A_YiAr-h)RG44eXs$s+&jV?DfX`e#BLuqpClerrkbdRgffO4#mEvE;ewA z!7pg+YAT+)HL?~6jt#VkdMV3r(PYH~`9}lXLLdsgnb9k1;zbZQVwf#RsG$ho<*PjZkl0fB381M<&b_&(8ZddI)Faej@~_Qt$}&F_TJ7kkVLh$tTnjf0Ucyurtj{DOAG>OUh_Im^d zMLIfpx_N?Iyzp8nK~;^rxPf!^M>kc_^sDVG(bE&FoFXXT+bjiLqn+0p$XBQJ!PHZJ z(1JUd9(oWF4?L`U!f9SAzmK%YZI)z&DR$7Ku31;6;eqc69pnfWWde`G6F-skRpLx?G@8 zrr5xJc#6157AXHpd9!_CKs+tgd0!5N?Kk3gmqYij14GUHK!cy%_PPO+Y0aZA7p zUpODs11|zd*gAYp(x+}P#G2jTK2Rw|62b1>ueYdmT6mW&C*IQxboLGpVOl~nt|wlP z9o|tyL-WLII5*ve(m)$VZ)S+fKq+arP^_5rL`$nnA>21^n1&IJR}j3i1os;PuhCIH=x)h9`Rq;-~KlRx13@K@-hh(?K+N8I1;-N(;Z zU1a%xy?;QS|DHkyx%7`W_rJhz-aU)<8Z!6(-W^lo=<^ALe@BMQQ(-5$%d-iDe{U>- zP{da(sI|Q|0FG&`r=f>Nni8$RiJ#e3iux8bLGn2Cy8#^rE!E0rU9FuHn<8TR^;L+U zSQ)|Au$Td8JtqKnQC<71yVcxPlzE%n0hkcU{WrpbS8%mp4FZl|j=OO2zeF zadCrT)MX%;IJGY;MT$~8^fei0vNvmw%d07a9|fKkSbTy4!m+@aaPxvryu`Xl*ozr* zu~h&x&L@Z6)_7`?Jf-euh)-&n4QJ@}K|P7don1i_&P)i0l8sRfFkeW;-`#zF`yk*# zlnR6S0?Xl)^?FEPnqw(^8w|b%xMQXV7K#xic8)>iVf>bwrP=kmQk#BKUg4z?0z-M* z6)H9{=m*Nm9osU(TI6Kc7pPl+?tHJWfH(BYP*FT3qq4TohK3R*B+`+O=S`{Hoo0_n0qMT*04}vYaoBm)(6`q z%=GY0j4D=Cn4#Gi;|{D1V0z^3BDP4ro7v&UsYBCSs+tG^8cs;2LuOror@W5Dtg+?< z6_(EvvVJNoR-mhEK{G5wc?Y?z({S`hzqveA5)HZ`s>@!$3+s*%9!Wl+UzTGnlY?H> zibBzGiM8}^OJiE_;Gk`_d?liPb_Qck5DV(aAjFA(+?5y#lg{E?;ztri zJ_H8?{=pbSFJ_(_umeX=dW~8k|;KY2W7($!CPFjNN3lG3+L*% zo&`~5#=#E>Aj2DYckPi<>N5kaqu#0uOcV+c@t1;#a0qyQyjscZVta|OHnL{KG1fWc z4_}!ekM0(kt1QUENvlEEhV@LqObIBouB@-Y@cFba%!!6KvP-$w(WmZWTUngj=dEe- zDz2BY0$ZAQA!6b993U;Sdq@B8le6Hz%M+0gf>WgA-xt9@gq;GWq4l_|RXon~W`PqS zRESzU;#bU*JSCTo37;!ZJ|*zW&eY;)1rv95x>^&5`?DUb7svDSvo?j0{Q%pL7VArR zm{O6?(fufaU~sF@7*H&u!gj&^Vg+Bf1DxiV*-K^3{^p0%64RlW_~J+K-NgP&bsugX zOEq(fK29I;HK^1VjPaIdNqpBK-?1>pLs$-#BZgWyg1=ee2z<2$Oa>v*Q}`vU z1k<=$1GzdfE62-_O|8Vbh_zT@ooj}GA@p1pI4W2%{GW0*)Foor>P5nH*upxn6v*>z z$hWLALAHw|Cu%@E=Y$u9W{u2}) zJS2$tEKWu6QBv7dtXBw|RmLjXW^EQ+1Rfp4PqZfi%<@ugAqlE$2=c z`4f$2Mrb@!p7%arb6Nh!PA~|w`7!FH1D(2_y*@=-ThtQMKv+7Dphl|1lkit zX48%V`u-4=1K@e;BbaF*KN8&2NUz?8!$e7Vt4p6Q!+4Hf7k*a!60N z-~-eg7IhrRLLP8b-r&KP9$)+`Fz4)Qg)Qgf{Ei%C4AkNpkwA!J!WUEcaXRA9Tp5?g zHoc$?c&A#BfZel@_9%q3@n(90zyXy(OsOb35kMmUxLHezmIl_6bK5ybPcKzu5Azo$ zP$Q5|$z4ioioppIocZmVVt~z%v$cKj0m6vY1g_7?*-|9RQMrk#T+KdS-V7$mNEl5~ z>LsbLf{J2{CFWk+$^^ZqWKp&JGa>3J*)NRYDz?T~JD^)<&EN~VeTr4GgwGT9040?@lB>}Tjw+@E}?C5RW3GeKGK7~ z+kN?Ze>>>4f<}OWK0HcK05^urJ`Q{nxs8e9CCP8rj#r4fXfO}mjir#`YlQZhd@fw| zlh7K|bHMJL$Q2QvY3Mx>OmWva1pot-<8Jp;(!l0&F$89ge@oG8{(=~F5a1HPw+-{8 z3LcWx7Vl%_lV={TR+DJ;Mwo|n4W0E5Bvq79m|;@Kz61zfgKvc+U~;uR$IjOiaWwc4 z@-8i6Z7d{n7`EikDN#b12+`Y27izkXuC>h?WdGQ5TwWPSnV z@(d)+eW2$WZtayEP5^AEEHl6+^hoDHQlcq|P-~5*sD%u=l+eNRI_Ll@sGi9X$+aUY zd%nIthgPOOcT?_K!$Lq{S3!%5B#f5lko^EqT5p*g!(~1YsYIq0x5n4WLcd*raaxwT zmH)F#@^w7j1tx!ne{3|rr&s&S~|sSJ_IGc?Z-1M+28S)KH7qAof@@p?E~5m>$X zc=yYvy^p`&e0=rk)y+rLao_8`x!=9LdwkHVy}eH_d*Anf(Uws+w;O1Ex)vZ^RyGw{TGuDcg)a_C-?_cXh+va zOEO<03UsmQ+391RiN?D4qhh8GwU%Td4?;~~LTvmTt0fZdkse{K2JzD+D#pXf_~v}Q zg!B*(7r?Gb(}ExX;ZwFmk0Uj@3#|ZO>x!<9OXH3?SYq|EABV~y^#lWgrZF_or9a;| zWbVV6?y#h>f~<7wGnq@LY==0uWrKoRn;=KTRFK9sEOlv!jS{r#zCI`g1YAX?QfC)) z6&PKXMbgO!V1o&ddVPJ3YS~?}0Wh)G&G_Ug$G%M2e}2jYQ`C>EVp7sTB2cUGNv{QcD<>dL?Wj7$;y1KBu_zXSU84uzcdFyR0E_c#b-O*Z<8 z|Ng%L5AOF-F1Gi_uXi7Bam2xTpz<0n^$6Ka$+0g+^td~*_M@@GEI>8WSb>eq;&5|n z8m0Y)9w{sXrg8qRQD3HxJIGok;<;50!F&XRet-&hxd;aKoW}0;aGfE?;N<7z{S&sA z&d_x*6Q`N9k~_rpIdSD7cYRKV4p%M=6?&`J3%KB;re#rZvvzEPemS{0O>z1k@H^B0 zf}dE`C}>ZujvJs`Wk5-P9;9ceh*2Ln`{s5Otag!}=Oo$Z z*832SA+-|u1SoMH0>X$EK)ahry@mZ@A_&c41+!vx?y8_D1~XdfG=#69+g$Vj|4QSg z(i@TAA30U#{r| zDXWAkm)0tw{(Ibg)yjiYKvt@sinsah1W+dY8Okn5Pi?1(q>H4HMCF_=aY(f1NXH@d z3BJo6({ztRg#*Sqc1NcR_%*Q}vy*LOF3h0YMdcT5FV(1RVx=1x=?Moi7S%WB4k`hK zVMzOwvc!feB)%>y_QoXS02=Yt@rK^5JlxhEm$wS0I4O1;QWU4Q52$TSlFI6n*k(}2 zX^RN@t!siM__HB{+n^&0d0f5xb9>;L?z%P&Z z7M+#PxGJHtO4*1!{UX57TwSMPK}TN4GA?AwOZY&&qOISDuk~&c}yprHl#ci&)y8Fic=8h9%52ks8@G`w z(mT~o_+Z?sghHihFm_!kQ<`wul_tKsiS;dD0_TakR1PVD<{XfnZ?G45zWQ~4*Y>Eq zDZe7tD!BrEhjWo$)^E1*Bya@WeB803Dk_ycqG#Jf04UBIDRMi8brmBF>9yRrN~Z^h z!6XfPz{`O$vfPhg06`0EZw3q?I^Fm><|?~VxWm-6Dlv8tra@1#Q35L^`=T)dzlM|@ zR$iXgjv=?t4_AARQfja<8)@RrO25&>Ot0mOFiVCKUnuUT@OvnGc;TQ!Q~LOb7gQwTI<&Id5o}^Ag@L^s=xyZy2J6foKHKU(oSvcL+X04ivoggb$kU1a-GjD@uIL!J1U3;2}@WR)ny4B5FRkB%LY!9$N|%9#VJgNOr?lm zA(~4XnA1~8X^ur;q%IDn=dd+_UP@9T2P8QyZ{l1I>8nJOss&O5mn6bSJ!fQx8#bjklcr+fxbq=8m4Rk zmVz1^La8wRdE#X4L4Ki*P_lgVXzfpX`zUQ)LIcR+uR|b~D6w>3wALJsxwz_g943q&q*%Kl2;Jn&Ek@l2y+<;~(7)9~Uv02=wk{S2Vfyi;|nByQ4NCi+h3#mgLGgf`x2tJ|(LZD$wGrv7xTmU|2htAM%=1fqG z{B)qA-BO9krtnSlz}YE|oqEE3ljV-1m7CY+={E!~u~iNnfstJ@_rQFFgJ{kVV9t}Q z+`e2KpIxP*C%bM-0-j|`j{qzJWk;R`9>iR~Anf~tpms-TpIt1(eL9w~s3SyZ5yv-l z6f2{hY>xWc_zNw-fT~6duqesNX^xlIWCrl3z@H&XdSF87kkelf^~q<20G-bzMGQgG zXs`mbx;ejELw?iB1i2^ZBcL-{Fni%PY?Zk{qhI?0-MHG#>FDV6bb~O8tIY#hBZw>X z29P0tlF9^qJLMBGQOeeMayUd6uLG{MJdfK8hqNaQL1alXwITo7_Gj(=!Oy(dKw4M< z_1&R$K&nSiZK#UGXH29~1*TKZP_iYDmaWH`6v(hUY1KvB8kQ%7dFB}0{jSJcv;TbP z4Rf-CZ`BKElz^e1oVYQOoa)vTeGT)-^~H0kc`5Fi1Nw%a_l;O^kJ#2M?>rSQ`{uMh zBX1sfh(?5X&eflbqot05t&=3(1xwwJU)ItgrD%6wQ(u8Ymmfjj< z3O#?bh59TBS>J07bH5}dR)L4nqH#l{_2&yKtIIp#(DR*fJH^gPWpO$jRdiK`^!v%o z!cmFs`+7~gu=FGdpwd*CRD@4^4r#`b9)oE}!s{~$&+$Xf2Gcw&f8#-X(6Fcdi2(V8 zbt?nk{kZzsC}>6#md{}lO4Bt~QrkuZdSysgC7$U)=pvxum1Jae5L`^1;q(y_7?DtM zez8YQWVSi&{r>sm&7(jJzWem~%Xbt-uCN(HJ(%LLNsH*ugXpbCLk^b<*VT-i6q*X7 zobl190_HTAPSTgNepWYM-m($~>S3@{^lvv0|5Ss(mn$6ED6>Z}G$6BsB4psnt0jRB znTixg!h%Zl|DfvMj)fpI9~LgV4=d7uR;}2q;I;_h;i1LQI%Wy868Xa7t2iiq&-Iuh;HA|QN1|f>lI6K zFsizQ?|FHw`K&;?3)hpl#-T1rC(VGSfGkV!ZMq7dAh?BshOEVjLL&xpeyCe{ih$mI`1%Rem_L6iOgt%J z4s=S|Wu3>-gH@qnXFu=*-NBAYLX}Q@iI}2Vz($3UH+N6c#m^KTKaN4shFqY}M(IMz zE?Rr$VojNbi9WelQ#ZU-t392ay-6OXJ!mIY&Q;Ds0I3vqpl7Za(~qgzDNaX$^PtRi z>yj&!<$$c1gLw@PstPNYbL@xx;5&S_n^v1p~7BRgGD${I~Phu+CO zbMb}nQI??@U7M<5#EnA=XCjmY6nC|3*H#URi5FMRDRH%fvDms)r&IHqkZ3 z=))4Jnj~e$1>Vr?7V!Aq!GUV(n$l#^rl~f7=zpW<6_hju*T1%Y-SRuDwUX^mhrQ`Q z0eSQOek$blSuNN(ndjZ3c~LDWJP1{?Gd&ZRUC$(8Iz_9w5cHb%h%SJ0M4p~_5)nrU zuB}!vX-AB=1_~EfIS!1Ts`Dt8w0eD>bPH1J&q8@3zRqBC2d{8qjM<<*`{fyU#B%R< zTY+z9gMG@89ySLKJgWhHHJf9T(3NOIYXb@ zrA{c*7<4WL0J${E33rS{8JVbv#M8}`jeWY_o%z*h>(uc1Q%wfY)$shq^~&>?GxYh% zBq)rm42SV)_2e)wfYysPxH>!q5+YD$c3p|mXdHn?T000m>Fo1E@%}&pAesVP8xwhY5QDHx zR#)dIv+eQ8YVYx1Uv3epm2M^c{UuakhHKHeMB=sXjo|Tz4FWp2hi0LQN3^g|P!bl= z_37$0ST74&&92rczR9Oc>Q&9Q-+sIOwwatWJfMwDzNA*Dimu?@a0ZDo@4QNjwdd5My-NIJiMb= z%qY_tN0V@PEjnOab9DxMY;r~lrYghwcu~ZJ#@bMqvZB%DKq+F1ab0aasWtpJ5jD{U z878)A4c>|PF^mv76flXQ)*0FbkBEAaQEn>^TTqCMmbf_2F8h&g56V%bbKcY~vzMfK zUgEE7_s7dgtk+r~1%*lqL zB0sR@XK2wyP5{Gp^T*8>fY-hb2N22$vLgANQ=0wcg3boUqS=3mqnCcJKKCUZES#+2 z0A+G;j-7_nMSdPHSB_UPLC+W2?d(c|*@S7VwqW9yE1)B^EtIH=vw^CL%=(VL5!SgO zU7@rPlH@9-g`h+7Kadlr@!L(tfNNfyLz6&oS|tY@QW9@yRVFSwndDD62W6YV6&)_< zQjU5vk?C|zs4P(DR9z|?t~BrvN(cc4Oi=8QG|);{R2KwKE&W@-_8))S{rdUqy&AnB z$+U7R|H=PTyyZYt@h4Fi8SrdP3CIMmOlr2#p%xmDlgiaqVF5I+4vc5rY;rM z=wo#>3O{MwMo1hzJxA;Fv-8W%$uDa zYj;+a6Zk=wRcJs|Gm+4f$S!A^CN8_M5_*BACk-D@E-z4uA!u{0G2Q2+ybX*ws6VV; zfM(lo==Mcu^L&AB%ZiI3FGXGw-37s^mH2L_{UJDj^G_iX`k$Eth`O9YB?w%lQkpkZ zOAbAkdV{f0F)je(e0B!c$mwP-B^TAaGxvZ-&phJFTLgO4d%5?(cc_-ohmfA?zYIeO zUz2#ISli*r*C>O)<23};ivo?mS^HFFOF-vnR{lA58EPF9u2|Jll9KSRnPS`Noxy#( zmagA+d(-rydz%#l^@L@SQw^Z5&LELP6tQS;A{E%dlFdC~i7GKo$5wz^gLrQnAmir>~tsj-~f$Tt}DgDv;h@F033V?^le!d?77% zgfTFh7%eF9(o|O-)KJcTx-Jhlg>XqmjOLFo&VxKiciigP0rY`911x;irGDcfIqF=`}p8;Ud&qJWGKoJ8?Vu0EeFMx#92O}JVw2jn; z_PeS>7DM*3F$5fx2|qLp3zIN&paB*?;nqQ=02rKre%0;u)+dBOQd3&D zLce_YVh&&2k}gb^ak{=hwGz}qN2%p7)28rFt}RL?N^Zx}+e#PU0>IO(nCyPT`mfv$_jH9gH;UbWb>wojGD#jxYOxvK z1iDw!k!lC->+PTT`{53hIs=GoC80{u%T+NKd&ls=SO|;=Gq95I#U5z$0oO7%TE^i5?aPVZ zS11PwgRo=vl1$WO<4a=pi*3xmvJgY(jLNa^p3A)~L{Pt2aloY2y7F@ zrK1T-DAd@Y8~whLItUWBH`M^)ryvQUj*a3h)vz)_sEtNV#)+EFBC1{P3D_JGC#bnD z8g0CRb6Ed0b#rrHhSx^P6na?k*1`Rn6%2HapIt0CemaJb+lMcoA9j!7&`2@YCkrT8 zc?CTt3EfIVxr1d4_hHj26DoeGhuVd{n**ruP0) zk@$OidzUop|4sh+@_#h{Gs->P?)CY(6zplPq+yTqr^By&F!uphr^xO zN9Q=R=H&o_D1#I&2P)^OHHBZ+E@{hl04%+u_J6a~6X3iMGSydD?7+%OfD9@4kH9-QEAk?p9{(fpU^|^9gKb(1KaIW`!CTnl0U< z-CJvDH~{yiGu`xp0By#bqPkG9c0l_Iv8ydg4)M$p4isl_GB?-3+t(k zQ3Lq@f^2^F+Lh}bbvlbH10wY$v(IzZ+iqgQ|c;_(QXGV{Ln8tCDydfNd%N+=5T zdUv&2v*Hh2`U509f=mKi*PdybOi;fruR~Vb`c!sg>CBV0SS*k}A`2IBt%9_PQA~6%&gUUg$!p>}Lts15n+bd_`jW_T> zrguy&C$1+VAe^H21y$^QMcfF-Fz|1Nk%WJ9#av>*Dx}pP4t-4ZMBc{?Yor*fyfk=F z>tWfYGy(z4MnrP}NnX!fS7LeyztKbIeAe)Fil$|NM7vLunl(t4(slZ(ft#h7kJ)<3 z4DpzviUNs*Ak-}B#Pvb=D}$nnPT9UmMV5TJ=(fkj3|(_qGSI;`_L(sqBfFNX80nA) zb(er(0PS<5RZ8d^AZCewC|=v110Ut_WFEue$@vU*e^5Mhhd)sFc0E+lkl)ZOVv9b1 z_{8xHC3dLgTIY?R4bp7UZJ#y!0UnKWWHuTI$thH{U#H+@><~=pV_`q;cc_9+MH6?Y zYOSC+{i%P47p@Oi-o0a@E`yW!z{AaAZ?4Y6*K|#&d{O6A{VMU^5cm+u2^8(@+vP71 zQqdKDcD_X%>P_s`Aq_L+FRV1xKvCM*CqpkfQ_hF@{giON2Od)A9$IQjQ1hcbD+e+! zc_fk8^&ct1ebQetjGL&WQf&MzEr#IbrQrB?T~9Zh{%aACKm7<2tiI$4uFE~u}vm02}7lyn>i7=tjz*IJukd6j}OFJvEbmRpQb%A)I zeigFaN=`18yC-RGB3py`MGczbhy zar@~#sxKX{f7(N>tMyNxB&PAwKJxP4bt;NCU*LoHcaQ(7O?%Y~x3&+c4%>OxC&Vk> zI}(j4i*U)0@ds2xSveR?dIJ=@-~8)gdx!ib+B*=daEPH|h50drC@)Wd9x^5_t)tvk zsI-I?gFOyU)6opys6SsDHV~IqQTXPJImF5=lj_UeC)TmT4^-d(Nbidm49wGVLR<+g z#nPkzQ-Dq3=Ad$Zc~`h(Q57tG3qOM-~@(VoB$V!Zu;@jd*&r)HllroPZzo zGS3jOczpdl6WY8+`ItYZ>k)Wm$Qb#wJY##M6f-}TQ!$+Oh5?Dz4EO8NeK!nqU*>S^ z(fu?fXnXBdR+Y>&<$4F`;QPA|ACP$cYwv|tZP|X z`xE0DkI|wraV}JtcaQ&xCr5b1Vikw$)lX6uQlLbEPf~K`LlrhznZ$*R7>Ihgy{2An z50`zFgniCYq5|Xxc#iz`7s8y#q}Uknbhlp2fUPFw3s328HIRTADNwWrh^# z4q!>h4iwZi;`GxR7wR!)^~<}D=ztE%U`~WAq(QSO=o%x>Hx-B10E(AjaeA(hqU4;n z?bI1UhLff@fo6={)y&3qC0InFXwllKP+1rZV*~|pa->bki~Dbg(S7&;2?kmVS{>Me z0$lqQ2NE6owi&3SN2xdTp95BPCgc+uKN!Z1~BDyKteO5g&%Qn3Uw_+l(Ja5&9I!r47BLjPJn$6eKXiybF-it zJeo&BhOTkos+awEl!J0QcnrNgihRFjRQSy$gtGAO@|{|fiwzGvRr)*=ne2J~NW z-76)orBJ=CJyX=V1g5jF%%6q`A+>Ec3z-jai&genv_^bqDxZ|iq1*HE^X}~}P_O85 zLvNq_CXbK=a&&hFRuh2Yb9VA(_VWh*s))p)yN?VvjOp5&ZXkHfz@)GcLW{B_W_Y^# zb^25*5ZQ6gu$xDKcL4kbF6OKep~Em(+2HClE{{1=P>+u6+kWt|B?Spo-QN$Tb@o{S z4kadH83N_FyAP}c@BwuR_C9H=?)6_dgIu^Q5$8|%*r#sJDL66|mH6n-(vN@O9m$(NL!;Nz6Tn`b}GX<{dxA;E=`wL)|P*T$11K@o~E3k5205bunQ@D z#F&#Iu2I|(v889HxQMAtwmwp3l<@_3>5){GAfTRa9n+IlE(? zmWT-;WaQYIdcNCG1BH!8Z-)J(f1`hnoil+|k`CHukyqhWRSoufMo|4lz`^=L0Mf5E zhf86@TuD|__nofn&k|A z6t0O1Bcy~PKY&+7mBFjy&7>L={|57l2|}d%)@Ws)>hpA~go_6sGMiDSxF&)>g?gl>&3Gb!x5)xcwtehi%u@BA?I1nFw+S_NKB-b-inLU{>91V zruTUN_13g_MW?mBR)ev7t#n4RF#SaFtfaH{rxJqTcB|2Oaegv4j{pj%N(y$rNwB{_ z8E~?2dHTF^1adi~WYabgyb12R$NP^rFZlOcd1gtecyoapw|5c7lND5McIVsEYubW@ zoxnPjKYmI@HabWwn<49K?=({TQM-bT0DaM#AN^rUxJ>m^>PGlpdk)axg9-cwsvFd7 zf?~NwpxY4rMMYy6q~VK$7(gOW4VFVELjAgvN^MkLMU&TOLl_iKX0HR{j!9DDAr$u9 zZ#mNq#j!trRyErntE|?}O0JbeWMn2{FJN@NA#$QOQ5Taia+>wQpNNyJvTdMUF+-DL zVg2TPL?g4+wL2Bt14?P7KXKC0s!^}|0=GXU%bT!tKC^{t$iMOAd7*oFhOtYa1~jWi zndZB9k2@aQz1wf_JjCn_{PXtRFK|L{k3q|b)k!f6iQ36;yS@eP^k0~6 zPZV@ldnEiDZF~S+43VSG8UD&0cS=r3lQMb4$1>LkJ}%tO&YS^WQ|E}!NC_3Y_avR} zk7g+3fkGxvmRT4~ISbm0RL167N+k#>_R@o*rq1spVN(wH4y2~c0R*QD06v7)yKRO# z>^no%8KyOwy@o6=W?0f&GXn#Ho^&#wp^k;%Y+n3wD=n-6ZRBrzXjXmq$^2PpxfyOO zoH`;!@ZcaKSk1>5M~d<2O~-;uxP*UTfCT$sw*<3weSLdQ9t5*8{5~3>`jgc^ z(zHJE?6(YB9~nIHEx&#J_?SP~>E+3G_tb;QN3sW#k398Y@{#Ppd;?m=os$mM=R{%zxejEKPV4)>si(PtL07rcci&_aK*yWm}Lh z+3$f`gOf+?0=T79bGkB`9RcBw0*zFJriFk{3{esK@|qs+ z?vBq;UGgM#27&1q%rkKYt=JR;D$dFAYvd*|BvD`>q|Z4z5PXv;HXNH_jiq&l6{?8$ zPGK$rAw>lA%jbvOoMZ7?8eZ)vnCr)huOKihlmsN^u>*HMlEqB**+87Rnp@eU)4-ZB*w<` z7_tX1R?M}-ISILrbZSOo(x@m3H1H8vs6ispRzjYyRUL9gsycyN=Z})S9P)YtwX|Oi zqZJ~l&r{m)GZJ8%@ukErQERv92h$|6!_$j}otu6@okUrh5pl~fdeW}K8&r%wUTlDN zTrIXl1sy&v)=?^Ts3q6(C!6)-P(kzGblCe3C}3u>UL$vXv%Vso{Cho zG&=?hgA$Oc2LT2s`cPP%Sd3z@knS)wYrgTCq0F{{p5Udj_AXsbK_E z!?1@q*44{S{jEWv{QwJwP&+5{B=g7H%mw0$!v>OSoMwhBLen zjZJu+2{B0v|H&w`v(y~zp0Rmu3UZeJ#FS@m11HXNx4{(LCP*H&^F+&KSsl#?!iK=v z;A@mLnhp%3GFD+0wOPt2c>KfJ%G)@ULwc@+Usz#+#8B@aO0_$Vdgs~5>D`M=Zxfcc@l8_S}q?B4+TPX$oWBSf~=e`X~KtX?-vBykxT09JFlGz zbcV`YjX4N~!epXMc&Pz!C<~=^ zuz84WJ0Wd@MxajjS?O&H_6Xyy9`7euN-BjpL4eLB5Dsb~mGPSaZG)Nwt{`dqSB}df zS|JIgOW5LS`2~xvRsoHgSi0+Cd;Vimhom-G;fK{}B#0OloAsyeRiwO0HO+FMR5y!p za0k3GUX_j{`;mk{^Z>M{=)psSPp&(KJwg%|_^-$Pn{UJxF_%HSy^3AQAkLW@Ls6Fn znz^1wh!8`&Y;qGuD%8`Sqokw8NmF!whcj;Xaes6}MEMIiKJVXs{%r?m^X}napWf}> z-h6$(gF6n2Bod!B!F=x$+${Q=Sek$Y>-sayAVo54rN*0U!%t07Uh2Xb2M^7%)8H{h zwq4b!HN7{(SMy%xCOBQJ)D|X3*9|R4jg~E0*23`>We?f1UII=z1da=}=VqT8Xi{uD zz3wbN@)aAEZ*`8O;8vkhA&pR@NxX~3?(o6fYyn3aA1egUXZ;~|2Kt)D zCIh@9yIL}cbWpiNX0uyWQ$In>QA!*O5(;QNC>06JizadwG7XQFT`eCg9mI)@ShLEv zu}M;g-GS1S2Crh(#oD1wZG-YaBho^DOn^AGN^~j2$-$9X8quH$MM7=CRIAQ)lYXD= zx>6YeINg$KyacFm`KfJtbWh5S?v^gAJ&X6L8J6_B#wwFlg=1;{= zRR)~Id9I3KUoB7TZy}a!;CWjeraBn4)by0HO}pOS_x&HnM{E5X!rjsx6>0=r=c#g8 zc(l38R+-bSZAyI6?{L=-A8)_iAc};@$_tOiv*0S z90k@_3X2J0JF-Yo3>txfyawSPgG$Ha0~~0s3=u_KuP8a?H`o)gDq+WXMWySS$y1kG zH6}}-!#Iq&aTzD@Qq@aIy5Rv00e_AXFm0%#$UhY`GOR=&q$Woy;#Y*dJ62n%Rgg-R z(lGm08ioRN=m^%Z`*Jn*G|McA;ned^QOXnw`sD@Hb#yDo>Yt!GBKnTFnx*BghysF- zl8fNwgrQSgvcnLJ1D(E6QU@E_jD{$Dj3oF4a8bx*oeSrH_S@s{lGhsTRjie+@p^r} zmb*I=c-9Ou{s2zxNJk_>1hI+gkcqgJez)CYLYB~xDl#<3}H()&-Ug2*D7$rW0SV#joEn#qgx z`<-V$t4~p~r;&iA0}p#W7$=@I{j!G^4>3R5&gE=-j&K~xLn4eMMTU_UO_G3wv>ap+ z2HOkbu!eg#uin0T_p*1>`!E0HE&jX{nW3i&pmwl2C+AxPp1HBq(K7Us z4e4?PJe!dlx?})`FhKh`5U-*%@pFU1PF|8}a|znxVEekN!54FFxuHrWwAV}vC`R=u zO#g&&p`lHLX_46=@1<2#otDMg_S74wB7q;YNs1E5_g*S;wi{=M%TBzl&j{&4&m$tl z5+|~p3DTfwS-_V1VrSQ~_p6qLpRJ*uoUTw7C9nl~D~f;C) z=y%DiLH~J~g*o|dGVMy}Y#1^#&bJIMv0KQrzYndFsq|dIV0tT^k}13IoBj=FepaPJ zOwC-$>6oE!NM>Jz+_k(vQEMDDSEqJP19K1L*B;b6IwO(ltn}}#R|IdoUai%{5S518 zk&QXcv_35~1JjavV1~w)h6bvchg({O(%gTP-O^^rU0wN}Qn0j1UU?t7N=;a0Uy?rW zZ&5Yo;dW1QJbODJ&mM2?=}&2oy4kE&V4yES{>j!)d`7_eb8C?apoD?1T&{k)1kC2} z=jR$(Ng8X+YR%hOK|yj3=T!jbE5MH6(&!lRy{L@ay1C^fVOxzipt(dstx~)-D(7$? zMwt}2OzOr5ph$W-$1e6|fDkum2Nii&YH;An<^1rd*j!DEz%RH6>}|{j7#ZlDj*ZeM z#Qwt50ntwG5J1!ON=nWkbiT?R0;8b0up%Y_o976Rq9lgGyYm=As0u?Dv^pf`{mkw_ z5XICA@Df0-K7!`(_uVg_=ua&$SlKuV(+CzTS_H9GM1Shv)&#&Q{F=bJhOcX2h$W1$ zwFVxMj|yCuO^5iV=*?vys153}M1VYL3ZB-4=?M5{n4oWLL6hrF8tqRBafsuDykkem zxC={|P?dXO<-iO5KB8)$)&t!D?=`L3cRz?jk4j1b@uKi%t^Q85xar}9l` zCIry$skVy~y@!M@#H2;I744AKBO8qlm@6?;4QW6P>1EIV(Ytxodn8tHEBXB4!^7?4=MQ_7@~=);r}GtHWf9))oc5Jb9LrhOjD z?JpmxK0c(CcbL5YQIy7)z5n;GJ)Bn7(h(h8WCS^^NdQQoL>}b>PB%evB*Q{E!fRmL z%d!xbh~2$Bx!?w?J;}&qNp&N1S>GNZT{lWqp;f)^-`O6OZ{(wx_l@7@aJ%Ydzg00P z_km(;sF3z<@~fku5^SDpVYMNWdC+R=Wi9&OSm{7rYJ+}iC5aP7)|aj_b1I3WEF6#O zXys_s=keiQzW8wSfU0j_fv*4dilrUC|9(%3H2l%~e^AWfzk?(n{y=PGcfSV(0LlF* z&4lFLm*AJLdYJ#$zxI&BgQ5?xY2|ZYfP#O?}9r6z1HgqPwq3ES=- zREi<@aT(xk@ngdR8VF-7qJzrNyrNzce1>I*e2W6snqi`@_<)6&XetfECcrr!kol1^4J%Soj93*j%RL)B* zpX0t0*X$!G&F={}t`&Yr!O_C@6s`f}#a<7;LQ>~wBpYrHkj2&A=$F`yLI3$FjpTwk z8mj~L7Y=MlC-0b8IlIr?TFyZs-Gq_vep)<}tIr8~jD$Zh=1(q8qXTW*Pz*ca)NTkk zCeQr#iO1eUi#k%w6S{~5Jm@TD@F!{+u#~|i$bf!Zu*KLgPOc&hy4ZsQ;~ktAy~~pm zl`+&{LY-oI{%E!3{RR$!K<@&;+L%j?%BST8RVfvofWOXnN49`8LTmCc3PT1FeWR5R zps-A0Nw&sx-+07Fh`uO0!7c)WwqP8jtcfz{PpA;I9J~@1wWp-#UEcu3%pF`InN{S% zy1i_wvj*q^HzHmDO@Gxjt2`4*>#6w_*~h{>6^mmq8q*yo?UV(U<(k9inLI_^*@NTU z(9)2Mj9OU1OyKg_S&TiJnDFtU(8&>`xL1-e9-bwu!g59g!=#SZ&{>F>1sG zGM52LE})^eX;_Gqln?5MfJ}gb`R4s&&_xlY5=V`ZHLQE+5kH|;^yTIV_%kOHM9GjX zZNR)q4F9TqbG3FKoQKfo%{V~u@%Ek)pI*cgW(fFXELa1XXF)s<$+W>5JVsDXRZ58^mYSdT zvxKKDoPhGwTFXlbVZiQdyMS`%S!F_$2Cyo5e1e|`aTRy-gz`>d5WQ{9kAmv|6*tOs z9Ad&*0B9Q0A5dD@>QkGSXo%oL*an0j=;DgGVYNpZ^2^%V$rpyeKX1wv8SEM_+t*P$@u0ELmo9uHB)Iko~I1*#IKEx6>- zL9mt^?gZPKCUmE@70y=7LI8Vp-!*YyQA}i4ljG#IVW0#CrB$6kRy2m%A?d&929Va3 z;S^m$^^%~mxcgvFUj6#&?$!TFVoXrg-s8_L{(QinU-0Kw{JF!Q|AjXI z_c)pnMXa7dLOU)PdPIpv{Pdd9Yz*Hy$r-In4h(s})xrr{Rl=nE z$QKO;K55h#9#pWvUi3TD5S1WlUcM;3UcSo&Z&CiY#^q5>MeBz$B@hC$C3jXoHHLbO zV*>>|RgS63kQY-C_8MdeIUGS+cMkcY)h5WJz>*rCvE5#moyE~7vne@23@Dy?3zT~* z5ze$mk%#c*Y8Q$5@$1k+qBj~2EWXUDCC5Y^AcnU^xwrohy6JLG2+`pooDbg?{RQ|2 zAg=!>Dt>#(IHtP=P&}z7hT3UnIQA2JnK2n z@VVm#^wT}&`%;@BUuqTB6A(~K5rwWl-<}|gs&o5%_|3^;bHPlQY>Og7%7Lm~!@6i; zR9`oT>-6@X9QOC^m?W>vF>@B^H=JCY9xZVmb6MRvO>V*k)k8M4-Qho@55kvyhJ1#+Mud!bE@ASuEVrp-x*@^rM6rKGhS~lQek!%9 ztY|!3HOFbTK1ubhB2^%sHSlEob7ne}DU$k~vXDT;Uhe5@? z;{gH=l>t@NWX+!R;73%9hz@x8pkFKA&@hA?{m8Fz$_Bs*xYiI>GjiM4XiuhUNV#xdjD#6Ug?k^C)p$U-{&Dx={^qya-TT`|lvuj^?7aZq zhjT;C(+&Ev{H7B~YmTlH_aGb{SL+EzUPu{yU6aL;-TiX2h8NxmvpyK_3n~_m_E`QV z_%!O-t)qwb z=p4-qlU#cBB>02K$jSL@u=mH`URV^06~@8t`L87E^zBs-1NcgHFbg6jNCBADrrL`V zj^Fu&2FOcn8h>zCon{qKi-;5R8mN2_IT8#0(#bQG)|65545X3e6M_d&ZxK8agcg;( zQYx#z?^L2Q;1gyfH1ETNwW(bWeg#Xc%`{5nKE}@N9TS}BoPt`@0`&zppj+%cRGq&x z(u396Kru&d0{+zO!d*f`M-SNsrt9}8W+*Wu_V@Vt*N45GU^}G8C#pT*Umzv|l8@MZ zcKK$uKE#_G9`9zGQ&0r?c*u4>Hadxxw5aY>vvju7|wQ~uKqU$Iz%CD!AG2db&TpF$)L4X(;r!iPd=g_sVJf< zGO6uaFrni62L_XIZGsI^_&s$~(cwVuVu>(tM5j@ER8~Obz)gXc-9_GkCD893jG00+ z_6!kB)|fqL7j*4LB}&UFR+0dAMtg#t9RG;YZTb#J7*?P8q4Id~o zV1{Q<`nnw}gJ=9IgC|4RxcNe=TbP_PWj=QAZE!f;aD+h!^D!xdQw~&^LTie$2D*|E znxL}{jy7&n;`HGvCEa0G7y_j&{rY}#w0n=EENwogbF=YNAsYmm3EiU4P{BpkKue^2Ui9g zL?$nu(04gY-<}WU(ZMT=s!7@%rmGiDi$#Y9L0DVjqhp9Bi911?RX!-iBxEBjKPr`zL^I7R3jZu%04 zOVt7lxjCxHw6lENQrcc7ia`EJmInD1&}GL_V~(*HCn{ z+f9eQb6F;B@SH|WF;pN){x~|ngj4l2QwGxk=gNr^m<&U_J4AqW$j#%-Y%{LX3W4>r z`5J=J)q6dY-jg+~TYb2)b{!LS{bCzU&EV@_A0A$OKfOGnJ3##b2|6Ubm3DVPI~zbg z{`1(eeL%-V zxqh=or)@Ow!Iv>pSjmr$Pj%EII}oqO!IM?+B}!4O$%hXgl7|_LI-x+LKY;}r0SrXm zl+_Gl;eFe)2%rEZ-N50y!78b;juQ0ym{s-LO)hr?>+oQVolP5xS!-Zf1-Fli2U_HrO z9Wxqgu#xOyZa-xoApMZ2=^sb#x+Q3_myMK=)#Qo6!DR(GB(FNw*U3`-5{i>|%?6d$ zXd}oi9X|`;tkDS^AF1rtC6}69j9fll$UMw&rr&WVAsSjV=rsm*(KhNd?&AFW^H)? z)=1^;+jI2YUC_2nDwvrNu}=>B^L9>>=iYfq2l$3@HnVg>ISEcx4iM_wLehRDn=yS< z5|-pI+!jt|?i%>n0PA;kyg@>7SdZ37Wj)$+bUjK*f|T<_Tr{1n7MefNYXNgyf0;Lf zzz67E*7#h5Y~(cB-DSRu3fuBvxEk3ps%JUy?IRRjLbwrGHH)xtwZY2TRj29lmDco7 zY;fC9;-Tm{a^$OPk(2&>KG|p075LOXfBJ+bpK|BrzlX_vA8&zaj3^G25b_y zdqmpg-TU5u6-SIEcCEZd5ZPL|rnltDmadew(<4jWiV<2qEjqJ`gFy5K5pj9=t{5c6 zoAeWyavz+kXhA&~xI6v^}bcqrRXK*=WTJsp5A4N8)#98Ei&t6&IkZ zk5tFS1tb|Ii%lZ$yQUa<;Tb5&t*WC+s|$A_un#g`UMo4s9xqX?4;?SI=j$GK?&jS; zZ{N3%uwF+DU;VU}Fm*IT@w<&nP(gxwoVwW$4XVH>RbiTC7juOv8}p81>&4>eNBAbA zO@gdS(TU9g74-0+idxK`UeBp`5lCu*a}e@FDrJXK!GFTQj~4hIZVCxS znMoHnqVf+3i$965#5Xo@xOa^>MO4O>7$n{#OcH;s zb{?Bx7)Y+p%O+u7IBHh1?jF)OM6~ef7AfK;xvDF|QUsrV*=a#1$S?k@r~h(&MOPXr z9l}NO9<7jxVnMC1<@L0V6yH!CDhS`NBOhZecF9N?WF>C)y~|6C)8yiiwzK&rhin)h z`k#j|XKS@}b$0toIOfSN5CWLk9K~-9JfVYSq$`ikkf1@Db*?RP@dE9B!^a z0^=uUW{?m zKS;b71{HQ*NiT!y{qE-Q2|~N^d(8DpOpuU^)W)`5k0~C|7fm`2(u;+3Ovls}lkn=A zUmXF?ieG)7esyPI2Mi;QB#h{m45|gDnm+ggNj9P9^6f}qe8FTdx~Aw>>~>$l5T`r% z(o#niw!_YrRv(1tQ7gn1O`vu8ntc}&QcxD%h;ql7<<637=RfxIjNNqITJ!W7b%9WG z(7y%8D#c1;mB16}pJqyu*tVu|Hbxocuuum1Jhej!V-cMU3A~tXkwE<~B3JZZjr`O0 zh~e}<2T*Rq00saN)UG=3ZI8)Ay0A6Jf^amA0YpP9Tf)Evq*=H_o1U7YiyX88fGFv9 zKBN!O6?Z>j)k*T4;BZ!l3cHn1Uv}L{4t>e~$=E2G_(>VS?f|LIKmjvGIh8G5Ty90O z34mz?WNY=ZuWDsb9+yf1e4}952iSNv7+uZg$KvzE6C)u3j#a>pA(QfVNk{Z;e1jTi zmV&`_!>VIcKbnM&0+$CkKs9PPjROOzdiNpGNF#)ac~@w#obX0jn)CBCxb9rhhxEHi z{CHBYaw)&Y-S+*i&64$_EFr_76i2e?Id2-e3AJ1*3^FklqHe^BW)3E5hLW|nQl;@C zI92My6*1Q_k&jKs@0-IF`VeBzfg}3;^T(UVyN|cMz3=|`aQmH`IhG?Mjk9U1AYCCI zHZBQYJNP7R)y!Sr8kXg9%iqISWIMC7#cJ0}T{%!^?ZBGisu7^JIB!Bfo-FC9nRr>?T=|{^7fnl}(8SL%mKkh_$>Wm4O;Df9 z_uYae9rHt#k45@4fekJZsDQ5#FIRTIn4r5JMPT@B@h&b(Le-)5+Dl;w!7Ei{A#VW`GHBOpCau3Q-@3~6)@2Y^rVVS z@-ok{d8-zON$KVS`d}RbnBKQNK2e=hbFsOymX(dpoP}vxM2h5FZ5y4n2?q(K!XyDe zXx#!kuIvY@6sv6H=kdQcVmfXJphMPaj?^w;1RN z!GFGioVnnP37MPCRJvcA zcG$4vuL(_PhxD7qXh2{9*i_ML0kYdDYIHyNDc~^0R)tm>I8S6IN`(1{(^73*$SAl9 zNI&W-a2Z$|QsR+wjR$o8c7)%ANL1&N~jGWnfOL&JCf%Z?5-Jcp8 zQ0=|1om9|^@rF3y3sL)=$EZo%`3|OjTG>K*>Wj6s8|<@28Gaw+uU?LJy?bk(y}U-b zhOiPql+bT4kzfH(sQw39ruRY8C@K>U7ns1kcIv6Na1y%0h;1g8BusY*mRRsu`s2Yv zpyIJDJ*hq_gax(32u7t$8jI$6oO(WTCb6f3dQfFLaCcd02gpN%;7(3ld^|Bj-wd6H z|A@<_t)-y^DrlihO7USi6|&6>IFZHovtF=X2oXN{PFE&$ZFX&{?l)uYdKM6i24ldt zcuKJIP(UWcC|_q~;wFvg(`~MZN`km`500W#dYQ9xq0Y!YlN5X@>lDmM( z1#LGiozHLZDllVMphG0=lO6Rv;+SpWF*NoxulVj05{5p#yWQP= zdVl*Zjns}>(L1kEHHr~DvX*LT`w=i@y6fEXRKZnuLca*?&^xFug+ZU*kkCt}vY=6e zn@QNugZkEL)x)^tx8;zof_>2_i!GsDFnkv{xe9qg9ilXSQeaSejro)M63r;Yp!+@e z$ALN55}yueo4`YL@%`lJ#}Is2zd;)O3hi%TYc7{-VMXM@>^`K0arz-#Gw6-eA{TsGt9>s(@v2B6q4fq$G02=qtg zuLwyS7B;Y(oo$XmGAF3t(+jo%C1u!Bq=T9nPVaTWlEI8!iMs~kd3S!cT%FF&(q!4{ zxbFIlE)@EUj3}62n3yB^fPTc^fK}FTDi`y>uv#M(PSu{HEGiawplpHVM3j8c4yZa= zj6oR>r=pUy4WYon;oI`ZZ6ulp)zH zpr5*wf$xgJ`naMvnXApbFH*stIJ=HK9Vyol}*lh1s|KKZNFV z`+uamEFb_uPX7ENq*dbuEkpJk zou4f2M0j8~$&;1LW!e>V2RRB2*hWMv5QzyyRvoQSSbd(7m$QJxN7xn)epn2$&5f0_ z29_#^L=?W16->zru-MC4gMeh$N^=wqa-OO-sZ*Xz*^w#Z=kGFp{x18^-(_E_vbY6? zG}%iy9+&gf|JEKL!jHHy|k>f2LBuGF2)Q7i<^;E2vTnAt`NZv-DZglX$p! zbG+QLBO98BXtg0f>e-NM9ktKObMgg^)ieAfyN9w8A~>wP3A&S@|2A4@Ttmcm4EXm{ zhvkb({}nF6w(_O7{9nzOtLro6l(<1bbi=gdZQ%|j(-B=p-z}&d!OkCyq*z2EKdE$} z6AyAt7C&Nta32HCo%|>o6AGy?L%o}oReiE)9f{zIQ zF%C)kZ?`=dmB42|zY*6)s1tHg@dMoA$zi96&PlQc?oZxN5oL)x8B$B2O2^A48my?b zp*|f)l~vS|VBFA?irvCreCY&+(%(lcKtciVUihg?u9XKa4!N799lEpw;?*+PMME$JycoobllY(tVfI*&P=Y_ zlUck9FPgxH(eatjGDWUcl!m>p^7o z$#TwAG&YUw>J=%5bEq-`uT{*AAs5U>DkRjNqhus&vya1e|Wbk|TW#u0}L0xjx?Nnl+avw8m@WvS8}`mReT9BL|>&$~Lv_{K-;r zhTKAjjMQhzeW??YEr>5z+sSEXhpa%Y)}@sU=UJBFoDDcHcP2HkdbXMwO#z85X0yD~ zXOMCxbxqBHzFw`YP?=UkGSa`a4(D11-9YwiRh952u6;71$G>8N>Mhj(Ez|3#tSRKj zPL>D9&!Zzw=Y|RIoR(}|;5vW}2**J!vC{<*$tV{f{$^|#0toWoSFJsVk7xkPV^y%{ zNx+(V3d4tO@FdSkej&xeSFQMwNvr)WvD=f_`c{KfQVMymH|cvY3JF8-r6bnOkT*b+ z$LK1GDnR&?iUVmKgY>lHjl+%!y2ZXOpdKO>j;^c?vzJvC^#|}Tg0H?uPPXD=#^`J| zM#*~T4+TTxD$IFHi}@^fWNnN+oGz}Rx$eUkZS{60!6_dByA5)wx!oZ)f3coz4hsFB zskr?GGnY536?!9GuHpVM2}vY@DD>g1p(K|CYo`-5@Kn5UM? zxc{~zCFBb&@fnHPV69QE#QBHV#tQO_YF%>CpS?X_p4`GHO$1jQ5L~gFZ(!X>l|e#B zKtM~xAe@O*Jj<}jv;-B7`7sUwj56?*^9zA!9M7@JC)i4r#p2<1(MR#?Y@o|+tMSK@ z8%PUTew3Bz0jhxYUMxDu3IEZxo5U$4VsN#@J+;PQOwaNWV@CaB?(To-u95i<#Jv)(s9I<6xS;Pshq(ri7@GkV-EUm#YaD)V=e~8X)B+jI8?%Y z+k^U&q-Z@)g7f!HQC-AIMk}6SeNW*1xe6_bVKf~wmoabwoGZvM=1VhHwxQ0#l7q-~?q8tm0)l7D6)R=U&bCnR3@fO5 z*b)VJvhIKIms-hqvFOUhrYx9BVLLhShN4NL*Wm*Zx`8m`O!(OvmW-6m6P;VSv2Fgi z`GO*DU)i?-*^&U50}F^|Q|a$Ah)%aKyZ?7KNd};vz~p}U0{U@h9m{ZRGihllpMZo!>Q67D2oEX&6PA+$6l8ohLBBLciIJ6EG%~PN*nb`b!1fYC zRt~(lSkE`rVio5jCgUw~BFS8!e=cVdV!?0^z9Xm0&=)~8-*$1(*0|oE zi_J!yzN6vrMvTBv3txKkObP;OUM=#{-kTiu)|y-x{9i-i{2R<7FVETK!-i)>Wx=QLovDbwhhK9sB{Yf5m2 ze@Ew?x{TUoia_j=q`sQQ*x-koFR5(G|19APVGlw}->{ijHS@e$V>{sn-u` zyn5kU*Fi{WQ=;NyR&hu4rYO&YY8!o*ny{PuW}PO=S+ZR!-*XSS#!wJWru(@+0S8e` ztDk?^F>s22wKNAW-hKJHySx97-QC0P_S4PVkGJoU41wbCZ0!us3-u&iKsQLV!sUqw zAZDrKh%wF_oJAfM16{LjNYhypF==x*UMuFsx8QAlnP0CY^tnnL1k<; zoe^1H%AMPd20K6?p-;|mzlZKO62j)g(?zkpHgNgwAFK7b94Ad8m4#8SHbPj23ml34 zHM@E(p9Nu}q7?mOTzDpBz@NFdjfh)tU_sm#ry#3JU}h(KI~bN(c4e3%8xd`=jDW0a zYD`Rq*O^a5>*We6tZkGz6N*9EA*VkLjX?ozIzqned&g1Rg6Q7n;QyF6nYZYHTDEPIC7x!J&bv+ZxUzuX8* z``=H`P|(2>H$f2;a@;3p;3h>?vcM+Y+ad-${z6?}oUiq>LN%_6!gIG2w9x0@gmy01g&2eRb5-R(d%ILm{t0$&F5UWUgV$NW^|Lh!er;*yR z!esCwZ%$A~yUcDCKLh?^`yKxsRaDLZg#bI#f-*0`lvL%Mz(56JnR#FQ8T8I*G-3gm zuC_ZvZ6Zpa=z1yrw{!)mtCK-s(bcwKwyEc}Hf+2|G+^}nQpap~kQj`&!ta4+`FG?j zf>}E7njT)FUTvluZRkKdDa#2>`iC@hWaT5gL}-Qv z0HUDcyICB~&d%VQSS?@EMM0kEyxuvQL=WhYwJ2Zfx+{UQR@tcXdNK92U~DF+!IT42 zfpV0TUIqn=f2nI&cK|x$PdjT~r@%0msvC9uYfE|5N2CV_b%y%U@o{+QrFA{m7fWMx zFdDeG=-W9ytBv{F)@Lv%K+zq8b)KbLT-8_bP4HNNw6K6in0VI~d4CJ(kwSKRy14k+ z9NN9#Zhw3C5E-ay_b;B~+eyBiU4*7vSUvDR&MzDYYiZ9aS>}AUM1%!vODK zF26;=CR43=`5H-4x$W4Z#4rrGgK#7urdlL23& z^pN^61q`EL!ZQeAS49zK%Q?Ij+spM?u0`y@@c#7q{`T?f{U>qaMwz||3kYBPw^r+s4Thl}S#(KiNF2ZcG-2e*!J2Vq zm$^n5X0rTRDZdif4LS@^TY+AlF`IbVS1@5UHt6J~XTqynonE~0PQV18J8}cHSa!X^ z4}-zDKN(KPQ~Vep^Xc~cVSg$|Ufw)ZV#^m~?EdVmvcX^+I`C#pN6C$@5C|?=6f~F1 zOuUoT)#@ZzZk4gh8LrLZ3gk9x(C}p0q<8EKM-(T@!lpNDur#21ZTN?^=}Vdf3EcQS zg-R5h<^gC8%O)^_jO?_xF&vX!-3oIS0 zd_iR1-=aOz!!3Tk`+EOy_xtT0FViKB^Ko-e|I}0Sau%TkL?4X8AFMD}aAq;-!u41I zrC@8xha?+A+-VVx!MUKd!Z1){2;^u-Y}zjaBm#jr2r?yef=&(`0wER87cd9mDuPWJ z`8vMu`~)=?(EgWRc32VJW9f;~S5H1IEC1!!e?8p1zwg;kRC@|Zil(G9)_4h>{g44} zICx`*s#|71qm^bLaX%mFV1>r3)0D^&yZZDNMcm&btYwxS{YIdk%m+rDS>MTcVV^3j z@vB%=-Oz<}N@5-gLqOQ6ZS%w&U$?HAvUEbu20UI}os+i_nUfqxSniCvciQ#)qvgF-!JGkH39pRW;HR)I9$k0!t% z>-Y{TGt_B$y)XodVK-Y`@HLPcqOTg06mblNDQIp9c0^zdpzTJ24>$v^am$f7c=6@# z({EqDp%Ci(p^^!EM(21LWQ&qa@GasexP(gxl}J>40i-lP-fZP@>Kd%;3LOvx>Xo!7 zHK|R(5h4S$TBwny6roMqrqH4r^_!@a9t7+Vd`btF<~;A`Y0f<3rbdds-hlIf3XvSi z<9U>r)by`f=?z+hUF?ZBG>fncw+8h5>gFyu|^7=eQv@)X4W?bM1`F9JJjS=l?3SQ^= z)*~#uygWT~OJK-iol}J10${r;@tn)06Vv6)YQ=0e>dG0uKuz@Fa_=kR<2`_ANBXO8 zfqg~@8U<*C3OoxUMFYd;27MrZ2D)+suT3-)1@mF~<+%$=;2zTagtLXgv66z6_AQPm zkVbG!2yiXJ_|MP`@(>=rr6<70+QK6yt5;e^^6|uAb#@e5Mn;|2xx{X}KAat!!%IY> zr-Dfc!;?u#+WCNPbzFgAM4Q*_Mq0}wX(tjt;sw`4

    Yc`H=fK(){YITsAf!oU~au zPl#(JRsG<$*i8{iXLCxdw=u({odp6UtWF1pWlB+mznpU(Hwn`zkdI> z$KPROzU%$9$0_mOf9dUEdieLhC)0r>$>+<3^aH%`@4dSZ^zr&lWYXXMiabyG?`8P} zfB8%6JH8LI|GoEr@V`HPm0D|X3z^o`G_B$TRGXT{L&}+Yj?1n#`H9Q=A=%mVnvc~v zvZ&muB>P`ZP@}mg?i#To5`nKE4pj~*waMwpLvJBppWow!5qL_q*@Ch*_)_Mt8P!ji zD-o*2sD4Q}BjJc32~Qq-D6+{rZR029LeSDEw~Dcfl2)6=b#f2iY!KaC1Gu?%Qe_%N z%2<%s`IVqqjBCd;jXaAVT$nX_uK0XOQ-8%d3%<5IQPwOmrC~ z>iqly2lx0NGa8k^EJL9@Igw6Ro+E+IYx#c{-=jeB$;mn((ty=rWG}{QkR{-Y8+emM zz3W|fTXS^R#W8?h08eSM**e)VV8){?WUQn;vJQvH95EjQayIda&~$ zyni@a30O~|-pSbt%ZQ$mXFq5Cjh~pMYn?u%#o|aX57ri;K%B5 zoA)O<%krZEVeUtdMbW#ANcgw0C(YR7e8||H!Q+8KS!!<;fogcWS6AQ>ms`YWf!nPG zv$Hu)IMtc5$Bdo9FesoycZ_H5;o-RMwi^cbF!m}G zXJh4Y>_Vlcpb_m9Gz+5j4Iae!QSMT%Cv+-~6Zu*Y1(c2>l&En@j-&E=HBSwq7~8h0 zI&N>Nto0tA2;{*EK0Q8zYXquotZtlg2YNomPR16%p_6cyR&KcPPL1;i-n6~GT&GUf zd_Yg3F?AZd%85l@CEv7!<_E9rGA5tY1}n#`I!#X+i-e#VP!9GpRwyq5mzy~fJQr-= zbHPjrm^E3O2%y;;I1E4tD+tHU@#`}_Y0l^>N|BZzce}Fst_S54J$i4aH z)6GY;kor&np%9nOGF;pp#`R%lnucW}zo@}yQ<||3%I-!(yQ=qh#Pp&r1Mpw3VWOmgwwT`k(w z2&~vsEIdjRPNxq%pLM`)w$21XWmv#q)5@?0_zGTxGx%A8gD1YKI~h1Eud4nHQF92? zkl;`5-QeZaBR~|!B|ffb3~xxnaF(3_@wnBrKORV&9I-vv*Mo31N~hL!eYC~p20}!% ziXN>`|V|M^J&J_7x6@Vv$iqq*iDlq4)GT)=k2l2=WK z6*d@JWqg2U&tI^{__*h7d zKdqOi*N$?vQXgR2nL3kk?FyeYI*gwdIurqGFFR;jTNy^;c2cxeF&7Ph0u=vZs;0Je zg8oqBv)nMSDKvKlcGEU%j?D_#r$NwW1hoYF(IX$_KpFZd#xtWAb&J$ZiXX6>eo|D|A~*FH?kK8{X;0huMi zgw-3htP1j|#3d8DE=o3SQaFA0`NM~Y+ehVtQQKrvALP}LURNXk5{bDfV%aUU0Z1`2p;rmQ*-qe~@N!uYkJkeB?b%8))84Juuc zESt%d6@qOOTD`KI6*QryvVD3X%YbhpGu)oPetm+Rz|J9Ogp~>M0`$zN7`JFMRgs?W zn}}~jTVIcHF1m7yFo?2>4BN)z(hOUr5S--?`{9 hZiP$y5V3Cj$aS9qtDi@pr9! zQr`9Af=TdrJ!o(%_1^ctLblMG)ykb{0G{FYXINp>xjF_uVXtGrAD}fXU(Y=?-}&ic zbsnTkalIm2${DB7-MbuA5repy(37*M%HJTq~tXc!DiRXY{ zn~f>?b{z_ee#-$8`VA-(s>tPS9caQaJFg<*(JT<{hQOkr-vy7Z8H8a-KOjy;vIKJy zPN{?-Q46RCRO4A(LWk2v&buW{#msy-#tFnC(s7-VWZ6=qTaLNIpo8$>ytP*p{gjgcd~D>9RzQ0rW=c?UxVXX5&oKq%85(ZHfpHwMHQw% z;2KhjP-AJe8(yP38316XD>!O`4@v6ZicM%tV8KX1c4Dwzs+5Z=`lkfE&XnC%|A(X@ zxOXq_C(rybbk-aqOY;9`?M<8GNUm%_{*)ZarVZ=|l`?WeqMxkmfD`B zfh1Uhi6r;{utb?!@3+r6ckwMefch{p6##~NMnW(h8szM=0q%x#W9cf~05Ct>+OZH00!9wbWDwXF+FM)<@gB2RC zPE#7*-CuwGI0DvJlmY~NQU1lW-2OpQrQNk$c#e6*iOi%-{| zA8r`>#&JZSQ)D+u2pFvAcb`B1P9$d7iKN_gu^Guobw6xew#1&h$(-Jafx{5?{Pq|2 z0d{_=c7>>=Ncjt)#O$(vZ|yVky{Z0X>bfonOp)&otYh-w?(3)9Uy@U-@jQ)fdgu)Y z89W#NXMsdm{RR#7x0<*oqHty*caxg3Nh0)En6ctrbUI57iMD@-`XIh>D|rg*!G|xB zV!399<$Ake*k_F*YTVYr;3|_$s57#74Ne-vL#f;8^#t}N-k8BE|HMvzj@ z#Q+^WTqljGJX!;c3$4JyL;=(EJK+t?8B2p514iAMLMOF@W>=Tz62spUUTYFbKaUpR5W>7iF|&iP1JrQD*UcZQ&U2rE!%Z3^%HB}OI8)WUEv!qH zNFh1~zy=^I)6m+V;mYfRPDdyqhfWACiul%Muq$r@?upU}_s~is6n*6;K?>pj5>NCq z+Qh&bz(ICEvx~*)Ovf^ddEDh4Y{t)f2Qfz-T!wLFa^LLaQcOBo9!;1CG-_s@&^!P% z&7|I-y)sQH&B;I$`%U*kC#IvL;h0JN*n9mfXn=npnhTE;n%#)2qCVu?Soy5%BaQ;2 zN~jjBR&Nnhy1#k&{`t||d{RgmKf*~SZD@IA4$-OhZv^#SfBt^+lHQtpTu-cA3k^ga z0#{tM^-vC@;4imr3#S&3xX74X{a|T7e~==jSE~NCpSAsYd5Ee5mI|D0&k+*_b||y^ zD?q}5y1!ZOf+w9wdF3#bV-BouH%d)(+zv-`+Ds?0)Y7mxzF)P= ze&Z?(+~e%yjk=6xG&F-&&_)2E17{LBAaoG=+NpLlFIU>tRd9(^J5#?}puYA{<)l<5 z>2V=h8pCkcPeL1&Q6-J9+U=}(D)5Ukp5}L&lBM;(h}g^kx|P|yLy z38daVr9$#gOjgp@)fl_778WBZ_@T-j^qg2LB|J=awetP>xPE2&Ou@x*>WY~0+ab`^ z*uXdF32;H~!spZvj>QLK94b6-+`MKX2?1PR?n|{}PJ2+7-*_0p%LPLx3!6k`CUbpE zLgd;{tdrJ`ZEnubv+SER!-lGyP0{b?bA=Db)b#kcG3-JzLy8s-93f{}fq&vVhl5yN zt}^H+tM+;Bl6wxCCKLdU5D><5O%6*6U54N7cJ-g+W*PJ#9o zDr^SN)?i4_)=1h9x~4#IV0MsLGo8l;*4pvKya|ysG+$N4mcsYjJ-kVu`k!zAcC+{C z3-gutKi%FxJpOupkH23c!Ij>x{s^`CRE(O!AcjhxUIBhX+6m;)btK70!;-iYq#P*h zEF^^nqzaX*moGk{5c=Ky9@2((yNB?Pw8FZ2=&d5pK`ng?Y()u>h5i*8RY~&VEFnR8 zTeMt2!Hl4Y=zKD)5ej53&Sy|)fQPa)FCgw2pCvQ0xWU8sLO_pN7nn#9ZZ$ONX|nueb_(VMfCk=X{%lM}h?<%@5#7Lvjzq5rHR;xgb-KsFb_6cC~((7)JM1dIoVr0E^R=zDlDmPk5Tyw-Z_>d+N?E*xe`4e1`T zK4bDvP&N@6gRFp9Pin#r7RphSqlfQ6e!Job58cu1pI*AGC{-+q3){VI)Q;6WjKi#}$UDHZbUv0|Hp|6Zq}x(dwMn#9B$gb5II6dUlsa3EkWx$(7>re^PCAZ{9zK*9$d;oof`Sisa&@6S zpYle`-jG@BE$M4z3NJkhKIp%dWN{mGYGJq)`#nN~=)Eq?AO@do+Kcfg`~G5Findaj zIy+s57z#oxPZ8x&GhtHkMqNlWOM z*4U^2;}FA{svB6)<{dix+PnHANi9o*XJuvO)T_~qLfRtYwZTG2! zY@P|N5kY_iJ_})BRdfB=h?Ogu;g_kIMNdYM9<%;IaLdKeZgw%39@z)X?@j5A_-e9| z;tsdVx`onK0w)KW9F=`_+A*7XrMOfko(p8-|mIFd9+tg7nX`iCB^0c655{odgOV*zciv-raYElzm;rZ1%H^${Tno zGT=vG#vZ=hKC+dVHIoo|9>LygV|#~k-D33OZQ4-9aS^M`gB-6lMK*wL7n07YG*}Z* z7Tr0(^1ug^9!i$j$%TYDL#_N1ZeoSv=ncgiu@qDckMar3F^80x%Ht)2%15w5YyyD# z+^`g|qtW*&S$pb70=D}S8q@JMVMXxe{o@M& zWnaEsKknhr{o60!KJTNKHKK@c^j$xELC2oo{e%%*>;10xdye;hx6eOuvG=?G7k}t< zag14cvtXY<Zjr=5{jDC4IamnwI zA5MeMi)#Z;yCq7Y1_Lp@STTFEVLk@9)?%@2`4X_Jm8@=XGMv%n!}q{@>1d)SC?htf z6_lt**kIQbWr=>^6nAJ%guoH%*L_{8qq4fuzdsnY3>~xc(3k#MLl1MzR-R`y!$$|C zh@pcH2^zH*jQ*PC`9&z!4dacD{rVhvVt<#!oXojIWrDt{)+ji{2^M7!+6-$Hz{wgH zVbpSndXOXfM7wO=AygYkEc6UsuFlRk$h3gheg{HOSINuKPlu!aWIP#-r=w;tnv7YA zb}*uUaQA)p@Yg%QKfUybyL|?s&Q%s?8Z-xHlV{c^F1z(f4Kr(&Z52EK^il(CiC_#^ z8zs~Bd;u)l;86Ia5oN)7z;ogv9khVkwnzu#XX)esCKyk8VsN`U00;_O4@UU7N1Cq;w7hKt#a~P{?gbi$COkr z>%TZ=RoKD^T9l*uD#F81&oL-Z=sFAHBU$2V3IOU803^%|BxJE41t!_{h#fq)kwblG z00N}nHqh1L`;LA$RFls@A(SK25tYIGtVk3PX9a=ZGK8Q3bWS4ceqnXeAZFt zIprPX383oS1r@jh0ymo~yt+@ReDeIxjwC|4{Vp%N3xs$2?ms>3#9oH*jhdm4fZw4#n-Pt`6@6kNJKP{&^5`uMmwpOu!in59v{Ms1R?wZ zWsC0-VPkwvJEEY?CF}0(K7GFa<)Qa)|JnOLD0+q!-uyRzogZnN5Y-sq6g;^?1DjqU zdju?TK`sNtUd(22ZxA01I2d-H;Uro7i`jOIM+!P6+PfGll=X^wWow|&Ez5CDzcHl_ z6uP-wtz~QY{>bZv%JE_E=Et}D{m1=(+&t`my`utRZn)itzuw(F-0V2Mmt20tem$7_ zatl}U?i&_~7wWeTN^n)i1HmrqE zvy1u5XCHtqyBN;FmpUu^VwBZ8wc8O)nMzNed4mAqb5qc-=ea3RYnf_Ff;aV1e?^_A z9H}WjtvMu}$S9z9Fm+Nk`RXFv+WAO6T)BV8MEw$rbsV^G%VZv;4oo`sdCX)U3cMWr@o~b+zl00{qr4Q`}yM!8qGfAX@E)QxlUBf04 zLM@7eF74~!dFAT>x>q9b#n(3$XP<|nhW*HRx)wf)v*XQ-{uD1XvWCxhp(+`NzH0h~2ePxPL>w#h2c9`PV#$X<-v#VrvBF~T$`aIp3`j1A*8X38$o*5qC|5Uy_wEWpz+H1 zRFpdrJ``Wfo72|3eCyU_f9lqtJTn>D#d>8=sU6>&elj#N0j2t%@EPvlgAyMoy_2Lxi8EM!>l2q?T!0@$1zTKs4;@?cMta zEXUQK6Updjox6p$GuXkm?oAdaEes1M0?1mxrySG|7Re0B0BDQHr<|m(?{5d(V-a7J zgA^*E0QueY1@=x0PuB8FfoO6n{in zks=+!a81nBCz4E6S<+|1@#hh5CP*1DR!t{z;@*k%TL5iIyu{+ur&kfK|4LRg%uCuu zJSFHgcKP!Kf{*wk-JaH%?uy!50$-*u3zkDXML;jFaPQN(gnnzmCBu%or8Lz3S0JDBL2m*N2;{=*p#LXOQV)N&v{V~z4xbD?@(zRk^HUm3 z$><0C9l(dx1?e;zQla!Lj61kd{?Pwv3|NW%%1jO%BVa>T=Lz#+jNA+oA65DW1mN-R z>*u|lG&6ty@b~L)Pz3NV;~44{azA}9*a^d+IO&W!(r;p?n9x~xkLd_l5=)kQe$`ps z@-ss`E87shs{A(qa}!r|^pBMj<O8g$RgXim$_2tj-zw>a%VzAMY?=K^fQccjO z^faUsU; z`bTe%?e6bB>F?d6q{%@AKPGe<1v7yvJwrCS#>A;5{hdhvlw zBBB1T*6x-UjBuw=_!ZE7v}xj|q8g&@RIoq7iwAvTFdZr=-McLmDTB~zTTXCmfG%tnlh!#8AtO|llqk|3LP>Cc$dwl7ypk@O zu6V^~R{YlSIlMlm3VK1{>Kr)=nK+LJDzN2_@d{(^n#r$GUKEH4Z;!;_f)^E|N=@83 zz47R|q?4&6o!C=|k3+X&HX|xE`LeDl`i=BmPY!#N=?m&myT?0phWPqXRo~2V_QTk= zsijOyB&NpMEQDiHy2AFeEH$3$IM=e{lXs7F9cw`uOHDL-abG89{5Aj!Ik{TxSh zx#(|{6(GP5_*z)YF@bj$5_owKBX_k!taR+UHd$8^^)z`rEkl!Nm9D`?>B7p$7gDpx z2fGZ#`ts@RMcRu3G#h03rAW1LM^|w@U%&EW1+p9t;EeP#C#nqUD)QZ_r^*lDRKoEq z2P)*znxcdeWQ3rQw7eWK@btJ;$KrnCBnJPnxt)g@UJ!>D;VAsbp?cx6>=3>u1?tHl zA1#5Mhr%r#!e}AFNO-_p>qMYT#C*$GLMCpd5bKiSHX$O<@n3s%n!pW(2!aEw5jsat zggF8{8ei|1Rlb~u8W2Xd4qHQ}!oKG6Dsm){M!9~q)BF$BBbvh~upqhl0^XUPp9{rZ z8aUtqIZP6sOd?1&yh;Ukt2r(s!#Y79vKU5n*!Q7xlDtF^=ApWRJR}5_0?ZCe|}k-Di(^@dM6={oXJt7D0DN5N&kjh`cvF)vK8+e-uVNI4}j(=t<8M!HE}GpSe#W1!`|!6ATuTMVrP*ceqxKxjPZhowaGy&c0~ z1_7%Tz-D2yr^lVXI%f%eWlRqzM=dz;qK*N-YdM?~Yk96rjtcQEmf8&14O9 zk=41HR3l0*RT>Im!mW(1S0Pk=3ul#p?*@Kh45K|kzPTfMs!zb|HTj;bP!3#}!NuDe z@YSk(PQEYIpu`x;vV4L9jNUU;fL)zH42N+3gA`1aT`R?4oDMS)*_UPUBiWuL^RgV5 zXSLv^D%O|pV6g^4*dD5g!pbDx7m7Jke6Uw6#ne_5u)C>F=zGe@Si*3+rkEs%plvbA z0Q+@e+aA%6sA+hzehqle_7vE2_bVFX!#^cY%5b5TQN5469IPRcOzC;&*3*ZjL9VvU zglmSz9%UxpSyvqpR(tO9a{8WL&dz`5o@aN_%+Ai3<#(*VWrtnYQrPR!R`~61#{lrx z@8A4UMEeMDGFZrU@5=C|D9mC-R^D`0W{DG&7!(3E?`N%;nI@^g8emik#;f}w>qi)% z`T59iwMu~;u~b1RS-R*aQ|c!!kz~C|C`4LpkQ9eU1ExUX#j1UU_eX^z0eH*Pwm3oWNm=Ij zp+Xx8G0;$O@WBOG3_8vf&ckgcp+WEk2BsSZri3^J1wh;|U?|E+lWis1x(ABtg7;xg zwqa5?qU+g%rqQHLeckHLE*82*I%cB1g+>eKx~^_jPI$*eJ?k#E91R4Yg#ja-wvuE7 zb^?&YY|Z2WGuQ%oCn2U?j}F0yZuI5l%m4W=h`ZV8_Mm_EM9_r8 z&jd|4<(Z&~Owpi;sc9llkZ!F1>^r)aEdbsoe%dz|I2Iuu8~wMqt}EV>OI~~-I-&5A zBSd*2^!2ZcDgH+I$^HdFkp2@0r{tg8QTDIwliU20TYgf{m(fj#D}zXp#Z84x@FcSx z1?Y0Ul?Z@7Xn~1$1)-`82Q^yUYVCeGnsXzKbaatiH8tDmEnI@2n^xAiU3a$J{*>_J zU^l5k3d>5%UWbY!{ZR@8g=J)l8v=Wh&NdjL5Ehb=5Z_v#<;8x1mOvtwJOIl0Q|~t= z;sUG|)mkmnF(HZi1bywuH-{}P%Gf6KD3`-sTyqFGi9V|e3GQllac>T)+_VSvlx z%}>PjR8|z2zivD?9Hr6W;%>%hw>54e))~4P48D=|=o;sZy*BSqMCpQG+8ap0%~k~u zU^HNXwlDi;w+3aeQN_3vzJW4T{6<(YrI_ddX^Hfx#i(N9N1~8*uyjzAN+LXvhsqNg ztLZArR$G}t)pYt&RJ606i3n(RaZISAGO9bnBjnE_39msQwMHtks6B_PmFoFu!$4$- zEEY~_c>Jj6<9#Q1elF1EVuHXUN>Ty-oj}Cn+~Krg?LoZZ_IQURN#MdSX0OmzdDK7D zHV;e+Vo4SVzE$jPM2?e9VqZ4>A#QAsFP5FIgm|EQ1vSls6|T->;Gi~G*`4Y%-5|3N8`LnU zf{z?=m05_*Bwz#OY+P(4zq(Jw7=QP}F{qAK9WW+N!qcdTMK+&{fNEl+XlB$J($m-`SgaCzsgHdv}KZ9C^_-9wcv~ zvAjecn>$_1-`E-#>K6UQ2r_sSP-chaI7Vy{ef9?QkL=9Hn=fph@d!n(yadexdO|EE zt;CG5x_CoUd6Tk9y4vsv07RyOUh#5Uh)|C4qTG9tV)6hgHh0f#qoREoN{tVPaO)L+>G7LT!O&9I}hYyc!Na zKBqnh!KDRy?-;L8eAi5;`4%z*sgdYno=Bx|2lAFPQQ~xt$|e#@5@Ahv^&9w5>T37tgAZ34k!a4$8?9b0wN1XDEbtF?-kTN$SE>^3U^hwgm!*7~4OJ0-1 z{kvxBMuA^Qz3n*~d!7l5bXAnxPW!lid4K=;2EH`-&~7phLd)fo#-wUKpV)H8cda!` zI0*pL=;MTAyBIEEL3AdkOh(J6b5oF*!Wpq$oh>U;*=Zx&x$e^5e5Nuej8#DFEF8H~@5)n3}>z zcdLsJmuKq5cI9*+UP8+ql~j^uH$MkdP&GzYS5lW73q-(G3b`AFwM6w9plnT%mm_$I%g^|@R#{p#nW{b#l-qDY_fI-wSi0Ng5I8foStttHOma%gQPnMRJM0FpoV821_ z&6pmCwD!~zM+0Y9i&_JPT2zh~SXVtm1g_vODU@cqj^#e5hrnv)rITeLd7|8Z%0@EM zgnNLq-Z9{+M|D{4=tPmERcmCnW5DlN8J7FW$(c(>54HCxd*@|FsHAAzM-&eH z@GSn=5-VMYb~{tNYlS07rE{JEmdxQ9z;7y2AoVvya4QW9ctN91*mU=>pb%=H&)H6M znev@!4a=MIIo;2#3Q15!fpzfkR$nT}Ndb@emE$Cw%;@d*4fPrM(lVMHhjqJz>ovji zCwaMpCTOGD?DS%5jJf{<2cPHCb5$cFLKOXDOFAqewgG!Z=SA!>%dHw6k|0-2Ck4)g`=$ ziInJ?k_ZXByhPa*BE@9d1l|NhL2|cZ#6<@H;R_)bpsiVjA2fwlEl?_f+B$oM3rQ&$ z;dJNd=YEO8AujTvsL|U|z-xUpK!mY4t8*NZED5m~)n_7Ar|`U8sLG*d(Oj0==w2d7 zg16hD<`djy;Y=V8%vzY6Z#K&TEXJIBR6Z^ANM%6xvu}=6~qwZ!8jG-kRK94U^A zqii)}gnl3)c}RJ0egLJ8;%P}t`I6wyB`f+Z0qm(cgQdLv^=DKWY8HB5we507WH`|5 zVtz(pC5AA#vB}d^v071W`T}j~?gP%k3vX|!^{*wfNovh% z#o2*1Ici@|i76eGFV&YG_Pypc%#+y?wGdBU94#S25p3F*ynH6)fBJ~xkSHtlUwdC) z{_*T+$v&n3OY`%a6LQZz4D|l*o((ZgpLHjomYc65{)=u7_;@LU>N;6eXsQ}p+a#%L zc6>*T42*$JhSjBfG8R{fvItG6;0#F>yOHGTnoy)j7T@^+3z-w;v^{2zx9uC`M4yoB zfn$}TsWL@YU2fEeMU5OuP(vT}eAnP@&ZSxz2;@ZIrX(DAA+0DPCihk1LGoUnBR1F7|b)YhYMs{5}Y2;ccs)Dq{?v{;xZP|#j@Zaxm z+ExUwiUq;aISJZARHjg}_w5GEf})Iz2Q(+%(sav!OrOoq*Xa%_H2Hs0ML=54uha zM6W%_6Mm_B++Z5`K1@uDnW3yg8vYNd*}+lj;eS-wc`lHJN<2{3ETHB(5OK+< z?W>L?q~ZXBs#ODH#X7Lxi$5G3zYd>OYbz8?O*96-dod%@meluKFSW&Ttl0*v!{lg5 z8EB_pY+m9Mem=T@?%_K}|E3WF@Y=>13mX6i68=4XkD-AU$HH4&B za!3dHSnt3!ROsqu=MC*aVGY7;L1NMfH}+Nq&{MoWxfNrV?1KlXwa;q(LoFL%o&i%8 zGuEFEb@2XT62C1Ri7ZPz$V3P_2_d*C%`h=99GFa`x1@!U5U+a$^C#DR)mopDcTcoG zaiGVXe0Uc^#efjr22mt4N^%P4366}gST11=u|vlJYd5sjiH?ccq6r_7lPNz;WV)7@ zGMhLlyr)-qUEq(MWH<2b%d5U#tueU|iHx}vL)0VR&YQP;-_c11T3BcTfPcRHuK}6> zfa1*n9S6JsyJENrnqAEm+<8A8j7(l&nXS`Bcp9i8TRyRe6JQh{TNTm8dI2+Olb2d`x9URcydNrocxx?DR;49PsHUv@49>a`3Jv#%aD%x3MjlK~_ zk8*I}8D$ZyB$_owB5v`Wqt<-qk}#Wx*iyu$C^U2(`Jk^*<@147vF|23`+bT+$$T}l zYov5Zs*;Xlw9m{04E*RIQCHCAwhT=N;OX-vftoQAlH;Sw5{2?^15X(Uo2fE>J{Y>0 zWEjApN#SlJ(j>BG;+rwnQ@K5Vz*GYcY70*W`|61G$W4&66eGCH)CI?#Y&d#>#`$oNvmP3FnCwK#5j(2}5m|hlAgLjBk-_b`QF?=+W z4OTTySkt8IJ?ZS#G5HO>IwnA>8^B$)947?YRnfDhUE~8MFCXAT8O-aD(a34%+U5b~ z)~bWSwRc5sj$;WpAJKN;NnM9jX8=aUGgh}dk&FeiqH4N&d0jk2eS$oQKcA5Riqs{V zaC=afh9oFb9)b2_9?s~~vAhhH;6ezNe|Q9e74*y$SKL`Y?Dz1=UL@7`a3-l5hqZG2e3W?y9;Hi899ioz3y zh!-g33?zXkOqw%lTW$rAiEkLtTIIbw6zYctL;{!+K~}k{G;8`6nq_9F2e-0|#fzn5 z7Ehy!s!Uw46`>HS7)q@{J;juKL3zD`Un#^m(~ML(qFF{n9>vs3o3qPvG`ZNJ4JMkY z2_*jr3k_G3I&_eHWKXwQ{AIPVni(#Sf>Th=F`R;M(CQhV^{3vuARccx08;e`0)`Sb zkXvP}LT|NMN^ta^Uhb7Z-IiyjK_S)6{hxQknIU2Zq9jvpTE#Xi~^; z@E}=FWdN6;b}JFXFNzxEDp7`MIK?sP2F1sZN(cPH!uE>>vjE{an(_uAtd7V+*C!MBSVA+34cM z?iR&ke_SUnF>^ABsfs6neRYH?-DIy|MqeEq}6y$l?Z5mxxLPimxtab>N4-h5_|SBLjaVc@)33U#8!Xr(6*LV7-J5K zxrBCMX*i$nzW&nt{`KMZm#;S;B`GIau3@oUGg+=3Qg;Nsf@nqx@4_*qI@rMJ82-$% zIv{Ki7RvOCtjimM(&TId;OpQ+4O~X7YXl=MH|J>Ez?O}gQWon)*|gp*rML z)-gerj#^Z%cfc=Fo>2Hl$EX^okwn+X43cya56w7SDvwJTA&a;=0W1^E;jDd3sGFI( zX4!LBca>WRw^IOpKRL_aIQ74fn5<5Nys@nKXxbZ$V3J!LQAIKf+4O)J0#||V%O(@S z`UD-IPf|?irt|2j)PGg4Il~Cla1c;144J95y%X1#;3Ud%{dh|B(FKyWw1JC*ch=*l zK|(hMJo1bn`Wx?&M5JuC^MZm6kaXBD6#&VIkaV}3^WsIy8WastyhmzcxB^iWbazV{ zNlq?kEh~WBeRGMXwz-7wnk8#ptMt+YO{6+n(M9U>_yuZ(9t?U12sRs1hE0E@*%RI5 ziY9?HOf-GzKnL3awtR@M86)hPB`*_gdrj8$)DqdC80KJ_%T&@_zCT=}SHi&=J9yZeW| zm%Wd72-*Cr_xHad6L^ogcHoNC2_f}Rxy27d)K^A|%OvBhfo4wto#`HPCe<}V)|~x$ zpi8Q*zQi$r7)L&@{0=KFY^xZoEOT|5lCm+%5CRasb_84)S}*@-QVmY&FRLLE^N6g7 zNSmcZke=|Aw?!F+MoJ}ip=c)PPUr5p%#`wAl(OyI%#vb5-1#M-f4%+QPe1(>Q!k)| z6qy%tg@^>FMJ(|pU{=-{0Gf~{Mch1I+~3~a-#-51)&1S~Z?{k)JYS+cL|5#E@#g*aUw%QH_S-!GG)SJ$>@Z5k@U&njD$U3A88xTIK-NB2UDV zf!%;R;{Vl`qj1h<>G=ispdAVDAqoU(q?vwi6TEuv(qz6=?Me>TLe#FHdAPD1oC%c? z)fwn;RfcT^LG9s9Jhg`9sVN2Ue@*0wFD$WZ6>H@T`B+6TP|gV@LA{%uo(y1H>F+;T zd;^zW#Xnc-9ZVrgY^6Pb8=K$QzV1x49F^xG<*VA4DsY**$5jY<#>6uTTj~7diWzmX z3Z62|lM<>MF_gW%B&(D)t-?`dSRSTr;}wwc);T@ftS(M|mgSSmR6%DfB5rsljWivh zX8+Y@h03TuUtFG-RR**Vj|P9>ZKdA>5vdKp0-GgTKd)b%0kM$6&IGLw5lIUP(ESm8 zlM#?_#4Mz&`j6rt()NACKR{)c{}KP-e*^!Jylv=;&9>*P%fijjGO2ZpvbxplV@?nh z+r`UMv}S!!NH(IdbF_U>RQ{ZPG;}0cx@PPj7^I?Lg+s_ zS&-Y=6}y9rrfLvcjlJlh!qd9+UMVr-(%3sUyRU+ZitE7bLZyi|Q%ut5cjKj% z8U#2$*3}RD46i{lRZB)C2v56;zl1!xC+YW*IVIh^;7SF7k5f_z&B{vLRnx?tF65rKBkyDIYiVCqO&4& z3_CfQrDYnO!Di!eS@g>!4y48-RF2#W>;C-p+1QjCW@Mvi&jwy`6xF`GL>v{Ics-Nu zr-LDymAYdOW%wBzV!^EFzRxZe9*T6#G0MXxf_r9sM0F`lU9tA@+9izR8N@#hQV#J?@w=BoyK{#N@Bw|Y0Eo_6A ztX@uUmV8F;6V4&O1*JZaUJs_maH4B$s=Rd1liN^Izn#5a!QY_2R%c#on@dLT==|y^ zYT*mVZ~{eyg=9DN*F~};)Y9*tA9sJ#Sh4Hz*}7`8(&Qa|er{nR8fsR||pW&ieeod%f4&2ap@<~0O|Cb#E8Fu6q7e00L$NDYsc%-1zNL@|QKOC`Px)eMDJAkWb| zV8!ZzT3G7`^>}HiUVx*U@oPz=Kp-JWqtJiRX+eouNoj>D(}h3@Y7PWo{nN7i?1B7n zbN~2&-h&@Le}B0B^=1#A6!s>Ra)j4kzuqxvdrzmuSu%`dU-Z40ZC;_jGi-+>9e{2e zv_MSDIaWq>H>vhC{V~wFj5%4*pje<`bF#?;Ea&HM^b{p!_|&UT>4dKjfERA1GBnUl zRZksqGbMcEDA>1~ONpdok=S2?JS&zbmG4!&t{SW$^f_)^;2Y(ty<(bvv3hf^iQ^-= zZw^K_L1rOtes4Z5yLO3|_4sU-GAtlst!JQQ*?%toVk)nFvOON`eP@Hl)9rC1e+3^| z%#fsy%XRhis!Qwkm_8_;KWGF9@&%73Uo0IE0iM?%XiecAU%q&Ex_X7w)ZU)`A^m^s zZy@wc^FoO*msbN^4c?OwuTkd@;5G@fgSaNxLdgp2J4!*?$c#QDd9t}NyBdccXnTJc z_7Ma~c@@)6}tRIiY;iz}0)%l$`QO3N@C-XriyNsj(98$u7 zRrEv$TOIW$EAJpr6;{)|RAF0NBb9w^&(V#AEKw6I7=DQ)Xb;-KBJUXVVIm#+*7rfG z=KO-$WSYvbH-tT=)zpR&g4wW~8u>#|TU4pk2Z2c?_I1mfy%>XEI8WGJyjt-qnH-m0 z(O7U`V?QJl6ScE-Nme$bj1sEf;m4p)-dS7Sr5oLF-s$jcc=Xl}Yb< zU*|zfC|MxvuisIzfxcDLm8=wX@DXA3ZeM#IZcWXTM<`%K_0 zO8qw|(CSH=#r4v>%ZCvj0DyKmnu79?xd-25|Ht+F4B9Lq3nU9La50mq@3nXcr2xB*r?Um zvIb=3=?Du|v&z(4WJOZA>j&g~%?1M`8snRJ8Sspubc)$2Jj0Zv5ak!9v3WP&yyhKP zh)II0Xk8s_keFcCfO(55Mggi&_O>=QQb`u%Jj^EO098pZ4e>HlgMJf6q21Jo_&aNv zkOAC^%@H^`MRqQ_YO{3r6mO6Pb#O)yK9IEl7+cTABJZBAo|9=ihI2am(=Z{!l3VM| zaC$lhp5*c*lr#-T_D%FES9PA4p~R7_gXHT={3Nil>gdx4BHl&5K;c-yn6wNwS<0a+ z=ON_DjRr(25h_NRuK^urkaupo$vjU)q@@Esmf9B$ru2^|6? zLF}VDTrBcejPhXuge11mMbEZm`F;Phab5iFoF^;jp-;!1EDLfnF1_vSp*k4_drw`j zQtEK8HyL@M`;0$HIw4_8M;E)Jm{Rt{oXYk*HCwmoe}BLJ*yE4gV`8=f$6mgIXXm2N z?Q;Qx!3Y?%AV8a}-6t~@OoFxs3K3e4XUa3r5Wr5v15@1zg4}ApU_|$iwjSI0?A{{f z84kM5>p^|Mf3j+)xd4vth#78D1ge`3Q4qP0(kqO1-IUTPKCZ?HS04sPoQm-?)nUH^ulqnUBBBw)}iU-V#VrU)Ba&c zJ&#?dH%cRJ;27MFwj)d4iXsHZdca=iS1H}3*Hdyq#^=VH&jj|OyP6JF*h^)SvX|X2 z*I&L|3md>+DZZSTxe?H*5+7Imw_b8WD+c71QgbjoVS2IhY}v3r)lWbD@!|f%A7|U6 zKLYUA|KrDdxasa6{s_n2hr2I-6eytn{ON+k0iz-*uF~Lr)XHzw%MKjK>lTlOuCoLqPIO;7 zJeSZg(`Hs3GxYp$kWK(Ao+^CS>v*A!8q3+y+U!OT{4Km|6zsN z%8%$&36oRi(lJjK&Ao~Gj7$#L0v#V5klAIY-}YzZR#XJR5phHP41#R*i;Uwks*}jRMV@PmIhCBQKvH!UkHhJh*YSMbz!9IEll4kh`5Yi9>_*!hD!rZXmbFJ)k9&$drTrA-M-xfuClz9n}$~ zg^tM#g(Fh4A`2BtQx9E6yhe_2_a{=TV}_o;uoz`@|9HtTzkLzigf0!B@xFSK#Ta5Z zLc9Y(kPi}W>VkYQh9v*;K9t}UzNy?w9O7YxA|P=z5?2WWd^EZdiUJ1@9E>#`ld6CE zvZ(L|Q*i=5>UlKNERCj%dZ5U64*BV>Pat7MizoB_Vb|T@DXy3HPClGu=i<&u?Gbko z2F6+p+s?*}E~l(?(K#W`hispOw|bIIoO#4b&>Lg&6*7~2K+6{%gddh znJ~Kcj$u-k%!Ua@m+l&QgR3L-QC;rMF2CITay=Po%IOnRkg5Fa9CRbYoR=?-m0iQJ ze1y>LQS3ib4pjEAHAVLjc>xI29nbjWXUK81LZd^^Ag&liUEfC@fd>*)#%)*XD=|(_ry}m*=L|4KlZ{DxQkT z7Z~%&?!)8F{g>O1EbpkW1LU6c(dZ(mM7(KIMqmi7=ZQfll-d+oRq<89gchLr+s*7E zS5e<8>&WMdzr(>ZmS)dpzq*Fx;P4|sytyA2rt?t~qLluZrZ=z>ekBSdo;i!yFZd$DF={WbnGI%$rMfVxbCenDwqTPF2e1BKWx$8pa@p@D-v*{EV9o)=@KTo*v4 zwwC~M1$S4%YU8+mlnDk}$s^Vh46i6}h=;9DQVvA)UFNYF0sW+mdv|pPl6{JIHaH^oQNO;Pzl4+y>-798>~m!TlUaPUI=mR>PO)y-64WqJ~3fUp|FMn814i1X}y z-vNcO4Tt1BSv?l?Xwb-p^Z9%7sx+U2|?P2+^8gS#al04UeHN0 z*}L9_pU#FycbPJ z!Hy4)_uoG}_Ab*okUgQtD5Nm{B_Dzs^sAJqo0x|sA-GacFhWBg}3N(^@*)HQ}^vq+_w!HWyKKm z4B~z5!ME@#7gDbI@|-Nd#Jb^2s#C&~h(CtOf>IFOyJ%2r2%j_42%Xhd{BzZH|JFSD z68L{VTz~!a`Sx!&d!N2ABX$4N?ft{!uh;kZn~>O8v0WS8+}(eC2T>U(O-tJaM8NY0>-1~y=5@r#1BNA zqxg8xJd&=SpeRN?bJ%Z)kYy!q5p{q8ph*qoC%PYm)enZlQ(drCa>xu7C}!KbrmH8D zn6yNf>YSsuNI4zUQ97{kE0646Q0Bmoe7U(=T=tAN6+8M3(Tbao`#npE+-sN+2_hHV zAd(>zdt`a?5bE{oG5{wU>(e%g(-<)L>=~>y>wwZIY0XpXM>3>~F{U9t@s8;Fx1Oc?c`_a2$N_ zs)%St%Q2FU{BfJ_)W=P#US zg` z`)q6ta}YzqIb05a@j@f;q^e@!u{tfi(6OEl99AsGp(fuEEDqQXS`8M>b3W<+MAaD$ zdLvXO7Qj9ttUK`+dCZPl5^OM*G@;A&n{4HMy z+x0ixYdDqX0te4?hv>K7ZeOo2gy#A`SmG-^6Gz*(Yjh9Z&9640N(>{3LYmI8!6{nW zcV&W5E>mLF{D9+vx|Npi-m72tlh7KKdm+7Mb~=Z7MUl9AE5c&+*2H%+F?>yBz;9do zoZOlEj93WUx3dc<9bz}<7gbs0gPGiiAVZGYI#D#+gQlcz<1>P_qOqGQPYEYoB4u+9?~C)E7+8^4AQ*ax($Zoi$aDM z(`O1_Urep;wP0zrd|)(x7c5g0`J_gP3{fCb`YR2ea{P}NxF zwi%VdMsH@Ne+|glps9!LRD;NC4CZ+Rl}RLa^(x8&ic`_HHP)xRK)AkdMR&O2_$RT! zmS++Asv%&{zH06@Xi#v(=JaFR6bL=@DnOgW+0oYoa)<`D`(%X*=mn?e<>cx@8-q(} zc{*>JgQ`!bIdHsl=BveJ_tgg0<5c9B2v5>sDFNa@!*S0CbemEy3)I#Vv|VM{FV(2n z&S3@zq>?ma7|9t@nq!UBuyNJVic(ddr`u;iWDlys6YEt#w-@BFqF`CMp?pMm9f_M( zcN>=s*9sP+f=Qmxes@L8?N}-KjJ(DZ>epJ~^cl{88mPt^g4KV4WJLBgWsqAP2wZgV z0Q1u~bzFV}V7_W2`QArde)@)1UM>Nk^e4dq)(=>RnE6N3heR1sGJcqgt4blHGbl82 zq_m^%>`z{egdQe2h=?t%enm~Pgy;7AS0^DTscaz4AmHC6;nZh!6Hv!|CnUzpXl$9VIgx^hV(?&lPGY#GuZsp^gQX|^&d2&E`Eulcc^fJ$fp2Fi<9NQKEt>geL&!zg zL#W*l+!jVCfy;?<3{L39I7A}>i=|0vejSn)fGEj!v7QuoE+8oFi*l%;9hXCLitK=j zbg1Fy)c1ln&m9RkL{fep4LlN_VM?0G0OwZhoy3i1ihduY$zRf`to&aJ<{}_YZUEba5djvM)~s)eiRJng z9KPeBe3_DvXc$^qyYg(-jD`N&!DtKzPpUJbze#zXyur=k^Oe|}L*$)X7yz!ZkZ%I1 zPLZh2r)DfZOl-zr;8TS0vstUiZ=b*gF{;q+w>ZnN*%t30DaNYkk;gKWl#1bS zO-V$L$7Z2Nd9w)6D0)5(8kK}uvtd8Po%cwH1dj&9L)eADsRvUjKuRY(dL#TwRGKs7j5Qz0 z8g;;R9XX0BUj3<`KU2KXfY+U^>WmfT55xn`qaP-~QRqJ8O(Crce>cugJ^hkCg4P^) zNRTG5CK405xT;|K0XRmHIApW4@C>WQr220m&rs7355&cAxdK>5qGlvlbw56Q`@Va; z+kL))Rf{S(_^&FNf{>|+rp9s##be%X&oxHKF%{*ivain=T7han+C&vQ9%Vl)`jp`? zn|F!@3sGF##E9Mz2zdgq+|ex`i86&bC4DKzO@RR=h0qQdK(Tu#7R#yHm*$urb5(Fq zcG;Awb{LOZRT9I?)T&X?tN2!AJ;!iQY~eh~aPHJ!v;rg9o&x?AS^G1C%t0Wln5fPX z0+Pd{>0djd_eaxH#4ObVw*V^o84uh7m1X|>zn`20qXLsmOrZ%7y`2;Bc8-v0ru&{1 z>6_r>h}w%&Rt1cdvVx!SZuv_#KstfGG4cnx9HvYt?0;0R<9I5Qqd zBe8=m)f;Ii22)U>9i>b$xvJ|&Uv?4Aan-3dq#tS-A(rS0*N%BE zlH@D8|D?wYe}s)OE}0Ehwxv2vH-((v+HGBNh>p zvPRO%hX;iv9RqSet)q%~G|4HoMq|g5z-mou&R;tsqEB7g-`%0jZ11}|S6`%+Wm~=D z)9jX)YXcgh!GPxx7eZR>{6pMU9~brHVdl|NpLiQ*z7Ep#>#B>XKgtnugWHQCC}0)h zya>Nh$PZ(hb|&P9ht9@%=!BP$;MFm`v-w8w49+vFjMk)c5(?bDG7|U2X+6sfAs!CW0l|K7R%z2Gl70kF|-Wj zF*T_bWN;-zfg@@7!A4wYwmvDRLq&Pn^)7jr`UHI+>H>b6g{X~thw~LpKZFf^Wvg%_>mZ8>n?11ODihVR>>=s~P`qoR4JJbe)s9yA(D))6?te8{^dz{*QR%L2B@_pHhS1>c=j%l+`g>wBU0> z<5t04e=&|jzguNQw`BL>*T?tYKShdgL-_3CEz*F(hNqWX)Zl|g3zJmxP*XzjZc}U9 zt%k`ClQzQ{JDeOiJCx^7`=QXg_|C0uDj=|_lRC<;F4pJv>hP9{B|aO3u9rYW&j5Od zJ#m6e?%m}%jb(C6{HKdZx76|LEs7b(zp9=aXDhAQvKIG0b`L0|`u#!gN-Gdu!}S;3 z0pRJS2W9&fv>_t_>)X$Y`p^@i#v227!e9H-P}>jy3idpJUjWQRr916dFLS0HHp7r& zD_AB-oxO@l(U19gL%1BUKc z2=4Q@zqusie3+`dNe!OezbMOB{zyB9*BJk5Urwuo7FEp{F^3$n7$3 zR<>JZJWbb)ZytclIJi)9!zx9%5pc_d8|k6%A97cjCBr%+NQnlG>%w72&$g?vEJ_Xex zqY8PpN>o3r`Jt{dXpu3q&`wdWc1`oQ>$<1BBRQnAMmqM`Wexq9er3A<&HerNZ|FzI zTG9EFx$`WE-J+hp0m-<98h~G{VADc)>T<+TDGj!`UA_^EJh6*%{pcf@5q=DusJ zexXtl4*aY#BZ3O>5S*hCtTnx+PE9h$M!QBEJRp^^iYuZvi`$2_3OSbGHeD`F&5wV| z>8UfG&94OJ@VUESiey(t{@on5_R%TgaQr+EKODRB41QQmECL3X?ktNYLWioyECjvR zITRR-@v|h}Atxhwfzd&i2pau{RyiZod^Q4<$bKL-#NT+Z7|q3bFx)8|r0^&mY6?zi z#Rb=G%}ldFf3MmUc`@NwW`kLLj!}O851K3#pVhEaMUuV~OL8*qdU5`iehvtq z#mUtYt-ZvKze38yNKrJ7BSViich2&0(#!hjAg46*RX7%t0o7jvfie&5r!rPyKebsT zCD1idT9AX_J@^yiEHBNW!-mf?RZKN_K{KiZgZ~-=mC$RbCDv;qzrubK5QU~C3*a@` z$YMm-z!hYxn4BgD@i|oMD4V4eBYG#7o%Ze8(hhJ1o?JTF4^o4Yz>=s4$#J*OokF&T z@L$@gVX8i(& z>I*9b^lUm#zj3cIPM`FwcNQEuFyXk|sI+ym>(It%vnldcIK5_&&>9b3>a1>*6;Vj9 z6)Ywhiq8|Xa_6 zL<%bhm}60p;LM|Zm<)Qh$j;Afa&}TdUOCy2ZEee(wQkdzEx}|DMQ}mMmCwo9u#U5+ zW%J>0yX$Z7(R!K@T|3%Ap`?ox{Wi;d|#_Gb!U+t)jtG=pi4e;RMHf4wJZ@`;V?%p1_BNAV(_q)B}rK$Ac~$s zmW0>~5B7A@n`$2;tez}#tB0gt)s=Ti9N}EM8I{80&H33m8fV9VuXv!aN8p9>^YseO zugXPVzj8>IE{PS|QQyM1AIj-ORscMXf?81)NIpuY8229=U#=k?VTyz?*~%`(?mD$n z30M`?mAt4Lv5n%J5D;h@fM}$#lANFLO#x}xN6vO4v;;Yk3;F2*;dxVfsx2ti1OWRO zPy#q@5vkO73^gShS_{rN5;5!bOs(?VpYeT^FiZRatN5)!E6dBi6Qa*&gR-FT8JpS- zlL&!TJEP@gU42~DXN3yIKadmR&>~f?Did_uu)g%FhA6HaSr;w7p~a|vr*0#3_am67 zXIYoAa!5ZAspnK{rE1bNG;=5&{gOr!ZRvbNGe!3F1ly zy$RiUZZ3Pim;hxAK6-)TiDIktMErG4AxWm%k9tUeFhPWCx0tJC+&SdCSeYPinZ+`TC%0$j_gNT3ljC}O zT)Ea||Egk8zDC5p0X$LV2G>%i=h~AL$CSYi^%7hgMK|lZqPMz+B~qWjO~o-8z9YW2 zR!Y|NOz{2Qxz>;ZU&A{Vrb^j$l2*SRDbO! z*9ymFGK7K)*I&MUzCrKHFOeEbKXo9J;MR_a0(ZwCo=g`lY&WVPf#@&aU1`h~QOiQ| znrAz6e-vH+#Cw77Ld<%>zBujhkt{$Ss6*3oC#59DCOJjG0<@!VGUBvb85iQE>JJDH z(}ToDh<+0KW9bcZ9fQh4BERznBf=jS%o}?x^&^#iTAq7r(2h+SwohH~F_>>vEloWT z(Xl#(b4sLhBgjEA4oHEM%V4la_X@Ip1ZjtTX3P5Xqn+3RTi7V>T5))CLY?K15~a0E z(rg)Bh!no<&o3*K4d}-yDLknk^qq7c@cP|P%+Om%t=Yi368kk&GsS&_+&YqqU(T|+ zW-AS78JZ{;eSMADDt1HAI`StP=p_UTvQXyj;y?|?KItVKFp*GV{^lNp%7pkx?!Jgp zYo)~!2WSeMFq(KMH;ap~0${zKj4auiTy^EGmoiPhhYqG7#Knr3bJ_;(07$JG1e0-# z_t*FLw>S5DP=+49^nShjeEoR)`DX8Tzw7c15lZ_)hM-Es>hkz}34w?Dq&T_6Jf1GD;A}D+$qITa0X9Nyi26X8B?J@M za8+Iu@D&+pub1zn{|*GZ3M&HM#G|0#w4MFJLg6{EQ(*y3;v-!@jan#~Y~EeKy2)3L z=@e7R<0i(+>~13=x-u+uPMljj88Oej<@?fMp{I0}u(ugkiR)*2r#fp4pgcdBoxR@8p(Zl=5OB-!vUBp* zpnP!&UTb#s8fkjn@74a4+)RkzVm{RJ8|;GsYr?#$#9;*iJ&2Xx;7at+VtTO<(dh?r zXMnX*)#Yb~iPSA&rv8&bapv+{Ts#v_PF-O? zwJRgrlE0F3;>|SpbpAJeB=$F4&#@93(Z`6V;5f75bv0|Zw^zOF`_vjVV$;~RRL#}W zxwG^U1M;&8I$Tig962-?1}|GzkgypHF zG`XrL)VQCx4L#OT*h?ZXs%dD+TZzIlM7p;|xS4r!&>MM+cu|}117L3~sZ>QuE`{YR z24pqU2h`n{gb!L8N;d&;Deq97;$P5lFZrI}>!9x?h&J-`5CaNVVWyQwbSON+7KGFd z_K#ckrrIh-zge=IPbE>X#*6zBLQY+3_?WycvL2%>Q0bB1Fy&vjR{yjtvltI=sV;gJvRl|$Y*Xc96WoI z$&<;?|Nr9v>DUMYc!ls!h@TZBy7+Z_8kKEONU3|w>9;zML)Z(4fGNX`wSCHs zwSBs5D?a?BcN*hxgT1t(IRXjl{}@qWxJpauj=F%d=(*gpLR4M6Z3LKXdRVc-8Y@Lc zXjtI-1YPI!5Cyk2OKIsbV$`I}Wpf`(I|+`uab6~_{H%Pb>J7?(pzy|Wk9H(o%bOwN zg`>iDs*0g=?E0X7P#(eE+(c9Jf*XYlb%FsI*Xd1=&tk@VyPSjP@D@;qA-Ra0>z*3D z<+#1B=1Gp3Fo_p}?rF-~Qd5cX(y*f!z<5J>;0lDTT=-iSSM~LH(bP?G-yj5xUXm$) zh1t*dli;ftj71qm-C!n=8GWe!8_wtg9m3MKolnftCoyFNAcCYODq@4Kc_Ho;8~}8n z5@7-WXNpL3D?c69nyoUPa_! zF;i$QGi6mUP`$=qEGpx1;Adv(bDMLj-c1!J-w${eB8=TscW8TkehQ;wyZZBPfu0Vi zpM?-S^cVS$gw%g=`}N!R$K5w1(?V&xy8N>XB|xynz@g>gwS`J?3TD)d`t&k5;m?|o zf+oHrP@lIeEC+JJZ+%daBueIVR)_D79u5>XAsWohe1ul~#Nf?XX8aa2CearydOfOO5|Qz(7q?hm{#LVDSW`H3wOY9t@azj*By+X%YUs9~rO1UMhn z6M^ats%2|TcRuAC!5AF$tu)hYIKS#Plce%FNP)9Rl<*x$UECb1Cb3D#HDR@AGzPbb zxl9BlU~B^tK49{o2L#+b+%pz$2FzrsSaMEAuc&M}uaF z8kd70v0`cmP`A#0lZ(EW?IGDme+()-JBe68yQp0B+q8$|HoA?<_jer=;tMaTwWtkII!z4=(KYL90@1RUl=zC(Y zte(&C-?BG6A|y}Ey5UdA+@D?24ilTFGE43t_qMcr-xgYt^5y!B9%?wkA7L@&bLmKB z9outs3Hj7)uob>f-W3n))Q5m&bHNqyRct0kI!GC_|C7sd)r zsc&0Ep0P{D=IP3(k4)^_QVBsMVKf!#p18~4PDFW>04lU^&LNVL%E6Xj;4Hp9Pfjr&ZMi;2VqenSQrKg)lyMx)-Swx(!P8i2hf&m3CNy133 zS9oMOBXY>MR?O@C@-!4kv$!oklnqB#j^c|VQ2aMW63J+piWw#f{%3X9u*fO55qI}| zl5n~M(0?!*csXec&arA%_QJ{aju%d6=nI=pm1d^arLa;S>QLi6y5E8Nl-3V8aL1Qv z6d-@?^cfS}wCaJxTBRQmf>cPcw5VR6{ulM#!)906Sah|Qrggetbnt=8dAMlmV4zkY zX&QYoUG_pV9oiRe-!j=(Eob?YB+YnSAk?uN+~6rv-qESG94JY)7*BnrF`5VV2IRU1 zT1C!iMF9aQ=$I>vB*SusP@>|5E!iA_`X3GrhHsi5f-<6+!gvAcAZlr;QRS)4l3R1Y zNngs`Wu%vlbP!)YN68N!z<2K?5V<}(I^V7SdxnDaeaxCi__!f_I23L zt_hWG?VPH+t6F0ds9#)<@KePS%2YU&l9?GO>zkUp7BZCQY_mibCc?_u-U?`n*pajI zvz2jHs%|(F$I*L={0nSM*ko#g;l{Qn^eJfrQejR=!H4tElOv_e^*?d4mQz)p_wo0l zzZmjgy?+I{?9T3Ya4!c}2Q9izUB=nWtQkn*paBpL5WMcDO)uu`CHsJhL~FwUAVQ)O zxh8?DdP-=~lPdKGi92}tl5p~NIXgZ-Q8ysWeb+2JD{PkA@KaHju_vV7Ja1VD2r7yR zQ};$DrS~{rPZP6SZPa zgrmjz=|JU3wWjcn4ho`o9M$eE(M$TEm#wkiZq}D8X>+~*>-A@#r+>Y>{rGYZ|0^40 z%S`AOGK0TkZ|vTG;&b?PFeZjAFFb9DVRXS~p^jmw3GI8Fr`369kd9F$PA-I1b_{)= z+S^zM6!isYCri>jJX_Wi{=H778mqK4!X?4(V z1$((VgT60XW@+KF*D^}jXUi1>lqfkHJDnr3-%a*KUota|u?|8aCmODzxkkp?wCI<( z!r=K8yjiQ@!HSY6sGd{_!pkO#=R^{cqHj&inE7zH_2PaaN7LYD%60tBPSMR-);;=D zEm5Kg=c7GTIU$uvdPcaM$}vQ8(z)Nt#}SV|wMg*LV!k|F0K$l61AQ!q#Mg%Pt(+~& zg7d@lJ^BLiq|P>{DCD<;DZN{tAI*$$ZKFocK)yrxY9q&|3Z{VMwmvPJ&r{F;wBs+Ku{`CHkxe)4YXDvgl06P zy@+yYm-t$eo%-lt98l+BVYIATDy;R<3xI?_eEY}l{`$)R|Mm2rYL2Neo}(Q1`W4gb zB#V}qau#$*#C3IsbK>eeJ$GI$TQa4o(lHbZ6B)#p+4cEAg^tS4%G!${GkdYqT>K5z zB8^1_gfwGnX=AVM$i0P;hDeIDYPG3cuMeJ8M&VM=s_E*oo2H;aVa#E=opW;5Gk-O^ zuz)4&i5piYxruIU(CScTjOV&z(D$o0MYc<2h6T(eQd1$pp|Y=1{K5yMW~aH>+T-#S z+($fmQ7M{Gv96K$)U8j-9fb$)y!-XyIsyP(XF553(5fm>P7C1EikP>*@>76m4gMiAp4)=XwWus9}_FGIBhZ-bYysKvO|)L6P1p)sDiDs3!MVeStyYkOPubI%)+f;Avbwy{A8rnF=EA4 zy#rrT#GA$D$~UF6Leov&-`#!g?dJF?%zzQJfuhzS`$e~29&LG3a&TiY12O+c>Jl(V z=>RZM@=mu=#jCnHhm!>#^#nk_CE8qSV=JJ965r{d>GGW-CjRVE#GF>2BGS6#GNnO- zfU`+*og^HqWa`j+k4uthM9R+k!%$!x?qYUf(&DdH0pT55JRe>Bp&4%^e4$^Xdn;OL zQYM6i+{R^i*w@l$s&h!}d2~d-fs&kM69~rQW+kj$&I2HgP@32=AVg(~6XP?Kg2ur!B31z^+*IGU{F~xoleo5b0=@I^6f!g^yqa9-SS|jvPOUKyp;o`_pKNQ zUzQh}4RXvZ?&t>>m{}w5qQE{X=-a?J#oLa17$AAXsHP`q7FX@1snVn6Xfe&$DNCKDHalwLq2Hc`oFj#i-zerj3(a6;y_3U+y1yigd? z=Tx+Tr)Kj_UMj#ZD~K3uX7}Ol?r*m@;dqX%tNBGZC4;dy;SkSrG-aifjNOW?MzFo; z`nwZrRqcw|1`RgQeQ$Spe02)z%g&oe!{MPrw6p%0$(@DBX|YLtaWFDDXSCMGd7R2z zfRoe(h-7?zgSKZ>Z3HU`c)9MIBELP7(Tl9FfPgdN30BjT-Rv3>z;sE#E>CL^Q6Gng zhCj(@T*?8g=-JJ7Vi%O`a`$;FqhQ3RmoM&56%epRi36ZEk0B;E>v!{Y9`Pti!G$8gIvnMHR{6^hS4!mc}2=ixK1;c5G0&4tFZUPEL|^(_EhsLX~d|5 zk>00S$wF8OxxU3{V3vWQn2wl0K(b-sRRU&p&e9CgO&zjI3)dQ|0Xx)obZpjJ4^{GH zT=0rOhS2^WXPcndt*&rn~vCn}t{_ zItZfrrm?RXQDC}T!+|si@6-3D~ z31>w*H}aH1ylpOf19bMXfCUe_VJI||LYCN7TG@>jr`tR>X(kI4>+KBfvQYm3o?74w z-qF{0@rUWv@oOoKuv;uwFyV*_GwL<>p8~*=@(Qjh_KoAEy$=RRYHzLh4kuAn-ccTC zH7gM1L|5nBYed;$E&ct&U*D_$7Oa?!xpvT3a-&C#)?`%ZIldwqaIa4gnkSm1@pnX| zP#C2fZUt8c9mGS4Tgz*UQ5ZN#$9RQ>bj{>*hD0KC<$y052o3S09HKDO3(w#z92S!r?YDGbg#c8vV*03yltmwsJ z`!IC4k>~_z9)|#NU^ZazgJxHSIAEcapOD)-RhwWouI}_;)=9@Cxq;nvwxixm@pKwX zSdoG4SbcIS5Py%eIFAA=8a$*i5MSlH+ ztZY1m_kX&WL7Pe%Ksl`on-?xcN#Rjjlv~Nn*QoZvqUjv+4X;liDN(}+m^Lx#lg(@o z>bv=)T6H})fsYn6fk^=_(jir$K&cy!X%898?V8lVcRQz(axawp8@)sBCfZl3GmjoW;WSsvKYIl}w5Za=%86^n zXsV@J1eUXp0OGtJnX5GIzB9i)|Cr~d5P9>bs@)gni|32T44hc zI~SKLOI}RSMW$78Fzp>26v{vhC@7FXHI0!uxUsaE9TY8QfC?P-p&!xu;+Ji#7AAJ3K$8K(W z*(kzkSZb3fN6hKJM9P+y2BQ|LCORA6lisEfKyW*L`f~U6^X=bm_C9@iMESq{Pq+6E zkH22uH)XefH>WL`m9-2ote~y+k!jXkT&J1a!s6HE~gdh{Xg?fstM6AMD z6viwucycu7>3YXfGrc|ewVN@s$^N{Gf6wEei}>d<{<%`&;;^|=Y*My_F(E5%Fu4Hs znD}QJ2!B~^kVw)o;KHpkY-AdYXHBF!LW;lfe4_TF6f=9JupA@<6lY9%&EOz24dL@P zG;C|2@X43sN-4@PvK$Pgq^c;dkNNA8R>~RjzUI*5d87wet%s&BroN)OcKQp^LkGpt z4bU3n*)b)vtqo^Qrv%s~x(eFZBEsaSZjPegkf$j=LDi&%fkpul6c^Rupm(TcDxLo) znPpE(0Md``8@`H6js&9;oh**aq~|mVIm`34VZ%5S+Ow$%J)5W$2qSG}VgO+x>|ORj z`q#|YGlb?@l`z9KR3^y6nr6%zcd)9^GU$Bsvln-o;<)WSRo`jEriV`#cp6PFo}Dv& z{x;L!aGPfG{CzOz`R5r9rWeG!L2CvZH$}|Qu;0HL9+t)7WKS+OYn}k~#M_*_0YV7| zTrfcD6O^RmiJu|kn&rPjnk=ELv;k{VWY^*Vzd8pP1QZ6nPLX3HnBKv%2hH=?63nSs zA5s#6?)%_vQqL@$;EQ)iW165&nA%HC-$OMjP{ZYV&SgL3T=Hb`9S!Xy{wj)e4gS_f zoHf-xDT^1Z!XTs3eCW&-gG^t5-UcmrxqjtmnHqMY7ki@>SWYlK!7v^F2uFbE%ycMl z$RDaQL7vI&wk?nu|Ln=X5DX4aDt(?zw_y%7Yn4iiUu1fVC1J%s^;gg#+Cvo`qB4n( zB>KPewDZ%8)wvtTk*3<(lWPIbHwa~aP$%PPWx_S=jH{LmA>5sF^b{~SK|JUVC!A-^x|DfE+KWB=&z7UJ>tCxuU#Lmym!Y$eQ{xCo?xG; zCkP`@$ znt_Io_7voet^Nq+c7qZ8v}2fc}$>eR7`O%1;q{O}SY?qx%+JmyWF1V(xQam&qo2dg8azw)Pz!3>tLHda0 z2c@NeE>QJM1zL5;AF1_Oc_LqtAkED6lCS*}vvlzi7mH<|SZN-G-wRP!?0AE?S4RA) zE1%4sTlHcFqefF;A|PaU@BWAU`FxN_GV7V%CPofdIB=dnGOq$i$Tq z0LiSD5f_jIS(qY0Hjc{7?VkDeeNPX&+#Ja2P5^YX@NhR%)AOlnR#(YQvg~4Ej-_L2 z6mPxy@%d)HrDasc_hv)MO)?I|@EO;s^!A7Fe+zKO*Y8(%?Wf!Jq5XLM>4wQ%Dpx$` z8EPE=R6fE0SLrD}+|->kvO|7QyaSsngBIOFOjgpHkS`O(z3CNc z93^3MLFHjn@H9kJ{j1^f>?q8QP%6ssL5NWRinb{^C?Z8eH3E)z{dVx>mf`DN?f6%{ z?5CnTD2KwI)5;=Lo`U8i8G&pW>+9I=xw%Kzms$_CS@I=vB7@liqXmCU1eX|VtboGS zx(lVHy3pd(9)U9!^l{}06xniS8B!kG;*$k-b)wDzIL&bh#>-NDpUJ=S5-ZTj`H&Xt z#iNiP;Eo;-D~=5P**$^BW{99%>gBM2q+Iun62qA<$|FY0zP3-9>jV)UU&UH=i%{^a zcanSq2_&o$JW%-CHRHI&wMp`6S5oyrkk)6?Y|fp@eXXjVIqtcZ+cm|gud1fC|a zU<3~ikBxvmJ^DmwrrL739r>cYkgih_)OTKBqB~O($&#FKVs+R=N5#>L*Q?5DK7%i6 zOjDlN3jy_%6BsU~oPaayMY9{hRK}~bYdNbr|)dJMnwMrETUvVgg!gtI|hI>gm zLZMYTH;kMx)2!qPQpH4K63pJS589(wr^tsz)+~n8h{6ZV8}SZzY$Fs6uPlUj;nH4F zFJbl1tREr5+k%8x{>1x6zoFRXvMrsXWXH*#V~_DY&4QQ!5^dWh$X~3zn9Zv~A=Ipo z&J^Wura8$6sW=7VE(a=v-I>A#b3h89kmo2%H(&jDxxGO8?nR623v@;#1~hoL)0_@> zY$w+WdQMV6EP(!&n6CqPZO#$owvZ2E_fCiuS)|kOQsqG9r8-merPvedfHjw&+@JXn z6{vMjAZO>RA<>)3k&@%Vr-HS0mI4@h=jblA?ccBdd5r>Ix1VVlzi5B`$S&zg5M8Jq zk2)x7K=P=1V>3C%`)Ob~Jdee!6ZtXrCKuj}BSm*K`4) zG|Pl%CQcv*Ibz6AK{5Qm;nHgbF(gBZxh`9*jo><@t<$~k62CSoXFlcFdMGa;R#ywP z&39jXzJ6epg!b{4f!phk$aUnU_-{#mgI{^GCgGnfNShRu>z(fpckJiKUqAd?dwc!s zU)#Iu>)VIF!qFFc5~;8w!qt~P=sGbbJ>DCxz+#kg#Ie>g=N0uU>9}?oK6bxrFnVad zjwc~_m&HBDp?h3HkSYg6L)9<|loaL!CQ_rKp2UE~3IWfQ=#tua7aJ){Y5uN+*o4%kjAs&fmIPlOOxUL z0HrsRrIGF75i7U?)E~Z#U~*N6!80UtiW63u;V1s}=_Qo^4KQCn!=L{@Uwyr~CFN+v zcm(V1Jp2VtQJIQLPv}|I4*m_5OZb78amEfm3zjL^d&JBTssrsOk5Y{IBU~EBGa!k6 zlGjp0Uee!#3gD3pzIHY)2MV^P-pcxlAQ3B=>|}>hpTVKNl@Wa)OKt23mVLC!gLH9{ zN7`NyvKEg`#C>NZ-(n)@{><@S&NGzvspwhp-epugL;Y=TG ze#RDcu4Ss_$>nj(Tp4L8Z6!0!QcJ#&)EOcDfU;N_7V~9{Cb`xgefXpCABg^p5&dyx z&GWMl&(Kp5mE%=gp=#fv>H^}9LKZtaAHO}~HD8YYIvP!fv+>?^55Gpx=dOPk5BH$S zW`c_5Y(jaRG2QAQ6`ENBwh4-Fda;lT=?56u(iiMHAlL65`_QWL4~jpu}W`Y)jk;l&gLzjh2)91fr-7R!E{c zO$mbh#*$YAo&%#k+`TeZxp{4t5t}_aoIx~>Qwy1!n1}k)N&L906_TrnC09LJ5ubao zQe}yQvd79dHmRZ-0d-TOch{4u>JA(setwL@1GZ0Vr-@^FBYI~BP#JyNI}K`@Z?|_BPAOb%0#4= zM=Q$fER-tK|bL}Xp&ruF| z{Wqi~fCD2##@Ik!@lOUL2uxc=YxO9x@~{`Ibl^`>A9u^OI!j*E!N*so1z#s-?9 z;8k+-D2yr#5f7`EP-6%lTYGupxt~0MTs@h^z|T7rUx2NBcm3h|2A;3)k6-TL|GK{Y z{P6cQraSAnH$0|0i!P4dnC=X*4*5o&Lxk!P+^z**x>JNge7|I&$Ofz+WWrJVrs$GX z*UMw5{?VLHVufY)5+YkR2Plro79gRb@^7mC3TySGn~Lqar%l6bXfJg>d8ER%A0-WoEzC__}FC{!2xCHO!i|xT`Z80jeDx|Y}CI#j^ zIf_khRe#3$K0-tWrTiAKZZzPJzT9eS5XlESj|rfJTzbW`BVbH&CDzE2rTPow$lq%7 zZn4Rl`6`|*J=9U7&l%_^0i=fPgM%g91Eqv97PY4( zN0>0e%9aqls}VX&gC{MIFIT`#4X_^29G55Cqb=}8o2}F?1gkGELIfP0ssjn#ivDX* znX$cG06MD(>_kFCw4w(wBB&~#n^H8#aXCa1_&|;`st5soDZktZr(nnbRBf)zQ#Snt z&d&DnJC*oX;vnN+F9&}C^TR)X7&I?mqIu{4j{kDOpc}}(=+P(|u2r2f9xY@G_g)~| zP?wa-7pPXAihbxvsN;-KiKAV@#Xx{K7Nos6Yme8v6Pi@`FH4sRs*Y5)r7Si6N~iup zGa+~7u=@j1=HPEFUjvW7l4NE&5PC+-H5(KJNeGn0P=P0Lv2Ue(Ov~P=o`Wxn#;yYz zv(ujp>lpxjidj$BC~A>!56_?^;b|;3uoz}(4Kpw9lT{S}6G{p{p=qj=A|Pv`&ISpV z7-Bjm1fj5A>Ul1=38e6i($?ui>=gBHC9MYPJo%Nf0*CX3JvYTdBeQhx*~`tvOihf( z6i`AM&O82PpORG)hb$-#(Z+&ul@X;RS8mXSlV=Q2zpN&FdNEgO zvJXW4HPfp_?JN~9)5&GbNi7$~Hbcmbb#U~w0=Vw-55<5Bw~ynU{@ntj7q`ovd;o)oG_;R5LV3C+6UAMK*sA9vw*q$EuXX~9MZ%alZWGeg*T4BjY z2veF2#p?wVgGL9Nvj!0$slCd>kj+FkM(ijrz^bZW_Xq2Y$^$_m>J|*7Ts{9`+#oUB z4aTOUNlVluR7cDW#wJA3<~WkME~w6(a2QeyCSJbB@zU%+ADzG)<|qQR*@wV` zbb4~w_q=zK?7iuMdLD#4qAoa4O-D3`2}@~vN6A32ohXxYL9h(nW2y}W*rd>$TAQNG z93KSk58krD&JWUifd7&LGSt%oDRyXtlo-h)3*YSr$3-R753EEL0$`a_5E%UsfUNs1 zf);B{?j1LmFJKzcF~PlMH)Q}yQNR_uoAWug-vBvuIh_so7Ir@;dj}&JBcs7gRWVP; zShDKI$$E9ft}Rr;Dg%D!&amA0`u4*&l;-{1TH5!uL@5($4BKl=NlKRSUY3b*Zf*MJ zm(Xedc6=`U7s(pY*tDiKa-;guY=+XPFS)A(zC_7*8WfODQK|wPRS67e82>=8jQQdW z=Dhm$=(Kx(^8lE|Kd2{%tTG!Jzmr zSQmfnBNBz@XJ8G0n+uij59t|mc8pRd*7OG*(3uW2oxo}x%UWiY|DX$ZsuG~`A5CY~ zZ5K^eFq2pY*Ken4UaJ@=su_((mkbff&bhLBz>ix^X6_kqiC{=KH zPLS{pg5EU7uuQhNIC5BMthoLm<21~0c;LN#iONyOF9%p&JdS2$9xS#fN4#?%%BT_p z_2D042A!gHJVhgZi{AqXrwgyE;h_?)o>Ovl?Icv6(rgwJt$d_G2Wu?1p__`Dr;M8M zX0+Llq;FfeL%@>k9*EHh0YOv{GubAn`vD&D1S;rmvIl6;*oUij@rC5NFuI zOssG9w6bNzYgSA$D>M|$3eBEeW|R{|WQ3@=eiJf1gghkpqBeA8hJ4cO?$Iz{0cpPK zXIR}W`JDI)-fT40c=`hJ54H|!;X%wYMF5=IYR!d~ezCQmgDf?IO$$gwZ!{i@7Fw`v9L(bcwoEDv{XNP zOH?WM5N+$Y#O>*34LvYq)TWpHyg+lXmZ#F#(GeZIOFp0)bo|s(Ay>5#p4mo!xc)|Mh#qg)akz*F< z5#CGS%iN%jg2%YlV5fJ;iKjY2)_{B_*&aCMJ!{&nl#YfCkMFb@vbHvFo5tBDrNSBT zt%Y_CBj=|e<5Mu5)U!u{te?y~0BS>Rko8IvHp#Xm-_CsJiQsqPlnyKTIxC3+wqbY^ zWW0wW(jmCTP`AIb7Vic)O!(x96c4xjTk97rTel785oC##NI3$QPV zu&AB*^j1V1c67c^o4IVo8+ak&3jm?#yggARcxpJJ3q$nC(GsN^SXO9zL6(4rLoEI> zcpOm7sspIqo*^ghzJ1rPwLHw<=X5~e5rr)VX+6bf#3k;f)Z z{K|-*&g!_*3EMTGrU|2f_KRBt%_Eld?$6$SHkiuXZBm^3N>+5DP*cb}D1Ox+xAO^k zRF4wL`?L51{Ntx*2nl!(xXrRN1j&*{K&V=joWgRJ9vys#39^6>hC5f%djJ9u2bBapp@~7E z1&zq76E}pQ*05cm=I~p2dCeuR7*!C?7iT@y&VvnSlk}PR(1qzEg_XT(jeSXG%}4%I zM)~=`^Y(zCWUl7OF)ko|0o~EPy*-0thWG#l9%u;Z5Ha?masfYpz%#gR;6I2Z3fG@B%9A3&|RYV%n^Hg!xeoO!ef5T_t5I^Xq@E;N}mUWUiV3{tt=($;KDkUn_vs zo+jV7XvXPku&$B&49bDh1}VmaTX8h3A`?bK@7tIeXSf`Aw3iter~KHSXP#ZmpCubh zmf4qNg{}vxmRQ}s04^ZRfUCco7-iPj1eG5WgDkz6fBB+G#v%}#`vbjN?vB1@7G`(c z-W)lxR{j8qGunJ_xUXeT@Ws$|j3^19z~(YAV_rBv69;=R=RvWD(1w{9(V{~PnpymE zft-^KQbll~wZD#!E-p~o!GMiz@GFUvuyDqycN%xrrvj1S=HvE=#UWS~0xpmLOq|%S zlE2~Yq)QHP#n*`LiBnE#T5-WFg3++Zy`J@P`vUa3Jyf+_a%EJ0L`T=7?xp$iyj~v7 zY;9s$w~V^;xCto`C8;NR18tVMlvibUqsYr50Km-56E=d;y`VG*ROx;N3_@9_SrS%X`R(Lfq6 zMaC0e=+axfdXI$paH2(4-asur40givuBzK zT}Gy>?st;b1Q*kztL_I~)m31DB(~?7Gdd)?&u0ehF{p9(xc?BwHfoWNwI zLKI5|bb%m@AZdoPP%vl4>K{(5&au{~V;1O$YhG|P8P^-y^U-lB97Z_-{NOM|lZKD% z_bA^D4(c)wIvi_TO^|=+L-bm(XFbwOaFq;3Zlh34m7S5lCI&x^`{VVS#99jpJGEIA zQ^c2`I-R5mD_Ea$7&^|HBX5j06S@rW^i+ZfDCfOA{{;aA-)sF2!mhEQ+G!z}O`#lS zWm4s_pSY#$51u;J3l5}q+5vVet#9Ov&v|dx{T?;jgcl;667=s9%L@_&Rh6ZF6ooP) z3gy*@S07(pzxwnFO)mWM;Of=j{j0$TCs7nqabRi`+>}O2oQG#jfqi(It9JJcOMhJRwXMl_E<`q zPf?vCpDtOYvV6Qx&%l!`Hqok0y-Oj$@>_ z(XNn@fQoV+`A~&Hc0aFtv0#gDk5*7)X*8)**A1zIZ5;9U${DJT%X^`))b-sRybyvR zLj@_l0DUnOG+`P5J3Jr*1vG<$7c;Qnvcl=J3BFFWXo)XCB|%3*mb*d1GR)xd_Kau%dox|?*TI#)9X7K5`^)R3cc z3lXIAG3;GdOZ#wthpz1JQ3-(!mduY|myUl-DAs22dxIZa9I&FUFx@I_hCZ=!DRt6@ zIr7*EK|?=+i8bd6#Is)=Pv3YrK?Zto41n~7e_7~<6Tt<9g-I}1-6C0FS4xiPW7g-% za}qZ#Qjylv8iBOHor)2CHkaVB{=daMlMnXJ(OXbcQ#~4jdAS77R7g+fx=jbo1hKt7 zIlr*F>hki=9p4~q2Q!#Uv3{d6w>spH<=csD;H#8bRGhT%>q*C3B&!! zFV~2ADYGP`HX*#goxPqiJx0k32{0#uBHiO}Zi!~%oU_xAzail7%d|S5HWN&2P{NvSHu9wl}vQ zuYV)`Aj1eTUjXpo_Yz-=Xe{`#Ze706uHr5@QM>9YHElq;8O9Ahl18E2aLrI@(bPgE zOa@`9mm^W8pOJ@k)f5(W>Wzb_SM9WOJ$FHs!-zz$ zMmyBWTGMysZYFj(Di`fwu+zEZZ&wbKr^k~KBx1z_gv1*3xWw7lr@`Magz>YHpCdjR ziJi{XGUSOz;|bTZ!j_3|{3s_#mvmGfCO|XUoINOn4O(Ei@0cu#5(;P@obACAXC-`} zePREpQZ8qL#4tU=>TRLLo5#1Y$c!5iIB&J9zTBNLr6;V|TNIp-+@@-H!|6QKJVEc4 z^;s}E>%;Q4d62kgBA?>ri$hdkw4l)NH^ge?e~%8LoDWBk40zHvPyzy10f-XyF#o^n zKE7`(jsbBaS=-=F3(xsz;+#uh#yFS8x!BJ&uwvyL;KiOPT^Z5M6RrVAiJJoApht0G zbHymXr~#J|uypl4@I?5ivck0W3HkzU`_HQ{ zkJl+Z<32)#@CUJ^Y$DzhQZ6Rx&9t@U&h4x31Q~xvb<|HE@7wn`5BL9$ME?Kl^k4o;ol_0D=mV$=w6IqCK;&md%goGRQ zk%dOl*Gzh&zmq=@{hc7{=h7OURLCGhm?xW29oKz`x~ao&jH65zaI6jY86`;U`vag@ zC5<~MfZaj8ad8fiMDSf}#G)8zX$9z9ZB#k@ZDovEMPmlahmNE=3JH36~FQ=kV}i*;3t?j^$*B%OQQagvh2|2`Utb3UvXd&+1prbV~i|&B1w# z|7Sr>^Oop>X1?#jv0Hd=_J|-r9c47D{qpMI4Qm6NO+hWz%a(V}MO9^zvRd1+0?8CA#8?X_oi&xJ zltS2rQV7n`EHz(@YziFd3|Vi|2N(+u4+|yQQ1hc;;8f z;Hhe#lg*~j41Rv58w&&=@kf$MB5a|3gW?otX=I{0t}m;v1jQq&0xEQYCb7jf%kvAB(Tx>4oubew9EXBvlVc*T68Ta0r-TTR z%#nSk{7b*E8+X9o<%zWd`=2oGaJ1d*e0se7@Df(!&Bp=%^nT9Nvc!hqvpYvd(i&Zf zhJe0!2j;%6G!sq+Qo1cZCy&oF(M*sMjNWEmadLTd0tH1KZ~KYb&|A~;50tcIMN7(U z*USL)!kfb2wf~|bp(6OA#5jn+udxu()(?!7`^kBhtS3N%fDKgY&H@k z8Ka-0P|z@lF}>`VksKT{3hhSWZNC5x>GI;BML1U^7!&TN>@x40YpqBF?XY9ykPUNw}6O9xv7bX>_!;aS9eJ~a?1l|I{57COO zN^m0%`k>dp>R~`r~xC1Y$eBR7 zVhqb^B^D|zB)B|-zP-%crdaHAq?JH6LZsmRLQEnV@Q17oQzv!13{Q|cbFiV~Jq0Xg z`_9~x0|JAL?GTzN^80LiB!wk%?yw}|!dJ)lOZ?(~PTaqBh*<)GMLfYDOJi_$( zGpny_`EOsZKVR)m7Gp89=5IEzHH-RK^9z}TxIbrgy$8{S?Rtp;ak@oECDVBl%%%)9 zJ_~@vOlUAAANn)=si>ptxkHDdQ?%Kb(@gc#fe$Ktm_NZ3pkOgyM_qlj5x*xnXfZB# z)bBzj)8S7j(%K@;N&gCBq;En+lJrjHH;FJYHnr;;t$IUnRwK8};`%mFc&p2CaF-Hc zd7-Ze`04%p-1$EZ(Gs_ptRiXyn-h{4T)<|MMH{ko{0IjE9Qdn%24 zmxL>DtK7*H!a3n#E2HsCG1xp+&vhd8TnUSky&^zE5}rn`qM!_iOEy#()%cPyB7Jbt zpy~56UkK0ea@gRZTd;p%0aTo{e;=@P5-C$0Dm?lq@e)2A@^DaKmW~u5i^Z@AVW8<* zCU?`|0s~dl_LKB5wJq3XDB0THIl5+M)-`2`7x(uzdw4T-Bboz|(Ff*^C8ma+WUGO~ z`&w@XgcSsh4$HWMMpqMfA0}|D4p!?d*`S1+k@DPL*(|C+cjkbVFV>b{x+sCv1oJAx zLy9qcP|g6Qma{uOMyB9FW}?)WeSo$?lB2CoKp7iX?1;cMx+X@2UsNV3r)b;n5AEm2 ztGkcDn7sd8n^Uj>S9^7P`;CEpIC1j{!KzUuwQAO6LwpA}qHzvrD&zD(I>Czp#30(0 z(TjofF_NAA;@+Y+tR^PwXZQ~KWvJ*hK%JM62z|5yavC@cguFaA+Ald%&Ijay61wt@ zI@z9SMY2Y5HuFXRQ=;af6)wvWL9tHi%K>kKww}o;sE+Fbh~g=MNOrdo{)J#CnM@W= z;+w-|V4O1ZgM(M0IGT`ze$dNq2(4_O=MMu}@V?#Q3TgCA%>)hIzioSb*^s1DkcbqPIoQfu$2+KmeENS;V)BN>mkG$EwzByF~^ z=0XaV5W0Y$MZ)Wc%uLQxLh~`-_v)v4s?FwbtSBGQ6<{0% z>YDtTSO(Q(xflLI07f&cCQH;Uira}o(zc!aEdU#?jq|m9%wMQ7LtaNslp%t)Lens5 zwf<)wBL}6V6t79Yw)v`S2;t+$Sqs=3T-gFb&Af@k4I=GmlB^2%pY8`AGRlZtU-Dv6 zwE?h0vIewFbQP4Jn~UPbc5(^e8k!q4udWD=>TSmt=<00}Tk6H`G=BiQgE<-um^OoH z%neD)4Fp9j*;gdhz#7I9DPk_{Sa!X$pE@dg>1Yjnk^w}VQEj6gltsa4o$zOnT$Qnk ze5uXiSBB`GhKd&R%T>!C;r1q2i{Z*Q^i0$*iM%4gMaSI_Njg^39wB$HmD%j>JT|+G z$FtZa{G=%1m<)S?Oda4%DdEW9iC?8}Y7Y+P3dIi^7}~X~P8QuUp$yjqh+U=$=HDf;OMXVtpbFO1qc)8U$3gle zD?Qr`_j{bi#K-cGu1Pqzgy+`>^?ZwsFoIGEbHh}VuDxrHsD_@X(iRS5{?_#w8IDD#(!> z4=YQG!mA?`UM={B18}RCeF2L>p&1if=2w8_1Z_$7wmV?^O63b~8;x&uVsF{oz1=KW z!N$t~_mBA#)@J0S9lEVkFGFu%n)eZWPamTiw8^mFJRFa5l6(V1q#5#nXOYy{;2DeI zc{176+_SSFqAPsFlm`=fR*C?SI(ZCngxEQ-Tpd&ZW`8qf6gGsCE!6IqCj~i%7Om%Z zH{b4V9)5@Wy1YcQN)^)KI6j6y_&mFqKX*2!enm097otjh1gL9Pn?iuhiSRW=2m!t2IN> z`&yNQvZpEGf;0$#$8}(J2qsqSt68e1VXPtjc!n%JJs*@v3mLjbv+dWu+%k>XL%}NQ z&bewdeO_cvC5#s6IJjt$i)Mj}X5=*zvgmj-a!WZgiE-IWCnPd69;EOE%}}erh10ru zcgDi4seE%F7zR|nCAt-XCsJv*d(HQ#7?CY9C6_1*2?dOuiMU1N zh?EErQOykA2GC~3DZj_sM48^L4~5)rbOlX(0ljnd(K2VYus(AO)0rLiv6}kbjJ#7q zv5z73Tdd2s8`FX*&~S61!r-;za`jps*r@Cqh;$BZjlj zRWMfXT2pmQ7cgjF(XvOmilaC9Xz!4dS!IHdnzPfI^Bu|aksbF;@cZ}PN4~{kv7#dy z{}?hf#iw$+0DXkXhoJ0(n^>W00ZF8CIlW%lrQ(yeK>{IT@!6e+-@jkK`sdXh4L$z- z5>b5`eBU4LXz@wrj6$IPTF*_rIV-J^8*24z7?c?R4?!bcrPk`7au+Z zo0GfG`m=t&sA!@%F3?l^C+Jfr4iN%;59TLF$G;>-$G-da0)I39iCPKIS(f|2Nf)NE zBA!u2DND~03YC=MI32wOi2A0o-ksenM~v8M?CaCjy;#nz^~R$f^=T1@Y)$w zLT~S`Za*T!_O}P;3;R{}zCRA{o&}=!1A8xgYK-fc7MkZiE4NItppw!9C$Qv~V^T05%m5m2N8?b$#0H=w zQ72py8+iE9t20#ihuaPwWOt|8)~ESTAHG~)-NETiQvh~B^3Qaif4(%NoG=bNs^{Ew zBqrc6eXPK8AR8)>Xlax?4u344Ln1P)ri3nCPCmcB}Qp ze0i8WTh9!AK%RFKo)YMYvdPIJ=5C`&>Um3hoM*-D@J9m4pP4HUYOco`29uZm3V}Xke~64PdT0ThsY@V zfYOq!MF2G{_xp$IukGg#U;fboV|d#_?f7)_S$GhZ z5=UyisYqsI`X(iknps^@p4AoQSx}TEzGZFC{cl!wq+ex&Leb&W=IxkwCqJ2b!n7!- zYUlJa*Fh8ex$mJz6lg$c6mp~`(-4?9FjO!C$Ik(yVbMb*y9)aqCe>0{EXd2>6jgDg zOA|UZeZYSo@!vK6`-J~KC(bTuuz~evb+wQ$oL(%QUC9{y{vmxTHVp}KM9-z}VuBX} zTZNEg)ibL339dw&JF$4DtulkI#@0la2*<(wwBZCjF-uPqk}yzypZ&f8_#ok$TjyjXzV0^5;ronl1SDc;to59e@w=J@=cQT=Fi zLk8CY@=*BBWM$DO7CfB-f7=ux$!0kwuaxYeIc+az8q9V9y`+s9rjnShjUu|&-74#T zO87bRW8wGFWJH9R81Asm8V>Z>`X!_p~gp0_= zAFglEA+gndkRKiU8PppP8U811i<|C3?gUl@U$~h$XIAx9oP#v;P{KXoF_}`D&e7*f z-Zus4huwpImY4tT zMnXDMbl3Rs{4Q|uyz!Ta@5h5x^>{k)s<&=`o6xWfHy&o~%-P zG=d10Y(deQh6B`hbd?O^V?0JVr3>_Jl0HM4WH{bu9XeII8d$K9fJja-_+&yyO46Hm z=RgtXp&KYJ&1AR+>rH$k%ZoE~by*{A7R@~m(dUsxSFwzyU1&MtkdJeJefQzpS0w5F ze*59?ci(QmJ)%z4)z|M|uG`zkuL%+QOVdZ#^=PAhXaXJxrw0IZslfB{#lzj>H6Efo zJ|rrjg-?HB5&qsomdxs$R0YPU+j;>x86Qvi3?&zR{%{Q7+hGrqF&{7HD8N8`>VOXx zc1o?YtWCRk+1w&y9Zqo*q;a1HI+67_G)<8h3j|*%2G^bP0+fM6n>eDMJy}h0%DrKG zh;lW3MBkt1)v??mSyuG~S%GAkQ#dCch#mso1Bo@Dw{Wnbl=+vIm*a{fp^`%F0?k9W zvCx#|$?+@m2|QltMSrnb9UZ{4Ht-h>%}u1Y2~J9E%%v+I6t=NTaukr!Dz*w$LG6ZM zFiYySE@5|;Jc96KcdejK&VD#XY7^73(um8+4{US z*Jmc2($=6Su0}=YGU48y~wex5GE9l+R z#J%T>&5B*Fms`Dr);2<$8WM7qbQzZ1Ao%9TtN`d#pUb+t`(M_6FR4!TPR*0 zsg6jg(XcOSfy9^Ky6`GT=%%zvTfYcZ*)BK&~_jb zC6{%=bCrDjx;~50peC)?^sM1bU1z@U+R^a0SgkOfJ#+KzdRvCq&Bi?e(&~ zJ^FZow&2xk0NBOTmJ0+C?o5(}(kV;XJ5kGhqI#pAy~-372ATgGq1ovFR;S~f&<828 zodDLQfZ{qWKHnYG$JZ(ahx2Xw^W_n=|I_)YK$4;mLIE^YxQ2TY>}lX>8V&Yx%(+!v z!6WAyOIFqi6(gJ|EWl#neNR>cdLy9E1V21Wy;=)xVW!s#`c8(_`sR^R|4tBH(U3qb zGCCp2V9rkHHQS0x7yP}*hSMk~+BD25pg`FAs9iU%Tr{I%%TzZ@w+}A|aZm-|cQiju#(hgoA#irIv8H6& zKgX1fVkgyFh7uqw!tqzsQ8SqOQ=`6!)j6_^i9#S0MftAc=NV1jWR%>5d`zS?LxH`u zt+Zj7YVSy-rgw!sSwke+9qb_>NglnoAH-P}iQe0HZp<&<9?l8QhJVPimpm84>Yk5f zAr!UfYz={<%j0vF$j#WFx54e3CNHckecYs_F_ERWizqUyX3FhSO(!%l=(%OBpjph943EXp)m{!DzBsyx@Fd9 z@T$l?r{d~y-6?4PpkQY1&C;Y`6e^#Ym$2}9X_DD!{9=hDDo2!{IG|%nzzc2taHuQ- zs-^~9F7g=r#y=&^f7GoSGn#mzM4cf zBT4MSaMe?|SyI12$R#cl)F|~$k0!CVgzqOyLpq2n1fjAs((F2JJ_m8_hBHFZ)9IH5 zBGww(+K`Kn?=9xk^ zzl47}MlBfwu1}S_sH8Ws5Q0#BBEV)lFmVo3;ye^+H^fbSPJCUEvsADFfE5l*67*a*=@O?$Azu{}l-ZSob#$&exahG@=Az0^`5Yr|ko zpU>`@;&|}>p8bpRHxiN({kS_2zM8_N>4LxXvWI~4^R$lw!19EF{Xl?-0*yEi8oP*B zu)7faN;qm{oii|RW1a={vxcZJ8-Tw(=YVz9^6d2AUJim>=>P|FUC)I_XPQt1;vvUG zh7d#b4(j#rom1}l59870@g{-DjRM%W+@H~C6vT6{wK+nREo*tmLW}syFW+uI>kpP9 zq&S4W&JRX*8e3*=4!Y%IjZ8BeS|mH9!F zIr>mk^7Xq>CIV&dgVIqBR5Xsx6h4%iFZ!*LD}2J8qK~~|$e|8le*}2XX-8tvb0ThX} zKWM3Z45coHXTWa~qVJ3;S*el_RL7DyqYTtW=*4ha;0t^AviSphi8%>kGr+RLf{?Mh zj&J$a56#sHz;CZ)o0upcTD{!cD2C`y)u~RUB&XubFWBt^M%*ku^~6 zf4%ySJd)qsu8{0AGGq$3^WcmH>a%@22i)c8452+V!r>1%S4bJO4+J&f-x^3HZQd%Z zp(PG;dwEWscZ>2q$aqJ%U0gi8Q^{vUB80m|j|mu!A>a^HL`bJNmWgmn{2kKdBD8Nt`>FDAR zDkD25ij|6kX)(tkRzuuAYLvM1bUrEvatBjqJh&RfTd$`(Zv6}$6s7EBkPfJRR%n97 zP$?)CtgfJZa;(T1Ar;JtY+tzK^1~ZwTLUwVu$!QB79;va?pIc&`7mufXDE4}A)w3S zv)6;a450nFEm#=zfmig5S9oaOOywF;PBpVW_dC&p&t(l@PO#`kD92u;Z&3psP23rh zX`s058fYY+!lsSnQx25mQ;Y{*lGgE<00^kIsSqoElrF_`RJam#E@1>zssi{Q<*QKe zn}KcSlp>OjQgNpkFg1? z9;j#H;i@PMcST2|Lwz{d)ix3yqDJj{6drRUgXV(92`FasIYI-XkU)u9Z-5HfwBw{@ zRscFT~Ct((~*?4YJ8s8x^aKyY3b$YDYv?F$sYsGO-rc2VNTPMb_$HXvD zU6d^ob3Jw)WR)ovse$y8yN^<}B<)QtDxGQDVi}MBrg*%|V=Q9qREVyZb()f(p#YRb9R#{;7 zY4q3WUx$Axgx6V%B#>NU;gYM_<$&DSb&kk&sH`JxB2av#8LmyKP=D|3WN+x%FL8nJ zEitveemy*T`6+pJeIX>)3sYCLn7frxVkc-$5h>Gm9OO5&r~ z1b^Tn!=+c^OhTv=_A9krI~47o&Ihz17WYu!gBS^>zK`<&#c0OVC&+`FrlXvu5?uA%ZR3VPSuSy{->e_PJ*wx24;RTwjXrg$>-MnI#WVk&gO)mvdyhvx{#x z7d+MpKd22WM~DRq!j?^ZCHFfn87}00bjM^eZa=6gg$j5H*pT82%v;?Zmv`t`faEik zN>hMFhyxl@@_aF;5F@%hd0U*9bVOg2!Rz%~VWi~yt00h%;fsKn?= zCKzGJA}(cll?>HcqCtIn*kTlGyv*FNfN?QGgI~}r^R6q|kgpx-!ChZAL6(ni7eu1v zng$Wq9n|MG%X*wDEwcgY{ueRd5tmR}p?4g%;!0Q!V=t9kL;`4;{PJw=T+EMjVOYxb2semdOh)thOI35&si1d^^#5JK$pUlv8mghr}y%DPHr6X!rA!bT>UfE9+4vA5fJa3iAs%^ z7donie$q4-`k?r=F-9L;TJ|(yM&%6l&(%}tl6d6o93^Skv^z*+cn#$oliO+MYVR2W z_h8?MpPkyc5XJdxxL_d4ge0lW{R^FZu7e0`mDV#`@F;bKO^7;8&!Q1I6z)~CFU~_t zrJ=}2@3c-Z=Mk+SKHpw{v<6cKKFg{|dDvNO4l20av>?C~G?{vp@iI=R|Nq*7V&M%*f7u#PXv<0)6*{}GsaVy0HF0t zoENi9Ad`xhXLFQ^Rz?D!B&%HoZ>#g8vfK<@E&_gf+W|j?eEi&|2z(*{sV94q<`*=2 z35`iVpC-)@3W}a-`&MQNSXame_Qn}V)dDXa19vN1#U}tVBKocU9<0@3AmM88JUFr_ zrb0(gFuLZk*OKuvRSg4^E)+>pH;fx2-n2QgUy8kvsm0e&6NuaDVOijAzGd&s0o1{i z)h8$=afLkzLDqMDmM(BPq#w%OGl<6R+s*c(%eQqk@>zNt;iti*jq=FR`dB6rgUJ2} zO&0xK)EFX_PM|Xwl`^Zqb-V*ffq!~Y|om3q|Ser^OlHCgVaiuVPxasjQ!Ph6!M zCz_0wDkw;THowU5w*C9nee7m4(0_lrzIu4Pd+OXdt;MjI(I#Zw6ya&mW_)ZFCOlE+ zw7609KU(Zh%+fuRFgkKEoa-`ht_>3C*GlTcR7rOM#qaeT@tmhdg|u-Rt8-+9qs|cG zz>4-&6-4vw3#K9w!U;8Y7s{d0izEYE#^Vd5`G4RKEavLRqAedOj=FPq}?2?ZxsS{b>~8Qg^a(5SxkY_tFc zVz9>{0Y|YD+<3}IQNhpQ!XcHTmTi9G#O2FuCxBC|8r_~Q?B3p>+0!;V z%nXoXtfKhvA<9{#60aHl$5;5tl1`%JF@1OXlVKV+ZQl~tA~fXoA-|o*Jz4~++yjR+4@VPwyJ2# z{#!YaC-b@Xh4hJLAR&J^sb8aJg^FKtBg1+pv5|=*{5m8w*?XRZCO}zGx+>c?pf|E| z)gXrpj##zI1Uc^UDY~_yyj0fs*=N{C@OX>x!E%ZGQ#4FQ*JPxiSy2}=XKLf}2ncz+ znKh?RKn*$E>_3Sk12V#{A39?%mdJ%hZtdy_xgHll7p&EHPHP35znu+#?1!0h^yxZVobhy> zEtw&8wzO^?#cAqYmog&A&p+BiUQ=sJOGD^&7o6QuKSr{KBVWUClJ&B?+47|wg`^{H zyXk`$OMo1e<5P}_g@vEzV3;21Fc}@}a6l9B3W=&wpP=OD#_BjTR(S%HQ?UG3eX8x6zg6`(mK?1N`2N>EClufz`?7zrm$A;e8t2iw{39Bp4grCnYMIta z2{IWnHtkCq7NF34apIv-o}n<~m#YWbmi~wcE_xxP7|gqE0oILJMxER1D-vBl%HMdJVY4KFm1^v%PMn^QUU+$&}sar_BDy zl*?zoY47>l?9HFQ&GbphrSJ6olqsU!T5JveCq%rD5MW&&!1cA_cIjQ7pE6rLdzYDn z)frH>(;K%ocyBAC#(-FmYTnF3*a`t>cn4{csOlH9vkGgu7)v{~xN?Kl!yj{9ZQPfWIx`iW_WTE#quyZEGv z7!S6HmYhr29N(`P)o-J|G(XxvqXM^-qm3T8a?8=Bi*i6`D)^$kh^x;O-o4Oh5+Nb1 z(a!l*EVN3#!a7y)(0ZDC+*^2@bHCP7j;-kx&A0=s6r~)(zJhsa#-YDb;7h|@mq`HE z%~$e>LM)wufsr(W{ z(WwBghJ$9tB$HqYqudr9*U?2vs)+6Tm|<%X2QG9j1KphNPBMyIj^~iv>~RX6rabeP z97Xq1InGZ^L8xTM&1i7wdU-62`1 z9PV71#C3u|PV^+DMXihs&d)41Nb092xMtHgO?D&GHHbQn9>vgtLH1dl#g2T@hX!X( zlBvc8+;e{UZVad=2rL{>6$9v+zJ4bRZx2#n9MTNgNgTzZE1KnGAmYN4g-$oBN5elL zeXd7AN=W@51m2FFkv8Lwo-4d-V73k1bnWs~qp!amy1MGf95;jwJ&gJ_z z4Tum4vK}G!8dnX`SDY%*CWKDV8rP0e5LC^~1(nW745q!#gBZ8kdmcNy2k5YwZWIdW zPIG<%02BJ4A78H4E7(mMu2r{xl2WG4oP+B1)HGc-GV-LR5l(clGh$JKiG-7#Ooy&T z3HuD|rAA}VBq6X2nSwa3RG@+3QNQl&s52_}a^rPM<&o&f#BK$vPh;%}6|^T{fJaEg zNRCWt!0)z;GxWbxf@jE*7G4t6LV&is;*VHd*9S~d@#CUoZ^X-^)>wA;0(BT@KgDD_YNV8D}>_l=9kexixow%1Y2v{ARA>-E9is3Jki7dId zh`_d4tBV*;oG4N{APG*iUBuy5Iz9w;sD$F=&EohnZqsBns1Ouo-k61+2EO{H=yHNv zl9!6Y1s8LWu*LO?cr9n}c|yVrlK+E20V)H`9WG8hR@;8Qef-e=^Q!%FbC06?B4viV zUKL9^u=XCZt?Vk>!YOTu5S)uD@3=F--n$6B`5LHV zfqz*%K=Jp=4k~k$R>Ad%4_dq1m>ANO@0rUM>QK#N>sk`b#DrJcXXFd0x9Q>Pg!IcH zC0E|3z>aZ9NQ$HnNLMah(*jyoM0gY%} zr>za?TShiU6~8b5a^(o_dz&I8XpEj-d~`anI|D0*<^|=vTx7GwO1@~R;R2!L03#+C0Tn@ z`(6OR=qIX7kZ*PTW5MB(nw{!DDr^hkLH$iMxWwSk@+KI39ys5W5#&k+A?^MaMoo^F zKOOKz2ptesjX~R7!Cul$%D1SF=?ZWI9Seu@3dH>47X(<2`OSu0I#fO@ixZc?T0r%! zKrpw4`>}vAnnGB_BEMRC1k;a5-UadEQdI`OS-Bnm5wNCP*d6h=sEjLNg=FS2Se1C& z&5u`dDX9S5ur`^!xlY&Jzo@pTD`)6tkjlY9z)Ix@Hns$?pS1wf>-iHB#1C8wuOelL z;()vdZ>o|2K$DmNsPtV7h8LHw(1el5loN|!k4&5&c2|tlZP5xV8 z->lxw@y`Q_ZJ1sco3rCs2nC4~x&PEQ&JS*V+~2u(mb^=;9887T5nZb9-yN5a9dF<6 zJnl|dx@zYVi7`^09{+y%@2pO*>RlrZHzs1DGS60+UiHoo;4f#uPfXOE zY9GFSzrFf8K#m*~Jv59%L7bqS)7gcR09%10XLZaPmi64XPJc zt!x={%B;`8QfJ?*yE~6bP_R&vw2iRsu*OUg6QOUm)ENJR>Jwi?FnwyNNdltF5&fFY z+bv7k9>^mqe2ad=C7O@87s8y1d4R(VI1#O~gUAW&YD`|BE_(K-?p^JG3-+G%>`(U? zW+2~%eL+>>Y_;kflR)_8`Ki!-XNr>jr(eH)zrFII}3r;?I9#StI$x-PBD!vV|x8DI=F^Oi>6=^ z5xrxspRBq7%X-GBQfJ7QrTSS}86TmJit+)dHxnp$vKN>$_YEi~^CUjvu)FM7Ex)oV-27sEcf2*eVvKJm$ zcHRyII*dhG)QZSQ>2RwtqjY{W;yTD z!2?)$076jnVRN~LvldpQJ#q->1(72Fy(n+8qcjTGN9U=4B_I;ni3c@q=`jkwQp|^F z=}d0&@<3$*Xl+6dTc}RuyL+zPI6Q%P6QRExzX-Wnnz9A^+KK{(I!L4+FJ*<_qGj+0 z;ugI_z8SR%vKxYYIa(ztU9@P$sY^`DmWURV?>?1sl|s-7LO36l`?(4~5*-Aejmi1l zq00JICh5viA~?rilH=ntbZx3UOs<|Um+S2|9`=I;%q_((rOgf+DEILzf}RLvNbWb| zXT;{3H&dq)K`CL89eY;}6vk}%!N{1Im!$2LQ}15ee!qh{^6AUXKdyH^eI+dS)u)@g z`v>%9))o%AIDoeD?e6Q<1Kcf8bo8@r`)Xhx9i*QH#c}6hvm>@5Fz3_7;pl+~lq zUxC6B&jS`wD^_$$16C#}jftw}wbmgRP#{&$ydU6>Gl9cahZ~!|13a7W2pqM&*3<019y7^)sKByXAFfSOHEQu7Pyv~&(Fns?Ib zlZGviZRTPM58fH6JaTuAK5Vj#c?rFIK=&l!92&V1ifVE*9az}JJ8xrJPpbnldaxSwlo@>rto zm=eH;BkDrv44U2M?BWHZuL!~}EUAgrR+EBYyoKOCPF<%^85A!oeRIco3S!e+dPQM6 zRwAB~X-hRq9+?}(=Rz<~9%~#9hY7RNP3vbT9oB~k3CJCy&G*QQS2#{kWsjW`nq4?2 z870aAvVk5_%%&(Ux;1Z$kAHgUIMsg|?`4Lva=-zgCI}Rp!}(K+4M&^FlYm~C@^p@g zOnExT#J=cB{m8!Q`8m6D(U1at$Xda@C%!P*bnrZ<2QIVGL&BhI!@B9Bd%`whAvdK> zz(Njx+M;$b0U-Jp`D#Q0p>Xi)_TUVabB|YN^8LuiaLF|vc6#r5z^)v~4`%0sYH46k z70|^$;Jyij#aAi^E5ucurUVuiG#sShh(h^cDIY5U)whsl zM<|laAoco(@pu&q5NYB_xEC-~s{b^zq)<1^M%5?O9S==IS2D&$fTiX_2h^>Y&@An5 zW_!Jb|)CwByBX-XT1TH#ZS?$1tJ2n>i*O9w@*9Y8PkI4_!5z=?=OG&xAxPQ ztIzicQK4|)`t0E4;Q#o421A&DwKTX4Hp~a7ZiAJjK3eiI7)R)RCNa^F=JE!qf=+PEYf5EUqqIsV}x2jBpYBAOY$PCqC%pM-HF@Ej;*xL+i$Kuz8?jX_8VKOz`R zbfWWcy+Zrt3?+N+4bih9&Isir7cIKeOGBpW?OB99;8fQ?N zqw4Ai=YTeNGaR6L6P`i2_)y{$MDT^D2yu$xp6Fo0mb^K)x@N%RF1O-AiYqG5Vpde( z66wXt3T4B(JTZLHTjc%^)VODfdlOtd+E#oPK8O6S909V zxp^QDw9s}pCJMS&T(6_X6T&jQJ1%<;x2`$xtYr(j#>*0H7ftXtH0EzmirH0)fuDG} zgr;RA6WtwS?E#iqsg4%K-q(0Wv+GBKaAOrx=a&~eZvbz*=I(k1k4?o?pu}6Db|nzM zvVM+=m=9ypEnp+2UqijlwV9xCvJ_XpDhgp$879dDl|DmTH;GCQ)KlfTTd+1TV&y=3 zRN(^(CNdR9H3<-jY1{YT9uZ^sI1pY1u{26})q3y6;mI6a=pDcz8}wE*nL)=Z|A%!` zF+ZGy+oP3$;!VA`aYnz2Qn-X%*EZKBthdG#BUP(*YWO!9i-%CLcQi`6BMVCT%fVZM) zjifNRW1untD&r57*Y}by)zo8hqB*6T0}nO&NwHBKa@wv=2*j<}KAaEsHH3aCdSzSy z5zNYLg+AbCp-9y=tWT3$iz+Zr+VbwQ?4l|7N%8Q;o2f!OGZ`g-K)~U80f7@;z7i2N zE7NCE>NVxBJyG9P(CB&8W@ifGM`@$}xG5Dp@=2!|xqpK|QxyH4mnXm|=3Z_{z zl||^z;ptEjTq!7-E0Ux_@J~>c+GWfqa=PkV*DWJUaq%m9t`;2*r2>>_#Qi~mpHM-C zpNDCB?*c}JG6l~0iV;Dp^E32=gb-{Wv^EjFK}4XAH)O;)>e#}PBk)=T{u|N7RPL|O zoJJy@ZQlY|>qz4Avc3bpOivpYViPL97FTsDCBHF8*shLtP{x;!3B%+1#T^ZXGPcke zds027SPM)FhufH-o^hxzriaTJRxoD8#=#BTUt*tUA`QHQ$p+Ua=)0;?EiJdApD#$; z(QrZ#E%zYMqV7V22tT(u!PtrF2HNPL`JFk+Smme$B8qpAhf|JG^x$G2wS+x#vrWw~ z)6n+Iz_ZIVOnL*gvQr!wluz(4a>3_FU#yq7^kY*diLy|Weu$w zb|{MVmj`GBn)?S{v(56}yAo?{mxZ|TFqO~9!#KN`XC|?S?z4+IyU0F}szdvo+Ha-} zp~->+;`)@KeXCyDOm{)r+EFF;*>S0xl8IU$qPuE%3{=Hb9azW#ujoMi?kr!Op1HC@ zfx@kZlor>h$b^&m2472d-!tgnR+$nABQ*=AVpMb!JN3HXt<+ydESd(shj7N;go<3q z2gf-`p<~^l3a_qA;sdL6%ye;8s?iSBb6ad>L&R{qJX|AwWgTo<6v5;1Q(Xs+n-f=d zOn4T9vP>Uu?yf&P+SPrFFyVIU;cs>r~T*clCQq}et&b1>3REPOnCVF-SySS_WtVA^~3M&)veBS z$eNHRq=Mc8_RgpfbpKqXYe$-pYx3m4a7E4ye2%f+anq@kV{EQL zUD4A$Q!DA06+tK3njP4YjiKb9wsb7n_@vH z3gWIiXmamLgr$VJUK_A^OVC2{TAIIg~P*b;&|^2lWYZEmTnbQGKorRtUH{ zO=&k(thw}vQml@)$#c~w=(7c^^j|vFFyJCXecC`q>9M6-~^&F;i!feK&2fuv!yeelrXS*65RtdYe$$Q3hFDlbX;xg?^K;Y{xsuRpT9 z^26`nukQi4gYgHAWVJ=n6zFWgM6&!+c@*?3-UB6WUw_@KfMLm4S( zct{%vgad6*sL23tZZNU#%-}!4@+7E(AFqWU6*Dha@tle){Ix^wgx%7YW7C=C;vV0-FuL5gAc7C*~jt2B1^OEN)9MQnvfRhA<2BJ1M>u9Y0?Cse>+x&F+H|Z76ZIn~Y6I3u8NL2sE1ec~1 zE#8KX4}47@cszYWJAKY3!g59&<8V(>bCn%#h)*&Q(Pf(P9# z@$JE;;!T=0Qj*e%O%3G>T4aN4rHk z^J7GE>(~7o%2D|Oo(^-HTmY4-_A3xoyw3Yu6D(>kfg4oDD*T`}i(jdp($kY8@h;$Z zBC)CXB_n#$nTm9@MBzV-5Jy69qL@KRGEzCNiwDuDdjvh;9P1M_0}9P##J(r!@9?Ie zbcZ%mv6$Q}twp0{ka_BtYzbFH{qOhbRaX z`h;Y!5w0GMQ9L<$D%}u(Y0!b#4MEjk`ew;G*ejI4XT}z}Nn&dOOMJ)&T`nQpYFC|# zI%Dz`_Wi1A1cRzmu<5C3x(Vt8(#(i1kIO!UjZ-(+GH(Ey`Z*@Zd3>L5`H65R_=~$o zVJ)tY+-UAx*Cz#Za>3-M*NiVsg?nS2PyltgC^YLelCA{p(@nRNh5MpQTTv=Gokn)br1;1z_%0-3;X#`oKPzGQTm6&@RlY6Whe^*Cw2Ll^@GPj zikj(1lT4ya*oHwq(`hp9)i}7qLK|I$!!ZgZL(hB(7&#$MzZ%(iBwUUu7e|F{Mo9X+ zD;Rvzw}Nr;tXwQnq6O*Hs3v`i>b38nWs6MPn+^87u$*;B?T0Ap!SfAPW{)RDp=m&m zD-{NGz~8U-IekY@Y(8GE&sX@bqf1C)a~PEmwYL&;b43JIh>-!aK0%O`TRIv8{wx(m zn~>Wr(XlaeyGaJ(e*o9mTqJBay{hXUA{}|BaL+y74Sg7fqF@;I zw+ISu==7K89J}WG&@{D8qe8l`>9dCaqpaPe}`KtODMDvaqqZss--nNU)VvgN?>GFnYu^vMa_ygImE#0Rn{4}Mjhu2U6S-_L;4K?rl2$i zG=o!EWkf&1f<0fqqwURI`}O+k`>Q*&%mSwD{wscZN1UBM4gQ391OM+&nDp)L_djXq z)T~`Zj7~PcAkPDm+)LVv+PiSy8w%ecO&iI6iB=xM_QrMkvS6KgAH- zF&s^p^H3F7hL5iL>SbqP#h@@QlD9XufeMn6M?RvILFoj0fU<~)a0x`n?U4n7ZNjjw zkN$S>NWwckQJAU+raqG^oX*k*NN(k-ehXess9*BzPt4NWP|2g7-Jga#8ID-ufoo=4 z&e9e9G_;fa=$L}FI+!40rMX<}-2nIT*(Flxq|)h>rt(NUr$lTY(_F%#hqiMLlWFG% zz;;sIkfw>)C!X7ENPiLE-FgxZhUyfW$mM?LgM2@1C<=n@>93f205+eoZC7z4uEP~& zU7nca6G2L#<_2r1x-FZ+n>smB=>^3B*s88zb-2aS|2p%+gkR+Wt;Gm`ez$7^lA zjx1c(W5M^MdR4dt__Mk_#f3AK>?x=5a$&f#a2uryW4VcMHYif4Qdf%k`W;yHcwZl1 zl9RId2hU3ojUM;eUMRVU~b-RWe+4n6k(3xZfc_J3d$$1GE0}1IikGK z6%|RxD?sLQhVUh>WAvi%IE=g?2A#$vO@}C22ksz}Dq|IaRGY}Z?F&8Oo z;j3$dmG7=j(=WF(Csc0e1K&;sjHV;HRQyP)C{KG7Wxejgp!+FX_ubHs=L9KzgRvzK z6aN}o6S$J5FOrSuGr2t_)F;HkZmdEs!*FCxm^c(6i8}?8Rb>k9BCm~*mD~dE?O-M; z=p*hxF;H9ma*D3J5xG?D_5&Prwr1%{Z!?PR6kYpeiz7Z!bj28zt2m$voDa)VLq-4i z?GZ7YN)0&_rBR0v%eXll=EHwH0w~nemh~zf4R(ZO#0rZFYm~4rX09s#;u9B8h1eb< zPK#6u_qwP7bif}SgS998lf=~-SISWDGRhE1!O4Ry63&npU=lIvO{2e}V$fu=JDlvp zp*e2G&GioraD!X880Qyf_-;f=go^@a0{WmJ-QjOs#Tj-Fhd_zpuMpX-D@r{@=oTJq z)#d1*N*=yDs81dcPyzjfr0Q@nfkolscWqh21%iTxiYupX3kkzZF6+JNMcW?^_V)eB z4M3u@IrwI>tA3L0U2RNB3tP;hGdzCUYzVw$y+H$K;MA5EXQF#SUqt=kH=8~1?!2eu z_p7@Hn3W%|KOrmUBVKp#=RXg4k-s6P7`TXoxJ5ak+ptGtPDa$Fmopr*;Yb`m)7fCU zD@GK8=OE8Ad@05>7m{a|swfYhxG)L!=^60lst(JlB^*FEE{UoG{y>+_BCGzaVai0= z1R+VYE*bzrTTcX2RqI)j)fuD#Bob`$%BWHbAD`QLO4255%_XYAo4e!byA|LL;JcIW zwD<4M(QgiPR&#A=ASTK1g$691*b>EyW%ME6k?LpVsrfRx6($j+0VB=Rll95PFXycK zEKo5jiufOsyEqDj3$oxZx4<$6u2)>I35NWW^46-7K^p=K*8*C+pWxp82zV_ihN0i_>NQk`z??TL1(0cbKv{2!FyFJzp=ui`T2P zuCj#}_ioD_a%MDDQ4O`&IM z3BH^3quY5K23){|xBwQjXdiEh4+UpZ25bc`ay6A?!zS=JCh*K$t`k5FZjR#x2oAit zM?jb`+$tqX^Gi;i`COq(b$~6J-H>|{BeH~ZhzP-3NgV_ILC={d_5{hZJfvEcE9~W< z9zGgdF1KUqQ;1HO&BZgYH)U*%%9MsgLKkd2Ig$gjL#}750@i$DmNNs>&$XM32X9j5 zN|A$Vt$F0RGC@`}6k7tc4DCZ$Y)SsorOcGi02E6hB211)R(K^_W5r@$$g;Ovw$hyB z{o~D-hnw5 zIw0w!Sx^Q*B;2ZF=CU0MSO`chSWt{J*_!RXc)W)gZ-2eJdAM$Wy}AMS*{t(ma&Xtn z<%!1tGtb&+68dDO>AY5K6t3q_U%%b9?atM!_b-!v0DL>}X0Yw^^*Mu@t|lugAy}cE zc|sykuvMt0b(;6nZ5#C^YBZzgGc_;=`BbR1BViA>Nl}0bbq^3B&A{+=iFI^3HU)&(I3olLj0rTRXFW`=9$*Yw`M|K0#BRx|=6KcE+DW8Sh1N2}S z_#nIDph!$!DzXS?d%ZckJm11;VXAQ8&lmLEBpO3?Tp0u+*Bd~Qh<3s6ALDzBv+O2X zlDhjiG$h&ZU0ydyYc9V9E>JYRizDdL{0dg@Oq>U3_pn+Y&wpu;f3!{D`9R>LS;dwf zWRw3*{`vCXchS*9xT>SfgoWUVy9r+hWFc>Jby=Fefsc3PYRo1DKljW z_Q8(tR?h@iEqbR3!%GbdvnSkV?wr$<{-> zKEL|=tDBdDE0~(^@!tph_YwbHejDQ5s7<{o;?L)0{Ru-dRb z8gRZi(*V-0&Mpb5Zoy~BmxL@e*ph2B#h@%V3kN9SLG6Si!(Hi#^G=^M$w>%|@A7=J zMZH*XICUr6H$yK>3Zy6BDgzZ3)0x6YBWIZe4gQo5dQ&gAsIX6b+DQ z)&Y1c4Tx@{G?S%WhD+pwt}drF#;|1qCdsO#2le6|*wMQE+j?`Bu{EsworbYBlnkDTu}Cjsz)_s;QE8f8k5>wEb_FQn@V=YIR~%fJkL+C`KSwDXIn{< z^*o(FiXTO_PU@%PIUg%*j407UywHz7k(Mo@At0%Q9&qrUe_nlgyoL`Zzg*enVuJ25 zpPFoxMWfcz0+@jIH>_=_PB4PVNFVM)XT@yEVS!Uff{2v?XIkCQ$?6AvXCw`>W>WLR z)t&TOq3t9?)YEYc;KcdUmp=s`W*!!9mUB=u<)9BrPiyed1!Ql_^h`x7TNR z5|?IEE}v3`WX{u7@@>lVx0xP4|4q+NnXR6^%MAG=^cP{nIzC_9#Ab$XQcb)Fzrjbv zlV4s9g% z43+Af^EFvpS_~BIuN;R_f(NF&ifvKalatlz@bKhhi>#Cos=0KG zh~@bq&O`9lSI70EzNAxMyUf4RgyOgPl1@WLKOU-RAAs%{#NNQyZZXL$DB1C&!&S!*f{4D@asu0G0%n#SMlQ}zs{oo}My2C@Gm?xi|zY@JM zhDj^)yDdp(C{ru(I`>QWZSG~Tw zd;I>;UP}*+kAOIS`2N`5-2F#;b0072H-+vDP$}@Ya=1OHQh&d?$E`kmdHi_21M`Q$ z%H$H4-^Nw(UTOD@-Ib`3#ob!h!J*)yG5||$UW5?GWVh6Iy6LRWgB-D4Yv}`Nas(?D4)O&oh;cAd)bm)3 zR#f%+JnlOTEHdcuAYP$bBYRFe46>3}`QsL|gn-(`cGbRJqQx_SWCPjY^;_Az5O0dT z2+NcuJyl|ptH6d+J8{I3CW29Qh@@uFFpMRnP~odlG3;_VW|`^%fCmVtmf!^$-JKw_ z1e)#>Q(z1~I|r}u?3`&ck}Q^TwGILPY;n%i;BNR*CATv!iFfH6an?|d%N|4rgMtO= z`!9r&-~`37uM6$1R)$c_w`jPs#7}rCg2owGYhg+zr&NvVG~8U)1@F%>l~Sg{O#7Bu{vRPeK)c zn<)=Np6HH4XUM90r1Fvx`U2f8e#C@aE6(Bo(l9BJqmG&zp<4Yv)FO{#GR z<7SWWB|<~pMA90Iq`jS&VbH z51hXHJT`q7(DY2D-!Qe$&A3`>HjnhUxy*uCU~$46F0dA<(=cB5b5R?WO~zDEoIzFt zE-$ds`f!Dp_Dtk=Af^Gl{LcJ?>VG(D$S{>yhx%%$_GPX`q8hmRVkR>xtLrk-Sgp_o z-Rnqt)J*|U@)uy77=65G4+qfb1T{W?XQ**{A$8pxuekJ1>nNiVypNM-k;Gc5!7zA- zs4{#O<)l~op_1IV%L_!j$c`XP^)UMh7_V;~$#E}_UV9$sEGNQ6FBRu^4+#Nk3qEUE* zeOSU1UJZ-*gZ0HW#ouL1uA+i7^n~%Xz)hgbaU>9VEIWZcV0l&QQs%?NT-XX5?PmiB z2DMAHng)>2N>wi}u3mQY%7(E3He_M(Vo zA-8>dE6Qz4-#w9B`Q$ieR@XFHdE*IbTZcfc^?1xemdZGgpFsZ%^D9e!8W8tL{WMgX zov=43t&QV546#wEz5xz+yx9##9UTe?bT3iOFSR=fpKWg>{X#=Y*LIl_drF_Kw?|vh z0KeK0_qn%P-T)C8l`mwDS*;v~z$7t4?oeOL=Cs^9DiW+*qpRMCn@B(w?SAdO;~}f$ zkd;0B!ER6^EP+cRnkJBM1U2x>vW?p0mM-z8_BQ)ro>X+mqvC z*81rkir{#24E0X^%%uoqs|p-)gl(Rxkw({m9l(-K6`e1I(B$r+)^XMbziy8r(_*U)&e5I z%AV+%;r~>%Do>TWh!=D*JcMo`l2;b9Vz)#%<2JJ)+)jONXO8a29dJfH+~>NM85KR( zP{H(Bs!r1u_X)KJ+D@U?xF;XAeA97>ba9Ff?1a=prX|xbGrwaVjMicOpt(R%Uv!Jz zT>T`<0AjNOa#bacAwCp6z$SnXhb{}E;hn4o;R28hcaQJhK!w@YB8onlJ!BwPj49d62xtrIh99zY9Iw&gD@V_FZd)0rL!C7*jp!|~= zW(2u+zUD9Q^tGhwAzBf5p!jh)F6D;w32| zQtwD>R3C)ur*g|vAQ2W@>e7L!`l%>S5=v9VxW&8dRbr=EU{u8O5(jXuu^bX01EeZm zx8Ah&1K36-s5%1^qTt#Xg(vXHu|$2#{#T6w%s~T4$}}RU0_(}8ta{GvXc_Wf-qTM$Dt<|xCKRW(9xMKrifXp0^79Tvm8$$a!c#LD03xOGST`Ac#*|xX_hHsH4=vjoZEP_%G!jDpZWT=gO4gZPo z8fRycl9Q%!z{QnAAW6e(dYhQD1$0eN6_)L`1|GX@ zU(XeV_QzaLNH&6H3zhqKa&d*0bL{SGSh*sG;^!pU%e7ClDPnWj~@rrtC*_$P^+v46G~4rIq3G z!f|rwSSvG_w#bd96QKEU_wA3jUqV1mGBHYxT(D(fOcyv3cdg(p?m@}Bie<+)Sv*C8 zJxNCe(MlAl?^>1IN9?N|4K}q=$23xfH7|yfa5m7I1Pogaa45Q(o?L@uQlVg%#H^;v z-g)|pA~GN?RtIkoUIeCc0Qn%Ut4uKnR!?_GNGeYnEleUsJ%9G_^&^6jY)tp@?azOP zm`^w?4DG;Gm=M2P{_QFH^rss{>9zHukYV|dE`%knR)3zeia@oaY5w$FVK1A+}oou)QYuuxoA!l z^*Qgv0n_x0L>8N-9!H0mseYt-?@gC3t$>0s@F-I}%EiJT(N@gGR zPf}6M!6t;HSt=3h$$7-+PWzHc20s z5;Y5pXlx(g<;V+>d{Dz2$ZBo}raN4T=T%u@TE;YRknT`{gA^ty2MOzClqQKpti7G} zVc0WE-!r$iQ58v)*<@qO6Os=j3s{)+L?N!Gzp$z~*HFUqnd;%j2aZ{iiNB9-%ZqbZJe!^OuBL_)cWl(E+EG$aRW}PhJjxR-P8l|?Fjm;P(k$IN z#Fwv5&`{mq0*IzdAZd;n(?}sHAMd|CmY^!U?syfit;w}wP|?dr@K140II1bPK03pE zGC%5S26z-@56l7TSU$_hLd^7fG(d+R0Ce7b5(f8paQ{s*R6Qo(*PG8W(srouUI4Oi z8No9obab>pU#t{q)*Vcq0RA^mt`dP#4G!UdGd5(YAM~Sx2_-~Vo}jmF8oJs+4=N1M z2P}jMt8oVl=1G#HhzFzVrC76dgnl7>nGg(9;|>w6cnE}Zl2yU%mc>cCrYIX~w9a;* z)KpfGP1$&XTKi@y#m4unN4*upM&D5~Yy`iCsvWzl9cujJcNFwjCa1~&3j?Jh0L=wa zcn@O^L18BYQKdbfAS9gI?NBV3UJs^L9h$aKIebcmO{?PT0Ojh)F1YIS_-{9G)mjQ% z3ep|cP;&!wRox_Ja)$Nqol)3<{<*pb9d((6oYS_7@Q`DfOdt zm||6vgIgR|$_V6+I`#&O)C>|fnqS~gqXz_g@0NhX6}fb zQx6EM%txHV*QhINWkn%q3-vu=9fhS6dwSH)wP5e06bPiW3}$w@jcd^}p|l=-Q*}*( zikT(dr64V8L(}14au_9PmRCFUyxOU`zC|LyQ4yY2J>q`3@Qkeq`eX_yn|Cs2fLnQZ zc8QO?hIR;#h*h_i)@x$5C@0Bg2&wZ$(6tmzOGPpa_auL9N5c)^`Q+)8)&ZKwup|4H zq5wV*C2*Z25Ah$t5lx^X>hIj-TyznJxJY>?oS zYH-kLJ>S z@6)$fifjM4^V8m0@-7KioI4xnaK-u4uo-j&V}9xN)!(BvV=mWvDaByXb{5s>P9==% zh$1U4>3E>{Fm)%N$00nUCmD2&+#K})))EP!BLSsJPeYGUbt=J?24oLXcLp)COybw* zF-nW&f#qb#r5tKGdI<@B3K2EDv3~O?)SgEiUs{wIJfbs@M?Dtp@x&^~co}O1v<|2m zAm!5;SGGFrWS_b4LtE4*h2J3pNim6Uxdu}sdx2dkst-V1%VUyrN%KMVBpi9CN5{Pb zJ%}_Q*RGT$mgfk;@_RBL5~AnUzgzWw>4d)EH$fOORvXnD`%BatLF1uDFscKKs~#?C z4mX1z2Cyl++6^qnGBwFlvax1*(9ud7NML?Tm+}u zFbi)j)`Ht-o%l56CI=^9!9;^I)KS^3wA8)nn5F`+(WqlA5kSh5$v>URnH2^FxI7u zVTB;c3d##9K9BAcCUGaoSFI@LV)b|I{V9%He7!0Ig#i%b@7Nw_zi4Y*&vj5Bug{TS zpIgd2_nmQNhD=yt;xJSUuMWYpU@i~YT|eR;WA2QH%BSkA%xvzPNJR0&26Y9z)!`-7|GM%ry( zZ4(kFK6Rzii(+kxg4D-HMbliLcR@J9%d-i(&JKfHoS!2>1g*|dE|*L7a(i)pagF@t zCnos8FHDo=#|~RSOv?JT_pCiEpJCp?;$*vm=#)qyo#hxnMU(5ejBQg0Uis*E3yYo3 zl6$9%rGX-5EXnO-FH&+XO9UI3v@?ahK-@aX2@DeKRgx&}Em5=qmHs%wV!zi{Vud22-IoPgfh(<&G{k2_$Dp8){adzfPsIYD}#ptO&MV zWk_0*C`T(Avx2c!Ia(^>7*WB1CBzZy)DKZ;w%JguFOn-mD}+3f!I=NsiYO0z_CL50c1v%JtWt8M;qmM=Xa` zup)fqmNQ}*=KpWfdamyWGk3w}L+{%m=T)P{e6kwU|_Q^*5K zyAP=~*tIs)%txHOI-8w)6MD2eS&gKcyJSsCj{%_ug*T<i+P4oHT)8i+F(G2AG zz&7Y)O-h|X2)do1U5W8mY#`blDxBrQBt6TvHXid3{mj_hoK{>Q3>gV4Cv|WnxF{|G zVuY=5w)puFUX(i2KtWlJ4aiF1W&6(B;c&G$$0^!((qzu4esT)BcUr1;>AW5eFJGS_ zvqO`)duN~}{nK+a_SC~ZqB2Zz0T9?C)XL}3y>lIrsMD7 zXnXY%@~Zg+aLp(9*?cm3dd}0d%Ft)O@*)qzbCc#bNIs2r?_rylf`W^piERExzX(k$ zxLPi@Xfh;r-6*-dM$}*2@K7G1l}6Y`R3uXWXSG<+(+Wh_(Phn$Zu@#k-MWLX-*Yw zS$`m=gYUaW*E(Hvc#0&u+}6xiGV4_hX~wh z#7Z_w(RhVz(fTIb7K>4ztu`pwt<>DUtZU|9k5ndi7%WZ~$UZXr-|%p~)8r|6YkXV{ z&QN{h{kwnOzyDK#&I)R7(1!Z{ZSx0;7&e;BhadpGEXNxKHfT}pm}-h(W!4c2&T4i^ zfz@K^5q6x5n2$81oD`;qhfvaBnwtw0(`Mp}rN6*$vDhB(l3YD`AvT_N8q6(d8PR3r z3fQx(P&tV}LM8&YFY9EDvJU|*U{LA?=(GX)+|l6`Urg|`&N9&(B==(o24zJIN_4J7 z#9SK!Q4gO6hG9;OIZI#}U+w{Di7F@bvqAyOq{NqF3Io~MxX{K|Y&KH3AIgx8-@FP>47mf=~3l7Wi{bO_Y{@t%1=_h^h5h+@O?b`dV1^ zR|W^ThW8p!yaw-9q1{nu%+3vV3oAl^1SmM{PYm?cOrZ!canAWAi^kx%MxXxTTjr6JHa*H z6bi3TO%@O-ObQqO9G(PN-JGOZMrbvp=3-oe{dNY5bNV}ymiY9qw@dsAFV$|r{tJpF zO^U>+?Bi7Hcu15JbQs10bTS`nu9eQ<1N+{7lU&2=!m?$CwCY2zzO}kGINbvun66&{ZjMXmr&WI?YPL^Uu zP;3Ilna+=yUi&ZK0<_2zjgTd1nX3{C#4TJzvi_Zhe(Ptyc552DF~Z~P#NUk&?IdG# z_xW8&>-9i#uwR5h*Qt=V?#*A z{N*jOSkVzk`Z+ZE7jRsd2@&rYs%ZxtNDS3VKy+gMPBEr@&$*a=pLf&9^*Ff3Ht$2C@SiN+?l zIbyo{kExuV&{Uoz1jz$sv?PZO0ysNt*_iGhsvEHs{9|L?X%Q1nA=^%wD0<2_&=xHk z!3JXttkvW@VS1XL6YMCK)S?h2tEjgR5 zp21z$$1r{wxfgoS+aoaHN<|g%jvl}a{*1#ggB12^jK+>1M zS{#Sh=?)d%s4z)isG4lvx@4dn>Rh9dVu6GSaZb| z2p}VmRt{kZhnEnkMDI+Y_)^jhkE8YyN%q?s>A~qMw1)HpB*YAtWQe6u`#?qN_V%)b zqVp#$xd0G>iRyXJ1Xo9T?-R&ZR)X?b`5_;EP{mS$rTe2gwb3{6QO>W75uRQwq(U07 zJESb9MUO2PD|0F_Qib=MNzu1(RI_>kmi*XH)^j0iuD|2~qX@gBwF7Eoar%1k(+hFW5|mE9GvA2&=3)rz~2vL|P3 zD+V+#3gV*Y9lU7RE##qAsA^$~cOB5GNEQ%4y&F!Dg%04h)-{TfVe{uy{)N{{^GD zU2<1w_2^JpW6?5HGHl>D!FsgHw9OQT5dKA(wu(jCxYrGKZg&;3m%hN@zkfTB+$FV- zP{W1_KfnPj1<^G*)ssnmNJ?OytRcGJe0q=4DDTjw_U--S{hLSrrrkF1rMvUfSCVCn z?M-yD!%kwK>tu&@Q0;nkvO}Qo67^FMDT#m6HijRsW+RYUmi**^#>F)Xi2UR9G9mip zp6yw>6)E3dYX1_c;cJWmWE!L^1pNH0xd5^n6=jq+%$~i6-@keM)Sv~4*Vu7soHzo6 z8P(6;y#L<^Us+EN|NMUg^j)@2%Xa;Lpf0egG^tHARE}m3#1I5vmPuYW$t?LZirL6^ ztmWh7q6&#E;Ns~ytZ)u-x`kAbOCdj_Y7d{dzf?Gf{k0O>Q*@!7k1d6pL0N>Yu|sv~ zD^wznN``Dl%i9sl;d3{d2ROGPXYkTE(DLX;jO?y&iaw3;rQrkt7xZ35MS$QBnCKR` zJ`883YX^LV^s?T{Gx0@W0N(F%V+b3-N_!|3G6(qb>S8-cR8naN2p5JpBwQy=FSeD^ zWK5sa)i!p(1gA>7ET^LTe0wwF^RiQ=3sXa0OAO4EMJUW^{l;_#K1{o1^NK)mIrK!y#$r6POsPOJ!x{qJm&D|1+1{&)vbp0XoMY-0x97U z`GkAs$%7UugFkX$u(kU8Pu?##u9IN>*R z!t+ydbXRn26m=u97{_R`$G+3Uo^p*dlxwtuo2r%6Ez7oXdzLJD4AEv-TPi@bwE-3N zpJ5RTV})fb&C-QTxs7L);^*$_B9%saX6Y{TWIB;p2s&YDg;D(&L%jg%j+>)bON~B_ zTX9&AV?&3i@P8B{p6pnKy40;?$Id{T7qvbJEH&iw;cRfo=1Xdbwfw14!dxu0)_@A9l5g0nP|YC566I%O>8GSF)Drx=i?n@Hl(w)Vf$!usLqSBMkWCOYA0HO_ zSWV&n66-=cN+4B#jqGWZCZxOvF?Gje!6G9OZnwMMsbY>w2wEAi;CYjVG4Ne;%u~ABiKPe0_YW0vQc@!k^$+$ zK`NwtvpIP4{_e|{7id)ZPXcGcjP&|5Gn_Z+drzInZ_QD>W%qIu1yM{3VviSkJjO48S9jrgf7d{T}jwMkPptt_Ud!sOTdF74TRvLUBd zCu_K(0F==t;`WA-zt_g!=};>0c5LDXIz+;^YzIT~SCHv@<`jLmbVG}gpUGwX-nykPpZ1p65G2XN&EOg=z8!ZrP37E=oK zGTiDY2I8Y5usG~g)q4*iuSA|m5Mtu7ce<}#v2{4!`TFt#tASiY**Ki-9*_yM{&ayV zVJ(0>FqOQD^>d)xyBS)*Pozqpsy;r+cZcluYP&e!9l=aW6M|2F3bvX!b5(LA=`wLW zd}EnHPgOb3ek;#YvUXFLgk%wMwJhm%OssXx4y^{nI@ ztfysuTu(jkjP!yNujJTM4Xhq#)xbisZ(u>r8^TCoZ@P1IU;Ozyu}-b})bsoyTuN?* zQvfdus+=GYjbFoK6~+n=R+^=c<}x*pH<3V4zS#%GFK`UkN|R>edSA@M2cWTNf%SwZ z3=V>1u<(e*Y5I&|NDd9#YdNFza`Rz8&!JXZ{pJ4d@$17qeR)oRqv#e(9Kn$cYmGnY z7p}ZX`|2h6`e*oEu`EC;`LYPNp~G1mXdw5*!0Vmk?9{oxsVk#uM1P>Kstpl*a2VF?d00T>#zE48Z481K!qg^>OygkKkpp=!$&)mcm)ID|b2(dz}l%CG2 z1{f#>XQkI&UFdvD);QHQ{mo*;I0Sg0X;QkFZ)K=mSN)YW79emhfE^Bsm;EV9gYqD- ztH#6u_8DCmtTjQR$13B5y;d0~Xp`RlJB*+Dit$rlVf}>rzrxZy_Dp!{E7Z2c2}IoD zm}&@=4X?`=ghqO?z*e$hWhXq+S#TRJFiJ7Rbv}Lkb-*AbdxL#N+3LH;`(HmjyhB}n zekjm$4oN&(!)^w1Klu3KGvhp;(dXcow_gNy`yT+@{_FYC8g#q+PwHotuz>^OaQ~ly z4bTUqEe6abJD0DM0PqUlSmd!JjE+x|4z*8J;xf+96;2dXTEiyHj!}kphjJ9J;1$vs z#Ii~+=6=6yj8E(Ks6FvWOF2A+oOwL3>QD@b(J2_VuWp(H16O;RnP&V%{h(#vDj}b*g3t5y~LF3m$ zEFh*Kh`766o?mPkOGjNZ81};-h5J>T9}Xw$qqtwg42lM)q-|OIdTZBO!vW;KZV23B zooz;HfK!`Ec`A5PGHBL#3yf(7a!LY3!b{>&Zi39wG3BE>=wjDTVWgU0eLs0m5F zg?l93ROyT>aVI3t`Z=j=wv!;2b#lBHi7q||0G~dDTF*B!$g_1ZmDibKPjK{MnV9XS znuL^0XT0PHCYHjcDbI11)ML3_brgZsvxoaP_wRm3&IjE-Jla_&737RT(QJ23LGBFt zqlEHuxuWPV6ccxiwE3-Ieo%*^w zTCEWxMD_5$)IKflrVQ+MiQh`(!)aFL=-U4(+O0W zmVd-SlGLxTX$;+MxQ8Orq#W*!Z4QkpU}_Xu>&US;IcpsSLz*~QG$O)=o&YuokPFQd zIcIR2Cj7#+`PhygL1qOvSRofpPCS&)9){;5vq;i7_*ZXYhje%o(hlh)Bdi>n4I?dU1zlIUj1{yE*PV zS|3q_h}s$o&YVFEvmt_cxL7C=IWYJRMMbbE%I9r*4G@J6~;38IHlX`?rx12h+x2jii58O>{QEl-j@@^2-I@(3(J6 zZ1oNg+M#vyUDUJiFiA};Mgf4tr);W6oXK(q|*1;B1%3rF}RP@s`f z3HKap9j(jVKj0N{p-x%V;&s=%EqH0zy5eYI2g~zxBQ-8E%(5a!(y7m2M6RcGqb)sX zBEFY9OoS4t+fh7^>JS<5YKkjMrS0%}8culsNPAlTeY+w1JBIe5fI~t+H22`ky_aq@8gzrMbExc}1Je|z)(>lfHf2S5J!BMlldspjtE z$4~Ia+#{FXhUNMf|J+-Mf|~_|(lJU0gUv)6FHlloHp5vsK0KidQM9g|GR7ngQ4rZ$ zUiA6xN&wRM+rt?QXay;-Lt`X2Ve^Z+Ey3MV=bgkS} zm3GwBtiaAWwJ)g^o}-Qzi;&_?Vu+NahLzVSpLaA^{nQicOC}T;ec!!=fOBYCZxzew zcT};QBK8oMUBoatHm}zR;TXO&_~3^tf!6T-@!B+@=sjhWv1u*_zkhmv_xSGpebik@ zAhD38aG2o4iE7+#w@&k(I%*!r_0*Dz75_!@mS=RK%X+j z_@jpRfa|wn*fBn1J`~$-j@I#ZnYJDMU46f< zS)7|YjO7UBl{^xynJygBCl{1G6d%}~pnEt>nzQBh>f*Ixx;6)&A0H&TfFD2nJ4(v@ z@gqdw{l~{2n-4!fJbwD)4O>Y+-YX!t0wNdHcfFeLFuFmHHZWm{Kw52ABINKB*33`* zJ2*Wkz|BQvy&2>qmIt|nXHYC%Y$DviM$)R>C@JEVMC#;$w zp^HL(>cUkj+*}~7kB@^A5iWHX)d*`R<{Wh**2oIU7OjC?>UKT|?@u}5C})QcASgU& zG1jroDug5mz0R25weab1*F?0K$9sr6A?EdtJY0ItLv#XMA9#4oyscI>wR(P!l7xg! zCF@O8tf(l4?W*UA3FupuU2JVrI>wmP?qa(}k{ZF_?kIGBVCE)_J##Xr_Sk*elsxb* zK=1_3u|ml;@@BAR5PX8#G?x`ThC+VeTHuVW+(1^ASGH9e(-V-X_;%Bl`eK@AvYg%q z-7{LLz$Q2H4w&*(gb{0+tmV+*dtFf0)JXZ%4vXJ$KIjz*th9N zo=}z`K{jaeS0$F6CEqc94H08iGko5dkMTr9j$lHw+j|i{XuF4^D6z!W-b@ z`to!JLLUmm)*dKOSZ9iomG7OD6+Btra#>f*(2m>M8S+IheO8ve6TJ0S$TRsU(zVGl zoS&ummNcbsxq)JUEL#M07`goN$K7WnUw;$pK*BC)Z(T~o9(i286(tJ6!&Irz;tH}o zaI|I0CSDJbKn4qeG5~M(T&uvHYZT8+P({W*fkI^on#390KS>W9*U^ye+VnR++nr%q zV=V^s53UTV0ohtY)j*2qo5zRuYSz#>#nLJ0&`bg0jI3CBQ$6ki^)}UzNfM|RC;vDu zd*;Aw`*|whS9cosyOY1ipG=1`BX}n(G@&`!Z6UiE{!mtD-DpbVvL0L|*Nl*WDzCtz z44G%;1WmsqcCS4Y*dL3*QA@I-a6oF@xUW;HyugIKTrNeK(x4YKz>#TS)g-IlGeHt; zOboH!?}35<>6aUH z27W+KH~XMH;5?}?tjEQaryIESLn00${wQPt8BtfvLJ&*8-~&X*rKFoCOZFQdo-faE}bL>2HbCrN5WrL zW4D|DU}q&Y_my@ATK8;^gNH57cC#U(g?(U4j_xmw%NhGi83C<@SAcATyGI3&_8|=%u5(=AC8sr zP`DT+S3Kb%e96&ru8ZhCA}_1LheH8&D9nJEh_HBxI_L7t724dHqmpF73GU$QT(<{f zL~i`I+grFC`pz^bNKmfd%Pv!9dl3mTWr`^RE`U&%NAFtXJa2T-wB)*pSe)rw@| z%$0)D3=oJa#VxOi8`kQw5U+37ed22q&NnOWIT3LoO)HbjIytlO!=Y3FTCn5xO)A)Q zd#CdSA&b;NQ4c7-RV#PvEp{&=QS^APJ*8-Y^38^hq_1njyg8F@f zd{4Y~T10^z1>hxSUjf?d=gT8;4h?J28ydrTGxVUV*0G)=TwSKCW*2i&y-3G+Hc$)G zfaFsI_Fip%%6zSOgw+ZpcJKwp=i|fIH>ft+{Dw*jqLQa*sZkGFO0PQ=QjyO;r8Fp> zd5?-f`wT6v4ZWsDX*NMV2sPuhu5NE{_hj#5!ls(+&3KS0)2FA*o}RKFO@%KyuAcm& z4qP-Gp88ywt zP%A^{g_yF7EwW9=GUuZlDatVAVS7$$oTLXe)7Ly`6`noBM4_?q(J5&(fFK-LxOMVn zrD6S=BKHa9C;;`c$jr+HE7~ILDe;{NYcH#7a8za1G;9)N+ma25)dl&;7eO`yD$)eT zt?|U3Npf<~B%7!icXhEo7TFUBwA)Hd*$lNAf`BNF79>M?o{EGl{W54$&>6rHUxUrSiqNEx1Th^b zO*+sfdmolLpP}2II@&_?Zu!$yp_)APN8Cch)77mimsKvk(wqqS9j^mpR4h9b6bMnA ziB~-}bdLc_VHH0_c=UwW;5mgDpk=1|w2 zkWuid#kdh200&}(JXdh0{PAK?*JGfgwn+cnU5RdH>Ia;cn~P+#63s-0^i`xw0E4jS z*Jpsi%ZU=LLFM)ykjh*@Dxo42d=gN7Y**CNU5C1une9|po@t)hPPpvBFy|>rVcF^LX6ms>$fmLA! zRqz@J0zP2|3Di-T)i2iw8KZ+!7CB|@o5PedqYVfcvz!<%I!cV_4|k!p9qT{_z_C6W zCwSY_&C%6jjfO%&-E{k42}@PR_?So}fagrUi5UgAA~Kl0A!-yP!sg~mC>dZJ)Dl9U zV);>7P4v-q9e%^1;fYAR#sG?j`XcFN{bC-!88%^*c_$f(7O_>Q<-T}|$Y=qog&(yI zs-2<%233Lu;L)$8RJo_Z+$>HlA_|7AP5`4>bM1_R-#}#X>CNfp9L}l;txqodV=u3d zvAdugmu4M@h7ZILR3ERIqVJZ`U`Tt(NN^JQm0p)4bugHOv+d|`)ed;V!J-u)p46GO z>@FlHek%kW%tbF=5?dhpJ~t-`{m_Gwjp=&id%p2JE?%}KT+JGuh9Gm>0|jy0nLJn87uFoW1t&f?FYE;xO8Fjju1E6m6?2iK5Pi`}jd51WSd*t~G2T;~5pQa+ znr^|XMPt`|tNCQ;^*IVK63>C7nD^%OL2Imz zR^sLhUN)=@V>MS7$H!UIAPFRRY7!VX>wBw6sTJD{)7VQ#C-|2!o2_G&J0^F9u%tVk zSaPZDbPA`pJy1Bkohf>H$-+g>tRxSv12;l)#CSd~*hg}{91a-erbS>4fG2@g=RNi{ zfohG{4?7iCUT+td+$jjW$j+--M;CC7W;S{=&!mHIn{eLnE;t1ro@2(lCdbSm@q*BAhX&BOaixZH4G_fQ9@)_m4$kF0xgUm?8Iv3j9DHNVm1WVTLUeNvHd|+ zE1Z?0k_P!L7DSNhR79_kXxH9!#Xv*NIz0^=v1d+UFZRvW?I4R-+-S-t6nPwz@KxQR z!Z#Eq>5cUa5{{rJV5q-;Nu0wG_Kg6bym6%628?R)B;yQ805TPh$a;*V`+` zv=T+aSwKEPiBF7oAXAWh22B2Tu>+lDi4G82v*DZ=S#iTTtF*2gI19TA;7td$Vj+y? zuh4+z#*oGUI?F3NuiG?mKX)#bd7WiCIH-W=Rs0vIq;LIBgBe;?XfF} zEbydLTp1i5IdChGQd=MK5r6RZIKN4(MGJcYH-=|#w?;%uvWGXHJ~Y3wAtrkZH6*y^ z_dBf#3Dyk6>j7Jqy|{b(7TISAEnt6m0aZBsl5@5y=yH%>;xJ60L_t>&_*~4iu%ZFg zP^$*Kc>Ci1#V;>@eev6icQ5|SYY51w)DVEb<;CFs#o(70gI`|^etR)^_hRs0d{gA_ z5UvkZO)Pb7X04Ivk^to4?X;2w^Q#=uw+0u@$pli;IIP#qq>7mVyY-}lW&u;6!Q3>P z((339u=23{|^ zvvJYeFaX7gvmT&BXJX>=l;K@P@$$?v7IoQa*B52rc$VaiRDIqLGRo)ZqC~f*HACvj z0-H+~SV9fvK3*clpD>Ff+8x4P83MZC%bOc5USv3twCK4NH4ZTPL+)D5J-mV z&8QgDsFwIiFynIcZZ|9TK)Cht41>seFZ->f4;r@ll})_CB(T$%!IH^5lPEY z5XE8v2SuwpkgsxQJih6nzf$JtLb6lwO%DSqs#UaNfvA?q&gF2LI!^(iH#abRYhJ_! zd0A`bB@MrgMnj}m@uzP9SpklmS6TD)8BDVsJm-sJ zgeED_rm>W(NO`9FontUfc$ddt4ChKv=VvDa1RN=iV+R@VZJ6%Y8*wb-jVWenO0%v# zen(k*5SO7Z#lR0Q+PYqN$@UC=!Im1j+=0ZqYUq+^z8Ux&LM}luY1T3dB|y@xyRyY_xc3!75cPY z|7SLk6G}_^(^(T!kqU#dIgsR~&kGrO+BDXiYN|P8)&LiR%feVZKfXfcxs=$HmV25) zfZW&=!dFJ()8bO2nG|CY#c^FXQmRlI`X8!URWzKC9Pn}TWDeH8pNVM|7MX@Tsn@wZmd_+bZ|&^=nmMD5$X1l zsJk<5RA-tI{U|D_hm*kwUJ|8hMuQTLES3qL3^s)yFE@fD$pmI{vPXSWben{gdI^yu zmJorsu*!Jt%f3$C&&V>7xCmk7=|f(G9g@hxM<Hxt`s9qtPx!I`5tj{(W%p^K&+D zWS!0bA%Aon8l)xVUlfN4pYxnkvfcI7@hM~{UkeLkt2l~@jzCqxv5@NwUi<2X=^*+I zJf(aSPQ-=_s=Dwp@X3w%G711elk}EDnW=l@*rqd!5szy(5=^8<7rus}P@q%R7`tj> zkEJB9ypxH>K(!#7*p~4?wuf|+wWQ*_?JIdcxEuV-zx<5<-r&Et`0pP7{eu5~#ecuK z*AJxVn)}3^Hegq%z@O@V3VxTM5O5S{DB4VL#NzboCtFy`6%_D?d6}FKbURswT7k|@ zk_>(mqKFU?qgr1os`T{ zdhvBGc8;l0itvdE&M11P$*!4|Sk35~o@RWoVu*!-NxI6Uu4h~1*0Ia3g?)6nkIxsW z7dd&=IBtgmOgcfoz@v>FLMhlYlp8;nZ^t(43dEpjaLP+*QH9p0Xy+k7M5y_ytVA>^ z;oy_tW<>Q`dcu zZP`Y)&aJDu#&5npJfP@|EsB@BqxZ;4t!Q*Y(Ti6rYeY@ACe5rMr6Ru?4F%b(n~J>0 z4T7#0+udO1z1>E`)J20@8dElS`}51yJ5+~5R?Eo}1kGepVZ@F+ER4&EcD^WOwJ%Q5 zt`_Z6#QaZ{TabJ<=G>zAX}PcS5tJPg>-i-R%?(7INo+Z1(9ssvo^pa5t@d|j&?$RC z_cCPyq)vbSlTLa1F8fhKau=o%SjnLwIxr29eSOyKPB)uN7?}W`FU<3hKurkfDQk7;t4%XYav!<)a_T!T}9 zi}B2S&n#b@p1HD0rl_GMATrU`*_Uf6#1+3>DRxXXR#mk&2F?w7s-dC-vIH7zxQP7s zQev%9Jt$ZpwHYSjOfaJ%tWi|PtCgUdbt8~IBe}`!nLR$uaG$KNoa0qf^}|M8paNKm z`rAQ9BB5D}GFa}9Es@qjbmLoj)5>!mZBXwBIfgr4fB88?$R^lc)_H(L2@){%uDjZw zay67sh2l@z0pWv%tmr|@^a;e>>iLW`BNi(dC20njX01@klE^&+P8pryupOf1z%GGx z(*9aPtHy_n_{qoJbwI!`?GgOrg)u8aIA~j`Ss<1CPq8=vzPQ}f@&cDsCJ=!dYi{xI z+Y%p?SQz^8qB-ctyASuQ{)r^vEwCRf^(?3Obb-clY$ADth?ZE%Az z#Cmagb#lg1;V(~)U*c7>&JCYFKfe3$?tkAO{N>AE*tj8blkgghE#ww-|0I1V4;5AA z07_L3pj1f!99y!R@9I#W57 zIu!)$MLNe>kva*<`rGz(rs(^k$2p#Ni`56Mz^Do2#4;@`K}6DG2^(KH;FGAJ=)Xa6 zERGhm$MQVB3ZsOpvmKJujv>-m%L%9a0G0gy^8POa{51bbY=A-SJI3dMqNqZ5213&R z4`K%}?B#ziB#xlJOYA^hI%t-(an?mSYW+FU3|7{bn)lJ}%s8F>#>ax1twpT+MxB%N z+(GV6J+lV%OZ!#mCIK(VBCAfmfwLv7ek=tE$N=>t>S)$y4D+MXIMg!T8Bb!(3|{cY z4O1L6BNB&P_mfe3hAtIFy&^4)fom>ut^(ko2YShL19AYWKj`}86QI&}rYMz&RLGO3 z*p1a*AUgGt$na8<9Plv<*e4dEn@HUD^m5$!4gm3c&ZpQh$?@dv^;k+(KQBQBw{zc! zlTK+|=q7tnp%hwur~$!8hj&(GBRqFJrGk=(qAJn_bU!BBb8>26#zN%4lfYG!`~4u} zCe=cd^2d(7m7WHuVY)`%N?)Y`4nto@VQu|Nmvh8KkVUt@h$mGuwIUI)zFyYet39M! zmo1Mjz4)<|FkoWRt8c0sZH>r~3}zseRp}*5om6=rBr#FJkdkJO44m}i-aPbTGxSNx z0IJax0(25?750;sGfL8={(1kp&K$j+si0zDa%o5nQVIAPA3%o{v>jq%$}hikHXSEQ z$^y$Su#&!!3AYy5I5rlSDy2TuOP)KV8majq%o|x_%+u*Rei+X81>9vlhC=4lMO6;M?HG>U=)1dKxZn?1fGqx!bPJttmT+|K3!BU%S zl%SykVmG^(KN#A^^1)y-z=~5(uw?2SalJz(3;aQ9R(4Qzo)jo*es@%#4$9pLLL*lf zXQ=X^`O^nK=TRhkhl7B7a9)yvg#gjtgrXr3f?n^v(}EGn5K~S6fe@ zAuo@#@-i0HEVxls3geB2A-gCG6FX^l z1r$~yNrsZNCuT!|9)-lDcKTusrR4<04Un=Xx-#*@($_Vuw*{#mwFl@Akv6?HpJ-V5 z$>^D6G@=Ve#Z^1Cp}Z|YowAJSRVTLgSRge|jk;M*<+XsrYR;R}6GFv+j&f21o4G!{ zOq4X4Jj1eHo?RUPfC^MQ|MhoMZBfoH18M@JP35+p&eANUQGI*Gz`IpC2;!iPl8<~~ z$*^!}1ceJf=|14gE zSDRI1+Eq^dhV#}xp_l0|mHz_3m0m%C82trhI`o$boV#u{BRC{+pQKKsnwn_$>glVJ zZjl%S@L9U5fgYnx*IGhw={6Hrap1XQjPV3|l3nEARbMnG+vx*U zuyIJurSe-NnDpwJs3xIUQ^jIUJEy&1kw@{28^My%TI^-s{-U%rkF$Fb%`hoW#FITdgvTRRnibrZ8EyRN6vRZeFOz#JeL(=>lj9ILjA48Pxz zP3#As#=LOm*NYR$6PK3-jt-SdA3uHka?nWN@n>tEfxqC?xnN~g7FCTwx>U9Ez+J5m zrIbC);7~)JVe>UsV;2Bop+x~u!6PIMc<$aeKY#s&unx~Ux;%qy2`ay)YXWNLEusq} z`YbB=VWA`1PD6e5(qjvS5&cN4A2OA%w?b2R7=;VPv>A8L2-f>d95=GJhOJZcMkD^l zSzsGYQGXgxOeIP9pDwkQ+PD)J$bvyJ9m?bjiMLuYIv}fLP3Yy>n&#(EpWZhpKzrZ( za`*nreRKD4-@Lv51&wRoB4|sAK^S;)Dj0UK8^cRPod7phjqeh5;wc4>7cg(&^Tl@c z3^-jEhJ~(4KD?FW?a=XXeFYkg5NP960HoZwmduZ(mvwi= zOnst%n|E&uTo>^(VhW;2Kdb?2t)2wG$yUHVm*`Pa4gR*DO_SjCtb0<+%9|SR?vRBIWG#QWfAcI4 z5ey_ifE;@`5jVG&2mA@w;PXL<5t!T-Sc@ocH~^A>&9Dv#UHk-xB$|vPtnre5mM(|oOGB_y8x-|goE}^Qt4-Ew z5NOPl3WwZF%W9#xr6XyP%B1B)(K0)))9%cv`gp`2F({&5^<_B+0t9 znEC`U{Te)|@JU(G2I?X@cUuq(p{CJ%v|bB`c&R;)UZVP^?LCEqa+s{uwtF93+L-oz za-q3q;(I8K`CjKT$8~pgN!j-a>oZtJaCaM{bF>ECfOu2$cPCtsu4?*YA+*lfbLi{G zcb`5ET8OyMDD{4i>L_>b(M0h6?LkFYoE&i+9RM4`UMJUjv{}sNCxlBl2VPX6S0`3e zI?lKqIF#=J0xPM&f(DS8qbSSohZrO(1Wd3mYAv9VdU3WFpG{!N=sV_&IVZPO-9G|Y zYUYptfYd<85G+eRI%R{b{sip;v6op{kuM)S&b5{aeT_^qW)bVD)lweom;Hhb(7D&~zEt@E1m_ zFED=M3uE;IVcU3PoUN-9$S$f1?m5q!V(HmCzhZ_MD$MUL69uC)CI=hKFAr(f8g~P0 z4#AZ6*9q0(-hF(`v@V7%KoM;8N`rz-wOeN>8}Kh(U0nXOg?Hwv+o*zefyr>PpSfeT z=umSnsVW9iACx0x{zo_--xq`Ax zn11*J@O*pOVKC$XpjoWNUEze5rvuaz*y6oI&h`rvgnK(}lt5)fa_t}YyZ6qL?;wjZ zLx4;H{OWSeGmY+8ikt2k^t<KF_mohy zOgQIJ1Su#!=xTec+%#Th7z_wAy*zssZDjNMOGp7hGMS*>v2Z&8IueeMeG`V16;Qtk zZbvwHVMNNUhPl~`tf2%*?LLV`JxYD#Oc&B)+rHisqpjhv##G0`({HVxMk~qs3<@!! z3E-1?TTO_!Fyd4sx+mNd6iXZz;xRXdL9%WyqDtHuDRBPo9NttnQYf7}VkKj;9`ike z{;1rZ_7r(sOOI78Qt@u?T`CRfJNnTNt10olJeb-$M{nWhJ8>YZZ&{vLa+9Bl29KxF zpDUgz{dK)dem_foS2n0s@{JAZA7q2gFJC{h2HY?2-rpbm@>xns;KvXDuF+v62f)CG zm}Gg0A&N^_O}U5r_xE@3Fh1NP;r7eB-|r9jVSGvo%)#2E0)mbPjd>=a#5DZG;~d`U z=#sRvr3XFcILU7oC$1oVRW05(S+>nrm+;0WGc%`20H+>zAZn&QBOyIRF#6PI%n5ec za2_BZ*(Ltya7&-CY_wsghJUWlk(aZUK#8g* zvb-#B;7YAt79Wy%+)!CNc_65asvBA6xtEvH$>O-jKNroy2#r3A?JaWn)v4D`7USFY zjridzjLRlPt)wz71_!1`FF_=gdWmS!mc&W0>#YPF5SYj=?Ggjj2wX0t&%AgA`(-L<(%-zv^c!am}L!X2& zTeb){1Fi`#ytaiCH6x-)z8{QlzIhtKa{{C@xN?k#f?Q3keY4*v2ZMsRKLm%+bqeDIeS{1q1m zfBB#P6Tj*FP>r9~YFdrI1qi5uCD?`vpfp}5H?2E|??88k^#q6e`7hHSM?a!2^{|=^ zrz|;TqU!nJKNj1wf4%?k^Znbm_iulg{_yj|-N!e-4Ib`)fA@u6y?T5&9?kyqFSy;w zIm)^dlKUR9GsT=-*QhXNHEzXy!=en9?hU0(3bwi(ds4a;D!{k5)Ebhc5arRUQ=Qj0C6T9I#|L$?0Fne z%>oS%(nutQpq_xI?6vFJxOby{J>U9v865ZSRr8ksDInS95}r&{J5I%pm`_2iGn(KI zD(MD7h+fiqCHi`{5R?VZu<4OX07;||q%a&BS1gR^&hvhz2pTw3dwnOurEiMfKn|WJ zEVzp-re$GeVP#gK)HzHiX%NBO{Ui-`48*bNP_Q#m^GYOzMFiliT7#}lPP8sM8%rVx zHlI`xcNU50DYg@8t~stc1FgMnk3TOw8q&a36`ErY;%ezuHp^F)yX#OD5<~}cWcw2= z127~ZK=^y1i_;t1?o!NfGjgn1VCk#DNjXBzG{?7DzCWNNd zqdQ}|&1DX>y1~jDDoO}_i-VE!sZg^(nma)4wt%FEBQ+YCrlDv4LzPbL zX3zE{QH=}Lp1WbZkuV&5t5vCNi*>=nDnHk~gNlcTXs&vSO?p{3IS<*fv?gv^-AH3LC*Py5 z{QSO|LdX2x7`Orsjtm>YVnLt{9nS*_*`lvXmih;lA_hy)HJssS;)9G81WjocpCpi+ z*cGDV^PhP4fQj2lZF>EuYeX7d=68{0%XKc>oW#OFMCX~s%;gQ+{ zg}3cY(N`5es_PJtV3&}$vh>=BlkY$s9zQjFus#Dpq^|dL#94H4oJ<;yxDD9)dY57H zMR)Y~f;oj>1@v#Mmaup~IH4%Gn1>s!F5ZodcBTo1wG?1O-$P&T8SvLB4fAbO=amjT zOB@+y6@w?Rd9lg}S{vjTTtPHcz!);jaqbCnout)ycxEIC*SzMQkqbJ3Rkws!6h;~P zH_LB-h1?vjwX~X(aFY1N5@DyC8y4LH(S~Ek%c;^p1_pd{We(cIAU~H?oykvZx2whN zfn0ueJ{U@uDnc3O8^D9@@nCEflUqC9tz~Vb;N}~?u0$!awZ+EFaNLq^w5WpEOt5YV zFNuLD6O|((ON_aTzc1)FxE|Rs95X#R83=3#w`QM5A9K{-=P$l05PHIWuaFaI+mjXy zFs6fZiX7se^upF0VLHxJ3b1)k{umBJoB=wRZF_dHwdLtprh*0RO{#t+7xVi73J}L= z58ptW2ANmj|6CB}Z^Flnk|g>sXtH?O4{Uo-NQ4Z~EETaAcmmFL7be?f_f<<5RVn!u zpN5DA1`IjpF9tvU_@g9|&FjIu(()z43R%05NI@<*7&>9;Ss6Zo&+g7dHN>+pq(c#z zJYjo=?x0{=z2c#h=x}sYK@A6?CXtY?ZUX;~cy>k}y;DE~E|1R5CpWrX*l%N>G(Pn! z8~a5t%5)a_r^X%{gprS0TdI33O9v&YOwl1J=3 zziK&Sm%r9bqU?Bm^Z9G@?%{tmZyw$~zJn_Oo&DZC!kyf_`SkT8@?s-*7Y+uC5NA*5 zDp-VJK%dD7))nVaQOy)LQ<3{-$)Sq8u^#rd{H=$hCwU|0M5QP%3sS0))tX_i<7TG$ zu~6MlTQ{9b>n5WGhU@H=)W@RISsW}DxT-@HNR3d1%O23m5k%G05lFNq0&lT!KGX}{ zgwmKkI3tyQw4oaWx{G1m)sW%Igg?l)(D2s^xxCLHv`$waOp67G155(2Fw$f7A=Z#3 zyOdQ(;0-S?PPNyDf&r3!?pDt9s5X|(^YZ#+AeJ_Jk6<{{A?PX2+I}zb>}u_gsqpA- zm=)51qPsBQ@}N8{1l*!-jgv620Y^Hd4p^pgbw4ES3H}x3Y4R0CZ5VpA5hTl`o4P+8 zOr19Qeb{6Tyg!PG38w1jV z#FDSd2lOjQES62NJYAf>YPKg-Pm7m*aXN#tE?27=o?%Y?%yR#P2q~ADytUn+HtF#( z^kvnid2r3igra&=7_c8dY20j(!Q`R1#33M0%tr^GOO|6b9E_PUNIMIK5e8FOno?i{ zRb-whFoGFYV5H9~Q6J=-ak}*q=%fwmvLlv-?`j6)9}m%6WilO4=BUp-o=(R1=md;Y zn4E5Jm6Cb4e4QX^aXQ%-5v<+0TwW-;yjHF(n-3*$U8b6HK&js@*8C| ze*v1?Z=bN=@~!g;dRu(>;!?a~U6Y!=vT(IvyyoMip6Aa{jbIe25e&5&0k%_F+;9d> z7Cb@XBIf@~ueJ2gWT<7ace@Wd#O(i&qjr^J1z%LL*=j?$nUQa>mkB|-wri5 zqGlZXD~GZrlb{L#`%9>RlSE)ehzq(8lzNRrL}D2%lx=Ez-Nvc8&#VhA`SIlraepM2 zzWuMSUmic8*@9iCRQ~kv7Ag<6*lcP6)Z05a9N&aX@Vx_3fu&3!BB<72Ad%!XRC+SL zxrNEiRhf5o6zHZ)cEdDH$(Fmltv*W@-Thy{trCy5`$sdWAi0W{oo{vri-qsH zwAo)X-|EX!52;2Y6k*NU{TOBFCUT3_wc7^E(&d$kX*)O~lzBLv(A`U!pyj2?97?F; z|BLE$3yG*RWh0`hRdtc(Q)NmYJ5j-Xad6bNz-R9kfQ{v7SL zGZCdUBTID%>;F(dZ@xKGFCrhzhcGgIdfTQbk+EBy?#_~<0tJmw6XNSb7DzluVN@ju z_aImzVDaHqgFR7M-iAdZyySael&C@Gd?Fw}1qW}I5a2g$KNwRikXC1xGXn{i-9Vye z$5<`gJAh8*T2D}0S{wjmA!k3PV=s1aP-Unf;vvxq5gTY)7okx|Vus4aj*Tjcyzblz z;a6@UlFb0TYQDU``~AMT|9FSQzPE|L4_L)=36}Br$$cDyR`oQ%?I{kd)&oO^*7GST zj?+k9mnY<>cq_s;b+hnRqa%S2mXe}Ce<1|WGhR@p`eyR#ST)rp#WzhCs8f zz!Ud0s9%?)rnYWV;Zs_noXTtj9fo9y9(W{^C893P9s(bO{-SB$hIs3Ii_fOP}L5 z)9w*b4yV1Bb_t?9yOxXcbO;N_P7aq>+w}<|q*p-Nq7KNf&Eub+Q3vtvSC-vu{`v0X z+ZX)h-P><3e1v}hnCm+}jP7^v-C|d_NaI@|^(&my;%MQdmgnhN6`es?uy)wWs*yy!4^@tsM#1+_YO@hm>D#H+ zbYX(*r1*^>5BBET5Ns%^HZ9{+duVBx4`rZVuU;;;S#aE3UWa7UEayXQ6Mic^%xg4| z0trO}!w}&5wAl=K&cG9RYFPUxTkLT7InuMx`5awjatGupLGT|wTW*+JegPE0HQNiZ z#22dAp~6>zpL*!SbR?9*7k6u>(@{klkGMG|4nick(nzv~2fetuoC4OV4`;>j*(;jsA7T zJ8Oi41*NeYZ1W4cFq967d29}0V69_J=CcA4PLi{6AtCeo534Yk$bdw zURrp~U)3n8P*_L#nJC`1nR>oj0^g__VL~E&1S01CqO;}I#cOqCZPa6QAU}|Y`0@Ua zAAyR6ck0LH!_SYO{&>@TAs18-8SGCqa|g;we#jmPrsHIV^0hacl@xP82QFtdNJ6|m zrR$EskIp1H#h`|ul4Crl90A_+$T3BUxJj-DYhF!-z5!MsHba0hlDHDmNO zWBYFqlX^-P&1?RG8dT_+hz^IUjq`w!7Ts@ia(=Tpr?Ji#=ng1_nAw`fH+DNE-%(11 zRG`w#a{Eq3OI)lP|1uUar~5S6cSzM5@lDX^JV7L0PDw z0*)J*`lv4gK6*L;K7vvg{PJXf=3h)4KBZw@6D*05YX})|Rc6l?S7#83RCIx;Q;REr zfm4q;Urb(|Ypubz#hUlhz+Q1k7SIlQHbIULoq!U4fDMGxIT#_bxn3};tVBbn!3{sw zA{e-O;hoYX#1H_57mn|P!_*1!qgnuZW&X~ZCIH^{j2G0IzL|V@Shi=IGnF=M(1B8O zUC=qa_eMf&(ZmG=8OyP4Ij=&rhI4G2SVl5DbnANIVcRqGA;m7M4&lTK0g8xdNaEBm z|IlZoA5HR1_YA{SI0IszlxPa76jxq_mZ+VQ3 z)g$Lu!Fwvk<;ZQ$jE&W!nX|F5qn4SrBFabTriQL}eT!pIMSNQ|A0RUU#HsH(9?XW; zPbCL2lkSGW=cI;f-x~y(O#smDhG&T%hpJ&VG`UZ$j=m0+(Grqj^2J=mUtqe?3P#b<5Sh7L z)S-kjHZH9MSesj0ceR$z)h=}8uF-c5JsXf$T5rloc2^gdU>LiGPAB?a!iD;olwR5b z>Sezy1TJWQY!S#J3v|NjRFQ1y;S*i%w!U+(yW(n8KWjv$x|S^{i<4A`Qm zMi$L6G2pJt*%4E^67UYxlN7wemk&ykXpk_b!nr7nDlsK%Ml7+LaqY`G*#T%9Xu22M z#yp$=o7#m+U7qoRf~z%~rF)Vhz@3>=t(%YA7bfis)Aof~`+{z2tekNg+%;ath3}b- z%h9CD8tfX)ThFUyz$iTg%|^AZsvP$hB$CJTs!8C?WNf8U{1vThF@?SAdN?L__^2R^ zM{bW=-G!G6v~7O*IssN8prY5D1@ZmT+4_3HF-hVAKR+eird%z(?zf8EER4$C^i&8s zh6uZpb1?4H9;B}4ABrsMAYH|KpB-Z~^|^4Cn2@AgR+2>f@B4>0sOIx<@c6q_4C0R; z{{8WHVqXXUHjvBm_gB5(FyhPY2}yFuD!%v~WsWS@EWyQe=Exf>2y8dr@69{gjm@1G z$>gw)N)DeUl0(o4*T92qQwh027XP%&)WpJgkGfcz$rse`2}TUP%5JU4i_Sir<7#fp zac@+ zU#Fo2q%0v(da%)v4*+7~2Ex~CF_k(LIBu&o;2dPquB=MZo{QXqwuI0z9c1w%)*FY( z-lged@i&SuA86Ag&2vf(W4n>poBQkK)I4{iAb;z5Kvn2N6SVAjLzwV^ZxlxhT%$aX z1o%AIKXxQ>dbqAIJIx-~3YJj46Sbr>rd%bszA9p@#oQ2G3)+d98=ZlcxzQeX71wIy zfQ_JY_p-0Go@-f}cwOR|0wDo+OQNGp%pkd!E;TF_akEn;f zhRbq$w%DQ;mP$WXxa0p+2o~na=XYS{>{}-gAc(_DS*f7>4z({C@|TzKs82(!sz8Ls zT2<4>Nutjn_#pPqa(>t*$jGdf*SOpHe0$>=RFt9VWhJ?c-7pkoa23D;!E&_en+v+|Ay1qx?VV!?HPI^>0+_q zI4qKS2neE`MNN9Pu5LI7-tfRY^Ip)4(c)%hFLh2mwuTW3)2#9eV-8fgZR zZc%x#fN1$Gsk3QaY;hwQn2?rh@3GcilF3`L4J;1N_Uc;QKk_|w(+==5{nrkLWjdu# z56xHdg9(=L$24p#b9lr46~+pCSem8#Xpzy>-*4a#jfNiBisg*uQj*0$)&qkwqR8V4LospGHz$_IWvt zXf=)Ry1t}J1SAIfpQFATgC#-#Rbsl?epdY#>J#sjdta6~lj{XCSkvgpxY@m2u!0L- z6JAp|K=>upip7(2eGb6&>g4DI?htkK+}-MANjK;T*p3c^($xsD5k)& z-}DD+Y6`_sKp7?Y+MHSA*TUtvSgk=>tPfwm(NK8=u}8Be6j}+txOr)!H5fo)Lik1Q z%5hKIkRfkVENlE$fQy zIa-{ZoLYV9k@#kD#s)Q5b9e<)Lzb_!OExu&gpKT*#;0@L3>Jt{l*j2H@KBSo1KLBOO212IKHn+4H4bkv<^$%O zU`55Sy~P=esM6~+m@5dbu{42eh_0YL>@{Km>)^hgg-rqOXA?LmPUA|Y2W`7>=q)8`XvD-A1^ zsTgHf`2RD&?`WeC9WynWm9(NNE_9G!@f5xX?F2x?X8(sCTu^^E7ZQ<%~UY!KkK&!5M5%D+>ihqCHU1B05t@(W;)bAW%^I$g219Li=*Jc^6WU#zPETARnyKkLikm_41tF+;E`oE7uGXLbKm_qz=^^|wDK#Gmgs zJdD95hFIuJgtM%k@3$GU51ygRw&u0MHF9u`TIm1%`G1o<*XRE+`cK7|j|uU1x+8ELK-|!lk?@4KrGNv^_md#zDD5KO8)#4c)I?3fO&|z?are2)DDvwa@m0G1#;FN#3 z5n5e>mI2^}z;P{Imhi-;mzC^Ailyz>m}zwD%4c`L&wufAN+RO))Z*F3sNowUG%7iP z@em=oNo#J}Bz-I~8<)dr%#s`rMywe~pv$tF(ZxI!xcC?WJ4N_`*#J3r2K3^x4uYyM zRuELBS$vs-3tV*gmi|L1fR@fN8QUqw;8)rj7EVhxbh8oaE zmWnG(AfQipdXT$`)JL;4{q*9mK5 zJNH0b=6pwzYNmA8x2U6w zI;;7|-BLbYD{2hX2F z;GqA|r!P%ishGyD$axkis_;YGt)b-}^Z~j!T1^)F^3!C>^yw+Hr>D%Ho-)~s zFVkmvddh5V!Qt3F7I7qWWuMd42*6RB1O^CaX z=US^aoT0_)@7E_R;tXDS1e6==VpZ_0Nv(#1A4bS<^VbyDhy0@?$hFsqm{K(riLB`Q z|4-VRKv|ZY<$<@lwKa<*BoNwwr*17-QdR11@4ojWHSqFfW@V~!>s+d;CB)+`_f@C5 zGOLtJceQ9E3aDF7%|JyG|tNbZZQX_ zp?uNmjhnE-tbnZJY!O4fz76Y1Ei8-7hg@DkfGflnC*sJ#M*UaFs@itv4!K!g(2W) z;h&Y^s(u!Qn+dK(Js{|WH<3ZEup20hFX0M`Krq|tUNs9}A7d~KWK);tpHWR^#TA1t=@Dy~%eCX1&fq)G_uGaAV0{JHe{o@mCAr&|)Z zY<=|x2(Ut=5x#M-aj;BY&+Nl_cnTX@qYo!+d%hDVF;KYmisGLJKb@r~arP>=Z%{a1 zQ9=bI+xhy2aFlm}B)HCxz?}zt=y(5?Xb0p zYTlHxfg`#}aWe!5ADWYd5&@*=44Bgq4)b>qHeB7?G(wI1g(yWrZOB3{wRkU>U~WF= zKKu+KSb^=@mD$1}Ss#Ptuue?r)XL+~k2y2s=N1PWdlN`Son%|>^(J(}?69OUFDw_E zM~lAL;EX!?Rl*;d9{S7gMbPf5(Y8@g6Qh+SKQEPWhStOhY#&hobW`xT!@Q~ib9$k# zl;L2TCYc>2K+k zt=gkw)7ZCLIns0}Y9<$U;Ng)dm+D z&gbnRqTGcsU0Ect%%ED1XLtz)`G@Ak*aHEPVUo#|%RI0unk0S8X+uzgN(Tw4PWeHm z3Xge;E{TbVFvI*D!g27tLX5e+8~kK=`I~ChdABq^w{vIn6BriY$75_mR7D5=3@G=Z z|6OtZhKmE}q@llDd_*6q_#qsX#p-YFrU_Vsc}gf6O)l0j@sx`-Og!ac4HHl4K?x;V zTXher);3vTk*coX16qO?YNPJY1f2oKnkUFIOK_@6$^(^@t5Va0+K2&Mk(Ir1Syeq7 zhUrjkN>k?gaEfp|s=cv>Y>rMq^dT(Sbu_;P-k;wHfI$b-nv=x)U-<+ODTJSX#p$R+h1A^ReYi&4~^znRWudEN%$nE@{4@hoZ~}$fHQW*p#vbg8JMc19Qz2 zNF_ldjNzPQiS+W0^BxvVR9tlxmI<`B;|ht_5T>}&Umh~yU6`JpT{%6OT)9(1KYmmm zP3}FnKo>7V502~9(2#2eDM>Fyuedmp^g^bXEL=D>I zLmw}{fUiOs=vvJ?vjO%QVz5-(`4pes~N zt8}4xjzP9&F~~icfevMWtxnX*He^+z&b3e@S`Ae$pNSz40RS^o>_Gu`wP8m91+=Dc zk}@f|)b}@so>0QDH;a1^(r64wTFK@iu z^xg6s{%lD?Ng145VLnPyEYN@()L+jsqf3K4GY@hJCJTjuv86aO%oHrI&mY_KUW?>tKd1aZIsRi_#SPOvzM1HWD(E@=J z7a4OkV^Nq<>Apl$Op>zJ zxN*HGdh-@KK{Wq^zyNayxS0eHSAyhsR&nNEW`y=uK*lp8&Qk?Q2qw@+IB^BS*6r;q zlY+z6I=s3S{(q zplJZGLr-gCLPH&SN=z6y7&etkeNcYH@11uk3qQ?HsL0wkB<>U3O29Kgf?qVi zt2&2+4Phs&Wgn^~Kg6L*8<~k|pHKop8Q_bO9U9iRnwQ6#cwLkB!2*nwGehXn^jJ8N zmV~=VjXH0n`YPA3%XL=yv#bNCZjLIw>=7|JDyoH!#W8wkX~1xW4|gEMg(_MS**Op6 zYLy_`LKN27F*XD`00za1WXT%gs$Q$%dZb?Rg;V*d!r-C)4H+n@wk%pBqp~8)Xq}oS z@*N!EL%sY>5|@S%`BdX@V`@e)C^SE|c`85H3_Y zKMYj}&Py_c`w@KAz$Z$)M_eg05bDFmK`_6_+v89Qn}Z>w$ejm=t#+Uxq1??tq3hc) zgmY{p6IIuH7_^UYW<{<=u-&f&P~13t%98yD7; zAkeFN1j+($9Q(MWw?+x0@OhTEgEp+X7Cgim5)xtfWAUYO)|-x{KPby>WhR&DPAaPa z$xY_%ma@c~1*uNi&)R_hp0yt;t4)!J6d{Pep+1M0i(<4eRmdOj zUD5y~T-w)8$X6w{rrm1icCEJecff`a^M^z)V3LV3 zVy1`^S4j=a210B1vtg`ZLz`yFjv6wji3}{sRN3yrVS`-fPGVRU6F}T`_6PO{!_286 zreTM3Nct+~TXe>d;@~>sQ~g1~b&xu$&@m^Rf_{%24@mb8s!6`o1i0iQ=@>ioIL#@F z1#f-{1X$aXM+s$tKAf~~FhOiWnaRh&Dk5vbpd_8Lf}rPHP*wc}?L)pF=%*d2iLo9% zh(6laQCFJ~PLlVmPKvGc$pK;DQp{-y2f`B*j5~?kjT^9!y{zO%K1u4*qrnZ8xr`g42Pq(lzzr-gBq>hbWUGHe|rz zrjxOf_;n=y7mh@WKdq3e)S9CE`=H0yR@g*R>3BG*_8Jm1g7vcc9p|Ds`9_+khIoqw z-5|Tm%Fxw0Gxg>C;B0Uv$_|zA22;v>qpA%*z(Po9nW=zk7dN=xu(aU}Sy=eXZSJk_ zacL<^XAJbSaB{iz=;L=DZQ&24nEQ+$tZxWlMZ?iew2AQ=!H8J`*_hy$yb8iCSFVPv zyVzE~hBQZn18J$m^_a1ef+tqp_tK?Uq$KVDAKG)ABv$8T*)!DOb}k0z*B!Ge^1uyD z53t($6)gsH_~U8}HL01eQT+bA5wl>8;}&dWEdk`pUI=bU$|*d((OA5~Ys zwY@4~XJXJa$|A0F>jqnk8GJ%o-r+segQN^asDu4Xd2)toe(tsdq@j_)Cs0@ymVq%G zfl{@N;`)VM%=472HwIgWk}8p1sw?PbRu!U!!-FGE30Gu>SV>PJ> zks+?YACRvS?E@6TLct}*5L%e|A%?1mA&?dPT6r^~Zx?0Gc*D}nn<)$A;Z2}|y-j37 zTEU6mm(Xbeul)hy&jDSH*#cwE7HF{w&0DI>zr_lRQ@fCVBv6>cmo0+|$D<0I@Kj-H z$lIV2=bI@t=wew93s#eSqK)#czIkTT zxuzoXPxBej+8lumq*6wKx3lu(cywpTSXIT}-E9CPoeRoqP$?Q+0oa?u-{M#!+s2?D z>d=yQYl!lsh3!r%vZ< zjtLeTFHfSXSO?17=b(`qYhg0`X=a>$EvGJ`@j?$zI-1~S8!wyH2?zPbhquc!fD0h< z>s>+N-2`O z=7_+eb#B(x69khyHG-hoRqJ@q*%>VEkpt2^iJvb+oUsX^>S6J%BsHdcnSnJNog6VM z3cZBXPpjOXU?kkR+F9FmtOALxcv?egC>{Mk`*GejD2r7u_(%9%$xvd|B_s|y2hvle zh^R>F@rox)Aghq}*4%(eo>!Iw#o8$qvJnbJuUTU{n}!WK5fdQiWQEDzl4vpm*krBb zQb$`=H+*|jXru5h#WSspW@Z01J2n_Fxeq09?fW07XRS`Z(n5ze0c zvj@v5%qPB^f>FSbq-lYqm=Y}{kJi%SjJ)k@+OQ#1l%=pCHKK}%Ovw1!Fl%L*J$V!u zCp)sS^yE%|k5!eV=QM~D$vgte!-z9Bs!AVm#vOw=iQpnogzn8*_LzwWPuhIlfI#CY zZ~xi-;rM)pqEW+pXH>2MDKCa7%yD`K!N9Fl6G(1S_{fNR&4U8QLG3IuN7MSJ9jTp_ zw%a&8Qc$3(>9W3peVIoP$aRfVDgTXJw>1mDD&-0_Y%TjUFO7GE$YqO*$FhCu~C=AB=Her^Z9M#$XQO*x#nC$XhhwCfTi&! zmSFmq8^~oaf%aQG=Lrun*lllZgD_D(QU{@$qoy?nZcyZyLKP*SBCT@M6b2_(E8~J{ zi00cNnpY-Q%3Gvd;}ZcSVj88G_KRglcLu+Q1MseL8bo+wWA_Pj@Ed$*~6M1G+r)Ati zg(-L?IDe71FC7m4B3eb@9hlmYp2piHg9rC_2Rr+X`{>V*#UQXGec}54TATmH0g#kH zr1MgsZl1(nYS`Jz1d{22q0+8aTOWIQA*xgw;q^dg9}KG@hqb`_YXG;PWB}13`Vt;# zIQH}%{v7&-nz_ZCX(NjH#u!<*)6vmA6oMR0KX`t6c7!_BA-+dmM-zBMn`hU)ipc31 zL0R+VaOyUj3sOvS-jF=mDBe_!yRqGOGaNRI%Fk9|BczOTBE_t4ZbCgs)t8qJR^d8< zn5vasu5W^(P{<{ZobZUL#j!m-1MmIx@D7*~pHA%Wu3v*mr^30!8=8=O9cVEoTLwE4mj|efBq_m=UkZnY+#C|A(`qu!lFfq!(*504lt!0t;=m5&g2;nw z1=YTVO~+0A>jJxS5SGI5Q=`RAsy8Gsv5YdY+!h5Y4%9EH&tm`}DSj~Ms=rP%YX}Kp z&VV@y4a4>j$n#UhUZk(G09`pi!@fug7!rA%?D+Ql@HrT6B+v>Xj|(jk+)=5V28cLf zQ$3gxuv&C2Bb z4JBJlQA)!hM6Qg2bf6|lOZ_* z>Omq8!;h#7yYeYSR_W~0f>^U>7pB`e17>|2hk1onhhqzuThvS{ zoUFpja^0k?0n^PFtWFTdK640A_BKKp# zZpkSFo3vXQ(wkWF1iDYPY4wC@+-5k+i$cenv@G)w0W6*5d|^)ZQrvd^o7wYm-OFj9vgKc;1h_-$B0yuF-daHHVKNKmR=jZ(W+a`Ozr zS;*lBuZ$OV4#NdUU_k+&@Q>i`zC-iz^&O(pT_w1{S{fJDHm*2h?<}i$1shc5+-Vf#Resy6KzSLoA#B>4YaWp2_VIlskS9$U7E)X zLaP6o4<5FZo*G0}kqZ<5FuqTEu;Gw!&)IYL%Ax!-y1nr9;qmke^)9!JfjtODFp`{T zde{d5F4{;93qJwCF7sZ#Ff~?BaWn|W)D*plIoZh%*pi_a76*hy1MWXhDJ>>n(ll!$_tAJkaD$32WWb{ z>--#X6t$Q`1RFwgT`3DIZVhqIm?N2qsQ?a642GMiFY!8o`ceF5gVWMb^UziC1S87Tp`a_W>n)LTiTIozei+D)LX)m-9f0N3&{WtF zOje1cN5wTVcH%HD`R=uFx2oxE3Sk2tyix)~h+H;)624upuz3s#>sj}3{v?~|43Y0z zCK7;&P+H=WO~$2Qv9KQo9ak=%RtBW)GWN%M1_^#oq>qr-_usQ?9XD>5$K}cB?C#0% z^zQk|q>Lbh!XsgAeRY3<0&oCq1a1rGFeJi@;OsKx*q1+e7-_-aHvsc;2EVt~+gn|7 zf78^4aE`k$wIM2?UsM2{(;pW3&I2c9>4R^CIWOo&JAx$V6mF+iD)Rh6Z;dGrd}+*i z@CzZOVZQUAr(qIQI?NGOdZxJv6J9{R1WK2%d3a0;O2###%dPjc7RHw^x4xvcfX=(P zV=|E;b2Z@}in@e@L|tkVe!dC`5(KwyOROM+<__f0iVfkA zybX(Zh)N(1+~xi^DJg(Pp;WvSuIkS;ggQtNV_0QlvUReD80tfk2+Z5SHJ0CYB|S)( z<|f2%+Z1p}L3IrtTH*;{e8*7%+=2tK+Jv2nYBf1t!zN8-+QqbnEJfCloi(=bU33(P zLiwC5#3HaQ89tc2e3dm!@u}gSvsZY4dYMkKn?a*cAB@N%Gt#Ge>tZpYf64YG$|Nx@ zZ?0_aKZ$6$eemfGiPfy_gMf|!mV{l-xz@n!G)xj+$N4}D^_z(6b)akB6#0_i7{l_^ zR5DR?~%~{tAX6Zwb&F)~A z6rG8s&Q{}OM_z!tDiQ-*_-@-+&`O?7+hT@l!4q(!;Mm?Cl{rA$+fmNy&*Q@kR zqAAc-l|oYu#o)kd)dNz`i%(@pSa4UijrWsL%%n!blu_9|!GThY30Mu8c=*+%z*HdS zZfP;=n*2)xhITcG$GQ~<@Nd%;WJPZD=LNB}?3f(4awPqYm-X+-E*p3`mF>n!7 z^xU^E)W>=Kd8uyhqCm^Cla@F`Jddwx1j5^!oPI)2H6Y>F?yv@eR9NVK= z{dx{MHQu?*LRA@w;coy2Mnc=>Ofic-XCf~>YgPG1C_R%7q}r@KBtih-m;`!A_5|8o zb4Dm#wlP)XI#4nv<>7QB&y^#1xI$fl0%uTH)Y%Opg_Q%HWrVQ5h|{NIrSI%%HkY-8 zqRDRWCiXBKHsb~_s9d>hmBwLxZ_*m1X=8O%TsEd8L1>ji8=u}^h9feV5)C;e6&a<~ zkYdwD`R-;KYd|slxhRE3sIFRu)m315i0(E`v+Igs0by?g$_n0OaoTjqGsjUnJ_VL} zyY&x(?F%oJVGDm^{Ihep;cXWOq@z1A+XNPx>tGc&M@&|xB zN0cK7iunua12m9=t`ETi8VyiUlSM^SWgOFe4NzgDJdMWGOPa$kqsvKZCg-Ghs!0+K zMLh|)+5s~JHC$`qDp%X#F68E6>uL+{skf*B8LhtyWaQbPyT?*7v13>^;{Iw%b50Dn zYvFF*ga)9SJ5?agz!zD)h`Fa+GDx4o!JTsY#UhU+7Hsx`q1aDs;0zdWX!sq2gZnDN zeGxNzos?=Mbwhzl*^`htX%~w2!IM&;Zh;$=8Z3`WnC_1!&w)#TB9V_b%Zw{~emawe zQNe-+Y1!J%RvKXd>KVB50jSqANUPGf=Eg3%(KBN3K??+;Ak}}3WD`Hh8##jC~xz9WkJOqFYjO5 zK;8@I?j9biO_WD43>c|0IPuu)+mk!we=#lZ8&CFh_}&%7@X!h%8bye)93i_1sL)sY z#M%^je1p>7(6pu@`Oy#-+yNC=+3W)jmtlz;wldM{80^LwVdpv(40xDfz49@)hDXA- zK1V)h65oJW35YlP@s@|Eyp!^r)kebaB9okWJ5o-wQptPX zAXHP!OL+zysj}n-vVnp*91aQQfE6WY>L4kXXpOwJ?4-J@IKE4akyK{I>x4b0LI8=mX5D&O~4h*QGI8Cjy0A8MWtCR)C zCX;(%4DM8rBNSDNP*ibFzXhHulOC;*&(lJT&=r!PU}PQd4+hAt5CpPjDMqd{Zm0A? zgKcC_w|@{?sEQJbiAZS&)}SNem*o&*W3=)SeB5j!imi>vAo!(Pk!iv2wd+254~GSc z>>};<rMEG8SIdoodfl4H8*vaXWaq-}{hY13C%oQg2S0XZ6M91~xgMex_CrKqxd8b3OGyisFW3}Cgi94sbU zIL#|UNqrcHR#C_Bb|_Yt*y)u}t*{h?M4E6dL^cR)wI$fu-h*aw%T?(R7q_~*@&v=L z6`^5AW{hf!S|J1!e+yng)Q@2CrMOF4ubcywj2<6q;_ytWX+dhjXxyNxd|rWK8b{y81$c80MCGqU|4?sn0%Igors$QE^ zX%l{h@IM(0R<;BRVCD+< zIUP3oIrC+6EbG7F_kwxqCZY}F`%pKK*M21q&&kdqhsRCN!8{LgA+B$LH*hnoh|?LJ z`q~tJHaLmxU2D%5CTD3I*(!Gta;F@SrU^3~XfTOyV*pG2l}UqY{2;kfg(2RV8DoV+dJ_TJ=}YY!gXo&rAY zI~;5+Q`&8pKW`it3ZSg7L6y+>vWCLvIp<8`WvkdGVZ>+|U~RD)ZnH+R!K8*VJ`l9H;9!owLCVe#5rS~In6LX46$Yr*No!7}(l zxCpAugQWOM@p962ogUskE~l*t63#%Ib`77@-{0PZ!C|o0--XDr50r{*&Y;jMILYQL zD2idt&@xyn`gG7Pf*7NZlw{dFBDvJ$494nWM{XVsj03bORQ*UmnI)8pC0M~_Sd?~e z(8mn7tU8vSinyi}>01%1Fj<)0qtJy@`g?e?AX<_uEnMZ)!$XRhL)Do9hYFkZshp@x zP&uI`Ru>Gmcb0SLmo53R(z|os>yh$)YwwxI?F1egjL(t z#9)lNpbBn|5a>QB)i*E${;J^x9et2ndCYF*vT~vyA`c7}3Q@q$Fmn7>u&m}AI3}QW zkO5)3M$wB+Py))Kj!#+?a%uieR<35YLZj!DhvoahT(v8ta0&1x$4c*Hz;hL z2JnYNEe3)u0@_;(O4}=rTHkY>O+3X_HAAS2M}TyYv}=@!ix3WW;@Qblybh{w0s)g z-Z_c2sxT6ulbF(GF(m~A8Ak$5TtreQ+~kbHZO*8y35k1QIZSK3AV5;-PEyvuZwvwi z9Qombhhi9eOX(a(;RKGtR+>-XxhKl6Z5utmqLQu0k$xQl~e9JLZGh1Ub<3x+)}J|pu4oDmDT5{y8+1jDJbeZh!q z8?C)%Zj8uG{zS&oZ1gGsi7lk#)>s>MXM>v#FPU+#U&S95(P}g+dEj{C)k-_gm+~hk zByaUx(b=`RA}NQZ{T|4e<>0&>4V=IQlj&`YX55Nu&=QLWQCEaKlm58EfnCP9s^Q!m zz^g^23xaX?vMjP$Lnwd=E8_k(kr957a2eqi^gkrUZ*8tKhZyXw9%h=MpIe7KV0$-= z1IW$hBXu#Jb1{H9_XpO}TAA@ZJ!+Li-;?KX*~oJuT861t;Zf|!trqlLq)OBZiY#PV zmw)y23in7J4&elbDTBXALqG{FZtm_glaE2lTvjoi*#lzWbB=+RJrjblJWK(WMh#}G zR0BKomucq()+wTgp2G8>?Z=4XTI=(d=RMjXV}i7KCjFJojXQu7tVRvgq8 zR;64Y6dL4Farzd;}69JE>g;EQjtj?bGk2V9z1AWNz%COFYgA(NB{@V72ji@#;XEi>1Pv~yEyx9*jkj`k9b9_Jv$eEo_8C6=cXum=v9J(nG|sF9Ce{C^@_UD+Ge& zV?vmdCRsmEOkJ$2A-w@A${s9Rbbi5{27G?eY+7`ALwvd<$DdaQS+cj_Rfv~l>3fv| z_Whl$4a=@_cmdp(KnKC@29=)XSmcNd8GF7E0xze4a38zt^d>PShlFK7eE|wj*|>j8 zGsc=%Re*Pau$sf~YZL;$g4Hg6^Kiq;&zUHTt{lUA69ipQG8Ph9piz{{<|4s(mCaSU zVO5%a6(XSSK*LMarpV)=T_Fw_MwcNRonT(ZWhmq;X-1jaI$@<7RtlIK#-bEnt_};W zv}Umkkv#b6HdsJH@}vRi*9@?5ZsYUA*r~0gC?x3>QU<$UJ4B4Jh>_U6g_r_+xV>ZH z&XE__VaA($3@a=%Ftjc1T!Tgi2`7*w$dENqwF-HsNzJIL*c*k09*Dj%$0+xRL8Sz! zHc=*nKc7OmEH7IXpZ4Yb(Q>aJO>+ zA+vd=;7sdr4df^aS1bS&!K2Uz!>6ytac8w4Zr$0TbY99OWQ%?94y9OBq7rKoqqVlP z1PHev7L4c~nH0R{wdjSg%H+m1SX+UfwZ8{XBP0w$rZjQqGKa$z$q}f)Fkmxv+dpU@ zkV1vjw8R?ISc> zC|U(#eI4~5czKKEgX;}QKAb_X%#i71d+x)BzcO=ISXB-aX+4|H5<6Wpqy=S8p$+$) z8cA_Tj2o%R={Z=*rr(*>v^0ck$uRc78MjoQwXhY=1#2f5nJn>;H)~noW9ua-GuWI+ z=N})vBh`Xrx9k(v;DA#LE#y2faUa{oh~3Dtp>m);C}2jbO5lJT39wZGGX;RKN`OF< ztT7XW$u4_E$Do^OW2VGQ+QVnF{gQ>zg!_|)Q51Tt_vdxve~cD~?FdGZOKSdV3h#xd zEF`ocu_r@>%Rry2!WWX}ogbfFaiiV$Ia6V}pfj$(V**oP5^-b7eU*n>^htroXgk~? z4}81{7I>^A3_Y%(wp2YYme=8DEY1x2*?)2msm*9( zT88eCbFr+RHSJN!Q3vJe3n`;!>XAB9HL&Sm^%{5+k3>?&68J#^yecx76voZV%GS!L zB*lZ}Ow57cBIZX;hMURJU9SvRqR630d=G2tmV7yL}8QCFx*JPuq2&c%2W0eEt z7}-NX7--zc6on%JPQ<9t8*6@fbz_LT^1;GD;M{vCAa-1mfJ52JI@UgV14&zkT7QUr zN)nHQVf{7<$aZb2Z96-#I6 zkf=1_JFxg!R2rwzf(VUMg`UOTh(&WG02r8vNMJg)NKg;6v39z}^b!nY3BJdNvhKEG z(IsX`5L<=z)O2MP8}2OUL{}99m*A++DfVx|13^zU1ssr_p`X0>0y_gn+0G!*7fn`3 zxT0{^5{8g$)z<5FvjMI;Rg8HgHu^1*F!_g#c`XCV?{Gq_isE<9MU*%iXcfLyK8W) zvvCGE1N>a5z6rfK?1iE#W1#BCDKa>K-@d`|9U8;pT?F5NRJVwC7oCPX2AmEMZfC7_ z${z?m5!?$DF`G*p#$%pUl6W8fw!!tveNQY>S`MVPs8|?P^kX|9J>XwjNaGEUO7R>V z4uZ_)7eS7cl!Ivro=1d+BO1&X^ZE8FF8Inm5&pah3=yH|*+JsFeRvW#UpMeoALW}V zcbOzLIi2H=lEPy*C9BFpQk8XPW?NE)GoAy0g#?#VxaC|*Noa*1A9JzVNUTq{_Gr;8 zVl(j}FkR)mngA;Ul4@^R*-9jQJ~J$K$9Vi%7L2~IlUXf`!2yVJ>3U@eL{>&f&Cj5`>e=C)vbFH&`00CRtw$ewW_0Jg1P^D58xu#gzdpE5 z$AULq`QgS1{N?5?@OfQ?DQxut(DLal(?Mt>cS$|Woz5~b<@QWvv2`{8jnOmZT@22Y zJ65TR)P%Njjq(JOHOtypD`S{puN&s_cQDnvNh(oNozNQs|5y&GakN1mZ=OdFxGbJP z%B|9oMoc7mu^3-F%KtKK3Ccb{R@Xc@NfTa5D^DBN+(D!dgIJ9#JGp^4{C%f(d<=j8 z0#b`7lv{iVJ@Fp!vAp@h>E)K@S04_a8cm)yyxf{otHdsw@_Fu9!=g3Kk|hJWwN?kX z?IB&L04yRf!jXpi*5}Aw4dPSDh2y%6HoI|5&p5amV|K0>A9%gNIgp&kLEpqS?W^A! zz0xysR-cCfS+1o3isfBwzA=QUk zww~MNtHcFov|$OG=jrBf6o?Z9qX8ZX(kc-}THk6KGaubNgK#NfZhEfCQD3FfkPU<8 z1a|tjFWe*@i^@)2s%N<6yPYAC@ny!_UXiZN}<8HZBU85)W~J!@TS_T*62>sl-D^Ng!vwxFgGUDZ2CWL&;D9R? zLgY*>qYNKB&WFPrmG^msW2(%RMh#(!}kja@846+GO zhr1DZsuVCjIv}htS%v6=Rk?83tlUMD_&b4K_zaPek|Ynq@@FiI@R{wyHoU|2bimhe z2(Z<{ghS@B4HNijE;+Z@f>xC zlF*Js*>)?zXV41h@#Oe(u4{puAY_W+B2zHWZF6k{+y>k>kvPLGLAghjC!jXAF4SZU zFx-a*(}O9ubPhbCVpf;y=(9T8Q8wBY3ynuE!t^HzEvvW_lZ%=NNLcfpEZq$imFx}q zH@uOl7VpDz*CB)9Qr?m?^ZE9yMpSwX`&$`54S%+jcy%c#Td5r4`hb6kYvYzz zQ@sr&f0JNR?Q70}Y%|*s0WU0TTPG!i%IJ%d1XqCqGpbBriRB9+kbog)*B56|;Ay)K zw4`ZY?OO9?c?F_Ot9zSzbo8$kYy(juS+1OrhfxLl-Xu&m`)OK*U#CdCO0UfGp&vt> z28d+HhU7Wdt)@dL9;^JX1+3tD15AZ81f1MsWlfyKhZt_7ie_<6sXvneXoXUtUWI1N zA>5{PC1Xem44ud3%39iKvd+ZI8A#`u#+m4}&Q-I89^T`WfEdXr zCHa_%E=q*G_5&)G*Y{W-CP#`+l=}N2z7kvuurZ6~1^gqeI#v%QYb6rNatFs?dPQTy zub(Uu(C||F)9vf5V@4KN;!r3gqpFuc_izUqPFZb=9J8TQHadtU1CJ<=My3~=#71n6 z6N1!3{UJl}8YLZZRSDlXVWdpMH-!RO&G;0+= zwhtE-=e#!!%Q>evXMAp%B;vlJ6%-}_X*<~68t$)=B4N0*$8xedC@fQ2d_F#5stb!0 z8%LX#hE(0*U37)i9N|>(p?s(gk~1nI!~yQF17rr*NgyGm5uG16hgJtf$r0m^01lZJ z2^^}SMk9q;HC)Lyw2YSP+@~_71|>F($og`?SG){2&iN5v@p6*(#iWMm4ERBvq2&Z~ zvKKHXr#Y5APx9tS{oLs7z4(J9w~_jaaP`Q8-1p&%x?`)-z;A@K8}nu{L^_(>#DqIo zDZyxRlh{fMBsnkegv)9Yq0SZLCn29ZwW)CMv#vGkk*GeYC6@A5wPAi8r9W}z+IV45 zRq~VJ8RWqT-vbJh-RSSGEK@0t^C5+p+u&2M9jZgPrW$>)#e|eplb+I` z3>vKQpn!>EN|JyNQH>#!akY-!dCS;+@G5p`5uuj!K9AP~iUj<>n#n5nBYI(HoY#=f z65>FtfQnxORkf2kZrm z@ZUYjoMq#)57w@;+}j6SZfbTC0=iDS)iFthfGRQQP6nQlD@avj)mjpF=w>E-xn z_XxLlu_u5(M^==caTD~Jgs|oPjXnFx;XuO|*QUq^(?SHbk4Uhz%zkZ5-o&V4G{lM| zFh><*G$Ur~!l3EKak+6#{zPOm;DHio@hQw=Z|UP!hPAgpvN4#7LnV`sh0pmULw?BP zv@SsZ$7MOSg_-2pNn!0HNC$;T0ljQXFypCxxY8!5!R*Q{N)pJ|lfDJUxAXD&%;QgN z=H5B{d(QkjaX*s70y?0z^=oU;7s($M66Q~7fQbtxD|AS*Iun|2BJ&I6+op8B;HV*I z&Esxp9MqAWf#xtbGzI0riEj}qjr?df13r9`l|)m5v?^^LiK|Es$H^Wkoe{CIEHxO< zl-RCD!&t*IG|iIlH)ob`51%@$*Z_E#w$YYws8}VWoxcUNN~7Qi%o*`(f*Q7Q&M5uZ zljWId1^wRdQ!x&IOVm_$80$${(|GU7n~ zTFI%8T$VMXQaFP?!PPx;$~$tdC7(Q_cXluO>Z-ov$dez)TKJ)LB%P!AzbN!PY5e#ETesj}~ODTusN75ug7;GL(wV6-j}V za!s>%IfTfQCmc;frc!V_kUh^&M<>YscQQIYos}oUqr20xe#UDS4e&U_{?>Bq3L`3` zap3SZU4hhQEww@t8(3n)^n0QjO3N5iil@UeYB8|k8PcWVu_O)ArW3b7I&bK84Dx9j zk^|EWC9pbsiOtF8K&M_=C497GQL_O~^+CCPg(?Wuz!QFHXe-DdlOb-)5*00YjHrAf z`QWy!b3n#sPS?7Jz1o6oa)T4zFsR4(DUhp@o#qK44;DcnfY76`e#oO?v-DU?Q*e^( ziPX2CkHP1r$kox`0oJaq>@xXn6Q)7VV5NQu*TgA&?`60%(SFf;zYRRM zc6f4n_RQ$yLVtGnvRA(z4w2W69pKSAzsBZN>bgpwJo2eb9e(d6vpPL=rs076Pp?@7KF4uyW2sJ1!N80dYv zq{t0u5Q?O^L*^VcPayif2?mjJl#5+`$;*ifl1V^q{MHm}Fpq7jsw8j`6j3L&X@XhQ zN#N`P9T+j-C=0!Y+aMt=GF>U;R~50qPc&RKFJ2$wwIHZ=BHVaj(d{FATM0Ay+fT?Z`K^(Ha?m(GBfp>-g zlR78PW)&lDA=AvrRtLBZqdh-N)xix7qk423y#Y{Pi~*$XCjc3Z3^`aQW@gmu67rb( z5%3}@CxTqKjp<#jKd#4#Bpqm$m}OxXg^Hc3z*gU?amanG`UC=7+E}4_K*`%1ux!9n zS`v^~)^UTC4FFe;msoe&JMcUW4iGWbStQwscZc*BB19PuscA?ypyDjj-1zfb#!Y$S z6xw&=2SW@^lXe?(Dz0Bu1Y$oEqzhj$*c{;~HX%mS5*`apNa5NaKx>T+gkPjEr_Um( zPdS8gff&?hM~7!~cLqE}Grj<~`@(j{8p&`*^(6#JBsRDi;RLJ?$)TdbQoD-R94=7S zdBCyS*D#FH0i@aGXt1-^*C>8`JZ$BxQ>6uPoX?;l=_8z^ZpDOE3&yOQyQrjM4P5YF zZ;`vYK|!vXQqhdDcvR#ZAp@ewl(T~XYO+M2j?!>==k8>5ryL%Qhm&)N2&4N*p+xIU z=ZzWhfVlu3sIN?;^L2ia^EJn9R4j){lhwyl{i-nzW+tXYCJ0=I?-BYv*2nqt&B}2A zDd$?Zj9PuDfmLgmME8Z<4@j?k+o~Sv9Ytc^(62HfgeHvJ%O^qfF@*{l0nJwh>=YzLW<=jcrreL^tfcMa8I`=yKz?B zbmGkuOspem)#z!(OpLuaP*L4vEY)Nv_7is2)jy5C6pT#+b}k4z^Wj#+ zXpoIVA)AI}K{U??V+b&`v<%?yu_t83alR5Csh^%yqCPUhL)O2xb zc6=Azlf%i`)zO{9(dpswZCsq4A5W;>+SSvNTl{uL1jy-My$7cJ2o&i4RjGG_=JfdV zD&65u`8cu|8CEb%7Yv^$1E#KW1u!elQoDT!EWuGT4ri)EQ4~k)CaXqPm_>_uIXSS%nfuAm~-zcC;nmc3;Thj!F{o(NL zYz8;_yR(Heq&J7Ip}aG_-109%hd@_FQlNvc;sGN8%ZKnY!qEm+qLdwU#s*F{l|%PIOtA^CM5`|fye+^X z?FwNJmcYa7vVs!1!F9sD++}8E4PH{V-Z-VfzY=JgtU+vr=f!f2@WUYx_3}DuvxN~w zqIz&E1CqJP*=yk_oyvj69A&j5MlHz?W%E9Ndd&?=!rqKWXfKSx*hW2O;+(1W5bd$> z(Y7XB02=JnOHKi3V?pAWcVXi)zv>t)oZ_>csE%uWcfgIjV0H z%!6!*g5IcHfJLB|F|p+F{VE*0HiI!0p#{}G7@x$7KY{9u&4Y#*8o}|(ahTpXe9{2#{B5Q%cqXF`BY7wO2J}L>VAm_z>q*=RPk_3DscHF8Z)*+E> ze0T@>u2BN?QJMAVWvm4x9hC}0uOj27kc0z)t3o)gB9EV&LWv^llPEQXwSC(n>Xtqc zSR_45oaDMD(WtVotAs|r(PE#b=s4Y(${Pjpo155zWiiccJERNHIptsVNwLP0>dGS^NGgYXu^-}Z0*eQH>!XIRdL1ES#6vShd{N|c~BB#3( zZyPF6W;Zj~Jjx#8BV^Bt4j%h3d?k`t(~hv&yoi#}aW7o^OU zxsGl`N|Dw(B=Ljd1;g!VZaxPw5!5wLGI$t(vv;sVJmTK)W`B1JqAkdo*(LJgB2J^m zR*=O8bj!Iv!8MHjJ5-i?6_%}i6Of06a#Rt&mqKFA#Y{K`!>dED_S>T8j_| z?B7^oP;5E6ySET>zK;xJ!&^wNn^=~KUJ3Sp6oa|B(^@csg?rVU+4>l7p%NootItC^ z-HIdjkWSZT?$J!4Pz#$JSK=~`1<{CF;^u6QDk2H=S!6q=Iz)K$cxU5a4~A{t;8@^fT;)Gx z>7~klm}vZn-8WBZ3Bk5OY1t)0B}q`p{cM|e7hFJIR}Pz`BW>O?u$T%}EZx9Qw4#KS zkr`Q5aer2K7+0;m)!n3FY6sO^G1Z~t95<-@7Nck{{SS|1I^Vh zSF&&lnb%E->yA9wVGrdptow|*l{VF9HUhhrXAa4UO<75WGfjYIMUcEgOgEh0~h4(1FruD||{-;cK&m{U(CD=AEDdgEC2 z9>zul@z}&&(ObBeWik?KZp1_YF373L6UZ+eDi*ws(Q`1Ga_n_R;O9!PzSgnAGMcTb zn$v&f50WA{gaQGOCVVpI<1~TO(nr6s`g9uRO z%+>zp`o@zMbrRXAE;lS#FhSPHa6YPTCE!KD4%V}hgBF25T_h*0ov~qK=IoTp0qB zJWvdE@YSH|HVNxca=i;&PB^ZKBt}BHz_akvgQ@_k#qKTf-i8-kpOm;AWk|)xgEbdR zaZ!0PKuXd4F#c6vap3<1Op1^f==3Rv(2#Bmm*W1$x|Ha2`3uk0jgLg4ECKlgSkWI$Tdvh^Hu>@f_n z+LC{sXmEq|JCX2W*5yI?#y5s2kC80tKnT`R?Eo$>u=bns$ir5O4!bO^_4kHP9IV6d zX$wI$NT3}Ew`9A4d&RBLz0h1Y%SQ;d^7`aaUcP$viA^v2M33mW!g^4ARfBMez~jKc z(SrT~Ni$U6xoFjxA`3UydO65{{^~2JtmGJ9kZ*6Jl)?h41TM7PFT4*gL zNk6V3*fQELPmzlL&f)E2LabMgp`L%!J}!+9&rTOwbg8v~i&tAoiz72tOAbYW&Bs>Z z7Vlz!THG=5wlyXmlUE4$VN}>))l1Z=(cn<63vH!aEMNuypg}}dDeq#yo)P9id_6D_ zHXE)+Wa}fN*V)N)q?J4!miH&{zdC%TTv%LOq_q5Wc{n;gzI!%0D;Mmr`Nh!qUm>jF zMt|7fy|xFJMAqpW?+g^!jx2}ZF@2$aP$7&=I)b2R+w07>*9KUN(05cU^1O65kVLOf#KrfBO-R@ZIq~& zH?>8<>>kGh|2-|IO1vUUuROsoQrQ%|m#9zX0}c(H1VLFSnYTGk%ZXPWK4Msa1B_&XNm^f z{oTEb*sE$n!?(=47jv@)Lwnv5)}vINi--acwWrvA1G%yWq(p$dTnx%2zhPbB`h+$!%QKNng4$W`d>tVP3@TV@>Bol+a%iqI!_N*t?&$7m*? zli8CcC!sM4eB>LtWKObrm18y0r50H-FH+ng2eBKi+IQX#X+(=iO`Y z@;83tOFxGDEO_(2HJrEf^4ETxXW{n=21n&+d>CDN8~%LpKwM)t<99?a`K*_2K62?* zFZ$d|pLgl=AAaqn*Ij!3r7ygMNx^^ar58ni^jGfi!_leRjUJB9g8q5XeuxXZ|Dj9tKCiU1PJ{7J*b(~U;plG!{r@uP|IMKPUj_Yd4*LI1(Eqle z|F?twcLe?K4Eo;{^gk8!|GS|7-9i6*g8ugg{qGCQ=>J5}|EZw=(?S1dg8t71{htr|zYz5Q*P#DPLI0P7{;vf6Ukm#GThRZFp#Pge z|6d0Ee;xFHJLvyT(EoQq|96A_?*;uo2>Sm|(Er1r|3^XpkAwc72L1mK^#5bf|MQ^# z7eW88g8rr8{5~A?UmWyb8uT9t`kxu}KRf8ZBItik(0_H%|Gc38`9c46LH`Xw{|kct z7X|${1^vf@{#%0n+k*b(p#P4be>Ld8Gw3HlKMVTppx+7ly`cZ@p#ON#|B|5J5Bh_k zzY_GX1^xA)e?91L2L0`z|3uK=3;G8^|7OsCGU&fQ=zk#S4}<=um7^>UwQpUqE|fR zzR+hxt6u*zqi4PTXGP!R^*=lMO|Sp*=#>w<_j^UO<}J?r&9C;9=e|ElQ!^7^li zUQdjY{qJ+5U9bOn(MP=gYohP-`kx>Drq_RM^cgRzc701OWgZ^QMB*%ThZ5h{WnEF?DZdwe%tFm7QOPN?)}~zeX-YnOLW)k zzcu>7%bMOE5=$6-iNAyvzeu*N?-0N>e zFL{M~zwPMVUVkV0kk@}A`Yx}(8~uvc--{lugbmzyKgzuRK~#GE8__p={hQIxdi`6` zpLqQzqqlyJ`@ZjsZh8IpM<4h4Ul#pOUjGBp?|c0(kKXty_kP1@!|Q)V^bxN=ivEGu zA4k9A^(WD*U+vy+8ZCMKGCJ}4v*=S^|912%UjM1+MW5^5?=b3k{SQWGUjOOn(_a5h z^jlv4DEgewbMJQ?^}YVx=%Zf$Ui9N$|3lHg_xdO9%^*LXc+~3Q=sf6qUCj505&9l6 zLC+%w=zGW;eGmDf?;%U{J!FTzhn&#&kPG@AazNiZ+~|9U5qWs#+kEuB%|qW?eDq%vtpDc+{nrNl*9HC82mLn${Wk{vF9`Zy81%m==(mFYn}YtM zLI1I!|K_0omZ1OEp#Qd@zYz2<2mQAP{dWZYD?$Hi&|eJt?+p5J&`*MX8uYWEp9lSR z&@Y01C+K&BelO_1E9k#F=)WiEKOXeIIOu;#(Erk)-w*mrL4OeRmxKOF&|eMu*Mk09 z&|eSw?+yCbgZ@U)-wgU&L4P~w?*#oPg8pvM-wXQtLH{7=-w66QgZ{0c|76gAU(kPl z(EqZa|ACe-`v_2mPml{$bGnV9M?G8#O>aiB|r$PVup#LpF|NDdf{~YvxC+Poq z(0{E%Qs{k>puZCIZ=z4%Lzwwh(Dy&3eOl>PMbAa|wf~Q~|KaG9+W%JWKOaekro~D0 z=CkO{)5uhsnIL1+A+mxXGYcp4K(?oIDmG&$+(Swcr~b?-8Kt9VkMhNMF>7b(bdrt7 zJp`F_+TB^N=%S)%QHni&<~6*BiIvG$~U|O(xTEQY4dZw^zoU zv?z*B#y>#nkh4>o-(Aex#bny+w2N*L7wyrg(@DG8EQ`xtcaoQ*8P7+i>}T&Bj)%{b zCzSaFzs&JwowOHs+r7@X6HkjyIz=4JY|@!c+Ho>LG&Oy|tQ?)4!~Kt*l`VD)+<9Ea z`Ue=Z$BFWR~`91nYyf~SZX=heWyLmUC#NAPr&Dsd_&hkkXPt&pf0t<&9=`Zj$ zqilp1oKPm1qDaT%cDt92dU2=h<_SN;{vgd3r|m4xJENjI%SYWdGNsMB@ilS7cpU4j3&sn+snFTK8?HGc+@T1(=0D~<5A2%JG(bRNlp9! zJ2dM}Mx)tiHp#NdbUK>hmz^25tH5fP87c(Gb54-=<-_ueUb`ISMbgFh_9pF4+3Aj_ zy-{~Oo|ReN&d2EIL6Nqd^k3bO#y@!h+3@R+o>7HOEa{}z$=jW5n)SxL_GFZIy76oz z-#bGfNlqX}gOpkFo#BsGIgifbz76%Pv-+J?qK|LxP@iIFbB3cwA8|j_G@o z-gq)ju$`0kEJ-IhZEky(jz(>HM|s@s$%MYESS-u-82Q+y(^)dbK9!>~2CR3c*(jaO z(o)Vs@F+wkEp`E60PE?bgEuWxyxTY%C+RE(Oat=dK#b@iSchbsW^|_WV%qIw#klO2 zQ^3o#U5;_ya@nKNRA*i4{5?wLCDZ+}{A84kN24C#ypvCo(X`hc0bAl&0N0JjoxI?m9H#m{ z;}PIHo1~p7+{1AA(tLz3FM7$ejU=OOS@@$fy?3v`4SGF%K{sueDL^xu;D6oGC`qQd z9=u~oMk;SJnT(2QJ}o9lKv`g6kz;1sOA5Tm2$wnnYxnF2#d-CbLNb>DhFa1A*bhcQZhI zlJq7Myhbli;|_i&(@)NHUkjjW{BM*35BEBgQM=v2A?^VMmPs*7Wfdg`TyLMWr+~m| zkxjaj9-JxCxR-X*ECrGsXSsk4z<~0!$}jSyoOLEe0?6+sy||cx>H#B7;(VL{dW4qQ z_bIRn=_oCL7e@JXgs`_scLtZtax$59rh+8T_=Mx|xU4Aiya0y{zbs@RCAKEEY&{ zB02!Qw2ZSZkYyVj)(8Y8%cj7DO64sUh{rfyQ?O^r1RoBph$ZS`8$j;HAS&{;J{G{^ z(;~;2LqgYfF~(+g+9i0RQKyVYC{Imj3|JtRmrurdGKsNBW8jOdXis}7pbqecZV6a0 z(-WEA$v~E~d<1wem>hLF#B<^myJ<2l1gGNesZ0m#wegXY>8LxKfVD}IB%95MFaa3= zD&_8qWM*Z1mXFH`8-FAOVRCR01*H+h+tc}a(M=*6>$gSWIP)K zW2acgIGYldpOn2BpuYn=3Quq568RZz`2}zj@GcGwHX3|kiTz6O$aEa%z*-$;-(39K z1}sme8PUrb*w!K~deio7IwNug5SFz%o9H)SV{va#rfF}2+LWDSifzlO#sro%OU-P3 ze{5S0W~qzYPjC{;@pzJh%}9YbJ79NYpU)=x?lJbK*TsW7MH^Dk2#ih#$deEk1f`jv zp0i1zUpfOe!HqCbq@ZlGaXv0aSvj5*X=gH%9Xp$JWHzW`I!?-QMm#o_G@Bxq;tZs| z3!IiK@PjZY06~B$X8d28fP@d}F$TPKTJQI3J||_EE1lgKU>|Ak#rY8D~i>n`G(Es7r*eJHo*j#c?mk zt4zmWBl7kH(3c1Tw{!>O3yd%jNf+?a8JFNA=}Tt7COP<6C9obhoF~CnX3dfWO^KK^6tjyk9|7=yZU^vGZ`$Zf6iJ+no`9KLG)lLb4MKaq9l4ou`W( zFln>60~Th|&cUyN>SOOeM{0lgWpB~Z=vc=vE7;c8$NO~FI z1b=4*&NGm33|>YhD-sg#5-cT)ok^ELz|h-2d<0a*oU14Ax|9rHze$G$Ft z=K?2^Wf_>9HehH9V$%a%9)mIgb;}!J(PqSM=AFd}))AoBo{dPp9nHEuNM0ZtNIjhv z<5*YrY>NE-^h%K1aVSA3!9Pw?kkkysdX$!3I%#HFc1N+QU`Ri#Yvh1nHTv4>VO0S7I@rI2}&FTPDbEX_!~~j zQe^uMc5WQE!I2d>3E08*2+{)BW^i5LL2<-*zWIneUM%UT1KCa>W&uEE3CK3s0x*o& z&bG2mC_+K$4wwM#!=SWk@?Ffht#I30Q(|3h$p}i=bjzx0qp7 zM_nA{1YB-{r(wlFvCCP?%ZmKGNa2kibQdA5$56#!*=e(}NRY-Lh|%))N^Bm_w-0C+ zi&Nkss9`b);3Ym2^pALIP)tZL5Ql`!B8}BO)P+95BRcUS@u1+RdRU$@6l(ZA4tN1k z3Cc5)TlpDP^=V+i;qf!f2X{J)?V=6-9;{aktqM?YdxG!6$tx$kvqBb~=RqrnRn9<1 z!Kk*U;NU^tA%c#(c^pHX1098&@Ck>&sD6$%AKC~g00D?NO<BExd51m`(uzK$GqsH;QlE_NqSW(KnyuP`32Iwv=56El_^ohu{fFIF?1R;wMyMQwVmT$kTib1By3$6^4W7e|l{Auz}BxB%J$O9XV#CYfvkWC+ zyF4?0B75^0zIs~Tk08|25|Fq;1!|8mQI-d@KZoCmr$JRV#6t(w8Q}Ymkjv*TNorhAnpn`FH(-h~!K8W5r zyhiJReB0%zSNDJm9?0!ZKpdq2g7oW!5uAB$y@ZH-{2%(tOVMXh`}`&LBYWttU5Y-J z`d@V^dLy+TxfHdi{pw56o2mWlm!bt~zvfbOh1##Z6vfnj-K8j}_M?}gF125ODf&^^ z10MQ@OVKB({l-gd>3<03YqH2c1T!;P(y1kjIJIQie&~%+L>A(QV3sCJ??W(GcXXUA zl{9al?T)rLwIxdzjgy6l+I!lbYx_0YeoR|_FU+?{eEqKSlge}_LsE%Rc-&yLvsIrs_nzH|9Fo# zXv=Vd{^Q!;(srorC$;^wwm+ur|Dx@0YWtEG$$gi!9cueYZ9lE;Z)p2R+CKVX`Q5v; zeOlYE)%L&E_J7p&=d}IbwSD9z^82@GdtKYF*7jGm{bOwx;KD-Re@)vbwf!b-zfaqL zqwOWQxX}DpYrCfHZEc^^_7mFvq_+QB+dtCwt&hlkRS*7hN|-q3fvQ`;}r_RF8{ReGd0oNYtf2p>&wEdX2-=*!p(DwJWeFI#8=y#d6Q*EEu z_WQK`8Et=E+uzmp&$NBy6>^`~Yx@puzf9Y6ZNE+1@74Clwf!Y+e_Pwvyi)ELYrCZF zzP2-M?`!*UZNFXHU)J^ywEYWhUjf8R-}@$QGi_J2y{YY?wqL33-_Z6uwf#YDe_GpL z)Ao0@{g2vyCS0WGdGFM=ukD_;rM7=d+aK2U_q2T&E>-mVuC}|{euK6@r0vgW`{&xm zaJ{16ZEO4G+8$~9ytbdv_HSwXJ=*@Lwm+xsuWS47wEYup9|i%V=e$bWH)$Jd`z6|L zY5M_fzf;@)LEE3w_FriGJKFw2;-wEdK}KdkM~X#4Bh z{;sxvrtKrJ=hJguukAaueUG+B+J02qZ_)O^RYx}j@euuU{pzW_{ z`!cxL(S07(HrIAl+gsW`uk8iKqx-#D+qY=@K5fsm{d#S`Ronkw z+h5f7x3v9#wS60SC%SK6+dXYdZJ*Wl?`!+B+Wxt=p9fxxexGRj<=TEi+aJ>QC$#+q zZU3#df2nN--i_{aSKGg*?LX1>pKJSXwEYupFXK1#yH9BQliEJolIyv)tJ;30wm+in z|E=vy-X!y1tL@vheUG*u()L%hec7Wj|AX2-ukAN!`_tO~mA3g~GJi|kuh8~H+pp91 z$FzOJn`Qovw*Nref2QrPYx|jRk$G>?wxjL3w(r;WNZXHS`!}`yl(zp++uzsrMQ@e+ zzDC;xZ9kyxU2Xrmwm+xsZ)^LSx5@8gZI`s&*Y@Mu{)D!_q3s`N`xn}#3v!<)w4G@C zt=j&Gwm+}!Z)*F>%ksN-YTMU#Pup+Q_Pe$HF>U`(Z6ABP{Qhxmx3wKs5Tc3FZ=Zc$`=7hk{odQ}cE9(2@BOq^ z>*f9Iea>f}=j^lhGjDd}G%n*2{>JiU60d8{cI?R^9LE`4$klw0yLgyq87rIkJDHfD zWmuEVIFyt5443jvZsR^4=g&-2F7bDBuox?{K3nll-p?_d&IMe__1wurJk3kYcunFs z^0E}G^LpOK?i|E1oWmu2o$qrGkMRelyf*Rov$8O+WnH%99qi3voXFW+!gbunBRs=j znX$a%V1AZlEjHmj9Ln*W#<^U=SGki1d5Q%pB>sLWR%2tfVHft}2u|W0F5wz(84SY+ z@1u=*3xl@tF#SG`;#AJ(3a;aa{DLQWk(X6Tyl*a+U{yBZZS28^n73-;^(7b#4hoO6 z0b8>#hjAija|1u-mpsGYd3m+O`{!e6)?ib%<=q^@@tnoQe4ShP8H-d;{Jrw*#rrvp zhxi?TWx5)P*I&uPEF1TVpx!F36E}M-)#_ygvloYQ0%vm`7jX^W;s);H*Zi56n6g&lxG!U7=3rhH zVMSJF1GeEE?8ZSH&QYAeIh@ZWT*)`Nksopo5Ais^=S8Nfo%nn?n3qLZk=0q3jo6&6 z*_A!nkApdaV>y%0a1mGV4Q}SAJj(M-Q77>^u3#Zv!#ccy9e58P-~`U%3tYoZ{Dfce z9Fx~g97h%wWI5JmYYyWKF63&y$6Y+kvy9bC{Jl)f&oZpZX1twub2b;o%@m6*;j*~7 zW3kt4-ynWpyi2@Sd_??>_(vwYF7ZC83Np>c!b8*Te!@ig&V@dEL3@hb6pZkPUX+~D~3OFt(4g!JFUDe5PVBU{{H|H5&D z#N(-ZyL9;Pd1c7ZH~gR}j}0*B9R)ZY{n;+(q0+JV5*~ zr*Ix$j2nEu6>)=neowqb{9)YS_&$?m+`o!rjS~B3h#RD55oc$9 z=~s)(vbOZb;%2;6dV6sv-YvbK_z^zF#oWn#OxZYbd}(=Q+~7F#i_0@uwlVzNcX0?G z=CZiK_q&c;`3Vp41b<|*CW+T&U``fh8CGQjwqj2X<2X*|b9|Xw_%+Wn+4YIz$j$sL z&Qh$zYP^n(cq4CS2XCFep}s z=`|T#M#4DwIzn$?E4F7R_T>N$<%1l<37o>|e4dNBf~&Ze>$rv6`8f~q8=mAj{=`d6 zo-FbC(lH~mG3*Bp^0m0Q6w5R0{|&asSNw_Re|%-1xbYQw;>K6viF+r*{@x&t&&ZFb z4`I;N9>!t+Zje4V9tU6Ib9|A@xrS@Gft$I9`+1nhc#3Cvk-ziuYUi?JlH zVMR7z6Sib)-p)JNgZFR%yOf^YF%?%-~I z$)h~Qvkd$3gYwa3!38H?PRhdUEWjeXnq^s+4R|AOW+!&#y}XYjIhr&16c;cYPY@j6 z3h^qw#do=tA94@l>mbEH-&g#GXZZtDrwaB<8{`MGFgpvd2+OlFYq1`iu_bTe?d-`u z9K@lV5I6Y$F+R!Je3lEjjIVG5!*LYBzdsaz%+L4*zvc;E;3cL?oj9Iw97d3qS)7ge zS(qhRhE-UDO?d;`uq`{WEBmlNhj2K@aw4blNzUT}uH+i7;|6ZyN8H2xJi*iakr$XO zO(MTiF%z>gH}f+buM*^IX>kqKVKcU57k1}B4&h`z!T9=E@$>0PjH;?i9g~l?&AT5qU#R<`4vyoxTRvT7vq+W#a2syJ8qd+Y;)XT zOv1;~55x@)@*8oOuh+z47sO$GKj<@08~@xv-*uL_!Qaglw}O1D7>kAV{2;wjJiSsZ zRwr)IR~pvugFesrio40H#$xf6a+3#{(LHYUSg_K2V9-|<49SlruNjL4L+NA5gFc$c zacjq7v*OlKudf@6Ese+ZVzID3e_bs0Zal6Zi*1YBAQt;1Zji|b<2H)Lj>l~ri=B(x zBo_NE?)AZSF?nFq;Pb?77L41D+dLSDAGbyDy~Pc_q-t?n2IKGJ-WZFuh$gZ|REJ&Z@cI~b=Ok9!8~E^&jCtzF!Eg7YtK@8I*q?Gv1Tar?$%VZHg@ zSZqu@4!-BfoDsKQEH+=fgkin8e=PQDJPsPU-i#ZZ%o}ar9(Q0Ywkz(SSnPoGV{z{f zKBxFcrb!v32Y)v+bHyDTe9pK-g5PW0p|Mz4Uw$C?oyOx~!RL(|{CJwi4PGB#*Ejit zvDj^KM+EIm(t9ziFOLk`PsC$6DegnT_Zau#;Jl1GDmX7~59`ap`>zqNi#s~_ym221 zzEA0UV|F_c5#T^@q{StRv@NAE`<72UOsRD!dy@Gk-20#A7aVN%NWu%Ao z;$Xiz;wHQ)?xVr?8+TGH)-~>9u~=9S4)z~nzp-&A$6{0BJ|6VD#0?r9pSAs^xKo4g zQydT;S9)e)PUd3~2A8aG|KL&+8eAemgP(qAJqAD3Fb;l#p}|ipH2BGcc4RjOr*4=Y zoPwdjsS_G}<)Oh>6dGh@Xz&q3r*jVHa}k$vC12+{Zsa!ZL6&T(Jg8k}<8?qU1Vt8K&_Uj<-!n@g*;e8_5 z?*Z{c9Lq@z?;F8>v&3`xJj3?dV0(BU2@Kn71K+ZJ1H<;(AU(XV1b!lYKfmPH4DU0+ ze&@u$@OP$4k;vEZz7y=1MVyoQ7`EdE+r#@%U^(fPScCN#-j{;?T8LY-Eu;BU;e9ID zFT76$_Otzd4(BM2XLR41E}p~a{CqnPm?B*pBWjpUkB;A#06N4rFbo?uomm{dWP-U z!TYrlw_|69?c2fj-r@la+qr}E5#mQUkyAK>PxCo0=5nrP*e)Kt@4Mp7+|FJ6j0YLE zj|Z{m!!oMl*nVLN%SUmbBnHe=Xc9&Eo=+<{$q zH~VrRAK*hA%Sn8KvpAQ}^F_YQHGGS~&owOn{AD@)O6kENhug1W83tAQFg+@tUnjnv zK~*^1ek+5jY8ZE8P?Zehpz0SIRLw#k;y6a-@h8Pka{*uED~!tF?}#_^Lw>@~d4%8c zEPv+jOs(7)mAk`sq9AkfNH5Hi4BLZ(?NNEVw)BQ<&en|Pu}9_X9@78X_w)Z-k4pNU z66e{U{XO;deGTGpM(Yej^`NQJ!*;9S{FoV%^PVQw??+M4F z1pA$mex4VZT=_hz?_?I|WPXP2T*3R47Dx4*>f(9~$D;)MwG`jNsD9H`9JYT2`}G$O z;YddHn#aV``4pey5=Qlz*Tn0%iQ5^DI|=@7RF63<{W#AsY%dG;yCjb4FX1{ML3&o@ z@Z2oKaNUn!dsJ_!EWH*Ruo=T~D8c?weWjE1?(D;X9LA`gGC@3rGdY(F8P!i#iPv&H zw=f*15*$xdFNxaOj@o{b=XilpeI%`NbSCCtJ{DzE4=FFM#=30G8yM9;+KaoeC;M?Q zqk6{}ao8Rge6DHYIeeB;ed90N<=(bEY?ljO|A9EFXY3Py$#DEiu-|F%kBsUUDV2xQ zGis;HB`(OQUQt$Di8WcDO&QfEZWDLpUF^;K7}X;l7LVuS4BPX9&+&{nsy~G7dO`Y1 z>2L5|zR#%M@ToW)#}d5mD{(lEC5XQlNA-o6@@*Pk&g{&~sGe}O_*zzF9X4WAKZrl~ zEZ)A;j(75I-pi<7@Syk+KFTLJn^Aq>1@Use%D4C)qk6!{;?H=9U-LUg<^NyBDU@4- z>^xW!Bd)@r>nD8wh77u9!uXHA|G!In^PgS5Jt9A%a_t|@mnh%= z?ELvhkE62BRf`SSjI9{;2Xqp5XV7I8o+kqtbOD9&Ciy!Siqr2enO@BGi|{~GGNeTd^YnbEp(QNPy$=`ZpXzRq_T^>=+J{)C_N z2)||2&-JtTccxaa$;fPs`nL*;OER2)5L`#9h-)+I*J>_q&2aufuzzQ9IR7AsqyDTx z(uZ?2CvqyIeyn-oMO?1#xvo{ZviFEqMz&uq&hfss7?29LceKj8VVTQ{v|sjjMQB{2HVFs7>PS z4Cf^TpLf6bFr$8`Gvc3kiK*0YGBE0Yia#GYUd}GWaJ)qjmlIcJEjC~?hT|@R{o9H= zG3syXBOb_M9K{KY`kBJ{3&H!%mA;Tm8O~b>wnzO->!oku4({OrM*T`B#pif|$$|@i zJRj0B>QBlc&c~uG#qzAix@^oF*oN&H^&f@v7lOarPx@ev;21{zM$!6?bEHS(I2Man zFzPRQTfC7Ua2NM6>L>a}e40POmtiTa1G5a(h+7H3&jVola(IDaAd{5OejW7HpX zm$*0Y;{$w{Q9sb*;u(B~&+{cl{XcJr-{t%Kh@Ud}_~H5Sx9V?2?Dx+qhyP_cCaGMI z_+DzcU;bI;f+5~7DnI|L#>E_R9AEQ0{=i?E!u{|vX5p1Az^hn>6!?9CSJ{O{AG~;8^l`~ja%6( zKFDJ{#q*5Dt0Z?nOvh+kW=?T_7Gr5vV0G4G6Sm|n?7*(<#r}-OrHmAhz^OE#A+=JkB$W#-Cggr*c2cz^u&8LM*{@tjt<$z-Da4w(P|2 zjK+6H<4lH0AH{IKV~`(H#4{O@(o7gKHe99#83GJzhX4r<9qQhj2Z8chLr2Mc>Ol%AM-OF;@A9+;e5#8b-#*Jq)U{8E@Kv6$#6bou>V!!GOWlNypGrNMuzhu zgV*07?#6pKfYCbb4~fTdGN0trT)=2O_i$ch@OQ#_k%8~nzL_8L6MoJk{FZ0=Go$t4 zQ(u4ZuiyN{zqxI%*7k6e4hVv_f*AEg8=V(skRLvJkN`a*1b;`RCMC?;mpj*{4B=OtiW)7X7IXt z;wEg#TiAhJ*^B)-gyB5Q;QhjRn1Rtc`_pZIiqA2ehZ*emviLQI^Du+-P2%m`&2au@ zu>G+3IL|PghZ$^-*6UA|A(1~B7|y>8w&xZXVhNUGW!7Q?hVw6j*S8Y4WhZuLIPWsp zFPwK7I86E|PT&;IvR0&b#aaKx4DrYa2NOS zOMb)C{E@#grQb_>Ucp=}$l@%^O03DKJ-4a&Cf>%5yo{%mYvw0eK?TA7#!B$Ri7$izmkl~@6ou} zXueZD+Z(e5TQeGe*iqb#y%>!j`~STU&-XryxRfgy&4XGe-pFm-$vymnM|hmkefbCR zMgF&sPfRN3Cw@;!<@`iGEO-BWm2dGqZsW)NjEDF&zvB=5l_}hRE@Kv6$pXBJWmu6l zcpb0jjl7k2up95;01o9t9LLFgl23C1U*s!%o$qinKjbI;oJaUA&+=#f&eZNd8JUfF zSePYw4Xdy=8?rfD^LBP-5BB9C4(Di2#+%2@)mYrSN39m4&g|S%5yo7x@Zb=R1tn0}G#*5tLs)k^VW4@LNXffc-4~ovGCa zGBO*Z^}h;>OY$04VQoh1el-`j=I!jv@HrX5@kZ-?4U#^bqdAdN8Ljg*PrQiBxSDTr z1GjP~_wpc*@f6SVB9o^}oJZmFGJ@j{pO+DsQ+j?DV`)}kb=G6}oQ&Z0EycI61G};p z`*R4x=Vb)1A1i*0(-}TDBiJ53HzRO~^q2V>*KrfKb2s-hd|pQIKF7sp_!BQNmGO@m zn3cI%h$R?4Pa}BW%HmpVz-Da4w(P|2?8AW^#!;NWDV)jhIUT{@UnpM6Rb0#PIUT`% zTf{rKhX;6+CmBAkBY52fakBJ@^C*07N01&qw<9oz^n5JJQY_DEtjor{fo<5HT^K&6 zBltVvb2yq44=yp?DvNFUB1tc7(SOH z*zXJRS3JS*89t{Y*e_wpz3$i%NvJz{uKAZ9; z-o}o+i@kXtAK=3r&&N4~&+vJ^#Fc!5@A7?q#83GJzv2mg&tDktkd2SCOvB5Woq1V= zSMyp{WgRwR3*O9jypwnHUf$0K`3N876P(TYe1XgPD&OLJ+{Taj84vMme#am9D^qCa zyo_0RB@6H>mSIKK;B~y7H}Y2A!EU^V12~iqaU3V}Nj}X5e37s4b-u&R{E(mUa~|Qh zJj67Mj^;#8bGeX9xr%GKo?Ezsdw76Hd6MUNfytCN(=rotFdvJu6w9+3>#{L#U>mk)7xrX7 z4(14s;UrGu96rm%T){Pbn;ZE7cX1!Tl{J%24?O%0${8#{LsES>kq=4r;Y2?Cx7UZus25jc z4PM9Vc_VM-9qh(?IDkX>5XW&cpXAeAz!&)nU*|jA%n$hqKj#sC%d`BMN#)GMdH?s; z|G!nvJj@ zuaxv?9Ca0OZ8l_cw&v~Z%pUB^K^)Gc`O1m!yO;C7KZkH6$MP{w=Tm%+OZYNh<2r6) z(tPDaz9-FBPUJ(>Kf@qzQ zsQ>1Vwv$&?4z9ySY{8qEwBAVKyuVI){d(TWTX_e&@g5G~P(H+QoXjWrG#BtizQWh} z4ma~de!|argx~Tkf9CH@ZM_g&A_b8%|a}}a;(f+ zY`|u0#kTCk?(D;X9L7#{L#U>mk)7xrX74(14sVbb&76X)-J+UXzQ!yM1YIfKvedA`Jze1q@ueSXAG z`31k?34YIC7&9&=4KHVQ=4BCH&1+eeb=Zh4cr)AaPTtLXc|RXy((~RE=TFk}-V^zd z^t|^(J|sQwJ&_OpThDtBZnsJE1%q-})SmUX`j7t6@maam7Ynfj%ds+Ru>qU072C2C zyR#1mau`Q(0;g~$=W-#JauwHdJ-2WN_wWFZ@+8mk0+XpvrDZ1OU_KUQDVAq7)@5Vf zz&32pF6_yE9Ly0M!%3XRIeeCjxq@r>HaGGE?&3av$!~a?Kk_%GR3A&vE0~J~S)65A zi8WcDO?eYArQzkw z&b%zbt9dP}vJM-u1#f0M-pRXpFYo7re1wnk3C`wxzQE;tm2dGqZsW)NjEDF&zvB=5 zl_}J#E@Kv6$pXBJWmu6lcpb0jjl7k2up95;01o9t9LLFgl23C1U*s!%o$qinKjbI; zoJaUA&+=#f&eZC68JUfFSePYw4Xdy=8?rfD^LBP-5BB9C4(Di26n>0nV-d2niW``_1J_hc?&zRD|@j&hj1jv@-a^5Q+$p~ z_%dJPI&R{2?&f|T=5e0kPrSrb>UkNMmAP4nC0LG?S&I$WjIG#~o!FgyIFQ3QiW4}6 zGdY(Fxsce2FXh2H)lT{D`0O3x35D{GPusmOfE`NyE#Toq1V=SMyp{ zWgRwR3*O9jypwnHUf$0K`3N876P(TYe1XgPD&OLJ+{Taj84vMme#am9D^qxG!ez|D zD_MY7u?#D+2Cw7wypgx^4tC=`9KfM`h~qe!Px5Il;EQ~Puk#&l=7;=*pYsU6AKZ~(6E3i82u?bu97It7)_F{hy;Yg0{MpGHbB`o3Rz!vJ<M{xqDa3<$+ zA(wI$*K$3#a0mDB0FUw{&+!72WlrQzT4rJn=3`NoVtH0$T{h+oY{T~K!k+BM!5qOc zoW!77kkmf*KeUe>kUvLxlIM7V$+Y{VWhUleJ{Dy(U$MNn8tbw#Z(tj?XBYNlKMv*y zj^QLu;~YNA#Y|d9EAe}np?&HZKF^o9l5g-`zR!>NDZk)XJi+hz3uD@S((rO-XI>WJ z)x4HT>u4puzXIBYu3{NhWDQ=&>vW>;?&Mw`NDZk)XJi+hz3uD@S((rO-XI>WJ)x4Hf zS%;0-f;Y1r@8sRQm-q8QKEg-&1ZQ(TU*K}S%D4C)xA9|s#zXv?-|+|j$`smtE@Kv6 z$pXBJWmu6lcpb0jjl7k2up95;01o9t9LLFgl23C1U*s!%o$qinKjbI;oJaUA&+=#f z&eYm{GBO+UurN#V8dhO#He_(yOsX&b5B0_9IiW}7({Y|=wBBd*Ji4gf_>Yd`kJh`1 z##Pi;pNpP{(NY}MBct*B(YiND<0}&9b<+5XL_Q>quSn!W()fx*J|vB=NaVwR+xUv4 z`+eej-{$`P&mKSdN7uLeYxn!4=U*khw<_9IYqKGnvo&vLXZB!U4&rc*=0r~AEGEqp zPUQa|ohO{M-ghG3lGgi9RCEGU@qOiF|upyX_1q3&tfdi3ark0Y{Hhj zg&o+Hz1W{aIFe)e7^m|oKF1||nXhpjH*q_6b3YIBIM47WUScZkq8XT#xmk!MSdNuh ziw)R}t=N{G*qwbiki$5N6F7x4IhPB$l&iRw>$!zHxQ7RLlqY$P7nn>tZ(3$z4(4M~ zmSTBUV_i1p4Q#{q?82Vx$H5%IF`UF{oWp0im@Bx3Z*wC*;4bdtm;8pO`6GX0O5+97 z^9tr-K^A9OR$@)oXH(w9+t`tJu{ZDI1ALg{`8a3r89vXKxRP)1UB1tc_$j~OS3JS* z`3qyl38vxY%+9dO z@O8e!&HRv`@N*vFw>-{% zmYvw0eK?TAIEoWEg)=#q3%Qi5xR&d=g*&*12Y8exd5#yDENdcv(lQfsFdvJu6w9+3 z>#{L#U>mk)7xrX74(14s;UrGu96rm%T){Pbn;ZE7cX1!T|J%3>= zdm?|*@N#BnUKZiiyp~m2hmF{RH?tk@wJfs`5`~y=RCr1d6qx(cc#vn$fu0V#yl*{lDvjhSep&moUM5~JF^G-auA1eG$(Q@ zXK@}EaT!W>;?&Mw`{% zmYvw0eK?TAIEoWEg)=#q3%Qi5xR&d=g*&*12Y8exd5#yDEO#P*(lQfsFdvJu6w9+3 z>#{L#U>mk)7xrX74(14s;UrGu96rm%T){Pbn;ZE7cX1!T z=lK#>@(sSr_xTY&#z}9@MgB-oxGd(@_s(Z zNBAh8;B3z43tY}u`4->fHh#>{c!*!~JO035nL= zcH=!9z@dDI<2ad5@@X#Mi+qKz^Br#Hhx~+}^9aA?S^mu5ncDoPjLgP7EX6n>0nV-d2 zniW``_1J_hc?&zRD|@j&hj1jv@-a^5Q+$p~_%dJPI&R{2?&f|T=5e0kPrSrb`mr-G zD|53DORyX(vlbh$8C$U}JFz?aa3F_q6en;BXL2qVaw%7FE!T4kcW@66@F-9694|1L z{_C{N#2n1WqAbPotj4-*%p2H-?b(Gr*^h%cf@3&|(>RCEaxqtM4d3QQe!yMa$1nK} zPxD9q#+3T8)AI`EVnG&XSyp0A)@M`R#M{`Bcd<9`;{$w{kK2mZx5N&cLk9%|a}}a;(f+ zY`|u0#kTCk?(D;X9L7#{L#U>mk)7xrX74(14s;UrGu96rm%T){Pbn;ZE7cX1!Tc>vc zE0~J~S)65Ai8WcDO?eYmSIKKV9=b9)Smt)wx|EA=F2CoyOubQ>uZm0%A0r_ zJMu2}=6!sC4|6;p=YPt&Yya-Q`+xW28}7O}isLz%(>aI#?9cPhe*YFeM{BlaM|NW` z_T&Bhqxm)8>lSe-SMqhP<3|2p&xil*%ShrsGmJr zfAtaR6B#|1XomP{M*Z#4I;_j3uV(aoqIbocnbhx|D3|=V^t=CGxt{!AInKYgd@W|(p|IzE-ul{ZdzxT_Sg;%lwuVNWiWDQ=&XkD;BYn_n)w(t8t?eqSpeV+M$rdkQ`&;d|N#}ne zpZ-38>M{_c)w4?E7&{DFT~ds)(X`}du-_K(jJfMk0(0u>_;` z|H|T8Y`|u0#b~@oCvkW7;Xn>!RKK4fp2C@&%Y}^Y!>hz=xt?3NgVDH-r03=)zTb`N z10Qe~_wh@9!_)kczcHopk?DB_bFmc*Ccc-X=jJBzA-X=L_xsJlU|Ff~ z`t(Qp|AJ}Z;p;Lo7zQ84d03cHf9EygDy+?hY|hraot@c(eL0B3IhqqWm9sdH(YTIf z;?;bU8@QFxIJUjwgFMDlJkO}Sle8X8;(SP24dO@O8e!&HRv` z@N*vFw>-pS^IET-2F;{R6-{wYsz+K$OFZm5m^GE*1l-5&9&nuXV1zDVBS&21S zpG|oaZ(~Q^#ooM+5Ab1*=i{8gXZSo{;!3{3clka);-~zAU-1OL=P!(lI$qBkc`NT=H{Qbm9Lk3{j+6N$pXLI-$XEC}-{EF{$WQn= zkMLWb<kY2YHOAc%B!T+#|QW@$MbQ{;4^%lFL5Q`;JbXEAMsOu!LN9N-}4v7tf!QQmoq!_ zvIwu{wXDiIY{VA4neBKd@8-R{pAYg8KFTLJoAdbsm-AJ=#rL?4AM-OF;@A9+Kk!$k z2s#4d*Q3jrg;%lwuVNWiWDQ=&>v#{L#U>mk) z7xrX74(14s;UrGu96rm%T){Pbn;ZE7cX1!T8$0qY_U3(jfDdy#ALk4{!{_-DSMm+M%lG*aKjjzviYNFze_<@>*p6S1((rO- zXI>WJ)x4HfS%;0-f;Y1r@8sRQm-q8QKEg-&1ZQ(TU*K}S%D4C)xA9|s#zXv?-|+|j z$`qcLbQ!boN*3T%EW?Vd!RvTEZ{)4KgWY%!2XH7K;y6y`lYE*B_#$87>wJfs`5`~y z=RCr1d6qx(cc#vjIG-{y8}qO*OY$04VQn^KbGGK~?93kQ%RwB@(VWPsoW*%u#ARH~ zH@SgZxs!W&kjHq6=XsIIJufL8GczaivlvUW0;{tgo3JHsVFz|)FZSmUj^tQA#_4>D z&v6M~=4)KXP2A4i+|R>2&NKXpmzXLTAQRUAnU%R&h$UE#m061o*o>{%mYvw0eK?TA zIEoWEg)=#q3%Qi5xc2|GcRt`zROcE$YpF_B3vE&Hf*OU^Al{hTqSzu01(jN`g3ucf zn{56-B7uakK@iXsQR5XJqXmg2*aT2Ou|@?EiwFw0D5zMqqM}4aMMTAdihrnmzuouS zJr111NNu0{+}rc8{ATvNdFMNS=FFLy-C6Px@-cEF`8@dw`3AXz+(mv$MmqBNPqrbC zCXXXKlU>Qv$+O5@@;veaaxgiJEFv!@$B^U6E6Hof>EsM@HaVAEL^hMl$d%+;as&Ac z`6Br$xs80E{D|C3w!#Otq#s4e?~uomndHf2Hrb8rLG~ukCkK#uWIj2Z97V>-iR2XW zIk{m-`MouQLBCjLs z$XTST9RJ_y*RJ)KmW9c>tB$E^7B1MlI_S2`9(O_9HJMhmtX}l&m1fk(0@(41GwyzAf}%Q9_+Xk zJZ>GxN8>oqajG-p>PntYo<-)8dVIQo>x0Q*WD$8OspI{4u3t%BLry1WkUE~t<@zGB znOsJ$B-fG~$Y;nG$ydp3R1 zIH{lWjB|Y=IfV?iUvNFxj}Mgpb3l!9{$1{G`hIXe?t1?~2CGtWdBq@Ifrn? zCvB>_MvqQnS6!1wH{a6D_2?E`x_KVm5=$rEu5zrfbhmkQYb>3dQ@Q*$SUQ=rx^&N4 zx`iIyW=kj6eq4TAE!`a+-4084r$@Kj(n+1Ww)?rIlXFa$POc|uKae@ROLv5&yW68{ zXX%hJcdtixs-?Tnqm$pgP(SI5uJX!tGS$gBjZ4?Z(%tXT^|N%# zJi0-a?g5WZ&bKwbAkx&Eiym3`Eun`G%8^5~{ox|JT?G)woe zM>oULt@7v^EZu64ZoZ|HYcj6#F1B=X&g;@Gv2<%Zx)qjgtw*=U(*2J|x53i=$)kJL z(yjC8Hd{KmM&&B+R!jG|N4LY$t@r45TRIujUEBTK(mmnP$@7!6KR@Zw9bxI7^62Eg znfh(?=;V0Es%st6nx(y0<*KXD!_} zk8ZQ2d)uSiYU#FnbUQ5FJ09I`ODA(iS9w3TbaGAArEB$#^nUrCM|Xs!d*7pLXX$o& zbR8|-2OeE#OZQigPVTqs__E8Rljo4APUh0Cdg)>5KJ@7NSh|lqx_*}KV~=i-rQ74t z4YPEgcyz^oyVec{o~uyh7<09Sks zmQL=Gxpea_o!ov<5mmVYg4D6Y;<3 zG&`u1JV__xCHupHbUDxjx7$Pi2zgRoNvGn~8n0ZuBxUu_Fa@AoZyE=RPPW$zFWb)? zX3Y2To+d3v9^SBZ--qr}6@jX&3h3&flk*cz8lPMbw&SZsd^#Rnjy#QT2A1vZ_JgQi z&8U;rI=pPZI?Hbob>c7QxI(Qmc^-wvgtt@6GB*n9Bo0lwEc=u$OP=`M`g2vK^JHwW z=5+|@-u@NZ`pA8^dkl2;c5_B%n4E7Vw|kYf z-BSX7U5e9vM_hHg^81yxU%>?UAz%8{)t2Ac0lzZwQxnTi?rYnAb?|G!d*XMk<@Zah z<6kN*Dlz7vZ>CmLdB1?j_N$zjVGMNQcOB$f&nZ84+~FgQc|;nVNw>9+UrmNt7)|a){pz9=|!*DNa%| z(B-#oW`@Z^zWCkf@p~A4(q5WeemmhO`>*)nc8Rn8&Yf(`05t<$ezkbONCEQ2Zzkw! zk6G}O`I07=-!}NkZ?=lxEQnox*Ie=C@z3RF>N67ecEs-%kKa!C$+Pk_>0+?I)WR{|@~HD)E~Gy2`ty2AY(cyFFIHPuo|okIQZq+}{TO#+ai+ z_~p$;dq5}gHG!`9M#AqUJs3j=c_IbtuTuQDf6Rlz<#%qaF`M=?o(BDD=b=4NffC3#n4!6HG-VB)&zUtGqSv>!@a+%P(_DhRH;}_}vM* z{2D@*Hy3_c^t;RBw-SC!k*~=WUn%@rAd>j*23_%u#m;?~P6D8F`So3j;~PxGPv#CT zzfA0mzX{R*#^XUpwHU|6Pp^-oJMOc8G&P~TlELJP&#Xp!;1DN%Qa`Tvesza2@>y|B zF2Bt6IKFXwS?2M(1~)zASv8tme(RpdFyq@K<6G|WdlQ-KC3q&6U+&8oUwAzKBebsa z-m}t}Ju(qsa`|m~4ei0>`9sjU{1&YttC~wQh zXkV6hwI{wV&l&S;EiC9Nui1I#Ta>pnI^I8{>NnVpscq_I9}X%R4HXs=xIfzaiT(Zx1mZY!p9^ z2TyqXR_(-i7G}IUE^01BA*Fqv^7vKYqRZt+K)~dxzxopqAI}$_hSpVoZ==WEBohfH zmtR{vU@h~z$@rdu*5x1PM^ooB=(0|~wfKPTgCW|ZU_jLDWP8Xtpew#B zJ4ejJ9avbvRo)AS!0&`)d0+7O^~{Z!+;#`{%bkGsJtpb*lE?3gK@rm@M1QHPiyC=m zuJo6eJ${e;SH#G9v?f=1YiC4FBShl21$5P4Pkg~abedd#Su>*sLuJD6RnX=43jF%1 z8R+uc1HS^~OMI_`F27TTM9kyJ*W~icyE$s)Gu`6%2E;DE-SCrZ8Jb*v1+$`N6-46q z7U=S;9U3utY6iOeGV7zJl71NCoPI6vn;fRUz)#1QcRYTV{5oQ$AYYR!zLtilSqPDo z_g&Cc-YxK(t|rjw;y&~7IoRKjDSmRk=khBrh?obEugT>%{`c^MNc=tkU4ETo;reqa z9`LCBd6&m;4gA8y*S#qn--jN*NrjP6^ZTs17@y%I<^9;>S0B=^ujTiN$8SkUzf#NZ zGmqa!_=V|zweZvax7Xu0uqa}%i=>h+2K!&myr@}+OsT&wJbsIdBW82T&E22(%tw3B zPp^;fZ$4RD5;0jC6nu3t7+?F_qo#&_dVPFDu2+SvbG?JJNn~ZPn$Mb!j-i_KVYgQIFq+Bg6UC-<9?|(&IP2JYq2ANF`m| zr+x2E`?c}-HQ?gpYbiH(f2nVdCi;Q&XH3bQ^>@YSaDJ=qN&Crf@w(chpdy^#wtLfl zM|u2yRvFH(?UJ;gTswEg*Lh4hzwS%Ze#d(J4y}rq9OP@##bEmu+>i4kWJ-I;JpfmH zXN--QL)8SPx)}7^xh!hryQbnN-$8Tv^@vA|+_%)^^6R@iYWmXe1drbt)e+N1Hpb-g zt9uahN$4cL6Fq+COu+nE%|Mr5&cm3$AYc4CdHk-1Uzqt&4g7Te{5_A~A(JC!;PL4I zUGa^_gPjlWl#EZlJM3zYL9-)fRNBs2-WogrTF>{pc>GqwFU)*$5BzjK+12BBEI!!R zEkt~!Z$(Xaw#R>Z{4RiBLkPb{_^qSgX&%4r@H;w$U+K1J>iY8!Jbq?QxboJ(Ps{s5 zk6$I*vopSn@x_TyD IM4m4G9Vzdq>Hq)$ literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d new file mode 100644 index 0000000..17c9fc9 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d @@ -0,0 +1,383 @@ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d \ + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o: \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o new file mode 100644 index 0000000000000000000000000000000000000000..c62f00ace1602bd8e481a93176a5ddb720496177 GIT binary patch literal 784824 zcmdSCbzD}<_dmL4X3xU|JP3&KsHi9gcGs~$>;&6m*Rfmd?!d;z?(S|8ySrOa>~6j9 zHG7Ej`Fy{>dtdkUx_?|>hrMR?nl-U!W)Gaf<*Jrvj2UtNm=RzkV1^O6&z)GZpb`i$ zhO=QxdOC2Do2lV!4d-e&Ps0ToF4Ay`hRZZuq2VeG*J!v-!wnj4(r}B0+ceyv;VupL zXt+!Up3(4}h8Hxvq~R3}uW5Kg!&@5O(eR#z4>WwF;S&vG zG<>e%3k_ds_(sEb8h+65lZIb3{HEa#4S#9)M?-2f{AUOarG_pVx@zdIp@)W^8hUH! zqhWFlQ)-w>!!#PE)iAw=88r0QFtdhPHO!`A4h?f@m`B5W8Wzy7kcLGxET&;`4NGcR zTEid>gEcItVFe8o%L=Fp?WCZQZKOs_`e9y0L z@6+ej=1|{NE!y>E*^-=}yZM&9<>5c_#ROJ-?&cRGjU0{(tbl(QE3hQY<$h=%SMDCn z^RI64B8K_nm%N?WX5jj=!}zwM(+gr8D{j8aWD{ zpX1_vB{f6Bb<$i>Fo z1n#5oe83;Z+_cFnWfYzf_{|un4iLmySQI2;9_2}D{PO=e);P@)B-kM#snB^0N=#qXdhtAs_7pQa}O{~`KqeTFw2*chFN1UF<@#kGlX4+rvcyYa;7NwXQEsT=_~`j zjKIUTfF4vb$6n)x%+!!L>xoDYvq=Vpp#6Wxh8io;KxVVRNEnaOKiC?$d@f>)P8O-kHYcsh0%mxHykDLhK_Qo$< z44ZxZ7Xf3Q<+$N(zuiw7N$t^iHTc+fXAI zVJ}NUhB;{n6v|PxZ6t;q^ciEu<)AOgB+fxUi;$3m{)&(&2LmmMgd7aE2njhDst8sN zRF#KY5(!lvVM!!Zd6Xrgs;p`_#v;Vku=fgtY}Ihw6%kj%@g!uJlgkOi9!{0Akr-;Y zyT6R9;U3FSLM!jJ2njXZrwEB^c)*fSHT*Ra9pj)yNJ;sh)dUm3VMX9ch#+r5;)q31 z&EC5+!a1r4rmE1sOm@S5%(5X!<*OG6$1Q?t)G?R{?I$ckT$OjtK^?3rpV~pSSB-j_ zgbefY6*TG@s-=y@Q03o|n8>V-{l_vyRrXdL+h7)j>g=t0HnS+I(%!0T3oS#n_Exnv zHHE6}y~9HqcAM7nB8uNwRMW+xs7!cIZHp1%swtET@AVF7xoHYDzqd+`yG2pi@y-~C z{5dQNqx5*I^mu3r<;Pp)CyA!SCFs{a)Htc?^vXE3|$XkgSJ`0K?zNC!OVk609 zro9sLb1@9>YPk?+6>Uw`PBg(NtEwp};4yh{wX%l2x<#>S*XS_nQbWslK*mU6+AFd$ zuxJGl%Fa?iDu|j&&hSZn6-u>~TN_Cth&Jp*d=TxlITgg1YZ&|OHHCukPF@PB>7Xge zi6X|M-8)$nPR(Ei+F4VmLwc(~yJ)SrK>uNQH|apTv07ACfp%ANhEGmUIPalCvymhM z4QJi)vh((8jVesA%!#z*;8$k!)=AnyDx&-dhRhUAQK5ImYs)@OQ<9R53O&N2sL;Jv zJ%h{)EkmJGM#r-Vc(pP*i~WobbheT+d6eqBvF}r>~y`+zJxRc>u0nT*+gF(0?M zAbvAiT`{|5j@f^*Lf~0-`oq4&n=?4c89uX@p^Gw325cmmjN3hVi+FQhoYrOBOPK>N zDW4S2^RajyGz%mzA7a>(Sp*R$oPzI-7f$(~!p{E?PR*~!3#YMome&;Ao`k2vxrDeF|g1f5DnmeOqy8sAqrw(^!%*)n_{oTt zCeS<7d(kU z_oT9Fj44Xa@M*pe37x6}v5_RgTEVAz#D%p|n@b35m8K+wwOUhDST*a{GVE(KMTJ#o z8V2}UO;NAC%9+s7)@h1*?Wtznpeb>oFX!73hn3Nd+?~oQ^i4|6@VSU14*O;mtc@fQ z`YCQE(f#POwie%y&S*kHKRWjx4o~td(6$_&=SSlmUeFF#TtwU#l?xk5!r>!676q#x zJx=7&>PJr!yHx$?siq|Kqi32DSL=s-O?(i~c@ZkBTE{9m!)IX%1o1+-wUH!(_|5%1 z;~MObHkZ&~hEUTQOK32rDGB|EYf3^tGBqVGtY5qgd|0`WVsCs{HYI2Hl!?T+aS;@V zjU*A4uecQ-R$6T?A*^(ok`PvUO-blSewvcdk1}XVLO;r=DGB{3lcvOlo<{tN4?VM} zMP-%IEK1JsaePDv&8mX6kt9M76ji-+KME2{>8+43AC=LBgnm?3Q{o(!7M-DO<*J-W zLS^N!ymlB-1oKe^<-$gia9CelK*8!q4H9{@`qAHsU8;W6P*W26QRDvzqMrB=A4C%| zI6jD`I*6g6nEIM2w>FYQ5Isa&@3?-{Gf@!9)qE6|CXj%6Yd-3&DGB|kucpN1 zrn?viA69Pq36shyH~n>319841OXx?_G$o-QMQBPwKbo#7 z3H@k>rX=*EnVOQ&k7jF1TOb-K-r-wYBAfdBidu9rqE*6+uuYt*=ib+%RDD(LUTBKy1QqcHdZ`IsGNtH8wKwNcgS4>Z7R9v^5nC1?1gUx3maA^qms%7%4L@p%d1hm{uu`(zydEFg3MGfJ6^RC4 zsp7DaB%(TC9;B$YMu2lrJ5Z-MD$YX|#ZASjf{4;GYByM?HX@JKGS&b$?fcD#@j)Cj zJ5yQZ=eUwHd`hN6eoiR2Hj+dTcg+mWxYXRU%vl>y<=}y)#MSbS84PKwmXFL2@eUqq z2b(vdw>(iMZ6t{o*JraUIk=2Zm-a7a1T7=tH zpn#e*mCOsCRoS%ieZ0AJO3v`vn+a7)uMF5o67yRw**M-@ZuMP)yVC22W}%#NaJ;#^ zGKk8mviX#p;q$rzW}*DbfQ=+k*+99L%+0?9@{RY$OSDtz=~stms<* zhq;!rW4yUGGB=e~W!q|V>CkoT?UVr3i?9mAoQJTI_J@Hd=l{^>2N z<79~Yo6g0L(IfiEhB)@-{&uH2H|Zl+KZlpgUOh!=jM;JDvB5J4Ak0 z+*HoTX%YTVnHR56?w{J3WG|94Ob*0E$o+ktu_QcPwpL;IIn{6;A={zK+&`03jf9bM zKKc{)4{&}Y;Zbrm>dyVMIYVnAs?qW$8i4!fwZ3jF15bTu!N)Dik&OuI;WO?9w8zMS zl){|$2{O_*E`<~2W*j5Or*M+|k~oEv%>W#D_>bjGJGQF zcsEiWM8(ln7RiHX0IRDkmdkJ$9AD2Rav{#$;=9UH*+th=b(Li@2!}uMU1ho40E67$ z!|Ez4qz&8M_^z^2W>mVmtE`gMu`i16DywB$#no9@BWGYO9N$&eN~hxLEUc4_5@%t( zY={>`d{@~Zv7`m6yUIpcLseUMl})mQ>I}N8Y?ghMa89eMY?0Z}_S98;qO)QG*(z6} z3m`|k2Ve~^aqCT z1-TV{A?0f-UsU5LX|0e-hW(Q2Ybm2wfPPu!)bM#z6Qk~me2WB|L${lTYsMhhNo7{R zGxY>q-eKmRu`Y&N&Q7#&%>R%XZr!QqGw7s?;oh1RMA_4HTRsu;X{ua?gJ1t?mK_y1ZewX#jK$shQ_f zqqw0k6*u9~+mT{0RML)$<%ZLdC)f{`irj_ud{l&`bWJ-Lj{KHc)AxC-jK}Q0`8q*g z^Blg(IwW9T>6-R290e*V8_AG?$MENV1CItE>95Uc^VQ=MS_07}=l0g>cXQcQ1gZ5{R%DFNimYYq%t3pLe<=yPSsuCvrhcMqx$B=^RR287y zw-!G0IYKRT1UKBHF+rAutg2&rBmL7=%$Up^jYoiHWwr?w$?zwfbU2z?A(*}+@1c^- z$0?rOm*R1>pv(yWF$@VLo9=V)pdW2KmAMdzA4@v{Hv;UAuv5T%$6*{gdQp*lEINo} z_0~RMrka7qe$mKgv~_IDf%Qkard?K3Sdw&2Tl`}<_AFK&y?h^Eg5+LH&*_`9H2g6O)?n z9t;S_v9}7#rz)^fD+M0-e#B;i!fdF6(^#5yBywThZC)3}O!DFO_apXVh;FQVG|0(jajR;50CV|8efD`yT9pocg@*Kh2$^!%&H#{5Qmf z|840so+FY`yanayGBJ0GDA{1l}WwbYM)qYkc9S8 zsBWKwO7s+-8CZQZF?Gj8qD0E5ML#PcLa3J}2*Fhv5Y#+PJx`5QObpc^SvL;HlwYv-rcBajM%wi&ig#1|U^gnbkGya7IZ;Ws>Dg zGoN!KiK8@oOlD4GMdJmkGMSmS7oh}c)aKk?9?esR{ez$|pbd8_e1w{gHraVc1D*-# zcE;k$rlTRJHxro|JsVLs((PzEJ3a=tv67@^_q24d+Jw^_Pb=C1a}at{-3KZjl{QhnH3O80MUfx2&U$@+|xpGG{XruG=bg;lGqQD@+8xoyONP=jg%cQ0+Co zl{rt&f&C5|-^-lscS66T#*Z*(60Geo*L>YX+Cju z=G2BM3s*<*}CPq~% z^F1i;)XP!>Ro=OgrGgz!e{&j3jAf~W&4A+%4^f!guRg*^(voI3#@xcO1oa$Q52}m_ z#?KnUu!R82>T0?ep5w5*F{Hr5$eQwjFg(YTq(w-j&f?@C5K^h#e=2hoPsB1#N4z=7tNrX6y2O{$gX0q4 zt=2BEH7F?-Om@!)xV>hdunik3BOv8TeO*ut=lMx@^L;rj;4WmCpghs6kZuXG&|6TwxW9Dv{R0nu1!b7~ z+nmcig(3QD)Wx~i2h|*;QM+?@ZRifusH?M#2Xx1Yp~{iNX<*|k!o?(IDwC5>f$n6D z1~`9B1v*viN8sE)r?YEIR5?PUxt+^C!SW3C0RS5j=dYbWXK6H_GyQEuHAm2eO75TE zxgaBSBQ;vUkd%g0CauHWDTPxIk8aoOta=y{8O5M!7!m4;CVrX2Fmk*~fmS}E?kf0! znX}L@w8SyC9_1jyi+ZCak1M<{;S>Dr20NkT7ZKiVEcjKf zuAC5}xiUKlIlXhh*+XTFF1Q=H=+b3H{M06Hp-`AAt8NL51TFVpc;sOr9PctC@5ww^ z(W8KEkio971yIO$9keA7%Kug~vGq2DF!S-ehOr%t0;EC2f=NK3c<`^#Mm#n^U)wIU z6ZnBd_#nugON5UCelG#fJrQ_ZTv(`M?;l`;1?-g1&LhDXmqg9oa(~tN~P(GabXl?$KN6w?W`vA(}u>wP!VOQy)wi?EHfSA z%&6>lSK0rI%oJl|BXJYAazadGswG(LWqeRol&#?)=2)-@(pA}5bl!c0pP2#4YO2A$ z0RIcyX`^#)RekY+g^+`*t~5RV+d)1|O{^MT^;WG@D+i$<=19^ADN3Upj3-<<@Kp|? zfhz~TXienTa!{O&N{t@%K0c{l2mxz-gROx55-J%VD5>d9pep{4BM^Qd(7){e4mS@A zh0)MFtR~B67{%G!DX@Go-ZC{;bEvTo@s=-<<)LI*1%7!tEbk%9$slXRsce;G)r(-< z(9pyy3P05B*^sDGD!}bzhJ}=f!LLoQ9Y$y6(kQ+fXceP3IE>=664aP1%_L=&peEG5xVmkWQ0Z?4!ol z{IIYf0*ZlXhvK^?%NrkU39!n-8KVJiMVpVJZ3UW@^!yai6*W^BBFSIw#oa66ELCPP2-pfK z;9@e7#2pM`Gtlh;ko9%PEq70tLL(Q9*DdWRfeF){u}VH9>Bs;Jbm_{&hq=4Q=_m1s zmv?vfaCf*D#YF3wGLeKAB?I%+Q!1(-IY{k;h^c02w1A@eCLko8)<@+ju9oS*)pa0ldc~D-T)*T-R@lps zoMm-bxymS2w*BMfRCt-Py_eiKGK#-%msts;dzc1owtFGKI-5G0!l9bE6Yc_Om+tlxW$6>SC@);8yan_~jGY2NOhY9R_J* zZIs)f&M`VLi4`2C5c-E#F@6RrNXt2t>7^6@k6td!2q8dh)4;oWK^zxH#cJZV89fa9 zpVSLz636FlEZci2ybsEx6^F;^`Nhli{tr0|kCO{WAp$?je(BT;sJswA%&4^+fR?^- zEGi$jSmyz*TgCeT&#dBGfbUk37F9S&A~PVUf0zJO=|~kEFn?}0NgiE%timP)~Wvv2D1gE;^PCR zVnjoc0&%T|qLLSWz#u?N4U0h~YG*JA;4Se#G%X21fZoL>-R$LeJQ}{qiclV4J|dBgc0qVi54d&%gT~2!^E@npiV*0okxLLlbL; z#`oF;&6u9BCa?rT z6AS!1(~0v%o#Q_}SlvkVTUujT9;k%*kX#e^@9qhr?tr0WAWk!ML4syzV$FZYL{(u% zC;{sIJ;;@&7Ef&;+$QiPb_EB&hvs?y%Hq5+I}GA|YJLg%v}+1oe9H zE>TF_#3dB|e^Z&diK7ZpQIQ@q>Lxb(UzPb+4y?*pYX3tHRAq?!f2@q99$%S`DtL;4 z-gnkN5}|*T82U#YhW^DtUkg=zgNl9{|4$ev7k6f&#f<+i?VGA;3>us`^f(?oM>64>T)K4}4NZqB zZ4+xj-kw6HSTh6#-;|bo;-~PyJxgUIiA+qF9tH8K6z7hLS2UH(vJk)2DFRY!O13n* zklj~i`Qku;c~iwn=SXYuOi?VI3`nsCfn|3$Mb7kErJ$e8@+=QlNEKZaMZ~ZS($8KJ zMoMS2WGs3Qf0=sgVMLxclSPj6@SJ(bEWfZvH^oPm+2V;$EQ>CEW;ni8fq!8Ey7ZN0 z)1_|=-zpXNJIkRI+F3scRbZI^>uS*Mf1zlRmE9%lBUP+f0^UAuk zgjdm}rM#*xE#uX6X*s7GNaS|~uc1pTxq4TDwu-Bl5=yIiP0d}yYw6NjUR#&e@jAM+ zo};rXdmA`D5UJ8eUSF3saeTg0w9Wi)UE0DM>e5!;$SSF)m}xdngqo%)N;Z*cp5qgZ zNZV9;j2wqf@7YZ2dNr3k*ERG0zpMGr5~GYfF6V z6alR)lFSp@7=xgML%KhGf-&OJ)WnY66rOG}+43Kl2b`^?tG##b?ob!ky zODE|cYr}8qCsws=zhRxFPl2KMa|Gfo>tfnIvcG2_J{g>6F!EHRYhn!%m_*vIPKQCa z7CLY*9k)o4(uWMF0b%$#BdHI?kI1PPlYZojo}y|(m0NzIg|_9@m#%< z>||Hv@Rr1Fwuzp9l9O(JEMTQ^0jICh_<~=%mB#js`1=Ytx=@i|P)Nh0IClTD6HOXJWn zvT!6jJ;U>oh{o+24zgJ9uWIa>iNoe7B!;M`K7!qb^>WXz^cEc9bUWwcW&>9!NI(;MNf` zA3;xP+0R0CuZUN#G#27w8F~@^dXF?x=OypEMw6}!zTHcsJ^mPnG~TK{kSU7FqaKp| zZlCDcXcFn}#b4KuM%N%J|Ex-0rl`8V+D-JssvL5G8Qm?4CzY*R90Osd{pucVw*yj(*V!r-)ub$v^5z zl$33huRcWg+eyAI)uZPWcY5Ab8IE2+T?9H@QL2Z$&7QVAbEw7UZe-^L zE~a4&CZlL;;jcI#<^!ZVusd;IA0x`QAyhuKhU^R;N0f;^6iG$ZH^LrKxeC6YNF&#N zdS2g5lCLlUqtEvw-F+&CBUPzIPGMnyI^s&FH0nGdYXc5YPV%*(@_7$>)~i93o%p*1 z$m9un`qd;#)*JLJ{*z>mZl&kNi)3x|VS1*)O(*18jfnZ>sC;n_)oybyil=;Dq8N`z z%i{>y>>fZdq)-;T!^y(7HzaduAwA1CCz-+c2!y^}nkZk2koN)~$y$&v)n#c>k|~Jw z79uZ3W%qq#C;vU7i2LN-7iS{KJU;14qgWf#tvQ`2pU0B?_t6y34t#<^w!2cf`bXk! z!IA)@Z!*c`#-9~HJW6I;W|HZtYUdh4u~os}7Li7Y_9Roi3duJ)NA$eC$(LIvl5cp3 zD1VMnx%F5oCu>OMS^G#g?J4s3!INTGgZD7#c+a8jj*x}vg-L$OQj*LWM)a&I<`Z40 zd``{SgH$<73zC^Xm*|7B+d*s&@-pTX(IeFi=9!wTwZ|1iX_Tu-e2jZ-+v#~7M_-_)B43rl=$Q%kVvvU{WI0PT$;{qMS_9LPmrg2^ z#i|i^jd}-l#Q_*{sNM_Jev$6a{uIfT=VWS|DmX&R*?K&{9X@wvg*~(&yi&PVx+aR zA8~t6CHa`lR9>5xwAQN8_p3E&g)w^eXh8Jm1E@wN=aZM+{-m|z1nyE88B^eI4jC)( zoy%r)nnTYU{fRzp6~i;|7RU1qmdNQI^dhL5M` z1YER`M(I^#zwj{1KqRhCN~2nT;^xHnS)1XCeUHuPoQW({xImV(sW;TC=_*ca&e0aC zS9qxR#kD&Llu|Afe@p!3I*dX5y*6Y>0rOx7l@zyl6HM>%`3b108xx%vZ)W645M zqwy@V6dZ)J8(2Wg32YTj)Dzj9`H-K)CcKB_WcCgjmQ&altTAniSnrbXwuenP3cbB7 zVmxBq#|qQ}aX+g;v*-a9L{sfSHUhUCqnE#5%%X0G7!y9=faRa z%F--`$T5}_e?LSXXQlAV9r6S_w-h2LS^g;?US#{Q%(A^^5jI#E&j((hsQCeUH%#Eg z%LALpJ!uqA;tz3?Ku+dUD*;U5{x86r%Aet0qnyUKu7%AA?wS*Br}L{cz0TmPD9)L@ z7Y(#oJWU>e+59Q?!nWnSDAqZ)Tf7MtI<_goxer!mh=*IyWRYUm9hhDu^3ex+N_Lj? znUJU=1-C+|c*{4Sl)gP0RjE>T3d*5>%7b3-`5VYI^GpNJon8BZ9#$naVj9X?T?QK$ zxL_NpA9faEbJ_C4z!tJ*RUxvP*{uo!oMX>d!2LyL!wqA3g^i>+=Q_*39SXNui&#iLXN?^2@R~i(3+xlyLPOyj`*IE< z!+B5==#Aly;qo&os6Yu1F#v10r(h)fcr zsb|g+b?qR|6Rp<)TO;n2f#h~k8Q&7*2@#DyCMGY7$uu+F7gu&cBt|?O50RJRpY*8F z7tt;|h`&UWO~6K*lW8`ZVy4CQ0~u)!^F~OI%sJSN%jf3l9cYIy=H8X0VD_&M55whU z>_y~Q*Ndtn~X1x0mE@S~lO6PbVPGhjNA&Bwxs9;UK<~RqYV@BIjp=+n>_j4q&Kl#dD~S zvdxVK7;mdL6$;aB#qg0sF0zGIfxpeR+TVfgv+eo>^^>-v8DZ>}?HH|ipV-a@LE)pV z$!rk6+o~J~HqIpre)mVta0%!Py-1g9*c8i^E(dT+TCR0DOe_6uF0;RYcfjRUZxEwh z?&HKvo_6_7E4Pa-XOjZlcG>2G`rUVFgxhyA)}<-U=C56zzo9y~bWVI8*#uG_F5m%CiOg5ma{Yern~m&aU(^#yjxHF7>AZ@P}}0+9!<6-EMk<(e-Q zOn-1abr8hguB<*hjBwl48@!2bPiaXu)y?x6uo-SGvVk|tt?FffNVlAQps>)bTXj@y zv72)?u;p&cF~P{yZfEgZkaDftml23_liT)W5ZU3j4!26=0k^ZQp&sSdXg_QobDNS6 z9!|KGpf}?gx2S%|#09ta2f(}JHiDM2*W7lvK<}1Y>tXP4$1Rfj(gU~3IPsEC+`iDd zFUD=nbm+Zs+k67NH*Q1RfxUB^i!a{tliTFOF!s%D3+>W=xV?#n_djk6S_2#I-WRu+ zxy-6PmA6+?hjkT%18Iol=83c z*J(8}%yF$Gz!*o>+^FqDNBW*nk8l(!1-Ek?`)CWY&~Yymyfuy+9YEaV@TWED4#%YT zAntSYDvfZX9AWoi<%Hu&W$>;zGEvXE?y!x5v0IL#hv4t7!=4_z2aaJAVDqu#(O`fW z$BHEoiFMpM0g+b@mni7Hbxa8Z_Q6s8DR`e9|KK1_eskFH$7AJBM?YG#|8Z3B2r$ee zmS&BS9-Fg4Z;Z$B*-#ko(PBShndq?x-yr1_kGcKeHo_yFCwMbF`cVdFdvu{SNu)=J zFR%q3xn@IQvB#yKATIGp{SMxjdi1^vY=uYa(okRJQJfZ?Ydngk1aE`Ku43p}8$B+a z1h&beJIw`KJsSH$VTZ?>K!DvIe$zqR<57a%*ZV#8+97$sV-ZeU^atevr&C6xO)Ix!JR>AL7 z%G68Tz|CNGfSEj7+JIiNGX4~jtmR(>73kD_JGkNOEp6|XvpKY$+RF;LqE=^F&)m2M z`;q0s0gf{0R*^O^UN^DiwPl}D5-W%tz9CpSu>Qal%fadh0D<9sz}q~@EF)P0QM`qr|oEsTGZ%&-=}p( z>lsI(+gZdS?63$APzF7kit3DHCj-Ek%$~1?jRd}a^VPG7W%vh5tM%S7QQQOrIB3AJ_7V1Z1H}8p{&<;*crwa z%|Jl2SXSIEl(Sibqi{Wkee?%!F|%EV+7ecg=JBP>9lyOH*RwPN{x-1BzW_F}GPIxE z$uS#f$3K4mN0 zqkb`LI925tt5y%h&#WkJA<8f8z&gb8m1U)^?TE9;YF^2H-le^LXBqf z`SgaF#kx1EGmlLIFho@858g=8j^2IY zA_fQba)L;^1d_AF)s*1P7M?eNEfqerhgc?Vw*+yMsMisuH;ZC5Ks+RVuYlfR(F46w zHE-eB$6&o!87orRXmIi7fPI@ZDGldbD&b{BrTmvUtEu8V7YJL29;ZmNXoRN zL(<^S*mTK|hxiqF8M?nV=r!jrhTU3~J>j)>w~{E=skRwfbsM_ELcOGU@vQ$gLU-pZ z$LV0Kc)!ljEMIUKvtlbSxF)D&Mn8xDvXv;Va^W<}%U`Sjs}+7Gf#-^K7D1+xXIp4i zJ`)4~RlYjW5vtCZ0r_f~(}7$4Aa#@)4>mz2BzZ=Pu|QW)YI+WYrG@Ii30STk>ZLE2AVB1+`I^o*EQjCN8PIfdeV%fzie1Q6H=CT#o9(Ml( zLfXq};csVP?_c&6B=@r}wD3B>9)1AtARF)k#6#@dZ-^Xb>oBgd_jjks;|Pna4lB{j zOTyn#7E~Gju=k$}$>Yq4zju$l|HyJ6o@6U70XxNtoCbE9<)h<>Gi(*Uf8*Vou?HNT zV?8?oJI{X5snG@YJSo6Mw%-rNF0uOKfn8>)<^j8c+nUJBRW>axg1E-~3nQfKtnNHu zH`w4kz;3d&)gW?sqUq%UyWR~!JY*Mp z0(-=UjRx;AJ8~A-6E-sg+&*Pz@*#*AW}}zJGnRHOu;=VCt+Zp=ne6cQf*mc1AYQV_ zh6v&nOG9t2*Q^9>t=_OgG(*2-ez>G2-?3*0q4%B@j|BFCRiKXvA6fmB0H0V)7~Fnl zpEJPb7Zywl%df1`T}XaoQTbu>J1b8?{9q3#h@Z^E2J9E>eFoTXcJLvvKkQpYs1M<- zo51Z*erYg58ph>qfZ@C}WoZNtPmah&@>aB19mV}70UOQB>;*Q4-%SFyWBK;8kQ~Qz z;XDqfHQuzn2 zSvtL%&Vy;yKZ6&hH~LI|g^rqL@t+KJpUuw@aSl(H71&%pZ8+RU@;vzS!1$}!9VOh( z=ZEPF!UFC+2-re?<|e=*zI6}4V(w1sz$N@%A%wJ)&#H_dmhpMCj#|#Io(8spmyZRu zlF!HWQn`vxq_zBNzKa$T_^iDOU@f=*1aBRWNeg4^`PvZ(Vguh!Q^H1`c?Gadyv#me zn|U)_YLHv_)*_JH%3Wz)u#IQ%gZg%!^)`4r_|V&MyOU%4it)$O(Rz6|FFFa>9)80K zk-faN2i)%C`RT(6K5OiS`T?GXKCc|)-D!V!h&yOia+vR|1d%A7ZyAV3cr6I4*KU?3 zFG0`$i}oi42GQE8;OfV4R46sQNDI$?0!op}xYQwwrkn;!v0dq4?yoN05O(po7ZFQ| zPTfH%ng2K_rH0aqu5_!@padSF)nHKf0icwb?F&1>2b#e`*}=b1F1PYHxaAkG2c^PG z8gmr`=D|y)KD45*T(u4?S6TlCl&S&MVY%Ax640t1Jq=nl0w~&$6f~cO8m;iGIi6Bn zD=DR@_UhcwsxzIIdv)jMgXMZ(Xg6E`&Ux5u5Y-K_{oVU0C=IXESGh*!DNq`xNd?^| z8R%fN>56o)*{l{#l+D9vUD_fQm0J$QBqm#}q3ulTS@<)v@}Gl0L1}ZK7PxK0XlL54 zeGOP{|NT3(I+US(bI0sI!R?fWHu9a5(CI^$!>Qn<>&$(ibSvxuzuiZ72BpVWZ)o)l zZU{=)PFkMys#Od&d!JkgFMZks!f)U56G7=W;|(bN>sEy20qhYd1E4;u>7( zG|EF*Kp_YXWqtv`hOuOQV0JjWaSfhEu&CZ3j%0K5BZg5dDXp(YvrRz|8N+g?gu$`w zHMVMaf%c{t*S&1G#D0gGfsO2E-P z){Caq`79-^W3YMY1%-ty>?(+h*s1!ET+H^K0&xj@L5r59tounwE@R=T;AlAu>JQ=y zcC`hJtz=%C;b9f)PgPmXy6pyW4cp-g^|h=Fjp}u5jwjUDvj&Yo+`vX31aTu|zcIOH_>}TD3f_Q*!ISJxH_Am?d4zaQ)Ks?M&J7F`5dC`P_gt0X6 z9?crnhq0q9mdg7aJ49mHrXJ9H!FGOx$V=9m zHt(;POAZiUvq|ege8URRH-fk9`BV6N$MV*MhxaV_Dnvf8*!BP)+2nH&`NY!G&hs;S zL(}*dwqzuTUs-s05WlhLtss79cj*N82b+%jCU}9SqZ7Gbtkrv9zuEi_i0lswxet*c z{1k2PhVoMxKpe&!&jxWgUqpxhBY4&s&>P8}xH^p&=u`TzKAMLVg@-ZRozBk3@|I;# z_i=n7oeYfUxoHjy=UHh1G=Z<8YEIMd|$Li@kingya__nXmo5^?ng5E5iejp;7&A&YdZw_xtALZuqV}%h}B=5Q%dh>WF zy@u!W9=P0#7ie?Z8^ohL&uU=D`FFbgae_a}28EOS>0MYk#aH!*$Z7sA9l#l0kw(s0 zK7wYAbG%tcD4gffX#pbb@=8m;C_j8oziA zBG-9pTI1f}#{%H*Cbv=h-Qwr{fZgW44B!smJQv_DA4hBIdptXCpUV4uqX9<`c;Cms z9`fwpz)O8hA$rg@6Y($Mv#2Y*Zu%8mJg>xwio;& z{$KoO44h7xC5{^+>>V0w>%H6eBNqd~g~l{O10@fc@d# zv@jeZx_tmPRJ?8nY?zoIf@%&IflYyp5S>Z`j1+t5NMn?EMK?J{i;Oo>hcUt-5#U&{ zYXz`z;)yH3c#)j;iQ!^HD_|2uo*}>{iuJV+^(5i(9oS?sKPf_*B1X>ym@3Bk!pbyp zC>S-05Vey7n=Y0`0-GT!(wFU-qRcd4v&0~paA%8W)RX6kF|^vBD|X_hxr`K}^TOCX z{CYjG`69GAcnie%mcSN@{`gia7l}6wfGrmNiUBMUC$9i36}8@iw@j?3-T!h?uQ>Ep zh~Bi+SSk9^LHsIlcRj#raV#9XHDW^;cxy%fN~p>@Q7k*Exn2zW18jq+HW1-%6hVK% z^d{l`5T-Yad6l5BMF^T^wu;c@P}nAJqz7@k=syKEcZk)r)7dF2z!C9) zKEp+e9Z8^YR6MBxa7@%biU5y`Q?vp-Av}HnoD@@%f_O@-yANZhMY3`5cSiJ}O~zSq zWGQ&(M6rhe=f&_*AYKqrsiAjKRG{thB@w(43YW#|Jn(Qu6iW@stKwi3yk8UN#-c{o z#TGgTxFNERfrpzSS2z@IiP*0Lg9|M@E2^}6`p^Acu%Yy4UzjI=oWYnL^WEm zJQSr{g7-*Fy$xfJMeZ`-JrO&`!^2bYVkG><2>caxj6YG1c0tcYEFB2P3a=MXeYv5;aPYo}34>tss~A%p*f(+cGpu|U)k?wU4^eRu6n=_~I1tD8On;h6ev4XLA@WBo zZx1lUY?%@2L(OiZ;9;1VEdvyWn=fPFVT8G85)?+7L+FfZlzD}|FpM^{E`+f$=1cq{ zyBurgq-EhaGq3{`#+z<*Y#454qqI&i?{|WSiDoHU?@uz(R@n^2f;<|qJvGt6Fe5I55-xEBhu%yNB!%{FiP!NVML>sctwHOt+B zdZfAf78K^0w;n=$z8PBt3Jc6DU!kzjTu$F97MbM@h%7co*&wpST=6$TT53+J2gzmT zp>4pHo9k)XUSUq8i-jxA?YH1zmAP^l{H-?I+=2QUv-@MHuQkmsAg(i`7eZmZxv3b4 z8_b9%P}pd``3Ut*=AhkB*lY&X0C9_1e>XgAHFpjNZ=3mr)@R$z-6O!;Va~6FMD8?O zbwez>%-%6Dz1zGW0fjy08d}KjHM9Ew+h@LP28I1*M*J-XdBAK*R|F55yHh~#khx(W zL=Kz#cS9t~>_#j2Bjy(BgVAQXKJa(cjKalmdCW{jM_|XzTgCNvjkKTYLMKC8%38+! z0;2x!=>1+W(i~ z-vX_&j+-b~4WMXDr zmhm8X&slAn4`W&SSh&P*Et~}LC;LesSEli{bUYKmy|RKhgQuor$Cy~3idBG>Eh3mc z;%^m!wBFhwM$p00PO+yAM0Shmp%B?4vQdEhM6tJ!+%MkH;`@-e&>4;ni>|ckIwF1# zgk-c>L`#9=BHLz&oDgYg+5A9EOakyww9W^G$Kv2^h&&O=X&#Lcw?0GinUJ60HdgeT z3Em5_fxZL07DWre-y6}e0zAAID{4UDgD6M`BcDap2_SwE9oItSn@B;oFTRW9Ga&L) zOs2K%FOg+1Y<@6%qHD_^=B;%AV`OUsv5b{HKEu&CIg^e#$4jr@urfh@p?&p4IUo~= zljKA?h1w=Z(g(Bc@*i61?vSlJL2sutm%!gHnIixqyJgBf0DI)TCIEY7#rm+aUml?Y zt^;!3Q%D|^?JK~_33;F*9G#RYH$vo$36E&BDLU1zP;evY6$5HP>+fH*3UBx!Kja(Nhy}e# z>_||W-k~K*vpLiunopu_MvG>XAk(tOVc2OEa0unW^JsZDgq5leFpLGzNz-svdLFGfY*ci)hlhbi*<9mSdEGr$qhqF^Fpgw_}p@sEC z)&jp)hC`X=)Om0yQyC&t*bG{gOl8h*@GypW{ko2CmadMdyrR!@R>nPnIQy(=sOjk~LCKixFC#%%cj zuCpIBQ{G?&+C$+coAnfuw^*eY2;w$dzXrrRtOuRV++`o00^DQ6>E!zpi=|cbXV#UD zBfql0>CpKbd$JE6zO$%n(EGurI)MFTv8SN-i{+RPh2N}R3xGdtRA(p*;VEd7Hk5y$ z?lg>Vj0ABwPfc6r5xny=@MiH>^p$otpCy6K#UVFDBKcM{IOQ((_{$L;X&oZq3|8q4RchQbSeeIi6&^6)3{@QRP2x$!l> z&;TND_`bJ@^DW;@Cy?*>*-QX`_&^$5L&Sl*;0+V&&!a}eMYA8UGD7UE0GlI4D6U`N zT{DU5Fj`DM58fEj!~txq7)zf_$BD`Fpf_G*y8(r8(S=@k6U6Kd(3>dE4}^zF;;%yB ztr0Q(fvpwS>H}LZ8qgQV4Wb}THXB7H8eE&iX6kO6#XuK$*dmJ2sqt1Z?+b|A#P=&u z-!9TDhu#iRllI{|#Z_9}?-J{2w%9F_Jpi^x)TFcAy<)_8#IjE`-VWY=v0yT=17h7C zUB%u%jY$0rZZE%>&`~xLDK$rca2Z z_=Js(*kbw|bxH&;g~Dl(iKeDABJXqX&WdL_fSnVMZo%Jq(U@*KTo9j+!^%bBhd=6y zjaUOZ3cM_)X9l<;LTHO|RfusAxh7_HfZlb{DGi7>#I_hT;o*h2RuQIOidB07UWw(@^Xt@=RZt=Mr7 z9^Q$c^iAQtnE5xb55j#4u#aML6u>8ubQe5)7M+TK_eGSQ3lCpKzK$S{G)wLTag=#{ z9&C;=`+5KyYgV8I#5gnL4dNVccDbOJxc)6^E1sic46NqNLCc@wFX>WziG}s>EOm;O znx!*7hNZw$!H^6}egKkXYTtmh;QUj-EqjS}k2OovTw3dFB&u1yh z^^4HQhXx_^P5$qqw7P0Il$Mf>I!=bo#$lB7CYAp|Q#b8B0NiHNhNIj(E3Fq>+^5eJ zExXeVq*euP!&>)sbjaBw<9TqyqSr&W*WIF^_ijgLnSFld0HyDoXj7@Aw?$ z0d|f)H1Om?=ni@x0-3=DDZxWnd)mhgWp!z(G>m16hQM%kfe!vg{9iPEcR*D~^LA!; z@4d!EqsC}7niyk?l0;*Pz4zXG?W5| zfpe$Gjlg+O=L1mjq*I(YMN%gBCQ+@v60*t$h+Ch+Y^yHjX8=$}Nt58cnoJ7;cu6M$0C-J(9zpXBEx!Ymx73HnzISwt%a!k`6Zdl;DD@j? zex&bti%|_d<1Vz8wm!xwsH2d3Aob*82w?+F<7E014gL}EM#|u;;G|gC8Y-3|f-C%| zL_9y(bXt7355!uyq(bwI$npbsR)ktY(@V592kw$koErFuNDH+2iYCq_`~(Id9wk>@ zM@RwUC0|m3BK;aWv(VU0e5Ru8HjZpEi15~bvBfJP7CYo|!EL=p@ zf<%Z-|81|b90OxfVv{XSNVEtX4P1<9&TCw;;`=+`;>35nIpM0X?Fd}Fu>SyK3BvCu z2ouGe<{(MJ`7Ychi~h6FmLl#f04`PB_5isiTDpUziEtN?bkW8Rp(Ka zC@#+45KH)a$r3Kpz}*z{r-0lN4Qt`{w#eF#wrpX=#l0LcIRZy}M|^9Jut!gZ{7Z{z`cC1bHof=??NnwE6|) zt#C2`?w#1q{pox0qBVpc#4O%&@KNafh9GLh)=p@v6=h-YS0|QTg-X4+xe#p)qP_-g zpG46caE)T>HmEd->D=9Y7A-kd)|ZomaF_G*{F8#NdtCU=H_IG_LvD;K5jdw6h?b31@I%X*%)xyWI>h~p~1H~~#J z>Bwu~?($uCsCY<=BG~kl*EAX+{$HfBh{Ukf{fLmo-l2ye&pcI zl+$}?o>1R#KX^`cssLxJ%IAW+ppKk`rk%?A8A5xtf!8G*)RVptUQ*LG!=|^=@zCn4 z5_u`lPv!1~2Y+>z3zPwB!LRThrG{`89<8SE{T{0}8~}+^6Ym3XRUOmdw~bdLxYxg- z`ntnymTKJ}!dohZcW2#JcDzKEt^78^W{$Ex1e+!5%zlJas%C5fu3T*PPi^CWJL=sWC{cTFA@Eb?To5AoVI;0@t8k z@D+JN6W9tK%rzRmNlt1e7{R-xW}kwJmF55j`Tu6kulc&|^G66G)hpm1V%Wu4oUGw? zyu>_m{2|yFwS56vM+>ezjakFHZ^ljxK^K)%-PFL+spaUaP^I2YVEGz)=+ zjm5ltvFVo)_`cbOBiu4=DfG9F`xcUI4so#X_aFRw9o~E#l7F)e@cn47V)#9_nhUDO zm+^iceOmq%nhnT_tIpPRusMWhNW--~8+yyR^*K6`g{W+)aXB0dMm6KhxkNcJpMJ3YzAQyJsON7`b^g5|98DK<^a>jdH_E4OdVimg8UA+iDq0Qn7oe{ zW~OZ6j%R9B624E1{subJ_kIDRGww{p-7&KhYS!4(^AHwhZ%BaoIj08U``nN}U}0Vv z_iOY2Xp8R)PV;@f@E&Ixi;kUu&f){**L&oKX!$kl>_BR0VMp#iS2 zdH7y1KL4Ha5|Sec{Hq@?v` zKYXDj`J(MCIrETbL%sN>K1bJi0%S`Y6XC;+GIk+kcN#ktoF`dvKk7xf_u=Of4d5}- zo9@g9E}S}Y`8$F(T?8PCB$qj(Db*B!7#h44fLI#K`Bog&ai5++VXM)WNhL{uXVEcE zm~N8R8CGu51xIKWlLL3yC3JroNEuC9h2YD{-xsk|(7CzLd`N!3fIK3T0U(d*PcB?M zp%0(HRgxcHgik4t>xNY{^D($*be?xAJf|P~Lh}W^DF9bZ+kZgYORE3hAWnU@pzSr; z-vRConQ$@jEqw@w+jnH-3E_L1xfa3??wE5l*MKy2Wtr<0h%eY&$)$@}qKMLO4t z+ywpt06&M=(gRjJL@z_Ac#6;7@aH8CY(v{6QS&$8-lE_<+I&R11m`R4kD$#@RPzPv zFRJix3=+^nzH|b`no|&777xnd2wMc>feRK(xZe&Dc8kD;ie7~PToE3>frN>P{SkGz zXxa{3gqX|4o=6eOD+*B}n3wya#oaF;j1hLjL1IP65a8~JkRRbMS3KtlM4p(i4x0I5 zF^_Kd#H8;)3WN)n%nQXNuD=(FfZG7v7k_5K$^+rv1g==T?haBS-tGV?6{E{wtW13M zJ4m^>V2mIt#MiCS_E1zWgoj7Mk<;zRB91T2C&G#gx0S++$E>F!@&Zg(i7lhywo&M% zgEWa)uIcN`Q}aL!3^*(45)E=nR{R2$({gkrh`-D~11kZtT`CZoS zkaYM1n!$2IAgqK)M_xt=mAkkidPP3i0~Hi|R)K^|^$*%2WKSL-BIR)d;G*O~es@c> ze8=^m7^&99y)K=2UrmO*$mja8tc?YEBEOysn@?qHUL2{C z2C*Q|WP5)8@VVU2wagbXF9xJq_T!H8rHtjJ)mQQ{FHgLdzw#*cMqc7M@LSm@9m02V z65pHe<-H%!_Cb2^F#1tijDfI5{>WRdYGva=98sOTH4vm;e(@YC4f5SFc>g5-;fi*n z+`AKPO_JY$jTP%c2bk7Zqwc|qfhy!GkD=;z2mm8Bi#rGx)$bz!uBtUp8Qhhv8&o`0 z@C>Dj&Xqyj0yo2rsEc^8xTymAowFqtfm{#aB(@+t*L+mVo=KN3#J4P?JsIFHoK1 zJN2@f*9;^`88-qKtOoLp9->N?0}!g(t_R?XI#mQNOa&B!ge(6m07NLiOqh;TF)0Wz zN{tEv7p+p;0}!J&aMu;94snMYr#ig=xvB!HARfXed!YLBjH6hMxQ@t5RNGE~mnuh|*_NrS(+HwmRp$awp*k4@{!pzd0ryCC z2?p-5`j?OPi8A3rY^9pe7PzOX#h>7+R1xnnc%}~X%;>p_{D8I^^&6)iwJMDV)_T=~ zYab1&i07T3lr9&VuG^P1Rz+ z-)PR+qwTH6v<(7$r`eYX;d{+C8;}p0ANfc9sF}(0s~XMLQNYz|+VLuVoyOxU;OaFN zxezvJ79WJ>Crvh&Y8o{sxHj3OsaXP*&l-=Z;Pkb7xPD`xU2qdBhT5O`vN6(*TY!*^ zwO@^arir%Te@_BvTXjU#w%Td$aVY1tzw+ypBQ+L!>Gkc--$@gR=c zyF9^l(zYFpHfL=bZ+;2X?t1{?W$h$R`hvBKD*zACE>D4@P^~Rzg;%r(__a!5+K_$V z!nFg=qb)-FW)I-kw1?*ao~G@~3k=t_A`>bZ+Qr;$XKH87g0UOgDPf2#OKUkCfScNP zV2+j#HzD+Uu`i?2$I}KDfu)P`;L) zXj^laUaxJv6m1RKDxU5(YE2B$)}-ylMbXdNES_=b>#Vq6GSGdW4!EIiA@}S?y6gPg z8taUC_GF@qd;_?tZpA7H&2*Lt0G!aBY`~$I>%QL%p@q&c2*yt8=3K-vSn6K>31X$| zb{N7_x^CR9oz{7M2C>%ttq*cW7xEmY&+4YG0B57y#i{K%UB7hLwAHocYVLX62?Gc( z=yDApw9}2^w8CEZmX{42ba_03x~QAQW4NO(jO$uXx&gm|IP30OL&Zhc;Vy8lx*I&5 zaMNw&BCflx(?PU(=nDDL_taTdf_Uk^d;r`fUDG3kY z!1?PobJ`oAYt4&sfjT$tTQBSOX>piAI{V9T6s)`R5yB8%K0lxks(Zszn=88Qogoa< zE&3NET-TGU-Vr)yE}2K_KJxGxrQ81+ZPB{TMi5@pJ>vC{blsnWVEVdl5BJF#y2~q} znW;7qx2d#;lQ zz`fA@!MSj)t}Ac)t=DzsXDb?Xtxke`(pAm}yixZhS93y|^}qz+zxjB_1Yr8)@$jZM z-4)+Q%;8*WWU2}DM<3-Ycg!Wuea8OGyLQHT^J2^RnBSl|Vbr(yoH&L%E9&@XT=|f*^HrO;ShzZnYcXr|IeA>$p6hYzW`0KN`UPgN zxuNn1BpXk1#&D#h6?BgN#{JIm%yN9!Cm$ZB3}}u8WQJ70gQO8fab47yX7Oa$1b-!n zHdDIK1#~lV9t)o*s8<&lG^a`5!-@svbGC7kb~=Kyq`sWB+tVywt#qKs z4%(7PSVJ?JzUzZnQs`h7+EQu4Q^b-@vAnM%hhA|;kxLi-(RP;tXMxM3qdXJNr$-lI z`YE|o!t^tm-Ww{<$&Am=3mSY5D%Dgm2yHJZ*#+DyYJV2+*JQ$}=Nr27HG+6cZ;Qaa zqalC8-+Ow+Y2pX^b0N5o^pwkhH8gP-NG;9a1%f(CdWQh(>Ew6_8)yY5ji1Qs4Y-qH z5?>RR;>k@|IVEn?K=ZU{_7#Y=h&cwEXT;o0w4D`ZTsO24_Ply?PAnY_xUHz;RM$=D zw*zq(-n`e@Q`oo0F?fl|rvSJljz5FYTlC{o5GJv^pyrU7%tkgSNuvIl0XiZr$ZLyej~O+d5+iz2sj*pm|C5;{v6({2>x5 zJ~D{2DPOt33dimzha}^O{N)DDM55#uVc??Wiq5bSD>sgYN}ROkLHVj2{T+ny(&96? zEVwX-!C$UCZinFS%1_5Z@?;f{l@+on4^|$^ zQ#$~EEH``t{E584Q^rd9d@?kjN@t$%e30Y2g8L{tyF#;8?%-)!oowKFNWF~O4ALN5 zVXgGPu1w{_P@k=RaoBo6o1i*0o9Cay`u4$*j4&Gm^^vh1p)*SN6TXku=|OYM`D_F_ zv$GHU%~Ic>bWy^FL-}4 zkAd+3TF*1DKpM!qYc5mRU$7rU1za-=ronNr8A9_fBbZRidc zE|3VCF&6Mh%3lmX6fFsdm1x?}oo)>M$-`JIt!N7_j<&Cbv8zWxkk}E(@UcZL!pvRTHg76oyMo4ErS9&0-i~G z9AWwfW&8*(i&}7sa+9ny2&tMpvtjHdX@5uCYl`4qM{lTuH&ot|KWBdLDD*MNd)oU4 zJba*D_E7mq`_=jO#Oosn$yl`GnVyO0_Yyc$v6=@}GZCPH<_Ynt8#r?j z#Cej1*xnr6Npbr(5KH0y3PD(jq5TokDbd3WwR(qSGjFIbsWE zCRj}50Vnr=?|H^z;5$VelTnsmmWzq0%D1&+Df|0CCg9;YgdO~O-mvgbg zR4RX*0yF8i1LTBsybl#~*^7%67Sd!2jGdI@xr$~fEi3@Ak~i*woRTiCuyR_?;bH~) zb90b0@>VHuXXS|P;B4gOFJbeX{E_FNwlaz5KIi4l!5|l8wJEIF$(okWk=bk@Xj`RmEM^5vG={s_JJN)3e(l`$u?#fv_fal48Mi|SNKk(G)o^;EAus~Mv zMTgmj1n9MPGf(gNlLrI1@r6Wnctith!qQZlZqZ27sw@@&z$doh;zt zgsSWeVy=Ek0o+2pHvr(ITHuZ#EY%-A5L&4@BOyGc4s#9RwDK$hXRZF}4epFeoCof# zsw}|iu~ApJ9C=R7NrFFH)sbK3a$a?)hQABSt{B8lwc>h|y&A%k0ta=FlckF)s3qDQ z)l)uoPRhdzHl5XKr12w^il?sKrX4- z%OUhu$x}gml=)f^UscTaik}KEgcX0~@Gsm3sHIcjFHrT^4M&&NINsI}q`Wz~4n_eB zfDpCk4OBu^$BwXaMJ4cpVVJUA50!9LvJ+f{8pU&wNHv?&zbN%rYaDd6QoK+Rqo&-1 zl~~n^cR`(pCg&4W$4y2nN0>#Dp4aU&)xmC1xuM=JLV#H+>;g1zs-qX-_Lf@Q z0)X2p_Y$~lwQeN19JRz1j_#--4j{Q|5OeqG#{xtD+nK}J@a7YiR#8rK2<959on9%O%DLCQW3Tw&r~6gsn692-b(yJIoX4& zR`^>rjE(AsF95I9pM2@RR^zS#@J5B2qwTFST?FAfHG*G(`(D{kLE8uQcmdizs+Qa< z)+pPx2&q=h<@>fyJ>be!y?V+aH7NQMZJ$&M_e+iH{Bdwi>ftz$&*~c24D~e;eCHTw zhMb0JL(Ou2z}ZMM(g<*4%`{$oWbNkS`y{L(z)wH^SP=l@*Yz^5$P^^_UubuJO=^ zueGQ6qFh&$3C;D%Jj2`2gGY;v;ji(z$zdA2Z2tQ*G`Cdhpt*HyIJ|6oRgTubd(MP> zyUkEo-Z4lIr(m&_c2Ng^D#Q{F8XiX(FPmwv7Nl(+5NN{meDy+bdp0m!AN zTxh;a70aQLNBW$P@~gTb*VSh?;!wh z=_Plt?`Rwg-_u`{f%`yvc-;+4kG;Xw&|jQh)zWv95N92&)WAwTJ?8Rw15GM}ijPR# z1kP6s;r*ZfBA$;ZK+I6k3>2Z9i(bZ`ZNO%b$l*1XVDWS}03qTV9=bzCUtYJlBEIGk zE=)||nM}CIIfnov#Qk)%MT#Cg^@|evJpqr#q8g0Fh-A*WV#PyV0guBAydbq*&@*Z!W?noILIB* zmTNq@LXRsjcf~3Pn9dXN+$ZLX`8*B1C#E++r9eF7o1{=&;c>D^9R3X|_eCxDcL8hh~vblRIJ6u8GC;|>CRB3`6} zREo0%As#&9dAY6pf(wTiK>{>IbbK-ro5!^`pq?w*2VGbaFo<+yLbg~;dbuo)^p zyo1dv@{A7vVX{3>R>P&!ERYE4%GKaVIdnW?iIR86f{T_tdGkYzoWb?cSUHGC#yB~< z6y&OW_!_aqO9M^-5@aXt84_jt%YY|In;B3^mg^!wQsih3H&y<`H`g_(KNF`QO`Z#a z>2#Tt2KaS3o3{mI$Zz6cB~#Aeslg5T>qls2$xfUm-IUh+yWf(<&w;xw9eB2xEgQSw zz;fj89l+g@6DC3>SMK9+KwnL64bDJK;o6sx8ZiNy#>$#gbraRZ^Dk4S#>2FkTGkx! z6DpP$na$OSH4s`TPd0W^B|HMaQuW#i6)R=Hv$0dEmhXPaeMu~zoOVeE|B!t;}} zDv9UFHtIXB0i07U`$NT6nVbYUuX1_tyrA~`Ar?Ee@+>s%ReN4Na8OABAQx4R8;GOY zIS86gDkc;v&MI&pgf6PLLM*Q8!6I;O%EALS-BtQ9a2{%TID+?7?|ug7r3(9lTvF>| zLA+Hv-V5rZeoli;U$uWA;`CG16Jg9>m3ToIpqjseu|PF|7soEEl0)Ev)NL+A1goXH z5JZR?$rFrFmB@aPZe682ei*E&bIYR520~Li$Fv+bRL(B zhQG{$e1uT}zK={YhP`Q1Cc)11A7;VD%zfOY&x+?RYxaD;>DP4PePL@|-a>zUuXB)W zSbZ8cH});X=cc8c=4{^H2<(>pgOF_fz`IPgeOw32f8XwlNVfOlN!gCJcc8O#^Go>J z)xi*Uc3W{Id#ZVTYwsc6P`B?k_e=Yi9*6#cKAhP6bHEik2W2k297^Gt@L_}V@ba%y zXGo45;rWLJ>G242k__Ep(~_EA0ANK?6%d}Hd9hGAO`8V*XHDLN z;Nc9J@|w+A(pv?94Rv1(a*n2Ot;LpXk;-BF+vGoS0xpmqS9~gBW-~5rLfBo`qAbx!2N08_rL{EHFq3=WEv0QWvbvc(;(V9 z2V5}C=6QSwO_>c`C?#^?_zF=+7z?AlT%HZ5Su3FuK^dH_M3UbI;G(FC=cLh;+ZnLyYKUtCEvb}+8PWbF5chZOQNhm};? zkq*<>XcAYC(r7;~6sOai-T+*uCLRz==tBrdDdpb=pq%dSf!hiqp1wS!$sTBXM813s zkI8xygiokBM_oy+mLZ6z6hUxXMF}f`dq%B!F7%vQ@-E32WEl@YH4$$#en~YWL0-`< z-c9_TMk>DLob`9RGF1Mrb@_!QL8+kB8(I>8%)>Sz~N z;OnUsqBOb?2G0r}t+Muh0md~F6=XMd4^ z?{k`QEb~V2Xgt3Km%0{waS1^#?1voxKkFTavh|~G_|#iu1HJJse5p-PJQJDpWj;P9 z_u(XW%KDwqnfj&$tW3LG2%YIezkqxOwi}@Ps^h1!W<7X<@3U`kmNF-Q4|L|{@WS0Z ze_nr{Kbddf1>w!{x$w+8d@kCu6$iWc-2+6wq%s1M{YSrrg#){W!Scap-SGWT5MPRi zyYN=Hf5mCYk958Y&7)EZsnzdL zH=!J^L7GzIEtoK)aZf-_&^JD4GpEWLv{}$?H&{7IlY2sFNh9JQw4#a#SUE**zrf~c z3cC)@nzq{D;LcDncg|<&lM944l*U^F&QUW?nQh6-7=ZKCX(WtYphG-!w4a~~T)7x{*dBsmo%3h%ap zFq&F&sji6b_5!(2dRhcuOa@$tDWR>rW?o9zwt_5|4o?Fqr;TZVS5T-mqJBv2Tfyxk zy2~T}W4gjqx+i3I4Dd=S;hkAeX*fTrQAI=fwt7Y#4uCwTAU7C$LC3xVpqi3-ht*5! z;RWF<`rHNNHQB}i{)X1_roFeMISTF_4Re5__ta)JI6Qsp1oDx-Y6Ex;m2fXtOXE60 zrH*{Fz&VJU2S6^0b38eB!rP_b&shxPvCc*8+yG5iF_GsiZem#wjJb>LT@j#%SjlO> zr)Uw3;Jw82QBb)go^L{%w@4lb;v;-GUGWw5;V|YWB(E#@3rC*42Z*8Es|JeJ&7g@b zm^)!QNVv5F2^Lm7;|&oX8(=zAylepcikMgeVVKy-*HXCf$OA4yRBnfrNU@SLp(ru` zK7`T2=zDl55}v%}^S(GA3{ot*{|QnenjXPQso20jahVux4tTlH=e4~G(ZCnqL*e!p zgpb5;TvK{1$_jvcBAk}NW~Df74V9;23HJw8!sr}u&qVG^oZaW*YJ1pxA?E8trCMb1 zyz-@($XEF*;d~1!uZ4LSRNjbnMreC0c20$dcjEb7_4l zU9S}bwg6Wr>{i0`d6~m=#|!d$GH{p&@s2JBss9whi!zB{$l)mU*n^Xt#Y@-D()$2x zy2yW?z^1F*#WiF%xtDj^xJ&at(dHp9+(De4GLK6xUef6VR4z&X?ojcThNkfDBlY@2 z6Z0VMO8lfbcP0MvH~v)uWF3yELN@2uVmy??_>Oxd zEkA*KEO+yi?ulGc29-)#%r(}h@*FQqRLNtU4?mNaG_d(x{y7=k3t7W`R<$%*1K~?K z|6f>nC9Ak}{aVgVh3PkP|0%S+m4DxW_jhuJ7TkNek)NXaAP1X*e3W`uKx!oFV)%{Z zlHIUUCsT)jtC!Zl!%Bm+ig zZvc$c#w>8gYPBgi6BWU!qp7;dBZirBHbEN-?ky0Rx$4F@iG|Ae2HZ*YG7Kt~>IWVJ ztki&|Xgj4&abfbb8r2V+wX$%A<{1^84RThUmwR|m2I@KE(-AfDBGodUmPRRkE)_sLxYjVf1OPl#O}CIp_See}P)?Z5$+vLb-Ob_&u-p zF6o+q?@NDJi`He4X232ta>nP1Tb%u_e9xPER-Na0+3Jxd2zAX5tMR#ZJMRQscbK!% z^>28Qe?!kD_`cD-5a0KgdjfmFbSvy1oK%hPhhn!Np2M#>UH-QRFPR@{!2{gU?gMd% z$HKU>b6lU7Urvx-b1>#KoRhn=baV(@+mLAoaE^3zC{&!NRauzHwV+| z%^-N;_5-xV&?8Z7an$ET&kfMD z6;U72<}A{KL0rTkE}8p?Nt^-u3S1C88T(*XdP}$Gc3@gegyx)5UFm z<9W8&%sqULxP(gqL*5lmyY7o>j1&J|8`o!}xlbZrczR#`g|LVI###HYaz~ub5$4Hg z9qHQ!pi%GBpfh^hJXjs`rVii7jthp)xFYT##xL)J5GM5h8Ip;iJmODkWd+IPb`xQ3 ziVM$lrtW_Z)U*dd_&z=EHc&IF`$96)VI4GQ{miM->`5H;96Nq>{@ji$ft`1gs|WM1 za2B}W-a6z{`l~9| zLVtB@M|`gF^3KpOtg*d2mS^_&Th22B?2G_ko=w4Huwu^zAyxk7e=b zdc5;hXzJ6<4Guz8+3^6vEuH2WBYb`&@iaC@4Wi8dd)HgI ztpK=8-7BFPL<8o61XDhb{vi~QjW|Q;QUJ&m8pnBT7&(1J8#cHs11^H1IirZAx10k; z(ck7!iKd<%z{QZhIgG{9q6_dBNBj6rzDjd%0Ul4f`M@Pmx0WD@CxhTH<1O6OEM|%YCB>bjB=qz@3 zgV05^w}dfQVPFino5Ny4qT-8W&&(Ri7c*@q>9z-Hcd>J2QFRwbRH_##ZWJh43Wlj?NZUt1&+$acY08H zC=PMO=&^7a3J;Ycaui6FXy8-#TpWH2QZ4>Xf@ZC7o(Z>g;ukKZ)Qd5RaMU3B^GaBw z=^^ClG9&XRdZC`@3kqZWZvz0z>fS;Gm zocULghq%kKmuHrv%|T}Eg7BiO$OLheo^#RWEUh@7agpC{ha*?n#tm(5atOa2&_gfAaG1CHedkRFLs0m;Xk=d!P*C(d@E3dKmB^nVSri zVCnt8Ii~#fDBxG*Q?7o8$w8M9e7GF<3%p0lA9%M#lr-edJX#h{gOwQBjECwt8S@Ut zuF4kofs2>B%|H^Q-(=vD#Q;;L_zY?p&|S!oA=! z?Og`p3s9ZW71gVfC zb0K^rTk`tdW4W$3;7_FG4Fp&z9XV^Sl7U<`e>8iT_3gWJ^C4?TTLLVxg z${e*j5s0rUS`1_U>K>Pm0@QruH%Om5M!HE0Mlqt!dEVaBLG*-@;ju>&qnt@ng5UODW8 zFhM!6zeHuT6IPN`H9s<%q5^obkg5`O09;eAPJyJUyxY*cuB@KHQHHw0g{DmPBd26p zYBf(5ZmN3Dly0fke8*+0$KN5A9QAb!;CIy5>!F#eo^aJKPg(qgwtOXcv*JCqu{+L7 zf%=iB=S9j%fxEAE@-_cJeP;@IiE7Ck14`AhVhGFBkHa9WP&3NGJyf+kpMRt#Hp0VW z)k*LFDw=*=aqiRF31Yp9MA#m(uLR$R4!s6j!$*uk>j>jUU`JX`hs#kjwUAG@;1bM? zhg{d3wPFbLXMe-hm^t$e(K#jCSAlZFm80_rz-~nczH(v((t-gST1HSw& zkAGZKAV0Y59wdj(^2Ub4dwambzj0g*JaRV#@}rxZVBy%oW@tUG;#)vX*2he@zlo@B!PBv`j<$r9HEj#-8m&mrcQhzab5(HN;!OAU!%u- zrD5YQCpPJ*vqJMa{e21W3>wTAd?wA|%|AEDj$a_0MWgxb7SivpfGeVR%VFgK75GC~ zOzU}6D4~|TmRd^Dqwu4YQ4{B<<+LdoM^r(%JHb7q#Mj^+k=J8bc}$O9}XF`$wH zH^9nM`uzmj@Mk)_wEc{tenf!J>HZoBUr;tzlB%h=7FJ%8JI`HT(H?hLF%%y@Lue#i zd0oy#j4XtvsZbXoG!wnJ%y2>^?FPVHZ219>EQHao&^#$lj|XQdZjMKrm6*eOHBO0x z9}v=MF?%$KwOHr}l`~=omod+Zqs^dcBT}ls;lJAEfb$mKIpBQ7>o`R1C!SZpw7=N9 z2qZv6@ak!x=*GKGE{id)Pze&r-EgvkMO#kML&PgiWkSU)?%J-1SMjhJCdT)H&2VvY z3IGwpU@X)B?%=Hnx8$tx5Z;zS#~{p>qlZAVShnp5wq~qN z8Ou*K3@bbgQ^Ui!L_cC0kM<+Ws-QV_#ahUxRo#YshFLwn&+K&y)@B7B!}r;rKjZuI zh5~`QEcaN_jH20=$!vXCJ z;PF78>NkQI&}LpFF`{A~QLwL%2PG2<8Vob0)UP${oTaQ4Fl0lI2Sd}A7V~5F=gGMQ z+y%0k2VzI3_TjiZDQ6Niy(ml%aBuon1E)R|H~=cXv}Xx8KWg|MTm-ptb`wdVoG?dI z3D-_zXu&On6iaP?LL2^sl-E42lP|BIX3z|N2J8ksXb00-G>(^3Zc;aIsNAAD&PR(V zy+2g$Q!KA%;jO8=AS|I29)wG&zaHAkr~})qp$8psV6}7*kMCe**O=$K4U`uL%}>;f zN4Q4%t20Ow9pr6+pJ_o~;Pi#!RWSq6o@;G}V(VFOMk1KIBV!St3{4Z!D;z>o5zBQ) zGm$G0?g`OdAH-Z(`as1(T;bx~NulMEhNWm>iy-hP?>un(Jk<%JDKXJ+i{`|%5Q~&}*OFh^O6hk(`?Pam87%D+xUKHTLBIO~Bg@~)+fQJf) zRuEnhpMC=uCaib_4;LAh07Qs?#{wQHCXIwjl-S@5)6v4x7Ai3!<`JyKinn7x;zagR z_`515+5-?TZhr}531a3G;1b1*mN1qia!&!5EPC*$nIbmutRz*Kah91U4so8AFY=}X zP#}6gL0h3H<9h|&bbA2qi#hM0@<5#AOSo8=o73wvtl!^8g|5wgSX4d^;_#`W@I6`>gS~ z(gpJ#&8p4y&{_R~JO4FZ210W!@ivWh3x0&odcS4xyW!w+v~JwY*iEaxfSt_)Ig8oy z1(!(<=beG%-_|Q&=jd3zlaCqk1$(^yGIaDQ!waFHkY@-jL;99W#YS|Hx6R@YxPqW! zLS=j`rnJ)@oEhz_135wauK{3ARh+e>kk=h5CuvGMShl1KrvSGiFD?h3qP|=}I!)i) z1F@#OJs@Z3%bx)_OQm;V#fHwtA@+0R^$`GDdd~IZ^E9m%RxZ$@d(gC_17o3LPd)xc zn*+^X58*``>;$+YwXQ&$6D52G+?gKr1I`8iFa@D24Z05%H;Q`+fID693ZVy0=kYy) zLT7`Eq*|^KM^pDKa4|IdI7loxbDt7Nndbq&N|sy!ji>5?XiK10t>7q;Ot>nMMCW+F zRx(BNV<{#U23@%ZF%Hs3t>J@ z*a2hr=mXb13h3o?017GSB-)DTORg2+jax&}_JG_u9VsUA0HB0EO@pwM_VH}Ej5;MD zvT|zY0uL3GY6#7T)SG*sxAci~sdw}PCp91FZCA8?q^(+*uA%2V52&R<&%o7D+C*s9 z(;6OH8>mw~G(S<)GB~;*s(75S6X)K7IEdj*z+DuDyjR#!+~qvPNwnkp-&xoM!itMX z<40Xw#Z|s~+=L%r&hBEf2W)x>z4_4e6xDZN%uCcn!}}$1lYe4w(QGPkKBCoVX!?p? zy@5m5$?I_VTf`^;0>moLJdo4o!OCT^oXa0UBD)TNV6konVhItLs&m)r+Y2gJME87{ z4ihB?5N3<(To}y})ms3_6@k2-bXU~zXq6|9@dbu%?gNhRo+#i^wLq-kOR-Sg`3t6t zM9l%H+!uvR9*7p_(N-+hy@F2I*HS9wMn3aT<$*zHYmlq=!rv!pp9f)++`AaK&+;{2 zgZk>iWT+UZjz1xIL**+WG*XSD0Wem{oQaqyo6W$Ps#||SXr_KV1xF4lpbp$cm1qsP zlWN1$D`(Xw52w{d_2rFdX~N4;90mm8WXh4dSJa`QpGXsp!$L z8KXiIAdFS5`L@5R!g+-tUd8_gE)fyfe-rUQ&6sXv+^OjcShQKhI6x4@;U)UTm( zO=TW|_cWDj1Yx>r|2=sGrMwS`xL?o zb#o*%AF5ki-guh_Dt{h;4Qrlp&Q9b9PcasXN0N}G4 z^b=I{HR#T9k~J^hLB&vWkn4v=n%~V}#aNRa0u>WYv<-- zq2jG^b4QzxCTRw2#%eS?#>Ht0YoQXadBm#@37Q$5AWYPB_XJ7OXiE@hvc{aJ+$oyL z+()KrCI^DMrm?#L?`azSQxK+WDu0CVuBJQ&rt>t@xU_svb7B;%6llzbz-^(XZC7wl zH0mHorDnGsG^;e7c(i|}>B$N6b4_YCG;1|Rm`dUOJ>7Xi+@Sfr8@Nvz*ITgJsA+nO zkeW32x%d98nNtgZzBacWoTWCh4K%H^b{&8_t+h9U2W#ya9u3cEyM#mYthSD;tj<~s zo>#eOO?f}Jn>LQSN_TB*1K>Qgv1cIk)VAcIAY5xW7JvwCui@aLv?e(q_)i_49>i!j zL;(=1?Zv5QoYsLS5?8f7IkAt|&hCcOlc4RA2}gYLH@WX&oGuXy-44%~GvfZ{W(bLqDMHh4wbr8LG8bo^bR^ zyCfQIueEk*h~>>(8co)XQ;b#0FfE#Z1+IZST}eD zh^?+)D1_&AYo9~KPB-rcY})HC@on#*`-T@EF6tWj9&^-9<2=_%*E|<0&br_DDtFO& z{)!`V)wO5SZn}`&Anv;QyMTM>9C_=Ar*2>sgkHLTI2pR6>&^L#x2`*PoIbkz?hyLw zYy}S5PZ!74XMbJ&VZZ})^KYRoP%lA`>wgB~AAQPkYmK?Ixq`x^C2O0A%Pq zH$o*-x3n6<8@glRP|4D{tp#^e7k2}uZ|NTJ#eQ428!ONM6$;+G!_oBV$?2Zn@D%7w zcH!F0l*v<}IE}W!#`HETAfMq!a5D2o4!+N-;pxomceaqsDIW$4b35=En>Ulw@cAt{ zZCJ3H2g!v74Y0Oo4%a*u_qW35655S`md@pw?6OwPfnDzT6~3?V(A@bK_f5OTb3J{xek#82Dd&N6?>SEIPSd7aXs{-yb!a(D z9$bC3A za-yy0!8w!PT9|gB-dyK%CC7o_+$h-;oI3?^4atLsWPx~+NetS&$e*kJmni8Dgx<9A zOAsI0U<2YytvH|Xqk)_+`O^n$9A^M2UJMVUr#vseOpn?^C5Xyfz)CQ6v4JpzjvfLR zN_PFBd4(Q2A$a`R?hwGkX=y4{vMFy7PDl>*yn(h{dcPgqUHX>q@H|?;1;u=7iU4Uqi`9~k=&h=fzQD1OwB86+s?jrja2tCBMIB=d~Y%8>RiC`{iToMjt z;JihDp0)aj4#|kcSD1{4&`-pkgSU)!QBN~HQgGg^f4ARHs!WJ4uZ z>~KR{oS1PD0bUhvIkSov54p~sAcP)BqBuJQZAqfg6>%nu=4>oQ{89w(siN@=NWS=s zZ{2$$lGENoVR#jB7Kv+LfZP`!dqDU=Y#R-(SX6PwSt1;{zFR8391c<@wtWIA7a2U+ zst|X0aQi4W^MX^2*pq`G>O>wFuIokZSBRxSe0+geK8f~&(1y3927+r6X}v){i#1$X z*Ov)>&}JY%N5iI}47!UpBRP+!Nybw42QiWV@-)I!8t{Eqj7nOZ=ZUAO`R^?m|6~A3#rk5I=^tC4qg(th(a^iiCq_c=4&R9u`_uUyw1|F}e^P^xGI*gmL^63pN)og9H)Q1=ziu>m z_jz^&*aLnojja#)S<3((@%=kP;W3|I3Y$;xvl1}&ls{|)Vm2Q`Mej4da09R${sN7q z#k}WhfD-;@3OtnY_Vl2a^R9LvzUMnpAMOMGm<=MU;63aBKJu+;(d85GKn;dU-gX9z zRq<9-cYNl5qpr#qKC}@c`^r~0f_gRooVINr74)>z&q7#ADY2Dc)gS8CLQyb8Yy?m0 zs@e)uX=?Bi+5|$uTUbWzBp;zIdG{5n&I0oj2EKx%zc4%%Sb$LcJJbUOgF=`N5}Ln& z9+uRpCb=w(p!bNb2-;^Tga~sgK)fd0rd^p~!nhYu4;M0T07M8HZBL67IOGSeS5*Clel6J6r4rpEeOI@jG{aFjM%^wr{X5s^apT9#ei5m#3luhJS#S+fR%G% z4yAtQ#S*&9J;jc+b=6BeHx5K^@kcLU;i3s80TJRGS_6m@{bEUc;3bF$FC)N2F@YKk*TvjFaJETerwsyU*zl+_C8S;@^F z!cDZGneJ^151xJeJ2yL}X87`(l`WD*s## zkS(XvGyP0{N3FRWIVu$j&*j#=VI^0dN`rW%Tv!i(RdSF$cwgkebQt?8pW6daE%&8t z`E7`@XVCJ z!vN+=8ZF5jRT6uEXQ3Dkgo33a3#&4Pl9Qs4-MGfMbq@Z1!8 zDqh_c^Skg6tn5z&xU8h+0fZ>aDZ99;=w|~9RYaN%uPMLh!b+Gj(HF#UWnL_>2u17y zw~@*PYKBKCy(n#pR!VU##Tdol9<0PFXPQ7EPFdO!Sh`}saGH0OX*6(VDz;(ZWhvJi z!Pq^8-v;cy(uTSY4-_s3;GtqjPyZuj_3v=>TG`qIQ5Pt|AEEwMk!dP;r!1$PJDis;{D8<&3(T zhP*&kI0!6AeRLk+vYJlKoGWTpcVHpv#(4l&)x%jh%}~{QC$MYke46dU)cMqL30JSu zx=e&RC<$1k`hZ4_DD`O+K(t!$4~)gAzqE(mUG?(^5HnQsg&=0B8x0ZXJyq8e*nPFO z3|1bfSu{{TR4r@Z;gMRf0D6zntOfCj+Ky_3r)s8%bI4YQzXb1@Dp18-q+a#}C|1jj z;h|J5P@!I?Cf)&7uD+z*sqfWXdhO+ddWyFBRH!W+!2770(C@X@shy~$SFbjv4zh_> z?un30wUlKD&`hhW0nc1>rXJY|?H08r~nREuxQA?rjkCRriA6C4z zKO2E~LF?WDZhf^Jss{bEy@$Z_*M>iU-bKxXDvfaMGd)}pnlbf-qqMYh0MXh|`r}VA znr$}pVzmpCGgB zQ37<0iyGr3mmAXdj1@92(3ewF^eT&bmLScd`CCjY-(l zK+CC??5#O~HCuQRVm2&|ra4tT!N@Wq#D4JjbeX;pjYTdIZKiS#QeFy;whbxyYNXd<>fx*kRg^-C&htU@V2%QENYyeW2ywTdWmLz-eszcIe&4P7V<7utrgk zOlK-piFa9_W56<)Oy5H$J3kCq7W3Yu{l>EM}j4v8Qh^UD7bSql<0YI&NQl@pKAI zkz8&sU{Ty}Z(%x`o6!#y_?+MpCOsT#khc&$`#S#&n<4}5nyTDmKIRI&5dmX@91q) zcP^ca_X4=ftxrPm8Qe}+C}eVJ(}87iCbVzm9``$?MfbTWV_>C->-!oC#oUop7%Sy0 zXvqqHDVL_Ja;^on7vFQ0UI_35*KRAURB(GKk^RWMr>W%=H;)R?O73PLKoyrqzkT!fyLJ@9!Y`@hhpE@#o7O;Nc?wo$|Iz{45&U0{Bj$ za1_XIr;;<8A4J7e3~yZkD{;Ip&0+Dpe?y2Q@S9o#B=V)y^t{fmJq2D8zb^#f2|slf zcu)CC%FUkfBR@kuhu=qo;dB1o5-8;IztAs<T|*HW_jl5a+r^%dWR z=Hb_T9sP)40iPL*sPRLVdl2Vae#mC<-tmnhKrG~stN^iy7p8z%%-hrAK?!e1t3{=J z2P!to_>Xk%<@}^9NWSME=RxEH-|0KB3f|QNdLQ|b)JOlsPZ|$@mAvr3UjgKEC^!4e zw;G7xzwmDZp!b!ZNuAJYehjTfpA_szLgAFqoi>G?7V?|GpQG>_J$O#SJ?c6;3xg@4 zaS^6c-`7=W76x!e(0M|^O&DbY;4XOlZ{vW_?*XDdE9~3^)8_==G0;0N%o_#FQz#W)M(5V`WPUxJmGyfjFkv~Rzaau7)1L!%Y|7~TD=!$(O#PmLf&g&R^nI@R;EaAaf3_JkfBKFLNf~$CI0~F4PlbQi@6aS_bue&&{F%&$+!+oKC zR-Duq9?prm)O-$VNlc(c{$w$Xb_LuJ&sG3D6tBDk z@sap84<4R~b14&eDz>JDg={fsH+av)6|I5gh##r|O2yWcf|iNN#*ln329W6w_`}P< zD#R`{hI|yO??UgB*ybk?D@92MtV*0kyK6p+Rt-V4kbY|bU@6tmkZvu_H9;&k(%aPl zwo-C0@a&{PBXJJLq|TH*9hb~MAwYX+HBFyqrD(bf&PkoJfq6=1BLKXl5oe+9EybAt zyCBWZg@}*Tly=PdN-KGPtcC9S*&oA;y%FQA9!i3WuSk`Ik%52ar%;P#Q^(i6nT(qk9cd?NY2 z#Z`JLrK|TiwRNm>6mM$g3fHh;s=gj^b@CQha_Z_?lZ&Zv(AedZKS5^pX4e!9rre%Ve#- zLo$nXx(4hXGo_s9KKqs4eR#my^#ORuPSM0(!fbCquat#nz)CqwY!2|AwYP)92e$bf zKn1%N2=I}m_lD#rwurL4O4fY~;;drrsr35HGAS4S!oCcL&9Cem^_HsH-dgB=W8UxK z4}V;gmThX7We;H1+(}wvx8c$$>$2m#k^zo!4O)SCoU15-O@HouH9TD8o>Ogzzv)dK zl|atN7$Asqp^PP%3w{TimpSvraCC)hz68V&t`(J!SGl=8AsNbDrcT;5Zk->9VVq4q z0u1L?m%vd3*ZwPbkzBni)T6lBcEF;!_G2Lu!==>%i{+ds6NuwfJ2;BxeqRX>30&`~ z0Et{O?H@q>&;`6C&Oic|%E(>DhuTkW!q$f{?JhL<4B#OIQ3C}( zQA2a_C1GJ8&LL1}84JB2;W@2m;MY8RLFBS9j5-oA!atLN#R_hZ5LvwN^KzI@5a#TM zdZN(tIMlBToo7Piu3$7DA{l~8%OP2U#bQX_6He2Jd0)732;LtEEwFR(-teWJ?;roE5A7-&4y{XhL^ojk-ewZ=Z(1iaYyxJ0v|= zTMm+E8UFSdGDTKMx6pakfWA^s_Iw}|yja!?5WU&$^9b$&^VkHU5BpLM%$I!>pzgps4uw1&zne5(mU|H-H4e$4ulRwn&vtoBBJYYpMVm)M;{b1!0`-9S- z$INCWcuyF9{Tity6B|P>o2`^!`WahDUCbP&qrvGpyH1%>E^AZ*^*nZzX4HIEPj&ta zc9`;>mu%)Qz+SOB$}nHE74+B@FxQ#D-mrPCfW2iqS|f;eY#9Yn$hH>&6fwI|@KDSq z&^T1WURT1(Def?>H8^nbbWb>P6C(hexCeUyoH;An*yX}aZjY#4IX}uB&v3U6Lfwt) zTM3>!XY&|54=%C};4By19U|wrm|%E6&z+>&)01nl5j-z0ih51n+<1Dm^a8hv5=bBJ zK4sUwT(Ah>$35=|oBmwS#qfTSOEUs?iCacDe*ou0eeXc-G+m`2PWl8am+~+C4u5zPkxh#}ZdVzS2OQilz7{~1ZFPuBJ4a5j;j4gCb`1aPvk0i{)<7LmkJpq<&dEw>btNflHxkB@utC7~neR?0~b)<_3;}v1i;k z+EMbH+qxT$aycFCAI#$pUBvn2bKWB$`GPaP2`ewTDW`$G;wH|5mDgNS7O(=&dWhhGZc4&FO1nx33OZae*`KoNH>4I;(d5ZcsN!mU^WN2T13Sx_(I{^$&>oV!M? z{P*0b1YjSy=Cc7RxO}Q5K5}g-_)lDbf*>ln6@K7VaUK%DXYOSIM80r#rvbili>TR9 z&FSXh#J+JxZ6WfV>+OtKYPhe|>Zs*bZ9@=s+@~^N^_-ysy&qiJB48%GIkh28`CD|6 z&G?dMP&emKi~%^xThMi};G4HX5SIKN%JZ#wOIkCx=B=rvX2b8N{MMEa9tk3*Ob6IJ z#=9qic${xViw^eumiv%A!8iIH;3U6>X1`PXc`INJ{C+1WoaWtWDaMh{qN>V?ccl%d z&irgjY+d*r&j4KciZ#$X!{^X~qZ{v+4-fAAasycL;7utfIm??^k_o+Z+Y5e||(7Vk?yaBkwFQjoWo$t9C zdUyE;G?r)Z9p?bc&ZvhwZiWJW#V`-NPkaz9 zuU7JJ?gOjh^Br&rKJx>Y;#9uy+jhX;SKf)%?5g>*4?z6JuWbQ^@BGtT5Nr5`-C(+w zpJoZkI{qK3oa%YsIgtFpoBRzC6JZX`rKUnx1;9+`PT85cU>T1fkb0Ft-9l*m73!8k z^DxB;Bn`J^@k}Ma_{Q}~9Vf+eM`5<(r$SQ>2vcUT&>@5Tj zcM1OCPs>cS2UaDlJ_7Jr$e`Bk7vVEaieH8PouFPVSkq$HH{q|3Q2#C%QEp!&jHG9} zR(O3IB6Y%vLoik^H2VtT55a-@q$XnaTwtc+cuFM9#1FoZG#3|Kf|a9Uc?A?K#2vId z*HU~D38Ix~yA{A%jG?E)Mm$dSx~-@U0A?pXri}u}#Lkug$Hm5z@den63yNUngm|3x zLY@@2(in0|T=WZg4&uY9z)p+Fcj4Ai?9v06ljzz8#+=3G8ZZ}eSQ6rN75}J!!Wr>P zCak!LzE6O;i;kYaJj9KQfSna}G~AyPcf0}dyx5#JXnBfxg)rtNI#CPVTlCuu$qS+< zy}jWhzPS#!zG6FC#q$%3{6X{=2hbF9QQSpe|0VIZ9yX)IP0L{=T8teJ$yl+R8frU|E#V>{c3F70P&`T7@Qy%*2#2lhey zL0$F=aaS&|k77sKkMv0_GlEE^=zksls>Ik^5cw=xQ;+zI_?RB_ui_E<+30G~B?cnj zL@pKT-$kqSz-q+ezaUwQm%U-7PTX@G#CoyvCa@o3u{DgDNR2xqTvN%68bM}K9S?PL zDWwN^N2QAmpKG29m1NZcHqjDo4Fwx1gXVr)=~gF5+DT_AlRG9EY=gpa zY03vUvX}N+gLgvudjT%wNy$1I#8XnyN~k+X9oxd#X(@Rzc#e{77Z9DKFLR*aES;rt z-$hzD1VmR!xCHEslpGIrH_37%9Jxy~sb%FMDOaI>R;n|Dv2)Vw07#yfw$m-_Db-M_ z;w3GmRZ(wgH~QV;1u5$qcs|l9+9~BLS-FDfC*@N !JI1>!}io_blAqz~>O21p5A zp&lrGpo%$25)J?aOH1z{mdn!6*#K9hwOv6Bk#bfdva8Yt>Li3pizflQCOx9xnGKUn zx&aH9%)di2LW-gBEK+(g5m=PejjH}=Dd`rl80pwHxQ&&Xo`RJ)$*(^^JpOt%K!Q~A z46!6iMzeukm-4#{{!HLG>oQ+n^Ho1M3y4meFcyz<9M-Gq^32l5!ABxzubH6y8f%+{FDUInyv&A>E+t>7z7g9;|$l zzWoGZrPQk(tW-&heL(yyDbo_FZzNTC+ylum@PJ z6h9UI>ZFUbFRWhbRe;ETNK+|l6FIRzFjKi~GStoFc4+|S@^MOnv9s{aq+$fS;C{jv5GZ@nieiwwmWJkF`9=YZU6xO#Lgb1(d=At@ z$$@G_v22v08!e zPrgDmP>MW~eyk!@{*#Q|l7}3HNSfS{7Q$}J*|Z1mj?6wmp+ufM0K8J!`Y-Ux`2R0-{gSyu=!o~uLM>j3$!PvR{j)I(7 z*8@AI)U^YMQii{PNVL+2HYmp`d+0|e;*=_SfZ~-7ZQw6K34H-9QRzUL|8=E?GL|Ie z*QVelE2b)VH`syMMITX^A{!5^KTZy{^;vJ>(H-L0y z`WNu-Dt}QnnxTB5)!R(v23@5re{Rr3# zW%*5DFO}tOfW1-#8USA_gEqief#UNN;Ej?&mCaivh;EH{%H_+jS*To~#ls>c@ieev zCA|z-iE^+Fuu^3#<$PsI&!2#mEAIc>+n@}lJs2O9t&JdAp}hVFypPJ+FK~NY{cRR7 zdv#JCc&F6il(;ykJ3XLqTJ7nBkQ~*My%CFxnnNuNSM>mGPB^1B?ukq8rtZ0g$j++a zUXVPe);0m}yt-g0YYdaml(1dj65>$IkluXe40%@^uDUnsm(zrFzWN{yu6>uYrh4S)se=+Ox9jaoPl zytitWU|@;-rnG zK7+H?kh-6)T3;$~&S(X+VCSYC>JESI+FHulJ+x>~fV0~Cu8=&ZHTn*{^V*Zn@ZhN( z9tq&3?P&_&t*zS*a6vOmhk}nblZIwrEo(4L`)R(k3C&;YLcOkwTK{MeFKGciArhdK z_rrAw)ZEU&bddIjp4(t8nfh~=wT)L{?27jOGKeAC`e``LtJ)uTfQ4$asAj&VwM~IY znD%2dOowZGX|jpXHpM_BQXA6~Aw_AsssW-kBN`)PwEOP>Vzu3!0OBJl59ID$o;cfEzqK)wcc$tWqnWDY;5Zq|EWF){wHNYVA&6 zT&!;z(jJV^+I`x~RHK?pPKWn8ZAD`M6WxZB5HZzF+l3&^bUSILG}k>CfFO?Q zhR*}hLf4RXpIPdvXoj`XZEg)nj2)=^hC4#W_hZEp~->b}vcMVJn4Uwn-^zX0e(=%!FxD^gcB z03b@27X^`MU04c4Vss5@cR{>vpC>?q?tlw;iMotSki4#&N^?h&ZtHx+lB}~|4eW;Q z?L~l8T}Nuy-qM{f!j(wVg;Pd$Ti1jZ1@7p^9{@<#B}Bm3UEPSSkj&I=rbbVe?#@ss z+|&6{U3_2nZ3QGNbc5~yeAH!Mz!_EQT2Wu4O1FdZtk1e%sV4cNTl&AeN4JMI-Bjyb zmV@_AH<>zE-*qi%#H`UR`vg#{GodfFPM5VE#_DyR5x{=v-czD$qHpmSn5n*bGk7r5 zn|%aku6Lr@@TmTPJ%EM2$zR}E>eDD|w$hKL^#*IbqcJ3H^s8?Gv(=xaYi*}L^$OTA z{jlc1j_WTlL}stAp)~Y_e%&9yPU>g91a?YqIRu!4KJ5mu)B5H#x;g3}`vG&(n;rz_ ztUpDCgNuITVZ`aGUqLCy8NF*e+t9e?a+HW{Srm(kkJUHxu<#F?QV+XCm8sW)v5UY6d_9pIim@(7IG z*C#fIl?VC-wy^S0-*y;?kMyq}0zB3?&w~0B{R84X)mzgaPR`ahV^DvlKk^(Na`c{g zP=BtsqjxfL^^SC(<>`~@X2{q7#DKlfU!Vu!r9OHb)L-eXs0H;}KZMpi3iL+7F#Sf4 zUCtPq^}=#UzSGxJK2fNDPdjRg^nvz}EY@pt0ZR0%;_$7M>O+blS*CABb56PbIW4ii z*Za}+{GjhJ4p@c$81*1N>R)#P_DSED#@0&xHA+LP^o6AUS^u;vM84=7Q?>h5U)lt` zYW-8HKELU2PC)SA^`qzks?kT#vPP|bU2|Y{`gjW{)a(0=z^VMuPo(U^#K4=%TvLO2 zPa$GvaNYyJ+(3UF9?lzB(JRHC1}i>7?}EWMs!V+h+Vlp`*I>e406zma7by4}I8t}y zqJhsi_`76qj~eVj1_vGh1RL1Vj?2piC)Pmyib1#KkPI=9D7C$6u#85`P=j04y$d%O z5ek1121DP%bfiIzG1Q|B=G=!!w87{@a1>*3jnax(gYj#@i#Ld)_XiUUR(}RaG#Eq= z$#nxI1R}Wx|4`p8&)^kdFAciV%I7PCbA-J%Skny(1qLN2Ao9jQX$S1BL9=*ViFXDA zpCYnigS4BFEHMaZjaW(zehmXwW{}+!3grenCKNuXZgUBa?CS8D48axUI zR%LLr2P8imZ1zB$UkoflLHufPdI9vR4NL_1`)-hW2MRR?b8P`?4bHCsvCiNIhS;C* zUu-vX5{_NBQ3I#jFF9ZiHJ~N9VPh!OAK^+3uaPM)@p;tx$q0P(HQM7p<|P$mW3La! zIgA_93%cW5;)WC_^tl6>i7R~|KWQc{K2P3Fd%&km`x~DZrc-TT)affIi@T7=CE0s$ zT-rDvy30B=MTEwo*`RE(+ziRBMj@bVpR^9XcI>70;m+3dX5KDFY0&Pk0g&AD!ve>> zSyVCatD%*X{h~2^9k@qbh=XpCpd6Y(-^1aH%OLa5AGJ6hSz!h(6P8c=DNI@3|K6Kr zjS}I`oCQ!H@F*K~9tJGfKU5!Dvc)tJTQPqfY@cQ0`a~kB$62Qu6r(+=Fzd$mG zy)=SeFkTV|xXfbhA##Pq(l-;rYTcoJm5mJtF_gIkfOw6qD1crV`?d-ooF!1L8o|y` zsuszb)4dkOjyeEDvrcXRF|5@kn2u!^x58K)>pTOJ@htW)@Di9}0bU~Wp=aLqNQBd}7o{v-6t zSWDWKQO+jP*Z7_#HG;wic4RDw6)bKG&fz2LISUG(Sex6xD%q}ckgQ_XRI7ewI=X4h zxI?rOWzJbps%F8xoC{#d?V`O?R$K{9jMm)i39xCyH5-orZMi=v*K*}Xs5r4R+>!3U z+__FP$9r(cJ3{g-cXcE5&T$hT!OD5=(=6zDa@)edyTX~!rj-!xEcIMNIX~*vUgKW( z0|?_5Oo5efj;H2Q1oyTPut?5v8uX&LS4{w-xz&s5i{mct1}~NiyaBy9ZtWQmbxuu1EJ++kYbD9t;)U>jgS&bVA~(5C+dzECC6z+*5$8(n)hApR zniZaMPHTW=a}Q}Ee#XtE6@nbjhEnF|+^3$va=EAeuu{x9cLcG7GkgPuGVWtn@XEPR zD!<-y+vv)F;C6(;W(9Y+0l-IY$5HS;ar>#ttmMAY5=Ip_Z875f%rOyP#~1EqBM`rG ze{F;5YHs^|@V;?#=$`-1eWzWQHQbH`@K?(`p911ynkBi_v=OwZiA9xQ|Uh{Sh01Eg!64c-DhJQlxE&n|f*gL+(0|ZgX zulI$cB0lQ?h{gOc0icBcn@+lvH=}W)jPGm=$#OoJ(l|5W5bZrO7rs!&Z6TB?P_PsZ z`2({Ol*jO3E!X>lUAJ}nW?G0G57Xm_ooe)AOjXNnA z1wiDKuwxtCItZ;sLgchyxB)gDg@rWpI0=*6LNZX;e-p$YVIPeJmxY9>P`Dz@q83hw z5Kfy`t_q(zf)^^RN%RyB%_3cM(`Ic=%{Rq z5%S$Yj1_+TfI^&LO>24af{`acg1|Z=h(w_Q-HO+Re|AGMNsy?ZOcu0BAU+lRXCd5d zVJ0}5yq03|H|SYm2!w*QSak!&Y{aie0c^$086et;)2NkwOngLx zz;W>xV<^~*m)?MPLhMUzuaja8O?;=sPSk^O5U&gec3P~Ub#_N_i5(=J(7Xe17RzXH z%tgFD4#tAS;XJNHuvk0>3Rgt?l`tJ5{-C<#s`y7DK&UvB%I9n1Ixm1Qak?Io;bJhQ z5)pX67$T8kwHd5LiG7EF7%eWM_D+mgLaQUO;_pe&ixZdAHx@7UrrI$<^rLz$Q9OMZ zAw3n34}xB{Sa%hYIb!lrfal@{dKn;B>`bYBo_K2)K)#q62k=5{wG0X`@wYtzUWtvC zK=QR{R1cd4;$eE@^^N$R29~$tcG_(7PHfu{#6oOUfoYV3Z*UI9Vv|r1OT=~5Ct>XA{q;PLw4pKddoR*$7g}S5Eix#AuB$bx%ouv`^kaUr( zPXlw6ny$c!oslxBcjG2qod`X5=_{op{!%uz7%xh}G#my<9u~j?rItIP9wgm401zw% zUji>datHt~QOXK~qa-Q4CDfCphxFv!kk0-C$(z!MRgg@PK2d&}Dw$P*cuP955F%;P zle;*v+tM@#fIHHn&)_|icG9NQ9O=zj5OXExzo4EcJxoQ^`O+Plc3(&noe}&?DdjzQ zucR->VeGZ^z7Bc?(lpwk_D0fE|FBXjrZKBZDjo#WU!*|FxW7t0XmYETTC|1UH!1BN zz;{VL3&|QuI{~a#8vG2PPAa1jx?Y;L5Z-O&6O?Y*$sub1j>~`2A3w2|)k5%2$PPE* z@1*QXsmevUir!VdB#(~*7AXJh3to`?^G;yFa;v|ga9OSo0=OcVI)NA>w~?T5RUS7U zrbFdPBTKZZ`CLw6FqSK4R)JV0H(rY1Kg%)H1N|zGI|Pwx`4l~r-(=wpynmPD zN!Vo05UTS^^Wf;8nV-QKsAgMr}PQ63M0Ub^Cx3*ud+C+&{Q zQ2O#v$W+XdfMqG4*VA{T9HJWGzH-hFk`ENWQNSK5d)h+ek@A}cV};7X9RNkj$T5hl zM6sjw`BG&Pb+O8n_OvspT$#NH#P`aX5x_nu27`fBDE8C<{HP3{2S=Zj;I9CcN*1+# zs+8kvpl+|mmBQu;m7M`NrS2>Oa8L(QOYF27Lp?%Ab=EXQ=A;h)4LoPHMi0|2>Udf^ zbX7};ct$;K58$S@JPV?`+Pys#Jk%p6;OMMsMDyP{)g=zR^J>r%*z{C;P*Uop+8E+& zz14lE04}JPpMdD2HnN1euiDrbl76Z_3PgW3Sb~*{>V_nEPf%aIg+iiw$_rSMDpSFl ztR~Q`c0)Z$bJb0?Yb~s#s57W?OI2MaK;)MCjF#KeRCx|;-c}dWaB)XnX#!%pYDxL- zT{VRsiwyPBK46(@5+(9k>TT)<-%~3N0+gt|=vtSmRjr^`uD;d5^n2BdcK>})Pu>Bs zLT!2g-ao4Q`+)dKRRaJj)unr(SEaV69s8fv^v#g`qVBQ<_^KAthNxW6` z_+9NyDO`)gCptf^}+!3|_tZ{tB=k>O|VOWuk>$fE82CiFR|DX&b|!XRg^+ z0UXsfk41oP+MCmmbl39U!24OPpbXeKZAcqn=e1}`W<9lQRLgj2Cq6*ITeJHMybIbP zng)F|w=9VGYFD4Yk)Jk?qW0H*7(?Nr)`$8}mo!F0Wq{U`+E9U7W(xFzv~iQ+C{^1{ zW9uz#$j`uTYYj=^j@FlYKIz(aL&SMkYt|CRGPLO5fn{oSyAVW{wxAiT+|wLs>FT~_ z{0Gz@Xn9oUKh)Mef}=-TyPb&TvG)7|)SqY*D06(OdF#Q;);uYbd#0VGx-mx!YYpCW z%_$NfR~r}!VxHz33M^mywGbX&XhTjx;icB62fTmL;_t!cS8XzVso%6fYHobj2GRgg zqjmQJsMQL#!%>~Kky4|2EuIJQhn98>dM3KkTd--WOMe1AGhOjiVCK3)nv0L>7GH)3 z3tb{T9hSQE9k61h>ogLQ*1FPDI88_0Lh8La>l`-#xacN1fat2Lrn>)(&ZQPse05)F zGm5|NTTiH8)K%XGxTLeE5-~vM?f?+23!rX(tZoyHpK-d(79hs!`cgfepcCo!??j!! zE$Cg>HB7-dBr^j*7rN|f1piXE&=%M$UD_&K zv)4K`9&RgiyJ>*`r0YP_Zl#W+mV1@%3>AK#brVBD{G!`VdnCW=>}sJ`t!pt2JWG8J z&7#)&blTHkqknM(-qF0KT*FR3ovzX`y;C26WB0C z4|l=y)UR-bx|e=;FDQ8HP3HoH=+}@!sNSX%uxtAIc`zNOA2<$JxLz9u^$0z-_2FXa z&(orIs^0n*MAGzkA^>jdd(f_sJNg1jSkv{B3LtVWCo)+o~^rcsz_f|i?4rlvLzjZcvh5E_2pjV`yOJi%X-kgf%5`CM=5GmDn zw}C>LK9P1imFr{MgZN%QrviE(^vfv&tkADq0^Udc++k4vq~CuG3e^U)`=k9VfYQ#m z2MAqbH$te}ILf|;%CuKtSS_{TM=YhKj*%npfilYeS6ClCfeP|52|d9bYqAyc<62VZ z%CPf4urNDgKV;_m+29oC?G6Wb{uBD<7VMl2`GpQHuw&GR+EI%>Q9ogEQ!0a&WRC`A z>0i_{S?1aeN8@$0;ghJ=nKlaezgB| zeZw;N+Hi@UuZ@3xhy14DG(v2)qlMNjw`rMn>lzwew%wprT@jQEqLeIn#Ty#lSR zhO>8Z01@o>77)3?W>ea5lewLNdMf)o64)&k9}T@UR!ddJW2VrBe8RraPMK`h;WRwp zXZrOJ$zl1F5*D(_10h+&>OX;4!U~>4p_HBf1`lOS-VKFsjHhnIcQ)`BfLeBHD;(9a z4)iS4v!Fj<#g>c61hC_Dy>Pb2xtkq<*>g$Lpm2hV9RP)s+=dt^oZ?p)aGAX_Z*=!qFonIN1miXeAFU(E=M1vnRv|KiJ*_Q(_m{{`+@K`z5$I5 z6B?Aj*2ItrpiIha4Ef2;FT(4TDT(+zRXK_Co3?i|EKH9Kgyf8!lnc#VbPU#Jt^Ey> zhE|n0&K@xclsP}>9g4Yi)S+8A>>A{a#!$tzs4aC?7B`|)YssFDpe(&cL^<-|H^_~;rr_*HyY`2bF)ck|eQfJ+*c#_|0mt$4 zDLtDo;TIeyPNH1^lOm~yGWiv?^rzgT{RC4-G==1}RefN8`ez!uW|V!0{LGiVL76p! znnH%d7s2oBaJtXt_rjN_1B4G_)HN(;n0tQBPv!vk)BG9vvsv__t; z!*SH}Wb(I_mO#h&jeyqJY(MxKw|5q};~UZDhY1tu>6>`?2P7x87!S(i>og=!$(shr zsl$Tsd0KHLEKGk#_xOx(H`p<}wi};k3sayq=d}|kbK_n?X5Kk!x6YqT_u&GI5wNiE z(w~qqiujJtixkQy7cZn4Z%JW$P?j3}3CgkvQ^*((q@iTFMDyy3k@RCKD+~9)=Bj%% z=dbQcOZIE}P+7A!l-gbED(L%M?=}JQ8+Oq*vC(P{?b!Mc@O6y6Jq6-%_J(qHd$#!rtejw9=7V^W z#ZnjN6dUddq65>~fOwjvQr_Umww?p##Nr+xBxmM9jd>S#gQ_f7mWO8zv*q~h0B&rG z2BJI5ngK}QC1VeR?se# ztL!cL3uO;_z{)k|Nh=&-EWQpPoCO>Kh+w-{0YtI^h}j^m(5rJ(vD%BBpTY)}u9o^=m zZ1Qm&{fZ`lF;-`O`o9Q#Okr_>89n|Bf1;V%s0&5D=+!Hn#$t2&_3b4-UZ5=9!DYmZ*wljaXU{PpB+iYu({KkI#|2#UE?vS4Fi3T zoCx{7OKCZB-vV09-2cECpAXoW!`i_HR**R~^g84ZmtBFif8Ns`kRyr3h|PpGYXD%% zyy(j^V>^~X%$&`opW-{pEU50WU}5PHv1Dd+A6PN#E3j?H9{r9JInFxKaBa`zco;sx z)R(|cvUCZKPcf%>00;KRZtzaCrRTwOWR6t&IWtay6&IG#8JH`(N_!vAu%z7oZig~j zNa@>UIfClvG8&)z7ruhO0hKfk4y>npa8P%8vj6s^8E$aORJa<#67YHGa+(u{H9^uN zj$%~ej~??6B*z#~Z*puD&8*{ce}F#zQG3Wt7(ki)#KtpWf0Floe4gBvI!{ybXgr$w ztu=I~ZKFA7x=5YD8C_`|Z|2=NSeVr}1d@g;R{uALY@jajs9MUlM+eYG4A|TVTh{4Ta_Lv#C~_(1aFlCteN2=Sj&_=uM8C z1Zz{q(kwaE<}$2JTST3@=`Qm?nNdy2@k|pN?*AUn&s$-uOKL0dx)#zxZ%>Dy|FYU1 z`Ji^$O4UZ!o8I``Gq}NjytPws)w(RUCZ4H6JpccHEoyIpI&j1QNDK;1qw{=2Meg8g zDiVf#_yyddPblXZ7P1}m;oUP4{fMTN@r`U2jN_;YI>?M}zZl#xg&v@ceL|1)xW|+? zPg>*w%adbi^U#!rR5MQ9c?{fX&51>R_T8aBrCqWo^G0ga&Ju2F+&{GJK0 zKB50Vpiiu}LL8Ik(!%xRZ9U;>%30cyFm)L%rA?boy{_qnC7{gcNssQ#OQn#R6?zj~ z!_kXyoXseco)i5yBAB}?AM*3s& ziQ`~vQf52oPxeW`=P8RPz~5Bowct+cF%mwe*U}t6!+J7gX8z@dSZD31$I#gi@jii?kL1b0cl8hBZ{ zCmzRTHSa-RsnOZ4@_7dC>O6X?*95(Rg|!B>%(u=e9rX3XfBg3hkD^wup~oxmW*dd! zILDP%YvztWkI3fzWq{-SO+KJ6_=O6 zOliV1?(Ykkt=-{e-6tAF*N-~{nGNIK zfxFS83(jQI;a#9?9^L_xThiY_X6skVZnq7wfy{Pu8I&FCzk<8d@g*$p8b>|5-JXN- zc~3dj*n4XzcidOM0F?dS?)ZFQK9$)A*EWRTBVKgBVCp)63uDTf)BrPMja}f>oOSyA z--Le73Uos|>ebJ_7y@r|22uNI?sOV2=JlF|KU&w z^%j(Mf+u9wAD9mN8{SgSdgHT3u)HZa0`$!_Gw3=sr~7`Zp5DXVX1EZ#+i#4S@>WCwd9WE7l2~I+R)c( z%39JghZ!r`2}kCv_2&QP+C-|Q4S%7g&Fm%gT+dlB15wQ7T7fdpm|Cv$-J0X`f^}qQ zp*77OMh@$7T=YN#ckxhT_+Qe#6f#Tg2I08OD-~R0U1uDZFQl|>#qK;vt~94vWtB%C zd|n+(t4nJVCPR1ayq@^H&UHNG*GFU27dI5n$8qD$m*8%4-G<}lL0u5%mJDM^ZsmM% zRkj6Dk74`PG#q#AOr-17pOztax&8_H-Ov68WlsZoYWF(7#&O?4T0P&Ne-QEqc-nz> z@Nb%tj|g-wChXvL1ZT>&KLTdPJd$C=oE4&B_3voCmU0!t@^A1kdje(TbLP?AF!um` zi}N^IFqr?s0=f%4U2t4jMg0e(4HAxv4)=llVxyk$wq$((+s+H)^m7S$5Hoe{RW!nH+=gUk{d_=1j$XS zH{iJW>?j8zPbeX&rGq_@X8J9;q1(3nuK=Se%9_+Z+$fj5Rm}gXZku8*@KV88WXCbq?kqpto2T)Tp2@%&CNok-sxOFX~2plEs6s;1uD24@QciS6ZPUTq(0a3)OVLX6>Lkmjny&$- z#T8N{TQ+|j!7v(k?}f#q!ZJwGYEEO zqTy?k&)rWm(bFp5bjGvl8j=^QrT&U%^)~xq{r8;muc~);jw*p0)Nzqqes03$zsH`8`+b6v#((w#g~TYbzBbuT7Kx-^!Qtf*#*syiR=t+J z@Lqo{kMagz?nGM+TWo=7j%&iT$su_Qw zuG-S4pjf>H2P!pAz6ZLdy;D#gGNlws%zH?}2>p=mE=%BDPzg&Xsj=pF6uuq4gmfos zFiGFFwyywI?WJS_h_KP^8p-`GklxVXCz9nFKI;a`ji%7e=-fws!^Yk@G{UaLbDQjLg7PGv z8mgp`Fc0~vIX?rUT0Gs9)wewdPK}SIg03l*dKO|Q=cW16R)7r6nhENb$7!ey(~v)) z<_(f}!sCAjjCEBz;MR(uo3i%BcuFGRu2qlff{b_`$ zAt-5*O42Z&iE(MKpo zAg0_BG%eo9QnsCk`UVag!BvhLdLfsIK8Y=+=Uz_Ah`78%CVD=K6bp2rtnR_}1lD zP+n_iNjz&;AVXtB%jKXFS-Arsqhe z@rRz^y?z;z(HdN_qqgCeC{SoLbpZO~{N5YS#&t-SO&m{-#wK%5f=-g=ES|}?_MtYV zBJHfE_37t2n#~}aO7kQeN>YdS#(N7Rd0SdGrErh5)B)4*AQH_m>1@N~Z`s zoBnkNG@I?EKj_%JtwUY^-!o9UoSA+O;47FvNrqg>tSpOetzv49MBQqpJ-O%BFuI1Q zTg#Y9a9PJpuZ>pLGY0}Om<`PN$;fSF-aCxW{LOTr)3k{hG#`+gnVYN7`WEK?UF5bh z!^jS{jnR@Jb34V8j8Yw%uaH$ z?q_b(_fH3ylzGSCN3~>WbnMmtmuov zOU$&}K)B2tBdPTYGid}6t}-uU0D^CKh>c%o9@G7HgV|4Nz)fZ+anM^#1rj}PGwVM< z&+ag*4j^}z*+tg4d(7>FK)BBYX9M8@Gb{`U_)%Fp84sCJ6nOoJc}$%BF|&-A;1ee2 z7IIISiah~>KXpmk-*cwNQsiDRElFp2$-Jo#kXOv?Ptee7CUyoIdc!!b1M)4?aX;!h zu&YV0?#TW{j-G@b>C`ABVXa&4}>`daA{n--}Q8$rw3|T|+Z5oNb+r_C~M^NMjnweovS9DAq<+<lU(Rv|Z@4Y~z{32Zn?z!TZ#{ZKfG{e@gbli8l+BA>#JTZ+P|Y!W;c*1JMdG0tYxR@(84Jm_LuK>l`ml19eIUk}*~!1Zk8kAU33b|5`>Bb!Wu z+}~_f;#HeiEBPfivxCVNvW+!q(aLuA))4e^2fOwray!}nkUG&lKOd=k3|U|Hux}Rw zWG~B>0L=sJ7o^-CWLwaB9b%Vyqfdv~8T~-|2z#?6Adj*?^#R^7_HbDcJI;=42*?xc zFd*VHuF5m133&_)K{C1$9VH?*(;aS#a4+_t*^J3BZdDe?=xeM$c8K}F+epV6a zm)PxOSh~#4qWy7&9Zg1nYwUkZAQ{WuJC0_@aV(*a=ejNj1$C3Sepiv3%vE^| zkg42T8%R&%#(jxoI+wT(bu+m|O_9vvrX``h+1!j7sGG~R{}{P>+{r{F^SRq&KzboJ zh9VLcaVyBhvY1;~9gs`81)Bh}jEmik+;T3cEGaPl>xcg+u+`)bI9rp1~ zE|k>DUEC;g+U@50`vGJR*MuSy_HyG%fZfMkD36Brb6M+=JHYw12I+&`ATmN9=Bkja ze1yATLGCCQL^}8}Zug%6InE8D2|3AKzJ~Fg;%3n>PjftR$g^B!(lO3)$z740=WY!L z-UV((HPl_=7CO-0Wo|AR{H|~|vU6SI=9B^CbuNW=-3@NV802nol@pQN=K6jB$UEFv z9j5LsryT?;_qgqJPVaM>B*s7B{_Y99f4FnxYkb7@$UyR#v(S`3;e1Ph$}?^tZK>zn zlWr({!F@|g%1iD7T~V*NePoh&%`GRZ>l0z9klXHi=t z?KPgJ&tCyIWJ@02%^wgQT?sJjsEyOyvIcMM0uT9+7GtfBvsja(JVU#l2qP+2QJ?JEULk{64jaJ~9 zg!nGVR-J8bw$|g{2yPPZs z|4pw1tab~3L+u|Im*V;7Y?2558b|kQ``eQN(}B@O0-+;Qh9rzmjE3a5&dd`N3cD~v z$q3z*iGGEo8?(t9Al;ct#29)o_iv*EJ(^ zF`a3d`ZF!aIx&z5_6FV{Cb}n*!AuN=d<B zjAS04N2fQ*vS$P~Vc=|`5i)lA#QfLz1OrVrWHG7F=TTgRkR?Adx| zdlpD?fG@WEecj-0Xzp6!YzDfSiUn z4Ps}Qim`w^%h)?2caDjC0h;HTDO1qG1?In`d|hPHK0(heF-fFOUS__V1B5Hg{wpAM zmHFTb5Uw%397wJ+V}=3Y2GfJgrZ<_?3dr4JHXcFUZRQv9v)pCIklJ;Rx!(kZ_n9yJ zQTTuf8UciVm90H;1je3CUb`8!>iA32o><@1Mxq-d@J(7)VjhUeGH#>MFKsK>+=5N?29nERA6u53Q~TND z!%=sD9p4YRgKSAk4zU+20R1p)xeSCOY(47IQFbL=qsQ3BU634S{~}ZW2{we(jFW8F zy+}^6M@SVs&1!ETIm7CI0LWSPVGFcyj-5bX?w@CG_64yE?7sD=yU1GTLchdbc#7O* zR@VlkudvVP2EWR7Fr)L=*e>L=yUw2Li`)&?`2Zcf$yTHQq+4wFFM)oWT{8!*-(lwm z0^}}x?KTkZv31Gjbf2vsgk~SG?a1@;59>pG@F5%i3LuZzPiFz)F`G{I^C#?bawt7z z<2jIi#x~ytkmu}&S?JOWmT7|IB^z%B$Sd~pdDOjTPyB)84ZE4#0B_mSWa;j}&252Z zJ961133cM`&`r{rtNIJ-x^R!*AlH>^@HIfXaotH5>CR1~DApcag`wy~PflM6g}u0G z6pR&N=rPGGkyeOv$zlFmYL19Bl&m^SF1fbF_&9O9AzHYXDLX}=k`z}%L1;U9S94# zH8c~8xXHv~7js8wd`r0J)WTBk0a@vnakoCi6fEcF(k~3H;4)hSVI^0EmqOu2E^-TUe{<#cqlHae9JviPb8n8Ja0@qw zj1ybAi0Wu>8`qvx-R)edZm8SAO}dO^Cs&a^a@fuN=N!=YaM$Oda4(m`A-9ifPu`^c z-1HVeKfqNcnerglu`!ZET#0iac9@%PMteuN>vZ~$a^KT$nI7Xli2(X>t`s>3PH^>U z^PS{EEr2}5J!*rx)7%9TbkA@n$X|Ds+d`_$Id0ZK6rSg-Z-IA#J3{ds7ddV?a+kQC zWV^h~9i>a^3ircC)LrG`hXUamw{sZKuX8Ofq3{OxZABp5TtdyEE@Rpjn`hGa3o)Y^ypFibQ0P}^V-jmjN!j-iuT6xF*}eO$3HQE^mx8E z*-0nxS4#nXBL5;9TVoPmpKklf{9ju^Yzp6VF|CEBlY5Xd3i%#b|Oaa~ue$XBu z%;e9IwmOS1ONO=C{LOM`VGbWgLhxMvqctd;&wsoL?JeL(k==12e_=3ki}>=l(86MV zO)!!rd;ocCm-4+SykQyt&2bbi=kpqX$_jouY1J$F%>z)kia&D-Ev)9hB=KMkzk;TG zEpJapKiBaie?;MWel_vk4Sd=_w6~FeOks3?^N;9;-Nf%%0ff!`H1aHM;g6Aow3Tm4 zKF4i*U20)F|8p($We5N1FDTr}XEjD&cJTu`pl&x`xhBx}@K!Pv?&Uj@1h|jKZ|UNw z@gI?o{Qw{JCz6BwXi}~Z@vq4ta+v?+Q$QZ!7tx0vNBM8lkUP#Fd<@bj_%^hOPV#%n z9CC_ptVipo`G%x-oZ%nuLO;*)Sswx99N)@{!t?y{gGes$-_X^1k$>|gKrZp6Hz2vp z-%bbVEBr?^=&QVC8*X(_%R!R{*XU>0ONbapILy09`kXe6F=eG97gh#|A-d# z8Q*glh&|^&2m!(iepe3aUh-a{X!aGqbO>^<`5ttmzu~=eLHaGP42z{Lgn7*%Ru2JnFj_5y?UT-uuwk_bwh+MwCjcn9bW@) zn6T<|6b={mkZEm%aGO-2k-~CXzfnRfvaXL7z8;6Zj1e9;MQ*I{J6&$$ge$*;H2&ab zD|Bgsup|V96NR71j6X^6?S;C@!Ut)nnU+CHj$pZXUKR_-N#*&`1NEn-d zWU=sp6Ev3yHFhIeD)c1IuuKT-2`bBlJO2U53ZbkKxs^f&@#|H>d%Xd&S}>7^V2!|j zie#;D;yfl~oluVU*?OTht?dTk7;XKH!dDbr_P6kLIy$jQct$qL&BC%I6mAh#9z<@d z@VW|e+XTm#0NE}y*8_d0@F)m{yM);lKzg@ervtP{`0sUeX|M3~Idc1i@Ik2CFC3>2 zUJeMyzeL?ZVGecokg(K%y2HXXa*Q4kKBa5$s4$#tW5TEta*u@Bq@6t$&JpAjVG%jso(fDL zT6iW5B6t6D!EZH^7w{hf@}+QT1-ko6s7YM-wJ?n2kT*ikWT3yrPyeIY4w`lJQ{Wvn z-~EH0b<&KdAFJ-H8BOX(7ft4R;C0n>8v(p-8V9+2yK8n(>pe7sgHYE~^V?xi>7^fkLI&)K&-FEm+Z6sG*22L>94uk3g`ngtv?6CKuy8~B!e{V$t^lqqj`%K zhG@PeW;|5W5uRK8WX+4Z$PL$|E=EHmG&i;)8L6>sLPMi8H%TiPt!Ybg!5B@4a>$L< zG$Y%^I89|qj?{#VLVFW59kT#3Q4@O!otUJVQUx?8Yvx3u*(sWv_fR)gGleW$(=?^X z=`>w)U@+=tXd+vqZl-248D?i`mePrvtyzBtb#pXbPlDK7&2_TA&C|U10U+mVPLL3_ zKogJ+kcFC)B)%@z{8I*aOEfi>0b!};^O0zMnP%=Z;4Rk}iTA9~teOghm71m9kgU>- z{|9|pt*K8E{2I+SJ&>%`+#or5on|BPrS+P`ULdwX^V|n5Y}91Z->d#xGy4W|n>0Q8 zAla;m)Bt3QX4wd|uvN3+4DhyTz(R52Fb06^(Ad|ZZYTbxDw18AW$&YIx28us;O)^= zp@#NqZfBvp;GyIc->=z828{!nD{YY+)UX?XcS!TWPZ-K!O}!n+9nlzw;U3lak?HD~ zM*9l6V8lNi6-Orp;Oup3>Z+fSl8szhK_N@B8>)KznC36PAGVIZX+2-=5cu zC2{$Jra>Ph7d0P}_IX*ei>|CInwR^~!c~p^XC&7&k4YuHuDLP*#BOM^Xt&G>ma9mG}lkaQGBlY-MpEa8h`b{0+3L9>gvo378U;`6W3rEcP@VW84oJU$z_ z9-@`v?s|&MLNwb;++7CibI2t3=+@K zXDfrn>urEHL_9tTAVbBK+d+Dm_(c?Q!^JI=P&Y!HLn7lyF^FPnM~M%~`#M^jGY9Bn z#QLOGj}?28s5wq-Mk2s?@!%MsPY{RgKr&H0L`P;;R(Pst~-MEtM;T3;&eB0+wcm_8B7a&Z=YRIx(rcLa4S#Wl3~ zR*9|1_PknrLqY9p!~=RXyH>11R;qQPnIdsFh~2s(w^0lxo#b!v*PF;~68~6^WV1Ml z0*SVW;gP`GDz2v~-zJ`=b9)(84aUR0s-pi?8+~w@194gud(* zv&g5kPdqgPkOxFl6HvkLGm#u}NWAO^$iw1j`c1hb;^t}qIV#qm`8*~rAnVp~ar+g_ z#0k+v+R{le{0HEj65BOH-D$CZEDFzvch7*zS+Vm1OMenSxh7A{S~o%E!15VrFb zH<`xoioHHZa!>3R49NT9#;Zskh$A}#{U5RYX|(=O%()GaN8+|csCz7m^atjjh;zH5 z@ToY!1dwOqiLGeix%i(yf%iiElaiO>gE466mDs)ya<9cNcO!WtKBg&nE8cq#R61yH zO+l`scF-}*L?`V8`nK4%B8gLo!JFSu#Kd zYX^~eV~AFyu@BW&Y6SFQ+Tf|c8?LR|2OuM~zx6|3MruF0fn=1nOc0XM+Vgb*IYzs+ zB_PLYBT6ARP8&<-cD(k#9nqx;+SZMMK2ckXq>@S69^n9)tnC*Hgelq>I;m5&&EX{z zrfCyQK$xz*L-zR@+PO8*-I>}R+d*uW_70i2XKPQBxpt2B8CfppYHyQwY@W6nwLV|# zpsyyEa6!Si80?>XvBBF{oRr^&5>AmTAinBU`TJCxP?|?aCP_ zT&eZ0iNaOd>trHbt^GI&=xemGWszH}9WoE?t=E1$8-*LRf4)F&qt=U9?BCkVkw`Xa zOVE#;Y}Vc*KhqZNIdYe5)!y+(2e)a%NKf6a&5J=Nc4&`}0LV`56EchJ(k`lq)^}^K zP~6xaZKLits;5W0CE z=z_xT-qlV3q=)wt3($LdzZi`cdU+2c(XY4n=tLm&@opNAq_1}?GR61vE?pW3{k=c= z0#h)+d+kLK8|b}*{-pdM?;Uh&5B9!R63Gzn9<=9&dOxfIV#B;YA_g(sJE;>|7~!2o ziqS~#4&#xG^4?D#xY6Dvn*wr-_dz(8F<_iCzIF+ejOV+O>23o5%nay>d_Ve}dJ=z@ zM2jhWqpc{M%AcaEa2o%LVq~WCEjA!GgV*mvZYDqXJRoQBQz;gI4qt=h@40;9b%4y{ zOGCkv8q>1A=-_9QX`#QIJ`spMK2v~ajPXGOflq!#7b;&10f{QnbeyW&$Z;BSpPaXr zaB3+009ik3U;h|{XK0Si1~_q>Cc!aBtgGrUXm`~ zl@&K>WTY>Cr-c(&@NhzDi8SsIEM5@yJn^B8^!;}dkIN{uxjS9Lpy*EOop{bM@45$_@GOX58uJrK|6BVnM>z(BfF!>3bF zn;H`U3N8A71o|1*TY*mI)(3dcdYOP}$yq==q}8I4DEae)Mu7h-Y5>aH-9LZ%*tTr6U0lCfqnBQ8a*T zg+27*{Jc>(fQvke!hu|L8c2`eMnobvl3PfOcmnqwjsTnh4^E=jtGH^{kUPbNy^p%n z+$WWgyTm2*1;S-+HOY|II3LMT!(FD|XZVMkN4xeFH*2ze#vcsoZt@(K;0Ss z#Rky4z<>V~#IEpqx@d0jhp=;DbDMAvAP@NIWTJn}PY*z^U-6x*0^v1ZW(jg{_!eY! ze#=+w1&|IxITC<}3d|Qsh6y_<$Yh2P@Hr6X2xD6!H(wa=6_SO*@1=mSR2W3D(94BQ zq%*D-25&&&I^h&K7B&dpIT^*C51 z{?nAXQ5QHrPk*4CQ?|Shz<+o<5MV!rKc~7TB(9b#LE>2XvJ}5xu`dlsU%f4AjgRTR z^?yO!Ca}`yzzTjp80EkD)7Y!Nzd=5_A~!WgC*#C#J2_;Ji3gnvlg@Wcq< z^ZOpLN}6kI_wW7(wy>N@rFgnk%-CIMc`Y-tFLE0ge|(`Nv5itSEGYQwFLK9+?|O#z zlm8fw3hhJHmzqT_W{m_#nfYl7)pkiI4C2tz{;1wXp; zUJ6?RutR%m273XdpQap%5koW=7b`cW&%SUFsYKy0bjm{Jssj@yj;b ztK5R#qlrPhca->MDNA+0eY}A};XXOi7$u*EOa}Cqh=lbLO0S@Bm9Gwy>9caVvS{+x zUZm0fmP*c+Ds}5BcgMGfiJ$z~Vi=%(A5s8AxkR!KRywsAB~{WEf^OB1<3Xj`?rA6w z@!o+R)b4Or>8&pq9uC0JQOa@oRxFLtlqo|Tr5yhguq(!sXr;H1b+U4t5B0(DH;q^u zkCLiCkTh1U)P8y23l=NgL}7=Apsv;)+MvMaAndGJh^U1~3_}o+AC+AW;LDMc| z7tbE#KuPPv6fp2d626?2iyDeIZPNi5jR+@RCEgBd`Eti4Pv3Ntvwcu}0`apv3MpmKuA zB@OH>vta^~bBw7I3NJI~U?7st8oT&s6qMLUO50~+uPUW=#-yNIwomDomhB z#ec<>*@C4P%2!aEd zeZ>8TG6!krk60obprDu8p%Ya2_33QOu%wbnZl&|g344TZV9?O zjWL%;-8`mWYv5hw)5DRw&VSn&E%X*v^+z&8_ygwv$Gux?BzuIAWHhu_IFJS^`-GAt zTpkp@qyY0n!f&rpcUTA_hww4sIT?PB3xnuNKOy`^^1&sc-G1aQ3xV+fxhbrwg5)2e z`3w+yDwxP$@JvYi5V@DaU^?!vgd2mEeOp2j#7h2A6+Qh>6~_eaSBD{nmFPAb9slUa zYGuy{f0hX3Dnm|!VAYe<$LeYI@HE?gLNBH=@8485bJ+E5K#UCLQJD}$dPAc!Bw{63 zBC)mQ&^l-=ci1nOsxFKq4-8>`+>Dxe%)$>qdpXnUEuc3r^AeR_M*g}Br0OQ*VGJ4J zcR@TaSwx%ds_H?c2Qzgp(55q{%cz;bJgEZgnM~V#3a7ck&KQV_t88~NBfnttaEle++RJcnw$pzsEDJ-{z1d(9X3Z)T4%7rv=Lc!- z_XM%QnpG5QH$>wg!F`ys#G zcTn?cEt)-}DM^cTOH=0x>YizyzK0f`Yu->`;tNguPe@*BI?^n?(sXN&sB)i1vrGd9wyz?3@>=9d&C3dfv&=~0Z#7Rfcv;E@y zSx63u|G9zWp!l>Znmr_5Wr25CoO%^hj*1`th}<#Jmsae!m_|I|g!t80fIKO7A@%!| zm_x>m(_$?$Qk)UfdZLB1;)Gr3(mApB5`df+)98bS3*vQh5MC6QTtjk6-2E}|E{hjv zn_dyCtVMEFEPV+G*Tmrrs9YCqdqDGsID_m8H^t??sJkV;DUaN3@frE|?}!PT0eM&a zko^1i#G#vj@Ib7$1-XC3m$O0hp%_3+{IP!h;(jFt{c5f}e9If}!@+m0nuU$wHN`tf` zzCht%?TMP$`a`sQ8VZMN_c?$tLi_ayv@lY;=QeUz`P+63Web0eSlU)Tlw^^e{N0`) zw~OEYJc3itD04Mpw%UpE4|gM5`RfE?o2bwdls_~X5iJI;@5j@${p z8C}mO`J~P0`5FEdsk>+S&+npzb9_oEpr7X*@L@>f^P5o(<-uF}Dco=r`-F9Hcrq_bmhfWm4>N`TnTi!FX;EITn>*EBUPB}eDeCOFWyL1J!t zN?L=Q+`Qz}%mzUf^$l_}Gt-iqC#GgJNX$;B|0^`h$g5DGv_eK^MoNX0#3rc?QZtg$ z@{&^;q$egdPt8dA|J+JW+ngc;&dW_rE2gwkQhH7*<|{Eh*=WF2NL}?zz$0iSOmh~7 zn(_Z`_R>0v|H_! ztpE3Gotlx8o0x$~Ej%c>cb>LVrL5GwdKLN?0PI)ssvyBF4 za-y?&qTyZ0S*aQ6d2QYamDxHayHXZCsR?JYGuzqxT}as}IVri7r04&R`%Mp_sd&qYw8k&I?g4mCeJ1)M9o#ISN*Jq{WQRfiD zC!H;~uDOcb2JI>X_mr^`DaAr1CMz$=YDqF~Be^a)Cr^G!k)|BbDXp+pa#^#aG+JR4 zHcwB)_}b8FA(v`Y0Mc`nl8jdANx9iH7F1`c#Iw?sg3N513shz2D(#|;d<3;HSL!*y z@^Tcqt&b86h_*NPSTUfZ0`gfH$Rid7?p6o@nZ~v=NmP|1t95542(FG$dx^ zq{^@IVUgdHGBcW{Hp4qkQEEy`N>e&7U@b}D1GG z&8b5Ko{J};I57VzCdxZ5P$)xiB$ z$2B9|4H9lBP>ognfBL!-E4ty2XP7S|^H|2MXgR}tQNLM%qQeaH^Y`ERS15q`h+)cB zg~2etGh#K5KRn&7eYjGuOaUWIDSFNhAT3uhYMzyM3$tu;WRSQk6R9(;gUQ5q? zQp-=T{~L!B`uvr!l5 z?`5;pblNR8b9AJ?GuCdhIh=Nf$q{SU`IPgqwYH@+GX$3N)wl6C>h*?TgDKY6E7oC+ zusf|$4vWne8{=?>>%1&+_PSD0ScIvj(-IeIFi0&KgSjc zzFrufG>4%v5fSoGBB)tsrvMSd?+u) zSLfro+8LSCQdd^zqk>^NivgrIe7$0#v9vKJV^FxWra7Xv(;BVU`6%mP4DyxsL99K* z86Is1oHfRR2bMU>9AN{XvKNAN(q6#)1Q_(e*b5db7B@O7%u1Um%5E_@ZB{#$*mJo$ z8%1lSd5E-GVk{U;CTce|b)md~+u3F*mqm!py7iuv(eWZF{xk$>C*9H5#orJK62s(h#VPTjf%&#%n z3p*w(_3iyC2)QD}0I!_hYwuV4Vh0Zgg)N|StMt^@#o5JYt=V?=*Sltx) z{7vt)8qJZ;(2$yRf?Z3m>}jmMKG;w1zV_JqPIHvQjbXXAg;FK+D=H;ETj2OgJ4UZ? zMsv6+D#{Y!w1n2QBMFIigwwkP%tL0K#R9mFjKpCJffe8$u7&W|({j_K1sOffS&+fC z(C!CG7i3W9EGjNi;&x%Nc8lF_w-DZVtLzz)@&mNDNYs zB^IynoDh9w7pJM5zkx!cL;d`mF@zRsjWYz+RuDsRNaYKO)=R!kv5otl(_ zyCyLgN=cKv+!UwN$EQ%W(+NIGyvxNIEC~+08~l}KJl4rA<&P*bA3ZX}ZEqC=A*07c zI&EeXmcxmg1pFBb5UAd|q0X9?DDX406KmwCi*>{e8Wo3r+Ea34vQsm&Q*+za%+Ab% zXxGdq0XIsk%+zFGycL}=c_u4+fpuD<9L{*NBRVofk_aq5S-IK3m68h8NVlzs*&}V- z**YmVGrbA){>0oAy1sMMo$09=>Mk@?_OuHP!Jc=aAz0mo;HGgwxO?QZmcmg{6qWy4 zC;zopNd9}2+k2f5ESfBzghIlhdsY@noJO6IX22j9KqiVbJ0t8NIzwgGeIIQ%$412X z8EfbBIfL2D853={CO9#{(XlqOrNDNTcO|%8bgaBihCoFIvYDl$5^1v62D|hN(}(5n zbbE}|Nwp!)NINc4X@L#$hL*)K@J@##B+hNW(4H<(S74*bqMUkzJ8cQ_sWqx{fFb`( z8t70;5}+ag${jI>+pYC1l9&OBEl8HwiieYUkt}3@m4{dzdb$=(u@Mep8<5E48<=k4 z@^0_CKfPow1Ikvgm#Emt5G;|wX$lRs>3md9WQtAj)BU0g45sasnASS6Z4L}6X|R45 zJ+lR>r8AolX{iZL;fHJ66lV*@To$~yO^!C{Da{i7g8j{Y(zX0=P{x43{{hNS+4#Re z8H4`^sKEcFTYge|u9eJZope=&+CuBPZ5?G5i^9lTNMh`Dqs$?(_PVm1B<;t_UM~3% z`%04Z<4v_Ku`zCxi-GX4HRW?sL_(wefn(t&uc#M%5qZVvVj#uJD@I>Zb?hOLCWpTT zL?n|W9-}Jp6p(j~Mt_w-5(luzx}CyQ^k6DsL1DyL;zMy`f`8+3w#3V_fzuGE^YL6R zO#m)RPqos?b~a1PY?7GfOij;%fzye*KoxDM1*Lfd^a(Fo45OZyC~bx?d!)jolsAhr zI!c*tBcMqLqzNpCa)MI#}dD$rkP z%nyw@n>*o{%g9vk14X|fh1GS1tD3B&`A9YL<~2EDuxge7pTzP_$|sdiE)UgAEz%{H z*EK1xOTxmG*Wm`t-@QhC5nVrlJ9a=z~=az@Ib#T;s9^P_&|;22i9P_J(4% zQCOJHr}#Q`5{iM4rlElS0UV;H$qa1|dNnRQ?3W@Y3Kc{`&S#>L($#Hp+RYJmD20&C zRJ#Z0S>r6u5C_x<*9=Roy4QG^;G!_{{JQfMc|SylLQ|(E3vCo>%h=5(MV1JZL}u5T zI}&1LQQBZE!V&|V5z+BQRd}gboz=n`e1LpNAf}pPLPAKUrxbEM$@al+M=<|r82t2w zt$Qc{Bi%uS$f18%wici86&m$n#6Abtl6vOS&h-A0)J=uhG4VD*ciIOu-HV4JgRP^xW+*mdfCIio9-(vO1h5hb6)4&_R@nh$yd9vN-NmM|rPE2Xvzd zlQmMZYsivlnDUQ!w;C(j<6V~Q)h6g z6;9H*B;~~Jh=%$ITbLwCsyAO{kD5W{Vl;!wF3licr&n#3(i*#_$8{$dg7wnf0m~4r zy&+%qGDDp$xD#xifux-v%}b2UO3mnLZt$)zc%Tc{7I&zNz)=GbQ?SyKSm2DbM(KPi zIH{{@i75foT0v(}>}|9@`TI#PU3sz)?Yij;O3$SAKzfGtMjfOPyCB+J+gaCQvbo0L zsnWwRJc7xiAc=(5TonjN9?^$|KzX2>0T+SZF9edk*=e#y8FYRTs@{<=iPFAwz?Up> zef22N36SJXhs_-0kabijxw4KL8Dh6NqT^vHAVK5b)YSAQIoY|Ht&?(8O;usxvaY1q zh2++ubNZVjCEXKgOt7L_(mRAG*|tX+KVyEz?(g>QhGBQdu=~j}DTy+=AftS;a6izR zlB6l=TnVsX%7?{YJ(Mxv2j*~?i77EaOp@#x^1dusC39l+qKF~O3={xx$Ov<7SqThs zZ3DLh5gmu?)Mc4h*SH{n!sJyepV0sfR8kzl{!O7!uM{g^k&1$Mys2 zlZ%mrsGKCk8EQA%tPZOgI;CV8m(4l~qj9g6X0U+m#|0#vX*W^VB|T%d>1KUAX__wZ;O7S%9E z`~t1%aHD$`{H#$y%C4gG7-OJkR zfA>A@5ATWOa8PeTQpluDV}P7)gDL&rYSp)h=SO6>Bn~ zs)GAD#Lr-nRgCy(956**El^l+9~VH#mqyeh2Uih}ocpONd?*zNxf{4a!N0Kk!lGeQ zvPMO~rBK+oQNXm}>#HM+TWXR{wuGoEk^weCS$UT(#7y|`Toz%ZGbl&FXuWNiS4 zlM|Ja#NZ0n$dptDWz4_QiwY%l4&)sYY7Gk`3BZlT6|Iyhll~eQqzep`O=V=pvqr{5 zSR!E%wS-EBtk4iyWFkYBS#S2E91K}|G)@0<<$^aL_Skbeqg?gR-Ez0K*l;c?6T_=3W~ef<}!E| zE3`SCDQzeSCMCJ>DR4SlrRJnINlk-Y8)o`4N(@>VU&vAU`h{veDQq|LdneEG!_;_G zWN_R26j!4zriXfIDe1bAxi*<4B>7Bb<|LlUN`V>25?-VL=?NxT7xrLT9W}tFqk1yT z9>AnFNT?vu3!ZtMtWY@2ezGsr4-%i*gLTDdHkhG5gxX^jrimVy%`k-*)Pf6FOU)6B zkln@d7L=S~v9^%fZl|nR82PZdaT)ob7COXwvMA-#aLIuQc1H|RKrDls=s?|okWb|X zcL7pGi;c0_A=J5~9JkgBfXICV`?AALmw?%f@LWwc4RyN}`9SDjA7bzS5HH`m#hDR3DqiIvGv9_u_~HL|Ecr9*BgW#pspWMiRyI za#V|)?8~B#(<$+Xmr*`XW)jgLWGbF`_*Kyp_rQZdb;l}>ilX(>mMtO~MWIJfFEI3C ztJIV28Gr&-)QQH{L$6(`nZaM(B>DOcoslArOvOeJW{3;Y@2a|?m$day1TrRc*CuvOBO77EP$9|R+De9SC z5{K0zT8O`ZyLet!YZPqd#a5|n;2D6nL}7td_CN+mh!7eSuBOOfGV6N^rA$ z>Ggheb3t4)zyoi9yEHPf4VmX9l?H)B)pR~hAS74U`5^PFlu^wPl~v7EPnxSiS2?1X z6;o}Oqat0Uh#0g4ok5G2y+T>Z|EqVo&Zlb=HNl4oWVyZ-`; z-+%Hpf-+M4{!_wAh`Sfv@^Y+=(MW=yzbyDgz+4KJ8k(Au2$|7ps{^5{P>Ix2Q=Ak% z0|BNM=oVtS)SW^A(qhWplubC%aTGSFSfvYI?e<7pUD@0z$6LW!t-2Eofz;PPsjsSL z=3Z7vF>|Z(^@1T2O}cGn=nU?GC9XBfj|4L44S`sre7^2FBQEByhkhUli6+I`ZBne= zE{{{-zc3dl1)kT=8YMfd{&jKb$Vg^3#i9YD2ttYws-du#0JJP|Nagf6!|(!`(k@xY zWy=zIStWUq1Wx$gqiRBCqEqKE2jz>56i(-wAD0&CXO>)T5|2|9k}!&l#C5HPGs(vT zfuE$jDW+CNbvvqI2r0`_ACNzfJ z2UhrgoH{>$T+Q-z8Ra1JAr=_wteb>cv{If!_3)YW!C^o`oJ@Q)ggwQ^SD>)q@hgC! z&Pa&fs2YAGYauPYJR>lO$_&X6q(Z>)KwKFHVs$$8l4*vzkdNpG(~_z~NoKH!=$bSx z1oG(v^@!zzj@u>%)=$aMqcaA_%32nfVhG)L`DfWbpVR0A-4_l*fa7^NQa)viB zEmcH-wizPdh(_A3(rpMQj1v1q&UiP~NS0kxE36*P8vV&eNvBweM|O7rs54SrwLCdA zhl;KO@2e$R>LgCT(}}OHl2em%olO(da#DO?WpEENM;70P_(;XD@8rkGDKUj=~K5A#X#gwhHGhP7r93s(ohOk%1ElUE?E`|e3a07r&B2)Q=X6SZ(v-Zks>u<_JB>fW))gOZutyhdaG;Aufk@Y2my4E$4xLRl zyX42-yUZ?bRu_+8(rLA~&2gkAwUqX_e054^Lo%TlVk5|GQw)fNP7CKz(l^0DCtucN z1tCKkxSV9~ls~+HD=`|rkh;*BTQ8;a;s8D~fIEwhgH6exj1*qKLqz`mC{=ice&L0R7 zOOf-HV@{tPn8Rv9_Vw_^fk~E|ac)Q{bkqf~Qw$OLJ!Vo(1CHe z#RSD$qe7$O5eWq&mB(UrkVPvM&Mc2zvUNpRd*zLatgigjTKA}4bf3hi{p)U*Uj zJOGNBW);bjAZ5~5wUPM#=k*Iq}){u7#v`iC-DyA zRWTLna(b?o=SuoAhHgBUK;Q?X0Yq$T6x~d&M=uw7|i~rKfL`5*V25I`{^?yF6u}>r>t+2HdTx3qQsg24{YR z)$S-1W!@AK=4q)pxiX`W=Py7x8LCcJm{-u3YGyfR5ND3OK6+`woH5W?;Wvha3{?#V zahbQ0vP6=)BFe}Kz9~@k43Bm4ypru97{?xj#ti~l6f>rAdCf)t(qaqcBCb)1tHnIEH8nW;zxg?x8!?1NgWtT(#kr+gL) zU5?}@GFC)dB1s@Bs&n{-naRQDez7Xk=O(>)to0znc!r~4=7&EN{zTTG|F>^ssaBmw z)lF2Gf;5c^NAZ&+JJM+-$qpib&CkD9Lc+gz9SFccpFtM?Nu~ty?;lf2AoNM59FQ!@ ztBR3J`dSv}&@IN-rHmB;@i43Z0xVChqEXUUUjN%CHTa6j81IvZSTh|QZ3g*O)-6L| zuawT8>jiDVq~z(EldmjkkEzx+CEfxTL*Z)|``6&FC$p)1=8Aj+>Ie11HB`WO2 zNoqX|940gT*#$kKiU?K+NZ|y91tet{Qb;ANv!pyYDG;@3!GCZq$%icj?7}6bK*x-* zIvj{9mQ89pXMwECMhqDPRz2zAQVP^bbF1^P{GOoXbysVQsv!e9s3lre6AGDHW9>G0 zZgA0JIpKIzzj^WT30Hn-MYdGJ3=xE-BHI`(Ic8AyJIjVj)$m^UD4LwG+1nkVAq74o zD)do&K9IZ+B!Uz*Q-@2(UEhYx;}V1=ff$lA+7Stxle8D}?@oh%arOa{SKsx$GGOG> zs%#ezUK`h1xotfUU>=t39SHIYk_S_Y;)Q&GeW$}GrG<*{ff_ERu$Wj#wJ-wfW2NIp zOQXaZdOBypdZT>KWNFG!z&45dL9tmDE-xtVgcPbSaM=f_Vt?WA8ACw8yXQS1K$ZWc z4&o7wuO;)vL%Oxx9Jc7OqVBt_>G(klirhv3l*LpVvPpsOa$Bb)w#1Diebtwc;O~T+ zt+)^S=(|2cpuhYOB+&DnTOL0 zrxX1;h}#jzx7D@DK}rlB77wB#3zJ4EvLM718|q>L?tWN339oby()T;0ui%$z3j8EP zkvh4Xid6%FkDja=MrbU`Z(X<>hEc43yQ7Gkl?!;J{M|VZrortHx(z#imV1;r(=aZ~IvR)~D9qMUV#r&{CF~92&5*_V`u_1(< z#6g{^TS>u)g-*ZX#g)5Y#P{qJa}n&PGX%?KjsmeA^aC&ot0%LyD#Iu?7!RLdd4iC* z0-0b7f5J-pM>5W-UyM2_K-Wa>3)ldmiz^?J<`=8Hr*Nghc%bw;SVHz_CPjV{?1uCWPt@vO}2gu*XUM zGWXvek@hI~pnuWOLR=fL7%Ks5hC0RH3voe)I{0;je^DF>!l-{Ign_PT1o%gWghBBj z|FrA&m46rpE`V^!2N!OO#xF;}=%F4#&-Lp3cz}{-M=?H17oqec42t_Waw(ubWl$*bC6cw0qaY~Z~~SNkv+mgI_9`{89);lomr57@$}UrO7WEd?hcLOYcHpfI;Ey z_<1SW^CN{P(au&3^<-gHj*`ziLDb!!F#Ls_7SCQ*i zKX5JvQQRrIZ8amzgQ8oov2hz0aJ?3-mgYc?UXr5tJcP)R zmWHitCSR$nvne@AbirLB8+POXKXUTt3oZWgH#Ump#|$S|&1eL+S)--#;sTL==|Gjr z{3xAE#2ZqmZnutD}r<6L^elIB<163S=p)_g~4!D0`3bs+$v zm4EN57o+>#EAKt5z3cl(9MfVxkCecs9uewK_pn> zYm(rAprm>|J>Nd(+{L%>094%~fN;;q2>0uEId?m`w9+=hFc{8K2K-WT;e=E#`w=Y% zW!=JYAw;HCC_0H*At)vtFHYML60-5oaF8z3w5M?UbX-45is7)MB{2hCX<5DU39(BZ zz_~zv)qELuv56iSH2%zghtpc}RQ2CQAhDe#%2+!)sTK@s1CXN(1>7$wCj{`NQ!TMR zPsxppX|t9M=TEv^uo=y@Y#anQ_L~%uIA;wwLs2d|gp!JgliktrtD{;u1zvYb=zdDi z5EN|~C4+X@M(7lVf}HKE#RXV3<{5gMe(Szc^h~$_(@(jlYGGv&Nz=on>S`fw`!%F% z!q@}hFLoSJLNU>jauxM8CLipbqqpFFg}#MdBZQ6bff&XMs2OrB@U$Z{VKqGB8mB1) zi(1IUwS3MTODlSJ=~?n$0!avm@T+0CLK8g`l_;oDw$NGIQIq-PPNA_u91xn#eR9cw z?=!gqSB8M649hmVbi;y((m=z0vrIi-Ju`GIOX8VY*nR!FKT3C0o<$;GL03FL>up>@ z2E>NTwWZ4^=#l}~nZx~bx;unZoWZDNRSwbIE;gq-y4}yuFnPVjdj~d;bwYYCHNJ9^ zzedkgd6&TJOcpO*@0Q4|-IU&h)R`0J=-QGKsPTkkWe*wUuVH0+XH*ucf3B`qS2xV@ zxNu^iHA-;~bpSFtC*UzXA=@(v*;WH?xa8>3 zCPtQ-IA(z)5KZWhI^Zb(3)E$z(&&pR+x&ISfTTo{c87h*o|+_I616x@!4az(1XYS6BxC=FPe1enM2bp59eG)L`geQHjoUnY#a>fKh$?-5X zOqLU#`-<^%U-2~Ti?4XvbIb|&iZniHBGdCfxkYg5`){A$iqb+vxLT?uQ#@iXGFx>7OWwa5@5bmbjQyw3M zjKMq{1!Ij0RtnP4AL8d2EX9iW|5tNpV;w(rDp}HL%0X0~;u|I`+M4hJsrjV%L6YeV zgFq~lMRcuuDup@xIs=8ruN+rP$P63J*aNTHKqcqGb+7vdcSdDzT}g@Y8;8dy(2JmP zrPdO)=hgYeIZ#gM%B)e#-VC4rktCgE7lmp=d^(fgok43LAs;3imWN680+d!^U^7&R zT(yYT{l&VUlADQM=*d(FU}8EI2B3I5AWSnjG0FWtO72;m7O0VdgoC0}pI0I1r*AA| zmBiwxpN&R1u$(i1)kfmn0&(OCmRpk9x2q-tU5Xb8j)cuT5 z5WQBL^ON0YF0>LbQv+Unr?qKWf3nYoEwyQz=?bNK5W&>r!`1?}?Vp%59sh|*@GEnc z$MUq2ezh-|L@mO2fPcBILjD-&xwu}T-*N^Q=f!u2o}d|kHF$GOqGiAu`%gHVaS5B` zEhiNG#4IOex8DWn7BZeW1k?n`0j${)2xzmt$=swo^kT~4vflLJ?i0HBftl@4+TfRO z@86@f*T=ih|9Jd0)y-V8m$4N|z6y=aY6*ViOeBILfmDqtT6REvj*wy(FGEFSoM8nE zk`Dq>OG*$@9Km5z!N14**cEbyh=&}f#(H|WTzkg5J3qIQn^88)pgc35NFS_U&1gHk~2BR~9`4VxM5BQ-kJ|~ZCN|0dr zW&oHPF`TZbM!+gLF@Mmo$?-$hOe6|2GJZ{f(WU>qZPSSQkfGSQp3DAnnEt4%!wqCZ zQZoo^d4bwMR~vI^in@V+5c~#5OURSB&`bXI<$%2YZn1^PWd(8iM_0&9je3(M&%0W+ zVhBD><5!4_6*Jp^iHxN-T4OKOd3+#lu4%232SAM>+`c9?@5TQ+oK6U@{ z0La?Q311!s1_>Q3m3g&c{ovJdbOOYILI$B7Wf;uWaymXi%>@%f)fo^R&rLxAb(wbyd)DXzAB#V{qj`$mNm41(~tgjt<<|1cyUGe`b!r zXhEL@6!;#iTX6e^WsyY;c9cGXF?d9>M@c%Bf5F@qyR*a1PsZFh1Eh+VN=l#duUPgp zUxp__QFWbsf)ceWrs)4-_-gT-*{-PdZslUi^%Ub>n#5os0s@s(9Y%2h&932kz?%xQ zyc1F-Xoo*H=0SXcOX)kaY5@DTb0SSG)ram@hA zH!4Qy-GnM+ndpQ&Ufp1^5{9hITJrRDtjdwQvv}k+VvOI6Iz?35iVfEm1Crw21}0-Q zOj(q6etI+?qLe_Nox;&(Jbw~x=80G4=rg97OnKTglPPMNWn9z`W`JbTYD*{(j3ZnlFVh%>C6d-IUrQ2? z(9*$GHGRPdt;r*RxE>a3hpIub43bqpLYP0>y^!Fw{|X*~aGB)4vT@50Efx$2a<+@l zBz4C>-F5H_yZQ1!-|0Y!}pIl;&Hj^h!Tbc5-fh<#=-UXSWyWkiilR<*T6%qxe zLkYhWEpR?;TpVLvtvZJUvqKL+!rmHX#Wx6GR~<-B9p3l?kT@zLP{)z;p5innanoSX z33F6?MdDIKVo>t~QESR(q6+j);e&)&0!I9z^xHYK)6!;dY3Ccumh@0>A4I*J9?JFk za=_xvP}*j*!E8TBI2Ujt-_&f#G9ZH3gL3XtF?>dz4(bnM5Qf131(Cwy4i-ipfRI=w z`G7pVeMu6yAYW0w?xci<3O5wmV+g~6sYU#g(O8uka_jJz5C`*%%d5>Aho>w%6vcvo zs~Lh&8LKe6+AMy5X@;7tX{ql`4DBLlM-3Z2O8!l2k_K|owufr0fm@2T`=*R{7*C*1 ziIfikQC1K>ERwEKeU2;>d7Fq!m?HC2gq|)mLLph<+7$V$33^mnfSAX>4u%Rg&Alln z$fu%KJ@I2K_Aj)3%RFw%0o*EW%~y^CwYfS&8fgqqNPtnw;aJHG8EO%+KYlnQ@Il^^T=r_4zoHY3tx34c`1*!!s{D0l+?4oMd z{!ClZenL4NJp9mXgos^e0U3NJOfGpmi5+6KyoB>~#*F2l$*_80z!O(Yg4dr*3oXT^ z)i(M4H2EFWCQ%K`^9yuiLh>q+2^8^o_x17R*9R0vM4?|K$g{~Q|I9!PZUdT3BwPY8 zieBq52pduQOqEzfj-&xZnS#qPeMO%9uq=7VsngzKSY~~DqEcrdr@hVxqk@;KmLO?} z8jwX*@pNB$mfbfF^A?-YGBV6F=Hh3*PE%X&O6f{MnsuT7}%uHLC!c>!Ea-excl zc#)mcVR1cQs(CT&0Rkhaev&{DPF}1?2$w(8$v~20BnRQV^y$4A2I)~-&4|F;;w)gO zSg~tw_?r$n#Z^BmWEa=IZ9Aq0LD|xJ8 z$5-o*g(fl2r6aaOq$3vGIZ#k>y+SzU45gP~{v(45AWzQfpxuMDGQtbU&H`+w7umrm zdjY6}p^EHa2Ed)?rr@+ZH)Vn~1qqn};LcH?V{h0C>N*@pL>-q5p<~ITC*K1TB(=ze2 zhpo_AXNr6~xs)DLLp|LPlrM`RsRYp!2-wNgt)0s!^$C~2!E+=skW&^(A8}HC1E>PV&*W>)5@N)bWdlXkj&+{ z?6v8aw1L)0^2n<0gB0>%=M)kj)Q#}c%PyfZB)6G`=2^Z5XsJ3BQf9=ZCM9u|YQ@r8 zuYybv(G1k7yU_#<033|g5#jU^YBG!k&rFLeE1H1pRK)g1UZoZn&t-v6;p-AhlM@j5 z=w<3FBYK`m5Ozq!gv*OCjt`4Y|vZ5Frs<-}MPfe>SG>D&dd2OY{&G ziNvrSoP&50k6D1xCB9hBo8Mz{6BsF!H=dp-u^Y6LFFZ=FUGG0HvzvVg?KH^~apJ4> z$^7Tt3G(%O27IBb!?M;1B;X6SKKE9O=$KO!F2QCX+2iE3Dn_~^QpKzjA|<()Pay+4~*TS?%873uuk0~^>jftJVQU@(DpK1NDsyttnNqUo%5|Mw05OLNzFlp|sd+L*fUZai~+PQ%& zT%F#l56k^?t-EI;zeD0ZQ1jd}F{Wb+bs9-%mlXF#|0j`3Vh$`C8PL8^j?<*qa;cF{ zk9triCw}170DU-F>PD-?3!# z<2^#oka3O7Rs2^oD71TY;=wjU1J}cQc1J6m)tY1{tkrlJYPZvSHj0>g_XK*>nQQg2 z@?}zf3qe_6Db#Ey9XTUcqm0QM;}ayd%LV0;CBqL4QWZXWL1$x8_-tli1q^0J8$VJJ-|eo?Ptc|h)gpeo|A_8;AMc>pye$N!gf8ep;F(dopBO@7Zzp!R(X7mjP;vKDCZ@~p@*2- zAr?#69hYx*MvU5qp+7)yC*&6kUXgyFWEPuqw6VbIGuhIDi-EZ(8j2Szlcds}xD3y8gP!%mwO(95A0Ten8m?_<8cJzk@^5oV%;ZpW*_?r@>)l#=h^1XTkL=7Io z%M31-h39q$yVCk_OND2v#femnp|x*3rw!GQvuK=l?5a&>WaF|k9jJPNgRnsZ_h~E% z53s=`?}1H@!Fðq&MAnJ!Db^TIKT%EHx%z5-ieCgA26Um*TJ zPf*Q`If}h0xg+`!CvlSiI!^4^& zI)N3UHj9+_krgCGikp~A2vSe^vrwz?=R~mf&e84XlO}FG-5l^3S4jBgun690iU;y? zmZSNT&^baQo&(0=mU#{spVPJ?e|8Cv*d-|_+*M&z%|JGkR<8&VGI)u<{hxo|{(6fB z7k^*fzy0>KV4y+X}om8Xl zoufAtdGLk>LdyiW4Oo$3y4D!c`No%XkbNSmd|&;|F}bQmd}s) zar^n*?lanBTiaVi$x;IB@RRYfxE=fq5-NIe~UK4#h^y87lZECPb&;mKafNrpa-Q}+jcen3$sK$$; zUTDuMe+K@f*@u~b1T4S8nZ|_Kb5)~{3;F6>mO>UquF;Mf=r|SC3E~=zySNt~JmsEj zo>iH`GuzDIT--lkstw|Mtgv1DgJ0ptL+mXhOH0ZuN3j_p+9E;Kqa+WJ!Wwpw&XLbb zejtKJSI387-%JtYGqzCGTLkRmL!KjppIh!~IC{0g%K58K<5y=E7U@*-0lhB}jIhC8 zu`%TY=k>kQMk68P*#bDDtw6^49Gk*1u!vdDx(S8)WnGn7|_w~U{-{}UYTBqlpK;7M21J% zwH>JuMrP0Ylv-HnqS3sumIJHH<3#z4x@SHv&)~|h9}z0SfQ1i*y;()%NdtgbV@doq zv;YQx%qEa;`ucIWLcl!}`8aB5@Zd+bw7{dSH~gw9TWZ-XTcnw83-jx?MLtVH&w@Ox z;D^Q6v3E}VF4a(XtIJnu6WQvxZl5n*p2H~Ttar%Cw=zMt!^VtQ7F$6#2qx>AzE|< zi&nGo;8g{W$k}dvj@&n6``mjjGA=`OngR^qE}v5$+< zi0&*Ug+?LS*ix4_Po%UEqyni7L?QnvDk&mO=x{C@Jp4oA9u&}Q+Yo;jJ4Ciw_-!-l zRC9N~;Ar&h@oz>W#hl#K01=rw$PVuEdfL9-`LG81m6-}-4Nxn$2B5}jesq2klNftv zR1SOpT<%X4Stv+Ek)^6aLVA95vvDQB9eVMi$=d~Wk}YIBtCV4rA<-%4<#yYP^?D_R zDs5jRhKT#ZYG;lggSVh)G(*Moe`t>zen;tOJr|22fPhg)eItI#E8{|yYD+DKFaa&z z4?zKx>&Am(5Mcn?Dx50g#N8}QCWkH8R#RvFR{B`43GIdXvE(khv< zJP;nsJYH@NSf*8Up~oq*IN&daVizi5fQ0COmDh#wSjq%qQA?5VDIGw$Hjg*BY0rRf zT5Xupdbd0J$)gP5FO_!kOK6;F(~+$)>FzPo5Q0(01~h(hjJQfK}g=Cq0+jC z)#z@?$P4_3+Zv-T2mA#p!?LhZ-I(+xA;1^?Tr~0o5pRAdCG%WK3bke`r#7FUq=WtC z%W9|cN_)r7sD8{CNxE8x=bH%D_l)Oq6aUntbhUD&Y3Wz3@oRh$fuX}rR2NWdYoPD z7Y_axS9PipDFYs@5<|tPXH zeZK#KX6WeodVaC@;=50`f9&19`~|J{-q<_jk5EpKuXiFwuH_unA}uK*F(~N( zkujNWl1Gecd=$qf=r83^+&u0Ia)#BqD|Y3Oz$~{ez=zwzjh1U?_-^1D`)CV=nT7wj zqd)Kf=qBpA=IwCYubB48$;~W4@xC3KeI z(%Qm->IeWY_mBYlcJMF%GGO;caPo^q)1=`oXyZIad-mzSXJz)<)WhHiT%K+b3Us~RNH>pD$T~PY2!uu@r$s}*dH{Djc|J}Ta}4!G{502) zQAs?eW&*P0k+5Op1!)=*Tbd3na|g*$~C>v}>C&W7G;Qn9?J!zYJBGCVyf?J&5arUSU5NY+YYQkp){ zL7C{*AIuCR-Xc`v)*kiHE%ouV}r%D8ImICI5<+0mdb za5#~LD>vaoerAgqcK@%B@9w`n?j9t@6#xA2A3MFIdg0;r)MS_aw&wRcNeD&wX_WH2 zLG1%`wNt8PdC5uh2HUVpfIgZ+a{%uIH2GwmiBj%JWqtFz4(A#>1jCRJnX zsZ>AJGM$uQ$q=^4EExs8F`&z4nl!M61tjzCERaXSF8s+<_?EdBQ|f&AQQ@Hi1l zij%Tj2)BK#)e$66)F|^ll^_n0PN-f7EX)u}93O{Lj4+UlPesLRgruT!3Zkd-S$Uv0 z54&GJJbvB#_#3mDUw*p%`kya(ffYCOniSm#zyD?M|3Q#`MQ2)RYR0L97i3?0Q4g*= z2F^Cu3D=A9Ft{$ORdT(22A@w^trw+Uu_X2BdUcFu8!CF4u@g-KwNPOaFe)c}bI*9? z3HoO0lNeCf#HghR;j)5)!Ic_k6^KUIa~`o7wyf*EAKzI zcSVQqU*yjh|8w+zV;GE$aPKM#Ky?7=D`XFA9E1*`Dd3o@qr_R>#5yJA|Pf3?-m&xUv-ETZjBuRX(fd{L{CO zkI+u95Txa8VcHChCg!YPLMh=GwO{r7mt%5sHJ{X7DGC9uGHSRe^n)zB#LeMiA_F(j znsd9D^pI8y(#l~Z0hCn93a6`gM&*3<&(#wa4WvgPfRZsW>@+FaH|k& zI0=S8S|A{~nBNCg1>#r&u2T48?=Du9)4-cHrwG1Q{!R;hxBH5~?hp5$)rXliNe^SY z9Y!H8_}-d;9Q3LojF$zneB5+SH+762g236Y_lH0A2gz~txelpA>5v+9nj}nm`vy6= zDcuE+XaH0>L-&Xwduw`=dIR<_iZmBZJIAhfdU*5W%O{I=a>kkwf4lidSpTvuFM*J~cqQIn0;^nhtH9SS$2IRQ$7Lfz`grvb40ri4^u+qn zS^TZBw;r9f;Wwz}tWQ~%GS0!N+6?(hix*?rsB37>fu|MME1ayH!P~`%S=D9o<&V#_^BBQ^J99sS_

    Q_eeBYY{h+Ha5(bm{4+Ua?yP zB5)3%x&;HQ06Jx*4VgrbHZk(TTA=$O|vLb&+A<|7o$K0c{?1om{URz2cLyJrRDrI=Q&!GQu6WT#MTa8V=N;t^v;v;%r(w zamb%T6x_vsdO1ReP21PWqG_iAo1UJKNQ z=Ed6X_Hf%s^#Yf?83Y%0C!WG7O}@0QW%>d4+*}I%h3YECGtGR}gHTMt(iAu-@KBF_ zP4ZXe#$xz%a0Sqv;SQEoEr*HWh>9vHCXtWn3FLuZUtEfd9c3g=nb#{{1V9GmS8d-E ze3*}}AVPaGg{6zkbc{J(#0>|h5Tx@sp11Qlfpm8eRW@L>wIpGxaCtcF5!WgWnXuMgw2G@ zrC-P)-7i-YvoL0tCTVDMPO9m2ECDKsw&1Ax^RFiNap_h3b?qFLy&wdQWGe`x2M4DU z^bxi=wB(AjVoh6Id8fG6kgr*m;~0keu{y(z=XO{H@7tlf9Wvt=mp#&-+{}a}OKWPx z8NfZ37`kAYO8pI4901Iyb2AKZk?(K*7AMPx=`wsPg-`|T3s_jWFStvAM zSL4OL{CKNTp4yGp(q6P#+99x9n!+I)CSG=$>I@2}h!o`;1Gkjy3tmt~R2p}IH$}Wl z&W3uY$rq3%RA6}E-RteusrLbEXhaA(-@k6M-|W0xv=`r%9v=!^@Qkr_d~t)<@~6d;}{9TLm?R`m6_zK5NPRwUvAz<)lcawqGWx z9Fs&YvtPnlQiqS4=R8UV48g%xxZb3u#VE+m%&#>W`O!!;IOzbTZJ?}ZgGb(1C&^-v zCJpp|wO*)_%Lot&uyXgr;_47>xe!n~Kii@X?&W%g1M~aaUw<)z85R}IfWk(QVw`{! zG1?%mg0?GVs=3qu<&B4!Nwdjn zUe@Q!(IFgIi_-H3>sFl!kwz%!fh=$n^?2v$WNg_id3n>!@pg!X@M>JTz^?U0`)4?dE+5EC3vUi40rJNz6Ad|R2E zT9Vtlz0>3Yd16!=D(h#`-Nhjiq0q$&6JX{iP72RJ8~*X${&z)90FGX!=@`T!Wml8X zf!)Q`3F5314WT=zTkg`?gO#&hY@!6l_zqK%r99i5F2cxjvqmp`hqi;p>9%+gFPcC0 zarZ6tcnyl-iak=lkGvlwHjY9&M){F(u*xKNIqY>2_vDa}i;83o87Xo7tSkV^NDyZiThkBIqt`StPk;qfJ!qr&5~+ugr^|Ml+C#|Cowhe7AMdL*l!Dx7wlpkP50xTnhvtO+xgdI0ci?g8`45L6$$PJ)NUWG6la$aBgtgsUx z)`6I_;>qdd%CX5mXU8R4-CbvtB|*R)HBfb8&}VZWARH?Lj@V3qnB`!vdf8vGGw3fU z8LnO$RMTC_Wq`AR^Jt%S{IHm8#t|?oyEfq8)ESm<;u4dzM0T8<)2a=SWM!DgdQY4}GJ#BbPM#%~b&CZn_tboALNLbu?f)~IB| z1~jHbpaGUamUt6I2GSAZds^&qVrbO`ff94eAx#6Z8X8v4$&d@dDs4I~G9BnF2MYVN z{9rDK5}bE?d43_^I?PHHA<&AIRRBvJrybK=Cz4QjLdX2Lnq4G_?6Q+l=QBb^%=iKm zN>aY;FG}vDdm8(Uqd4;7oTY(#hy1&06Xff5XZH_xkKZ0XgH69fZm|YTvr3ZuC+CD7 zYA6e=$v~Z9huwy9JRBl6E=uCk7Q|dr_SF+^0xrFZ_xK3LZnpgX~O*=l}>d6}KiXOM% zm2^VU_vCy+_zK;qkbC19-dfdt@NoMji8Wfn>)`572v^AUpHN6VH>YNy_hYgKQ;&EE zx9|kK$-%S2rEZ8xPo{q>=gWok z1HAC>gAecFoe5telm4#(@SEbRfB2zu^MCsv2qTpL{rlj*@qfSniZY*2Bs;;5a1jPd zDcmR+st`(LlF~^6)`V4*lGTY?-aALvdIyY)rQFELj?bX~pDow$TES$sf>ly5Wq8B0 zW?i10ZaY>pSgqImXNs;_?w(MU#1HUhm{6)Z04z+SO!Ob3806m28p`>?YmY9_22CSw zq4F@=AOHKN(GUuilmP==kz_>I0dhl@kk)%w(Kr3a;+DMXgFBY9w1-w>G8$l_h;ea8 zUwSduf9B~2^vH$4BX&JQE`nq0Apm@TxMD_)SX>uyHX__ye>f_qyQw}g)MErl=1yt( zOwxTp9nCp?tN5lYxiF`{(gI!Itr z5^=kNF@aYE*A(+TgIM*8+L2daoJIk7xRRpxwhLuV`f%dPf%4Q9;~q3RA5NJ9hmJLZ zuBxVNE@$uvB>zdAV4yiYljJ#CDxW$k9Zv-;Tn)6Z&J(7_yI`@zIMcRx$r5)5b(JZt zF*Y0XtFWZCDH(0v*d~VcwG$7B z0{R49bcaw=1VqUnK`GTdbs!0J$FfAITPTO$(je>3G+CsMs_!4xP3sKHhvb+kRPLU3 zNd`x$4U_>S6fQJr9aBjBmI_E`sAdBr7E--fs^f$z-10%eBk=;-tm3U&k#c%F;A=k`XEE<2Z9PFFq?sM=4thpYo=bJr8;IvtkJm>DweB-PWY zGj4(?Q86Px)*c#QxKoF;E|iK={jzp3_y@|`csv)y)pQ`qFG1um5DpYE16E0Mv{Yj> zFPu_2N!wYvlH;SgcLdaC;4iGp$0AiIrVC0JBAL};iRD# zs9USAS2V@(ct+-+%zDvGHT-GIgkU!aA>0x;$)b-?-S$edxx^O;P@xRykx_|=`hWY| zL!JyrC{B&oT0{=@-GdDz4dg(fCCFYd91*@~Vxuy?nH^CgqY#29T9MHK-Bso+P9Ji1 zjuGvpXp!C^tzK(`6;2QOp(%O<+;Xh z=nMIilfyH!;^_y2#7025C{7?n`)o>!fOJJXEUlL)Dgj-*+*9{e;%^d9@Qfme&=2gS zgVqSEjYv&;UI*g<`0##gnC+w;9x|%sqw#PRsS#!SoCsO5iK)kF-Z9EI$1*mg3JNYP zE9<=5AqG*<4enY)$P^RZ)CXlJNE~=DQK&XI=bLc6%Yn-2?o83c?d)3f9keX6`;A?s z)#k|a)DOfLxbA@3y}Nt=fsx}oRMNF*74u$V^+=dlTmy))g*9Ketd^SIYr7+)YQ2CGUVuS~i(S!#qyr$HOn#l-8 zL~F{GbU2ZJ>;QT9DH(h^nAA zL0H=2dSzA18M>hUbpbW;MMbm}1XILKCax6)CC#96)LVECHJMEO;N!`K(;=e)tkZ5Tm05Nlj z;@%|NPUDt%-T7v!GTEq{FbE!aG(0KqWVKn}d>88fQ@?^5WQED)z?MA#@+or5NaF3V zlNW0=4n5{sBF7xvL<^}68Vpb*hlCJMB?dZ}_8gin8X;5#1ci$!(;=H}K59Hs9D9fk zg3y|h=n^os`GsUE^5^M%gH&-cOM94d(AT*#MHYoBlM_+m>mjSLugtp3UL^7*Gn6e=pSgGZZ z7IY9TBCVk%l6wFkG1dca`mpJ?c^;d_97EohN8}kuqPRv$Et(SukuEyMrbA8)LW}ul zl^Be7SY7WJj0m?1N}www!)}>sC@P0vBO@qeN96;`-<`9mFlHPCVp}Buy>G;yvpX)2 zX{xt`f@Ec|>ymi z-yE}pOwdtM^EsbVQBR<>n5Wj{R{CKhYaXS z&XTCo7# zsY}B3e?(oFJwyCVgw^qR7Dz6TcNoygqHB6T$4(Fy)!5Q0H0B4{W?r`!1(iZy>RD>! z&IV&{wh6jg{6N-7p=W{%sNVYs6`QrsQ0B#))gvzFu)<+V5(qlJM4QWFsf&V#aeCWf zaw1NP5nY@_jp*QH9@P|~vc7{)m0fx`vU1GBhx>;QkNd68be3xiX|? z0Ja8Y^xDdPw#h#V5+#l{0rd&Mt(3~R*L=H=^ zcmnc3iSa7NC6+o0|GFX}a)s7Aujzr@efkC23y*h<{B-X;+WK?|+{*h%-M&K1yKW4_ zUB7$=p@+=TQ`q2b(>gHTbo+=98HW{F^JL+&d(fh2NrO0pSV=FIA|)A1auMdG^8y-) z!3!c96kRZpA_6=B|5`pvnInJ*BzyhqUZ>6OOxo;HR4jp|hyL@j?*WZCV7x)QMt(-^!NQA5Zm4Es@9m zc;{z9+1l%$Q_;Jgn9Rk6?i~fXixR4Gp5X~;qIF%{j`=PQpZ666-9Dp8^;>PuOE+R3FsY79tc=B`!f|I|DiU>U^+R?Q1^{{m8_T^DHv3|m`(aKq^&y!;V=o{Yy21k&}CJVA&irky#S(DEGeHz|HJWZ%*bXCdzvlkB!Nxbejfb2d0uU zvrx`4czl$b)x&beAuK0nry7iaB)RkX24QUQMu$BwxO8`BW&fYMxh!-k z_h2}jf2YAp@V78mHv9oEds=j>i~b}xE&^nwXfqr^M7%s!gou|0qd1DoV3Z&rNEj+R zoXacQE4=rv0@MeU@)cSJTVMy!PPeDZs2!NxAeRM~tV|Zpb5>x+csl5NR+}Ol7X2Gu z+CfZh=$(NXCeYDLprih^g{~^D`6p)R`$QUo)88wmm01A&lk_GuHAHQtyY6^(L#%rV z4;?tZWLI(z{g^OpYl{>S`x|Yo2v;}F3T8+j9Lr(Av8RMlckCbfpI>)hf4zM`+{ceU z{>XS)UfbP%{(MjKW>3fT;`?u(wSeOeK{4>vy+UXwP9upSP^|lx50@6GqQ~gxR)wghH61+ za5&QqxX=jCOHOwu2wf%=WQ;)@*Bow9#2jC4h;uRKH zva;#}@Tys|aOQFy1(&NSMhG+C{+w*OkYhULN6unKGT&DNl`Dzq?2P%gfQcy`#2K<9 zJjnFMYn>MH%WE2J(MTenBOk@4ef@g(@c8t})H!x|<$yw%q~|hYCFCQl1!HW4xkDUJ zD@4IR7xt|(R@t`NEZsFXt=m+E2U~a38i99FPn}D#$h?vlNpU9BPtMOI+@ZPn0nYZ5*OIm)5e$ci6cs=!8J?INJA6uFxyVfx zuZM|+NOD|^La}#9)cHy}`lK2{RKu1ItY7_P%nv;l4*v!_+=8hfUZjV3=P}nskq>uXX!?A}POO_U~{d-03SFOyHN*Dw0NZ7pPH! zo9-4rqXv`K-LMBGFVyhEgrq9d<)O`VY4)p08O^@O_hcCbxa>fT$$UL1bj*}+rU*ZU zFr>Ts|GNLBE8VP`RpT){jce7E0$M975jkAwIH2+->aBq+p6s+JCY)B>%fz8%Xi1l( z;8Y>sGhykY`kl&;JHEoekQ-o~{IJObR$B2b()}q0Wkbk}q4S+DFR580Kq_^(r}Z(k zq5;>RYm|<-6s>$xz9JRb1RQQWt|nEnQ1ta=y}r0$I{fjLUV3y-d1iutanCgQ@|1bY zgWxK3Dk2EF$j}!z=X~`)OsFL((->9<_zD}_@)o-Q;oKK~puek!r-no3vH6R={9w_xA^~q*Ltp)KuUa*3} zG(3<}Uo6BrWx^sK>X8w}Bra+f8b{(_o?gN;Q@VO}+u^4+CQ$8B5xc5qP;79BP~#9| z1!uoZC&IcSz>PNIy7|)e

    K60K5>mXp}`Ssk~FF)oMsAbu#L;Lq(s=J4MXQ7JJO`9kl`5fI@JE);0 z=lpDba{h{36cGRB@ojMYAw&oP=6HD)ws3KE3_)1$7cXwfJwKf4XR2?U*@AnV~2ws{g^~e@J(lz_x>_fc4HE zn^9SPH;lB;Mz1&QYem8w9)N6nK^=@Dxq+BY5dH-}EtJCEY07mHO@(5YvIWO~hbTX! z?OdKSdk4fI6_$3aTq^1iuAoGpv`VFNv?qlt(Z@`G23D9iPaF64P8&blIxVv4gN}#S z*7zVgdHZD30r(ykOQH-z$t*wsup9Z276AO0g%lIlD1pyM%WV~!#P9HAcv z>;m}uf=Q5=Y$8GP-si#2lMtu zi{0i83fpC{k!$uMMP-~1>RO!`1$HVnH)m`P%hhd@adn|uC1$_5Ik2N?kQ2|B!oU=#M+fyuR^iX*kp+&1u!;5 zA95`se~^z6MT_Pkqx*WvIr1ZRQp4z8p6H@ zsw=_mw1n2sPO|gBWfOOJx@cwftmxb(OHAGdjZA~ckI!IcEFNOg%ov7Zh@|NM5-u?u zj87o0kAB)&dy3qM3>bn_gJ^D2Yp2xjWU4H3D#y+i;?52qSHq2 zChV;8FjcMuUGFK#ll##~7>o-Pyk|p~K*u`(R=nVUUYhIbeRqIrNn{P`ADP%Y!NvH;Cxm=D-@JXQ5?J=pA_ek|~zktA+I-1ms3f&yV`S z{(qbG57TBmTAesA3qBPE(8EEFy}43W<;C9ROz(Hf3{urs7|sgDV(&C`gdk0FT6KHk zkgf`qv&nccK~bN)K`2{3=zImD zi@^d~{pDi&H$R90p$;RJS8?tT=jchz9s#>U`zqno~K*h(psc(=6-TI#t5J>@M))Kz<7d!_!oZq_3_t&k6eK zs7TGi)GSaPWa;|kcts}>m=j$j1Lkpjc#A{u;qylnz}f8xm5i>yANr6I3_jVs730#G zm7DA!J7NN8G+=F@uOXq{+M(g6_dvt1=z)f@qdC4?fBG0MVNCRm#c&+TRW)!j!x!u- zMo>lx6rZ1G0Z*m^mQ!?@NFjJw_}`Zcgk5E-CuBLwvPt1rgSi*G#p=yPBC%pR9|!D~ zkO5>fXqD)ib=}LEPtXrr6x!p%KXb3Xk-j%O&zU zf(NjFlD?Vq1KJaP2twp|=}8OhF1=Xtx?~L4(DwM%8GNB%r6aI}ewN;=mQO|CQJ^4g z#26&TArcjo;pI(0Y z`VT29`t=ia%ue&l@QQvUe;;9oHH#lM3}Z*AV~*S8=3_u%i}e?Pzt z2H)eCZ1~H=-ESZ6zkU5aq8o|9K;z(KeNBa**t2T|yet~Fx$W^D>*yd1Y7okYaG;`I zbf)mV%~XD~foZYor&3d+yz8rE)k>y^Z-JGnrhIB z;;!~ht-Qyd_>jJtD%{(1m~uE zw=aKr`S#_zmv=AUzZm$%!R^bzFE0mgfquOl+`Sy26_hbIss;hwQ0rOQNOYi57bw^p z&@3|xLKBo+tu9CP8A&2%qLMqN4Tij0pRnjxix5?6!nF~9_v*OZ8a{~2&G7<_;r19L z3m-$K2M_FO?ywOldAhvWjgVBHPXo`*er$ zMc+{lR>)*B@OXBp{|7R~DMr^;fH1Hz2Kb}qJe3KHowV4L`*~QR|8z_>vj(a&g&2!H zrh35L@%7cb`CI`U%A+)v3VlE(xYnum}R89>318XCXgz3!h`RP}EqrP^?t>_3%PX*5vG|MV)PVcfRaP z;2CN|!ax-PCVcEbm`*o{TKJCUKu&TwuO+w`?kiyqau!_&$i|%IRc6RzscAM=D+*$y zqB4($Qr{K{n_z;9v*l+ye8vKwp_WLv3T21mCxP@`EdiYDVt%~Y;#?>cs=T}d89I5 z`)3_OXF(^^o&n#3?yymYG#f^NaR^zu1sKe{4>d%rCcv4a7(rQT(e^WjtmjmEiOnFCR0`M1Hc|mgkK@bRt@DJ|(w%(ktSqAh0gZ~}U^95*O zKB~|rxCfGgv0zJz3? z1Cuo@AGPTrT0tn0Kn%D6*AMRf6cJDvG7$CzfzYLY|{pXj1J;b|<0C@2N`?mM~%l+3~dLbEp;b!vq3fe`;*&}N#-Pt9UWL>0f_sOt~~)%s62GDTpqoK zg;g3L>iB^^LpDSv4>27g;(s=%OyOcBZyDmp)N?s{X30UVYHi+; zq&^sS+~DVk55u|TK7jOM@v2QSh9#2>BoyoQ!WFPB<}0!bRTWsRHv(1&k73;zw;}?6 zOz^64C_NWwrmuI71{mn5zLiUN$%;YkN?@?zJ2bSEp0p0icY-hc>S0qqm6BRV})mX`7SaY_rg_b~JjHM`KRLm7=ee%h0Nm@h4#D z;%de(o_3>Y%(W5Mut+M|xG)7flTA32fThzjDGKF#q&%kdC?yc|L|twU=f@~YB9A9H z_Zfmq`E>Xxb(Rv;p*rNxN3*drL7p)#?!*gkm1{fMe|Bjn`&`+~Uk3F~0y9w2IlEpV z+j0gUEXZ(7@Nj`@Z@jbb^NS$~Tg4vjobb?$G zh+01`SQUkmeB$S;F+ zf>Utdo)OE+6u~=2%^3Rz1<th z#M%-un+CG#j8q7#J4Z>&4Flpz&}{G{+_pPZxo>4sO0zIvP$}Jo*&u2&c{TKqMk@ru z2n%4HXXpG^iNUkk!#*t`+_+1GC0Fo3Y+vW$I0QE-iy-zh?|!&0H-;Y38Rg@LNHT(M zX(X9@7aEUg2pmGZ3nBR_4|N!ZUN)q5_4>H4xZRg{Z-hJ)yZW!u64R#KL13rR?k zIGFI-!>lr--xl>Rc8G=k#dxNm8${h}xNKc9q9({jUH|$5?O4Tb)pQ&aEp61Bso+If zj8Hl{x%f{Y?doWSy6f|lz*VuE7>^9k9`zbDC1U0|SoUghevaOFtY&JW->}-z;S@lk z?{tF@hC-$bRgFBZCa0=V$a@wVwtN@JxNh!@ZNUus z(0&X)3A1AS$vi5IBVZ(@yRD&PI5NiwJQi++_Le*@9sOei9VR(aj-Li@XXFBve>4Mj z2a-`HA`L|_tGT9~5XieWSXtfbG+S6us>XI`B|)k7<^*Keca&!793dgZm$9hkZui^m z?&F8Ak7lN{!{Ak&B2mc9dF#y-B(=vR%!jB^T@QNXVW(BW^C>E-M?+d$Ht_Z8usmEk zvbjMN%|$}n-9e>m;WBSF=CZn=_Tw0|9;M2J&bL{KdP{XaP>F@ZD(}FId@0!(B_7E? zhonVJ$Lb|oyhQU}O*4cQut2U+04NKA z+(3ISp}$>S9-^2BLV&!S$QUvoRZ8F@ORe>Uv#Yrd1T@1xfe5+T>6;<_(G*1 zUh*r7wb^(LeueYr>^Bdp$_MP-rK0XIE5(Dju`$~F=&Fb@8<`0B2n$)^{qY?sM!+EI zKlZIX5I$|-(_%Pu7!C(d0h#Ptj>n`!;D{ENCJ|YvYPs2>)GNNGL8f3v;JRkH(mqs% z>2`=TH(H}~W7IFoAzcv)=d{pHxzNB|(2JOY0dyV==MJfdk>Rs;UrV$O+M@7m9#bi= zD>T@IdSW$>8@P4|7p~2!uy2nMs!rztg5@ZBR){7)+|Y+pIso>Y5p^t~Ogw!cUHq<` z%6kWdAS(6g1_o&Ipi29?9aJvi_dvIABSLXNL7IUG6B@ubDzL%~Zdp3r{yb2CWuUrs zmPfJp6{xW^cPzZX33@)9d9oES1y*u$n6WdElXmBW0PR*6%oaSJpAB~L_mr;2eOad{ z`T9IvjS*j`dMKZAd-w46{!;)BQA$K|(sNokI!7!D7#neBmr~Fg80y?VQCKeH+ff${ ztY4OA_UUQxjOJJ++ zuyk+b#SZ{tUyE9sB!p^!BYJHU_OSO2FuWxn{b(gg)iQKM_=Qb6mi}roIAc>s5xZsr_UA6!jT2R8xJ0>cxEZ*WCsH zZE84^5!$J?<2R!{9W(!kfxHbL7rDCYE#GAZlTrCX=W_ZE*`T}u zvSaVR!EcQlLEirGA553Uh3H3Iv~5?FORp6NIT=cdtScxVxGY*E>KE?ja2Xt*q7dBG z;og@=39jM~Jjmy}-+x4)_1)*k9}zK)Dzl&NcE5Z>$f;?>`Yww6;dH&KHoW72FF@sU zvIGGY&h%X|n#nAk*==q-@-93)r5&^}3FUwt^B4L3$KCGhdlc=@|89!ETl|fWeE+@t zkT{+6x92bX7oB`B2R4!S`7iug|NsA%0iZwl&~7t7TaA$mxn8e!yg~XmreuG&_+x&u@HkTZN}5c1H*Q&D$FxNrVh4o83?WVb~L& zTz~Q{`5UHZGE9jw1@Qf}lA9@gogi2%l^w?S@(C(8Tm+(XXPTA9AaHX@cLwsa)A^w5 zaYQ^lpdc$Jg<>7^t4mL4NL6J8eWI;``irGmZnjE;!1w1G`eKfn1`)!sV-c(a1JJ;$ z5Jwgg!WT`_H-@RuCVVwaPvkqLht$Rq2N4)tOl3mZ$dm7wuchj;rfs3$$sdSC_`|*5 z=Hd}qu!Dwt*(%BrRl3EgyX-#=CNzoJNs?U z#8&J2QSZLf*1PZEvKNb>6v;SnGpw_1x$bPM^C@9k^b4%t@NW2_d^JY>R|foUwa>{7 zS6BXU09WfrY~s)5V>RkYlaE-eEucf_C)8>8KtW?hm#HyCd=qfeEfFpt>P@ z<}xrrTz-7j`0Z+_+G!*T76>s0G!AD{xh1}tELT?EuyA_1Ii6t(2;(FX9l*#r@VHz( zk)|WhH)x2tt*e-!*}F~Bx2C2gi^VTGT?UK3xL&d0%Ng9eM9!+S_IfjRz{AT`gaLg3 zIcw+#m@%s1ARw%1lBR@lQlAt7nq_HfkF3m?bGCVUv;rC7@n9O#0voEjj8FkAvS^2_ z#R9R7G&;ss|8k2O^N*;omAcZ{(He`4^1ZOe`05VcNbMVF)r4Z}*PY$spc1x^$&+3+WqR)AiQ^^bp+h7=N1K5cef$2IFusl zkiA?JIvTx^LKKJtPTE(n#DYo<>;a5!6tl>oB&Lzh#!_I@*WC2PO1Jnpq7+OcuuNUl za1W)of>d~flQ01`XI`=fvz!Y=@Z#A50&a?8I;!8PP^vyjc53x7Q<9)Z`Q^n}OSkB;Mq$jP1e_}`h**OCT?_J9CafoO6L(y1lS^B0xR;;9-@emPCRJ3oYPiK0u`Id_lA zpa#ua+p5m62sUTy6r8YnSWsFtNMyq;SeZ-ee7wK?__aTB4n3xVMv`%nKxb0fs>0}= zn$4%v7{ajHWhWfIQ9h{4CGtbKGIR#%yVi!X&&{Nsw>$CBh{E5P=j{S_babPE5{j0< z@B@YIPFqxhH%hmzw5AcfZ9-R=AK*q4hjt=edFW6WaG7{{Zu(bI;q4_g|l{mQbBVn+d62f9;3oIQo3X*_ z@Kixqxy!Q|XMb%h<4l5HW1*+Z8w*}B_3$*bdq}fxHR#}HHcsNPg~~+^gqAKKrl1a5 zS*Pob^GQ<%Os%~R{t+u)*BO>Wm=!d2Mj}m+t*EK{9_W9NrxAC6oUhFG7y?$-2;V3` zgM@}IEpLF5A8muuJ;0Aw$A?fK2NDoyB`s0kg;0<24ts2>Kl!*kxAInavxzO@K`KHR znPu$B>Xp+7o+UbZ!T?Nni6!Tu+&nq#8v9d|`(()l1YF}RIU)w|jI?y(C}+VVk!9uex^msM($l18EdXa`_Z)$x}Uc#cl{OZgfe@5?D}hWAb;7;c(>w} z9-DpJ&^7cU(zfx_KnjS7h6M?i8yQ4mDnQdz3obNBrG5sd8S*vhWtHg=B^TfZuOb<$ zpEe1H%I66|KIKR%LUn6yCM-mG2f3}2Uj%aBT&XW}6!fn74w?`nBUPE>(clC6W!aq& zz@zCUI8IvT0KA#=gErIg=zu%WtK-j+`iGcQX=NkeNi+T*Gdx&8Ma}`f!$0m?$CFi_ z#MZSL`taD2R}-i;eZDsgzG9tr1wp||u$RY(ys=LxQ$dm%y=k8 zB0Xg0Vzb!7uIz-&ppZI*ftxkx=*t5{U0iq4iY7Kquo5Rk%k5OT8@!K*R8!PL$vzMg zz;MGtk9{L9YpdgWKt%1B1gH+N0&f6-3R@_grE~lZ2}p)f8}aX|jAutNXg__g=>m~q zb`+^;Y!HqT&zqOSm|t98!O;wrOE9V4A%C{Y1bH^Mrd*jhhjo`I^|fI=S<&;-j{1^= z+R^jbL6{TC2FZXVn}}e$Kvx#()YX|Lui_dT%X+053c{d|&w=YwoOr7<*WB&>q zFnXTF4?Gp?gpq=(Oi4#_Fa-UHFM?*|Dp;0r$7c6T&0Bk#AVazAP%e+wuuRvd>kVUD zf7X-v-SOi5EM;)2nv;Ja(BLrHg@g&_b95{CprO;t_7pK?k|*X0zEc%bErqJ|UwxIR ze23c9%h%w~>9+^{JfC75wirc<4iCL#W}P5G0{*CHW;luV%#*$CwOXMSgB7u&sljMs zvJR)OwwZYCW-M}Xmw_*Pii!RLk6ucN(hqxQvszrB%P z6YVXe_X%Hxgbg2q-Zp=#1 z3&uVfPUS8(I6o0d8-l2)u4)if0DUTB75k(%i?2sbdbNOb1KU{NTmaYH`vD<>>Ogg; z^SFcBgpohdykv~#CH(p{&Jzvj^Q4HKIQ{2~W0c=Uq~K=$>U6%lKpiS|B^60Zt1UPb zI*{nL_6(*QTTGFSWzMMqZdw0ZiQN<4NRrS`vdU{P$;>P-N9CrTeZ^oO30%TeR8tMx zO;TFy;47#`@yAhRNT9vciN+*NzH;YceAQxzL4@JE7ZlnX2^)pi7)ZW^%|zkXbiHN1 z&xyyZ4+(B2XnE1)ds~p}<+)|Z0KB1l*l6DM?Swy9Ms+2QP=;`Ge53tNq-nM(acP)H zdX|EPDlZA;7p1VbD3t_SWawGs51h!!r9dd`_0Gs9eHpsLQ6LAuR-iGG%yYOO-KBh zE93ImCjYw;=@kD-G_c;-uI^FB-MzWaHZamb6Y3;F5sGck4t+Gfi|_Ad(&^T0r#0c14&yn7!z>APR9ag?(>UlB5KT zXQ-i(l&(OFJG$a>T32D9-+xBOO;WkB3lQqwc_U>G z6z>RcU%1EsIpl3W#NJp+LM<8%tWV`ZH=C;qI9Bod^5klTa@5~_`SvKSqrZKo$Lj7K z!5dyfSt!4N;%F9{%snP<4N!Y6$5!K4OtEPDG|TpR#j=H7sRuMTPwl)4iEM7rLA`pM zA@!c5Hi_&#YWIMc{ng|! zF&PBa_l-DRRmSZFLca{Rdiawny++Xx^5@dH!leSNyEgf_+}pTkt95=3x6NQYb~xes z7@=P7n<3R`?nj@~lnm^k^3*dV9xV!y`^5=UWf4lwo z^7G5vk0{=~H+b`~`{l#qSG_veL*=(01_*AJQ8$(w=yG~EBsj4hj8SQyN5K-5RM*~K ztZ0|)4jt*>*E-WPY(}e$C1|(Ci zqED{8nS212l`x}MH#ex0+~)xRw|dn~PM(7AWyS7LcI;Mxx z)hw82gwzvX(`+n^2bv=n7U3YTnE)d^L0J=zTL}(~rK5N^2~@8;RdhckTR}CNKsf`| z7+i>a75LPb3`ghhuzvR*e|!0eYT@thkz#>AkiYWy+YX73ga3g)zlJ~mJr1JUVK(}i zfBzo{mK_dJ*mLmvuc&Q>BM#ORLpG0q!Y0SQ7}4YI#5#=j4UsTaB3U(y%;Io+YI>yo z21Jt8oYX_#H|opOa|c{#yb6}edV-xht$<1kC6IHpTu>3C2sS&X_Ec-oq4@p8nN7vccn_$-g-vzXce!_x>~rUR7)T-Y?f3+U zi3<*4K>FaO`#V-8n2r)bXfPCviS@awaiSZ{X`Iu%y@nEVF+fyS>K&CvdHfFPT_rq# z^CE{pdPKnyeD8=yI)oUrrNhS)|~bWypq7G3n; z$si3GQEdy=KE9Y>9L!g}^dJdsa@LlYgrhDj4%Pl<-yQ9-3FiE^G6sHCN zBh~DnzC|q-Jr31WZDMg2nAQo0F%~p5NCw4g!Z4(HN;zUX71p~h8`j<=U<*y<`j|B! zT=ll{0o`$VD{*NqQSp`10Y5L!Pk!c4n71k)sH${1J;CFedT@3Fjr2bf_Go6{l%d_AO+$z`ewj zLoT3tZ%KJplqWoHb+q!h^?CNVfY_ES0Sn$wzt-@kxo9S@{b!EAdU=JD^&u=Ov6i6) zkS(PnzDc!l*&p!)I;(~oYukX`b^}bLSEilqcG9UJLanErxH6P!J-F;z58vJ7@+F+{ z=ZU^k4k>}=ybrxBSq%iwSHJG>+8vcQgyG=iO6YUY2Odk63q((yK4RyphJtth*X5#q?Uf2crhNxE(qhUO1@2U8%qcx=o0x#PLQ9r*@uWmP^D=b1-|kg!FLI@UJptRVx4Rh`8N% zxP5cHx;zT2R2i$B?b<9|DLXl+g$- zo9t@2_UWL~j~z+WFr}@FRoj4}BnL7b@H5sOmNVuYBGiafK_4!Aozk2`jF3Yw6QRG% z5q@|GZo&X73(Z}x6r}MiVKj=vudc6|b6XBMK~O(6s3DzM%6kWjg6}i^W-7IsKjn~~9MYVL`$YRz`JMlru`*%Pe5t zL+3JuxjRh=lr%#*gBOLdvZPU@zv&^Y4yDJiGl5v6-&9IpBPcw1nQ}zAOF~33;@#XPyiISrb3qjcC1N!X-jSdyH-?FI$z;EZ)Rr$j(BOx)k zy*tsGNPAqh6m#!C+=TP+tY_pfuNmi9`)pRzPq5^a2%_rl(3BFYZ zaHZQN41tKxbIoBjw^(QCx)Xd9EQRPJimdVU^VU=&)sdeNPIpjm!WWAHNfMPYyGdS* z%z$&;b_%Q@V)tXHbzc>I_)|HbUcX@@5zlsKFa$nwm>BsH@?@yH2Uc#pUL1>& ze-78?n6rvtbj7JQ1>+9i;mpI##_&B^H3(P7WRL3rT;boKjn%m%3kRWH3)ui7lX6OC!HKVCNi=vB$Fz7IW?=CVo0l(Q2u@WK;ME8G;`BmW2Bz z>l8;TH?PmrZwMf-mCB>dY$$OWjA@)fNBHh!dohPa)MBfbF!prA7~M6E)Xwb&{t>3Z(5lk_XK?iE1vDS!zT6wcXF!`zKI#T1)`x_E0wg1jJ$c^A?hfas-sAKI8H^d$`I~>6P;No zz>FJp;gk`V{3eyR)WouPRcTch{>0|zJHzE!oIBQ-2~vt}VUR&%8aKKuzd_sJ>qO{P zMs-1hLpx-_22xYYC^%b$dXih3RIJU?TZ2rY;BPOXH%q?L4_d;)FG)>NgtKTlv=Nf! z^M#ew<(+Wo`OdhVhQvwvY{HEyx++8Z{bXk0sKjPwECgCwBKtuAbw(<}r#pu<AoHIbhxi2#>l#rf$v_ge>Mu5({$x?n1s??i8XPwuqx${ zu1Y-9ZK!@9&=!wY8y^H{sn;7mMwTJ68O|^E*pPg_JstdZ|MB)wA_Trid&=)Aid+vf zhI%l=W0MxqCDSb*V`dL?isaMCEtcr+rVU*$sImAl=@tmpD1`jZ6KuT75ik&Qh zVqau!27~!1#HBMF(#+Pg>(r-Y<8B&D#^R^+xtwMkJV_jf`G<% zuvnf?h^gt&BLI8LI6ICqx$0<6a1j1q<~9l9?C!I`5@`@w*pE5VWFJ(;ljmbzU%tr*dLitKXg zwR=i#3DwAP_&#Pig_L6`v0A|HHiMk5InB^g0Z z{<`<$k3W(fONpJ^&!6uf0b}p!;0|4bQL*@*-Gr~_K+IolCG=g&d_xIGVCs5#f*4(d zKJz%bcPq4290q=%JJ>TxsM3iqk!;i?kb01;c>g3_{7gsk;}~>vh!O!=M0uA&gI12V zOBO>k8pWErk*$jG>HO?X@-W>&eF)hIe0j=45JV~LpgN`>Q%zL>N(taWDd^56*Fnnx zSwRDj&B$Mx`Jcl6U`B>u@h817-3lneR|WP7(iSNVewxE^PY2!W($V8wi_5r?SvL?%gM$Or=QUf&d{Hy)i!l6y2rM>k21b8lJ&xsfRx2f^ zpN$5yRwFSN!)YqlrYw+~%C5^B4*>kzdUI|D1LKeVV2|9L8YG#V>`*~EQ#;!>w0$Je zI<>385!9USh%SyZNS>^CO5uVD;8>@&vWJX!1`3d@97hnJRd_-vs8{DnmmakrO~V&y z##)GBdlU8F2KCvm&cHubd%pp7{_Xz5JGg#8n3gL8=A7iJYUw)wHcwZ+bFOPT98~r- zWRRDlV&3X<6gx+UV|;!cao6G)W2kbdDwFhB;o(O&fb9C>>M@9n-2S>c7+*g#e#CJ4 z1Z|+S4h*5VUU~F#hCa6wZYZCa6K-hkmJ{v-nKCkwIR(s$p0Q6(-@D5M=;VCXgRcHqd%_!-eCwJKa_CXh7hRLEp9pX>aTifYPg|txT z&Mm@x8OdP=Ep7wwM{qRYaMXZ;xSPpm*diL;ekgY!2c+Q-0wJ2&0KyO^$@=>IWPW*k zvfg72Z@6=%l?Q)+0Uek=U9|NOr>-LxJm@e!u;UL9L#lp6(+VXWVG7-xu3v!xvqIJU zdV}(pMFFb$QOp0+`Z9S8{|-9b>?P#%fEvB0Vc^kz|)$q3I6Fp(BuQN|zZ*5~LQ**tXmB*-=0A zeSXf6ZHGYp%rX&ANt3AE1wMw@hMpUe?&2SjiM{e%^alMO}j`9oAeL;otCd04dD z-*3Mlz)gzuq~RCI@0`+1lM6Zb>j>>H*z|hn|R2C*QIdQQ{_Yb`92k#UP9lA2S zLV3;5oWVW>lhUhM!!s``6vIMVk{3;B}df%*XQ8h zMcFnICQwH1ZH!vMnYI>q2c~GVe;7X<)VEV8b&3|0mvw*1pe5xb$Tqx+7HSOl&@cu$ z8*ugDh^rdF;yd%z&w*OYfy#?^rs(@dUN(7#mno9Mx_hZFR>d~lJSglG9;cM*I$U|E zo{7E{knfTO%nA?uFQC#6LzNTwK^I2ovr`M6Me7~AoGFdC?9xa`0>@NZA)Z`apxi=G z%sOMbmAkX`8)COGAJ3QQ4y+L`wEj+g?HW3^3(FwkfjQ5Q2uReuidTU8wNtTSWCUI zNb8+*cz1P9G<(PhMH;12X?O^2up0ukLc-;s-GsMIC5sk3dUaovoM>bJ}bV;1pU1xTEiSB1-x;hK*QL=DjuQUPGJHSqjI0X=Xs8; z_X^h29-thRkNELdT|=QXNlvM-XAc`N^&DZ8j8H(6lm48clCWLsbhq969gb#y`a)X0h;gV^ zp_?pVcxjAxP{SVk*|$YgFPG@W<>WT5U1ypTFOk#Ep` z*Dz!+8-uMuxI5u9088S^^{I4}4*~r&ci?6o2_W)YPTX>W+dZB45#lwOr;8^*5zp92 zETBP4BXA1{WqO{qfQT6scdNHh5t|&}&fM>m(;72FIsvryKuuj!bfvlZZ&&YlcDG0* z+VCpM{#!JVY_V|rkCurmbrMR1K#*AFjV8TVoTzjxM#t>A!=ZvNh3~5lEIj_|G!Q{? zy+R1(3?&A>rt^A4I1C%FfEPVX<{wwh0gagW30KIyyp{qQ%d1W(txpJnq_(SWg?{<) z#r$lB!Y}6EeRqyZAgCsejEXbIjlzfTetp2%oDLca17m&7&@r> zrXS&*T(Oh9N@QbYl02ByKQm+pw5d%8 zZdRwtce%o>sqDJhi6q%i6_OnWDw=F_u2F#JSc_iXedO z@)a(w>e8-muik&Y-v09W?)}wgLcOo=@4tP01dj5<*KgaK`~Tct%ZwdRPSRwY9t~Gi zTEIacK$!+kB(Dwj2@)z^~LR|I; z#q4cs@U)3u{1g|1!WQE5#BFRs!KV<=w0&$QF}b^IBQYnSPnTN_#f&r*GeTy_ysw4rdpMR} zK=Th)|{kkkKxoYcE+3BPcOIZE__$5_lBPdfa z0T!fKP5Mv)lEsxzp)}y)kjGeQa|@cq`r307V7R}(-$z}dCAK4`J`mb1`w@)Tk09BrX{*Jq@6|N6+3nn`DT{_@nKC4c)GlZZDzm@}<0Q(-v9)TX zSaE7;m}>qO{ah_Gs|m72JI)-ihgUOKi#yRNT*Ji<_W)1YDbe+CxU|ea6 zo{>oKfvFjV&c#AoL{Mf)7OwiisTUN>{vhmNkqw?Mx@}`IL)YB(iL7mjsT0|?T$@OT zJTSRr`}rW*Xl@f>!#|XyZDZU=c|3`)IygR?p~?+vU2gFQn%%aCY7z1qIul%=p&UMO zG($ldDzw(-At-*d1mdBK6#JJu!EcFMUA~j)+IEt7?qH3}G!j^x5 zBe@MD|JIAnbn_v8KZRAnCHmYWOSK3Zdo%%6hPweH1$-ntxc)tbUr+i=#ugLpQVQ6f zdg3rSkrzvX_uJJr-EcZ=MF0XuzFH&rbF{f&k@`O}%U`u)uDtVhd)%&jG;EHw@DYE( zVWDH$V4cQ3$x4g}6B-RnV{-}VXP~Tpq7Jc=;W_bLfq0^-6viraQ=6qELq+fY`j?vr z=zrT^uWmnnzP{gqHn_e0_GOnpzuw>6y@W1I*CkV+&#!Mk-rW9jwEk%am7CT--HH?c zrG4b(Uv%o``tckeyuW$;M{U|}FWlNbpz3JnU7rx2c<)FwruV@UJ;onU4Q1hAFzF4@ z)O7QYhl`sJe-~9BViP_jG|Dp5Ux@PZ7zh_*Dbk0?y=zKKSTUH^aLydg@QwQOl_Aw| zX%&TQ&Uiz>C|0=3lS4v00u_R{|H`w_4s&y^Zwi8^>({Mu#;RDyuZ1?3ShaV@@onH zHiA&2F;J$GJ>u3Fq)p{2f{ZMMgbh-8oFvq;zf?BKPk4Q*9Pn^-lm^&LMiv*@N=YAu z!k%CXh(Q6^zM7d1$6!3Y%$oyX7+*h6;WbZBKIV_<3IQFnHbb7Vy;2I}M%)PGKb-c4 z0T$H^_v(>oH|BCb-*D`aXz?~CUGHSyuMx~uBlgv!)0G3;gfR|MF9B$CnCT73C0ZxLK?=MY}bn!+)0d` zCmI`QDPGq@PI)IZ$I!Eh4bfUik?tIoOyWR6T_a9Et#P3q<1#_`1m$7m36bKA8o+cB z2uRO0NYp~}=8rn#1u`*@PTAL34137gZ~-j}ke2yD2y)W&CQx>fewn5FxMd;_BJp+@ zZiu%lGlRDkp);HzX_xWh{yQRB3_6qQf+7b7q410#A6+#IBNb|B&rxEaI)#U9wtqt+ z_;L~J&Xog|d$y+7EvX8yiz$3sVNVYZ_75`4vvE~X%z9Wof+=w2uNYNoiQ#+1h)*}D zZyd_AO4KJ)Lc$IY7SX-f?&Rsgo3h1cZWzqU4@M_j#0Cna-|DOp4>s!{bG{8){ki$-0<~_^77>AGi&YJb1{}x=9q~NQFrYgeD2hPajVr-pXvj2O@v!F8{cUzQ^2w)V`g<`S6R!rF8iLWAV4d%%xQ z0Y^~+VGc@NIRL)Hiq0$wwZW(hbXobN>>z!bk9XVm*T8C`feKxD@*9j$!51)Fbmzn8 ztA~eOW-Y$#U4Mr{d<(91|LwygG#OsCA6wSj;=ljWlTY;Y78i02W$pjTG9P_fa41<0 zELmGPfk?17pRtJm-SPv3{D5C8e?=KdP?%ZG3G4>!ME@7Skg3-gMzjE_t6Uw69F z-$Np`m`16S36c)Ph8(9 zua3P&UDb=_JT<4frs%CHm2~}^1btdb?=~NxOR+A8t{SB!4;5r#I0lR>B8D@Dv_MOE z6ughYmA*qmORxN(|GZZob1bBYBiPE*b43COz&9i^oxpjS`38G&pV6<6DkzhIaTR0@tt#8uFyFI*^YC7r3W<=?iftZfoi5ff1ypPZ|rG7zKCV|GnfWMKe7`D{3k z+(OT0Z+r8FjqXG*_Y)V$fTz>lvjGz-lFzu^NnX)jP55Ghu>vWd3_~%^cg*?|3hc+4 z;h-!hl?MDE>y2?RJRy6p8A1>o)cdY^F)Ey%(-~98B6_ME(&y2g4R7j>K4|v*527S> zBWeM}w}>#*t~#-_$AnhEB_vn2X%&Oe%bqizneu}sWFp4%AD*_mEP^R5JmfY>UpLWl zMZ50ve4d=E&)79%s@*o=@6`UBypzUnW`Yej0fe+=1Q44TKpa?;Y+Gp$Hx4!2h|z$mqYW#<6%_=pjyIFW2>csNC+bzC*Vbrd zC+hQbGdLC?4#-tARjItJPw6LN5`-EpLpu`_2mwU&*|c-sj8e(oz&cVgY!BpQ*c!(@ zvHOzQl{zKBwN}{ms22^j`Y5qt!CY4n#iBPOse&7xuAkMtL7u8TTzS2CwqiI!94!+> zl;NU3No&Y?jWfD*f)EnZd!^;y;-r6me7Wht%)2)2TLEWnXV+lt&MuviEKEO97%Qol z{i%c^xZMf}MB|;gISWt_lx2cu{U(9U0!_fl!kuX?=HT6ONXe$H+I!Dd&Ubt&I#seb zy>zT(jfd_rU&G1_SwfNp$Of$d&+&+DdH~z7qQcGg?Be7dZ9?*A7>n}9&$~!RN04PR zWX?8#=$IrW41zhZ*Yet%-nKV>Ryo@nYw%R- zo4Q^SVUw9SJ%`!#mRO74MEzJI5-9zHyAnJ>7|?8>Z6Db)Q99^~5HaT_T8^yVx#zMy zpfp=LEhinl2=%%zaQjoTyb0^)Ge1=W9*$@15~u+^neVSZ-h6o6k^$^oe~0fOrbytQ z*B>5tDmcGisJMy<3bWpyzHGdX*NroE>ucvQA84Dg5!~=Wz==@O8 zBE4xyj0|}ZaOR3`0RszVCNCi!2S_vzwoEW(*Y8eei>$gp*Bm`Bb_;zU$@mED3XL|* zH$qn?KmzM63-7RW3=2-6_a=YBqb4s(FQs4DK79JO{=cLDW}I;M?XjHE)S{C0H^?!l)m$pP5hc{%4qs$^aQ>BhzG$e08LJpqbZ0Ixew_1=}%Vw zNYnbrv)?jkePr;&w|x2b`7wX6lS?#Ee(J&GBiVz=N1l2x`AGI)@{y+=?CRskWVN7{ zp*^ax=3$cxMp#uS&y+ZJ&S4F+FT?!j3n&AGKw*uwr_z(NDthnJ^T|(m#$)*sq$2iv zpw{5{QJVt}>(s=qjAlnbIAMaae6qBg0x_9FLI#+_(&DMiP@9~r&&~+?{;;=?x>sJ^ zT+oVel}2QFAINeDPI(n7Y-Q7uY(!r~BNb)&eD_W>Os1*|SjP~Bm8tR1`eV4$5kEw@ zgw0Q>f|<_<915wJh0kck?h(*~j#0<}i~w{YuZd5W+A%R z{uFNh^t8&CJ@WzmawrY(`6Jb_tNs&5o9XPS@>ZDguLy*=sDcvaGEmKuAgTsX`}kDB z@ND_9Dx*r9)Ue!zW5LZdvArD1PG*GLEa8f*o93#YaXdW>3yObu!!=nFxvTMPhQz;f ziUcfXOh=^l5{XFzvnX^RS`B_mFx*P8^R=o&u4YvyaO?b0l9xm3ZJ-u=(0sH)B=vbp z8-7LtOf>GLv*qnC^6%!N5kQc9xJ3VYk zs!`gKD-c@8c!kuuW*V_!+v%`#4?{5DW8TcD-%KDrA`_J?MveagOBo|o?Lv+oQuqUv zoKVQg<&MXk%9=q>U=jSxpzf~g-Y9PBR%gd(%5soFiY&G?u?7o+0*I;;c~6kAI{uNCHt8vNiNnU^&V_*a#~@=d6UcfpR+9-AP10+r4q?%KP%+XSej z6>)+(*s)MbAy2dhtrCXsp;k*NHv&GUM$4LAE`~F_5KU2dw~2DuBGm^5BlD+sWR!_r zYOHq8*gQ7{X~lnF%ConDi|4u9U2fbeU30Ps=%B{rNZZ?%>jC{Pm~! z>)+<__tWI}pXMU&H1J@>veY%(2=9Xv6``t1Sv3p1fC=LXkeen?f}j2*_|=~TzxtDK zf`2<_B)`j(fa}+C195mL5UNAY4{8%+<$OsKK5Toxpdmvpsju(6b}G;sDswgFAQZ}4 zHTX~6E)@=<uKHxwWN-IS35ZiV_+6Ik4 zUGlTiCl~AyMqnKeKrq!BMN!Y3AVBL9CGD$4efjnIvts3Za02V+Oy!J2h5zek*cs!;bFV@=0y92Zal@Fe5fQ``9 z^_@#FyXcx?(F4-6tJkoS6!LH(HP&2NerigT?3CR&m*_#A#;}vCI<=-2X9#fahG~5# zixs#)s{l(nYNT$txrPd;=z;*|c?mXUAUI7_uA4n7s7WR2^twxc$aZX0zSS9)!e@nu zh0%m6OX7&M?ZO9h+yrPF2o^6#0XJ8pZmwWP&zP@FIFSZ61CAuSTJn!{P?<+&(pxD| zKVTy~MB;als6p#NscK+ew4k$iYj~{eYWY~{AP#JVs#U&?4TU<)5_E(xbQTNM)XsEj zI)IoJXKLpiJ}|m#0z#@)WJ?iJj;$;ZQladoEto3Zx$4sI5Bcx~+6(x7LT9O8Rz~!p zyf7zVsStdq%PC4n(pe3UZg3O>B{NL#;rb+25Aawr-~`MwRea9Z!VL1xqRY=4>af$n zrD=Hp#EB64wzu3;Si+{9D2wf1${t!ROgFVD?nSx7T|a%k{(gmU z5<)C5JW$Wo;rm4YuBsWR0_H9rflDy--1J5Ym(c9x@(f1OhM4Z}18Yu4Jxu}#I}M3j zU_8wPb;Yw|t}JXq;)myRsECqiLlP)Pbo-&iuZOC{3y5O_RsrdLh*U;_2dWPyU9W{8`fo0Q`FY`R@-m|8<=>ZqPHkOa%;t(hs@<;~%vO zF3>kb8^Wp%5+5T_%*XWZ8UKz>OsPqgaP=rad@dz{fRNMm(8I@=%KUW$#UokexEkdo zV*+)Q6rN9oep88&InfE_5tep({`SNek`&Hj*q>$oX%)(Eh-PW83jG&Q0^p)plx9Q< z9g0fPfI^im0EZ&gas8(rd2L*VrYk&GJ0I`9p)$|Q=FgM;$=?1DwS%X7gJCnCT>oj< zpB^jA_sZUQjB`|*L<<7vn`Gq1|Msf@(ftlZa6bSFcZM3$}19TctAtS zpP{r&8(JxXj=HN2D~Sj3$dQVi6{+qH)>f7m@KP2uOaps+R&s`ta_H6n!tTq}Y2Xf- zB{7_O8Z4@gLQ%gwr`nGGZdiRLg`)e0>r+}Wi&!DJ61fOow->s#B}ELu7tk>qrFyWT z&1i_K!$@df06m4&+PPF6fSTLm?~-O4?N+RnuJL+(wwAj)Hh9(yvbZ>yw%HgB5+;HK zMWd8Nz(U?7!nZXhWC^BU`83HwcHN^+#TJ3oqwA!_G1X`>BU~z2n}#8rULa1fu(eh? zKs6>54sO(r@El=j6wNh`&25v0cv6kT=uoVz(0>yLs$;EatJ3$&KH1E`6HQo7+nj=O1O<+yOXHd2_+-Az<4Dn<`yk%0s%7_mx zIRF&~sHO^1R*WcqZs1e)!cUt^P$CE0*H!yHpKCxQk8737bL#o(SF| zA3|PQi*)ORk>YTjB?L<-25(TWo8U{sgvwzMv)yxipw{@OOSS=;q+oU8k?Nc64%Xqa zQ-A9-vaHY<2{W+_jVw7MNLqkleSflRwj@@{NFG<96_ym9tfw=T)*QV>lxBl|moyvn zpO=n)mmAh*w@^SY|M$F z^=Tmn)r$rhE?im%sQMmmY2{CIuwr&gn;~~~4ShfC2?JlbT>W$j1kS0LDs*_UAER-0WuK-elSEFOZ_o6ax>*nT_1a_5N(8eL5R;dgdHF!7}o$;EZKOtjr z^aE=ot&d}$_%eiu8??iYysHIg%K70@vALR{fnRVD*j=hM&JQCZGBCp!t8JzO0-@X| zfVSdVoHEmC2BBY6?h_aVokoBu0Dhh!fQs533J=et4554toz&{GocFUV4TM=t;Q%iI z8tXHN5P#qNa$A9CW#g!z^PQznqOA}+IH2OZeOnWNtMGgRGaJ6Hfwh(}!qysi%s#4i zU4|bbprTQip`teID-}P1jNpqkMnD*}_;Qg7K?nK(!94|(QFIeP?xIhwwz_01^VLqJ z9#jn2A+iNgk+Q&$b5TV{fX$-07lFYcUb@pYqhbtnP9@RO9FUh&SuJk23-o-`kRP)b zv@W)y8?t(5qtQNv_e>R|0TrW{J^x4VYPa`Z#E>XBZHDK~A-VW8fxIcm-KS3v*N=Cf zb|{Q@PgW=M6<}l$?C#^>@0`%H8G6j35T-5>&X^|u(8DtA^N3yi@|kMlLt5~MwH-f; za`>|M|NpgTC45BJ7LHFIV*DKPB#|!pfHR072a;i762duPvCFa$hKSw0JU-_JtKG;* zWFqba7&bzS@ry&G??y40T=(y6j|R464mWF^Vo>fA5gqN_|eo5Cie;TkPIN(|NK)q;#ergSk2ojd{rFYEyNI5vTI$Ajz^?7nGUVOTG zKvlVKz}$b|WvPcBf83E$55M>RUle!vUm(ec-w_Mh-tR!1qeL4jLZMjPOK`v49_Ih` zuRUb+pa=wPSNYsmV4!8t{`sdr?IN#0m0!;wwCvxL#cM8x(gXb@DTk7B3LXw=59rcb zPvB7LO4iHM7tp2CasSR6uy>+;vj%Nlp(|$S*U&Q@w%whkB2=F%7veb&EOGo{X{_ru~C>#OU?Z>mz z*H0A^Po~IGp>iT_3|Snqfa1uA!=rLCVr?GxhPXx^;bwkMcqXl_P3jgA2B$y_U@CUH z5WIw3H2cWj>TY1mDTDs=QyR$ubIYOw&=)1wGZQPP^tn&SdCldRuP+K)Bi7bPDruIkKitOm6B=DE(Vr zTM25~Q_^{^Z-64_j+_w0I$5s9`PtNF4MYQOL@)rl+^WA-St#_)6LS_amY~)PO8>KX zi7JYdJdK?OE8|sjI5Lx`sQYYiq8l0sl4nti44BedK0AxFN9z!dTU0qY1n>4T5^_WI zTTKNmj9y4u6EPaTT)#V87qTZA(ETW7N{jVbs4KDHJkLkGFm|FqFZ6|V%@SJU^2VsX zKUi@!9&qQJNZ$mA1sTx6zrc?aqefgHa~YuUTAiS%ZSpBnQa-33f>?w$`S#;uP&^TZ z5+93^H4Jk|gr87Y`f_s!aG8?{qF_k5HkZgG25&_+BX;Y4F>JDJ*f`+q@%ElqpI*cg zvT%8Twis6q_a#2!L*=3)~ipdBp1!C#99RTgfXKye#G6HZ`X7Dx;1 zBwZdu>k27>23T!vcTDccs!WLN9X27)Lo}AP!#FXnp;%KGM1NNEX`uFh#icPF*TXc^ zkgNcqX4R|BV>3jEAZ!DI1aw4WCA+8yeId#BAtwfd5$yM2a1dF5ff`yGV6f*M3|oF! z!DWOlskskk7|N@gS=$$|5lGVl`zRW-{Rqyw2JBu~q1P0>YGF9>if z5-i&NxO=_(Y4?}iUw3bI|04+w3A#%8+xp%4cQGaLO5zN4T3&x8z8X-CGTvz}ynP+#j-NdFh_=?ILW7vb!}d z-|3_g5(2@%+1uUKt1+C1I3dupVcf44$Fnsmmx&resX-;yi-$pRI)tR9hEg5!x35i* zM}eI*JY}o8E;EUvPo_C?g4jPi-WIubtQU^2hINNf%e7s^{0JRvtye^EG#psKm&Hbo z2m?SE?E-K6zoAzy=Y$>|9KcKPebHZlMF4yH-zggr?2V`fQr6CiJYh8DjEvwB!p8sI ze$gE>de$LXB4;MzN|!G)rX`+qD!zJPGvMsFf%@G&=KE5cAzx}0*b{(GONoS@KEF6d zdQ<22`S9E0#pawTD%loA^pYcdx`uVp!l=Hkw~9SILq9r{M|*PE-?w9uyfVkk*4J-1 zK0i5JBGEJ#%bnBYCR|WGbi^^t)<+jV18-w@Fon84M?NlyU}(bP1$M$9-Qho@58{e_ zhJ1!hL*$A05@D{jtgfk~pds_?SaEqp>et>7E+@5!tf)LZEk|iat`KE9raNNpLy{w& zz!21f7nk52Q1CZoAb=_{_+4B=VSmxMWu2+Vkhiz&{fc+SJ^36ehXi7++k31L$Zh)x0>k46EBNy&38e(8vDta>q4LoZzhtPr`RgtuvwdwWI zD1w_I>R zlj-@i%$~kBaCFZGQ5|TPE?5Lbmf9;_ELKN;j!hZjBc!8p8Uu$hLsRM_Ea7uyKs=ZR zPt39<4Vr-0nC;dDAeyKP=wb;hMgHOvYL{$eYxI#ynaN5rJK83Yc}{X9J=J& zJ+Km%x$=ZD%BL(yPB+h;TLJ_eFQmx612OIWwMo5Gb$u|rxzwwsV|yF`wn3{U-Qa}C zKpYn0%5~e0iCi3g_!v)kZ)vz#M4>P zX|jBN@@3@s>=iV{$1g7|?8Fk|kQMw_5@!0o+rt39Qa#WDCwIu2+s{a6rPtmcX zzvon_&hyN%q1rcORddRS4?oC>zpRk=cikD*ITPPlWwFn zYsZ}I^P%lyH~)Y{mp4hd2O@lrcfUUDY^7X|H2p*k2mA{ZM0oKb+tDuH&ejKb%Y&os zY;yuKAm@j%r8&$;MjX-pHWfl%293xn+_spZrzufN-9NWQIBuSn4C|buw~!nY#aWwZ z%%Rrq@tieiJqoK;0NS4LlU^%SjARHw6RpPBj2+P-;FDO6v7zY+oYey=X>HLOYWv~W zYiXxY^oRQW>i*W7MA_`E@kCb(wW2Wm>q1Q0C`$C$7CglHRmZ3I<^e|Kvar;2`O(pkP!rNnrKiUw4=`F9*isMpMSgaz*g$#20SE^F zRDWfaNt8UGbsssy5>`{H6+FhEqcEgi_j|TKB{zc%0keBWs4>p3iG9f|dXpT))|l>g zcD%5X(Y%#COPllQoyG2{oKf{*6m#@DtifcrEcGC1u)?R*|es zcyG8s*kLlE{wHQ7G>TNOk+XOK?a|~=U7roTU0Gv>@EeP^NxGds*$EFKINeBN2E+Z{ zV3=pp`pi`CA{$Gd4_x{jUB(bKJlE3%H6PFM&pU6+UK=CwHeEI-$6`GRF@e_)u`&uT zLdxM9nF)Z}vvapL6WNg;D3+O4oc#U6{o~!2_b8xw^>}SS0o1G4Qd0+;zQo|tB|x_n zlO@GfT~WT0FogqpiuqTdoAywJb}EzfWF(DNm`J_`MarrtNXf9gTz+kK2loP`K~8a1YxL4bC#hgq;VoFOJ87Z;xB$+xavAxmIiF@tOjn?> zraw%e$9r7>v%Tl>WqW{^@x3#DE<17-FWnl~6DRun+cjE#GX)zQ1X;$+O}jvyw&2&4 z%2|_7pFSneJQ#JNibj6`Rx|>xh)gUiEXFPX=F*LLJd_n{8HoZ<8< zdy%*$x z;dDV|ZfZmkCaBP5DrP|-U5X5Mhtf>?NN%CRL|Z!06mk(9Zj`<;CJT$;V!5}$T@B7Y zplA^*Y0M=V)h(Jl5uO_^1O@0e(|iD%Ni_K*MnVlGl3mQLrtAaUX3`#A>PSVm94Q>b z7vIlOeNodK2K$#4ppb0eSYI_u*GtZUtV1@aB*B=S!(xGlwXKGG!?rr`-=u*0)78ou z(v%s_<2&v|&MAfrT8e>hcuTQiV9hjgim?h`uFX=WoChwHHKD|d$b1KWNHQd=`zq#x zm|99_5f)@?Sw2&NM$g9lWm}(?*W}gAWDPIb8mYWudyc-K3(uC(1QQY>@yTI--p)z# z+*_}}00%EPVV1F%`3fEH<82!$ofFn9sYCJ?ZW}$t1L$0Djy6d84eMb(GCL+6K5f&i` z^JK8H4%KP86s7Gu6pPuYoX95nb{v%Iiq)h)pHKE!&IDesyW87upFcAtsaKSVj)U~Z zXwmw}m3V5h)Rn*tc!_h&;rbkG+2mCLL=&dEFgyC(E~5iBCRobsl2) zKcM|xB0L07m;5J7?M-d98z4e{mY|9zbRv=TK`4&$xO_2~ya2u$Sz~P%_h8^IZk-`o zil`6>f5$j_RJuleffTayiPtX0?|_8F?~V>)kh9TR#Y^YP7)VFoQ_?;bn?x*kO)>Jq zGthaKqfq)TcVIzCnhiLEkqc@2hxqHVZ%T#)++R}L^VD1t++T_Q|9nMgkZsUhXz}z0AZuSBW6;U)*f@aypTnWm?KswTSY`s_XKIt;B86tm3((Ev5P;vTHD7KaxP5SB>a6#D`7TP1v zD1xhskQfESFYLaW)O-r7^cnK9YA-SB&#`012T|>%2I*|Zj`YkBlDO=ZHxd~vYk{cI zl02|DR-M!IfE9~A-@>T~Kk)Pfr0f*zJCY3g(sfOdn+tjwPHuN3S*&1$o;9wUSii2! zx$N~HnZ_4(+Ce5EJKY2x)jD6vVawno*0dS=0_Q6^ocKzruK{%kQ>aB&l#ocv>9{u? zy?FTb?*6ey-JOr-2nGDi3%Rrh_1K#r$|{+K{QV>_CMzI5KtIK`}+|4xz`khq(rD z`OfOZrKR7^9f=%sJ1Rx>BXUtx#b2wf#~PTj zB3I{Si!TI2lmVA~zK2WT-6m}A%NRowpwTj!jAvy>AzgB5z)`A4B;Yq zk5vdzsXDxVXXHlZmv5-%DWdNv)8nlpSu0(FLW8XI%pRjUaug7GV+DyQY;gP4sXIzN zenUzR_Z*HrGe*Ts7=W9Y_X!+`FA$W>)<{LeY|r5~39Q}B8LA->_zSHvzpe{xDu#fg z%y&4a{}t*|L~*EVp*=@$LFOMwU)zz$YhfXx=fq?H+zjKUzYq3V#?>5<0yAIz)a3+c zNw!BZrvj>=$+AIuv5;lym^yh9&RX+SBfMDgRPWJK?F?t1e!h|T`P|Ei@_VWNj?+wH zNT@HalrA^Ph47?gy}PFPk@yDoHQmDLl)8KZLwUE6g8)ySR#hunF6;6&d(PCsy(FbS z>pGM;>`~3FpXbA->lPjRHcy{X7YIfC{9ABHQh+m72^@?bU*-Ub^=bRx*zT9Zvis!9 zRQx2AL`X5@2?Gy!62q+gL#OB>2iXm91l_o-pdXY6KSk9^dX!GK>%|Obopp&5>H{B(*X^66 zg&vCOZQ(rY3QwY$9%i5b7-Nj&v9XYw;Dkm1qE;{adR7MIK`Ff9ngr|J$Ck4p=xR1U zg3kjkMA6gW*8~6-vLk;BWYmWdeGlK7##y1@B;8u-7}bv^p_;(M5tLWWSI*%8Opw*Y zpHTJDvN+*b4iXqRKC8vt<1!Daa})ZIPFG#+!z& zJT2Rk0h@rTXpiO#X>FoZxjPT?%U3cVt_ZP?iF|B2UEdz8(De^{4&=^ncb~5wZ$4l5 zc7FK%)AbK-j#wjPX>3iK0@(%em~lz?+99RcR?TqWtzlU%H|IU7M20gvU97gf#J#;q zl8B?RXCWefWhJi&MUUxU7jj0QH)hSso`p)y4rnQ^8Ubo6@z~cUOGj!ZUOzWik|)9M z($W`HZ5?Bo!GM_3E$PxcQZBu>3zkI84^=)E>C zgGirF92o!F#|wP=e0TNuVoQr20by)~r-Er0aI)RE@w}xME6l5Hh!4_y1M;<06 z3$3VOaDHfFGrd()=@o7IT@wmJ0aiyX(zRgL=nPsc2v=-0fYdgMx7-VU0eCa9d!cm% zju@GOFwkPe$*VRllod?#81r^nRvS`!lCz8lbUwv0{$YD-gO!D?PSXWeUe5q5lpB~S zvyYY|j-^C9BuR)*%>_`b_j!|gaWM`M2YexFpJUvPTS-+)1Yg*})QKuvC{KO9miBvn z*6_mbgZ$OY(XMxI&9j%+oP%N2)y(rL(bLE(Eq2t@<{vCDpIh(5RPAsiWQGyjTdYu+ z?nb_t-Pnnv!9yVGvF!v`F6wz<=|WaN%0$xoGk4Y06M!>8JRQ^nAk%?+t4iHp9vbv_ zeCz_|i5dE4L_5Wlj=v$tak+qQm$haHtLejXNMzR+@ZpNd`=oB{Ttii)Yw6mjw_(tn77GRc+FUn%w4_8W!onPm{_w6ROgvD1nP)l0tz~ zaBT3L!{C8%2G27WJ`6QULesxF=5eM^MC5 zmJX`&`c0!&Xf2Gy@ETc5wc7g#I4j*|?s2N9nmjC`F7(E!Q((}iKO^*3sjOfyeVUoX z1*2;jk3TG`sTv>fTODD9wZ8;S&M@B(Q8aw)R$;R0ngFz!5kk_z-DAPkWnx4V0PnCEOxegR>m|8D;i7jl zDn`=disEFhR&UQu@ogyT9k?}XChkHMM;QfO7n%x5o<1SP?Q)W2oN=v`qg)Ni{EU3&y>K-(}kyhu#3b&QCUcBXS9^08lDZ z9~iLYkH!k}4N(kUOY;pkqww1_{I&!e)Wmkm=cLvfuF~`1Jq?&(v4lW+_wF4IJ4H2< z`{$PjC65!_5FX)p&|oecI9;AjOjteB`x{f9YY&bof0MfyH+Mgk!EO%Ej+b^KJdm2C zmBQY_!ht{0t>ZQ%K!S)j0AUu0tU6kuu=+eDFJ}RXGp{WijJfOya~XiSz+DSm&l4O% z&W#2h|?bg=uXM=2O#M=svc&= zA8W(;gNkUcthujSHm)D#f@s}~(21k%WGyD}X*I88j9Sv8{pc#Na1sUaIh#(vWQbwN zC~A~#CA0KdQYt>!yggc893ssM)gHA#jvte3$R&2|v+|sLL1U8)aLDeV{DClCtH^NKvFWc8@p-k-SOk{}}tO4ATp1BHfAjzb(uoNF^hr>IWmk1*E;y)FBUa>-_5ah*Qs zJ(9Nv=AB@v7Jcl2>vfGhPa!DSjnv%VuJ0eNr#s)!-g;-}a{6*7xr`>DatVXd+6B2@ z5R$?5jUsyH{igLe7&NE^hjcqU_4x%0FoaSu_D|Yd6)W%wfWV2L6`jMyz%4@F61;>^ zbJ_A2MOFzehOGX;?hZE}w~x{1pKQC-Z%T6nO|5xqihe6SIU!h#?8^8MbAVnC);|d| z4{KRYcnyzXsPn026p}*f+X!k}R6LSocy;Q{rKTFrpX$ z3craq9I7>_U&Db-ZAl&XQ=Pn)JfN{lSU=PzETENSc1z0o+3g zUh%KP{`a$&Tq}=V9CAlRJBVk;#LG35;XT|^j!`}?>y|t){U6ovyJ{;X%!geKo?IQC z?zlQcuF-Tf+_D5iPN8)N*i3uSjzbFDkVus*J|bH34_#e~9b&uSz?nrCe|ELPFY|G( zhpH^GLM#}Nm<61fT(u{&s1jZ@LB*nnFrR7kVw)va8jHK!{ERG39C!R^K>E?>&}(5x zzd~IMU3L2U-x19NPw@IbV90MK4WPjKOK6vli=kaQerLOMrHA#x&Uu^gMZOYM$|O$o zqC*0rd(QQEWfh)pbxG+Oue+{gZCrw}&f~^SQsiLWi(#pO8_w{g1o%!URcZ zNUH^<Y z04+|rq&6ZyUP4xxuiG77^;vRXYIZ!<;tkbSVp{t@D}t)EPbD{YmgUA~1J1gg$#`2c z&8elV!xph^T4^<>oJE~8GvIw!D=Uko<#UV-FRkab*3LFqH(L`WyoJX%8PT&Q}m#@DPOBasOe{p!S00tJh7k3>^7|bR2r8Pg*@ui58y3eyAFkk#f5`y-DBWFQuNX zbO#Vn$AIs7Ijlq*1%Q>mSRVmV6;sw!)bs~%z#$*~Bhq*k05V3St1-%;`|&UM6&GnP z5=PT8mRoWzI_FOo@1UOU!C7miTqeP59U;#R(r-x(A)bA{o^AFEC7&su{ROjFH>(wz z>s+qkA29*Q=(}j`;V7cSlSDJ86Lfl1`$3^1+`w>Wv*r2tqao?b&2?y2c*PLt1x0p^ z_ z$GwkW&aDZiJK}QK_lV`IFt(`OB^Ujn+w(%|$herq_>3MAPyaoi+N^r3C zMGwhGvTHa6!l{lT2&1@O5me<2Zb^gy3$Mw&*U)1t-s=&4Q!zeFOZW_xh!U>c9@Lj4 zDeFNJ#JO*Z>KINkT0aZxdko*so6rnthMh|eCEp&+cHe;;;5E=JFOX>d`MnVwU-esK zs3h0qvqG#%9U9L{Em>(Q2EE6J1E}fn9Q6H%5Z@Wx+vw9bN<_McsVvjiOQXx2Mpe+l|lk zx)V$LQ$i|k{LDx8QxtOlX%mu_8iWPMUWA0qZZ(t^bJX1<6Hs5!@&tn07M0Em$ht->;1XdtiIwq8W=zf*AKO1qjznjwdBP{@_JytKg**>OSe8pH`VkcL|>*Tk+hzjzFMIvbC5v!b*EaFPuZy4uV8+e z?%4F9&OKQ|fiwI&I`1UkW0kQ5V>0#19-)>3l@rJ=h(6ZDq)oSacMj8guNk4HN5~Nk zz58&>XrJu2cr)#j{m7Ry`(!_4Gx`J9QY#%CO3T3Iu-j0~rcqA~q6P@0USg5DBA6IN zDY)*&XBc16!R=xAd4E53L&B0!KVR!QC@d*&T@G8;muPTNSqK_Tbf#(&YaU2)Vku|I zJ{F#w1wHW^t3k+@zV7}6tVMCB&KO`yS>=)a7azWU+uq#&=l15o>l-8S0Cm&ZY#6>B z3Nu_lM?*Acb0>fd` zwbg0U5gztYTit+>5z=jGYw9Y;Bwy^Ufl$E>NG)$y@8pBa3n2QrXb8Yb-QHK%k8e;` z`|cjPQGUV9`xy`dTMBo@C4r;bYBfMVaKqitm-`P`$RT-G3y7Oawhz~%C!dNG$Uh5( z8sqANJv&f$*7EFfj--q&$=o=7Vlu#7i7o6__%I(#%ql!J#W5flM>E_YC?H)EDzdV3 zDmN7N*y_~`(2nipDPwjs$>LxZ7UJw?QSZzyzFhxuC2Z;cJUv6pYd&!kR01K_d~ybE z60=)#R_9I|aR=ZpQ~?z+B_RX#oE|QaKC*d3OP)k;4#H-vx~wZ!`LYU0`Mu|ZLGWU4Y{?r1i*3XUR1~=|Z-N{}wZ`?X$!5G3DXs&7PK1+@Ng@fF5#- z%;E2re`Q1u)#YNyzG+-c0C-rJKHW4K-7_im#1sf-m1IxM8I1Ryor8WYQXEzI65jpo zF=|7XsiX9B@IN-B(ci$o{`M;%v5@Y>V!aT4!@Ua?a zz|n>~_uvxMRx?`;FaMz30d{`)Guj1w`gZ%_2eE-^#%G2Pl#*86x&8qSA6fZ`UJ){* zL4ati_&^tjv(r;JM^?*M^pS8;Ts$}vlwb!v#TGtnU3aBW)+!q%Hwip=K^aV3e2YAt zP1=Q!OP?&xf2K$1Xm;BBa{cAQ*MG<Ez%+3@ zxhA;~#@w#>rc9OZQNWKDvvVLD*B<4jgTnRPS(7w}bR{>xm}|J%m=n-^*mp>Z7B&h} zdkE&>0@Tl#>LPVWPiu}HXgjE(?E|0-t25VsJSOJqS20T{J#&e&Cps^{xe8M59P-1{ znvk6xB8OF>V-Vs4ij=)a{UXzME)<$cyk`g>Afwk!yU^>tPRWr^i1ODCZ&xJ4Nfp?Ky!h@C3B?K*{FOryB26{*m zmucJORyuN19gwc2mV9TW_!Jp+V5%|xf{b~FcA}?XFl;3(nHOsS*ig^h2Q-#yX(gCL zR-qa$jgzS1@@b4CM5Asde1)uvRm%V;2)ZBFGr3+-`N|nw?|5#a{b%{7hfDqveGMHG zd_VpCwWi6ormtvdIjez<64=uhIFPXf?Gb$cKi109{@80QvT7<(SQp$vR`~X1k3Z4o z@YcQiion4aDD-0euCt_hI!74-S4@NTi=zcPdMl1;nw>P~`ACD!4_h+eYm}ZhAEvBe zI8BZ}!Qz_G1;gbGVY7?N^=YmY?7)1!y}Q4D{DxK$EK(N5=}tek)^@GhCac=a^)Wsa_J=*N8cn!12dH?aQJgPEG{XIt5yg+>Mb_T11Ix z9)Z@dYzYI?$O>DmHXFnbm>wnCA%vm?!2M_N>^whkZVJJDl;dj24&9eek!9m4j2Uk!9 z3WJR%SCT9Uagm9T-~pTV%P@x^D)xhL$UK&leLr7PEgKFM>+lfSHyjIR$Eag~ezf$M zLnL;Or3XjfDfzT4>DOQX@o@F=zGpvC04ZesnOe=L<0Z801IESSrj0qC4%GP>Eg=IX z`!PrdE2LbVrnHO@*6n)~0RPBJ6>5M{p~r8Tvnv+BHkQ|?(rIi-`M$ogc$Vlv#5|x7 zAk!oUYL#WGb9~*pX3EmZqZt}`_2!H$j6fgxtn?Os0ehRl`c7+nZ?qh`@1tt2!w}~T zAU+aZ&4NDkcY#8eeb@^6b79VBTw2y*Uab3=Je~Wx*N8H!+!^mhrG1b!DF>Aq2(`Rk zsP4tE8yYU(8VCo`FpU?A)NP|5=m*fE2r1AE)%#~BY4SpQkf${|isbAdD@0)9RDbBr(H7(yu!6=9mJTvtV1Q-B#;asG~|Akr|@C=-!4=T3s0r4nn}vV*4C zsNc|LhnzZx>}gKMfJ-yYP>7bym2Dm|!^Ax1L3p7RD`?%d zJd|8@93kG&th+9N9E1&^bGq26B7mh47YBf#5wcsKU>`2%U`zNyY6>pssm&uSys#2_+Ll10 z9S2tv)88H0T@`Z9|I&#x#qEK?udEe#V)`)bEPC|xXvuL7wd!Bk$J0xTs=)pLKdE+NjlC4 zbYJ7^4owCa*@pLsLG5NF9Vy~Rydar~jqri6Mucr)jri4BjcBZPI99W8c!0@C>fOQL zu$>}w(z@i*s2C7(dA#{@eSh`wpUKBN^!nc6uRs3F<8NE|5qp2_ zaZ3F6pL#o(9{&B8WI7Ne`Fy#Met;ML(!2RY2d&>kCjHA_kv}Q_y)2*LPk(BC$B$w5 zUwZ$I|NZ`})FOjF$CUA=X(jbjdukdF182%OF1z;R#|ufUu|JO;M6ZTeog<6Ntuj_C z9ddb)8ckqv4s6940uy00=?#g(f=a71!9$zHnupr7xG7M%{GOzu01qpmtIEgV1ew2L zh(6(@l~HF^78?pj1POX_{)Zxq(ECuH4L>Oag0eo4p!m`es1jl478mclxt??&3vNQn^EC9p9*#%}xL1gL&XHj?)#I9EQUrLHJde|1@2)S^0QZ)Ahfc5fAw&kGez7Xa0ZJ@C{G+1`%G9G zv$c)=z)M`wCua24wx!%0*y1(HAVZxExiL@7QZh-{lJ{IJF-|Bflw++U>ysi@hO-Zk z8aW^1)7g`9kwa=@{V}sC`ivAxlSyxaT5TTiln!CGxkL47xFsCX!qBrT7k&HML*byy zGq|^#=+i`NNJ)(IQUa7@t?fCQ+N8ncNpB2khJ}vUOL)A@ts@LvIzI&872HXAyBqsT zHDEmB%qg30Oq_;r%0zQqII5^(4Ve1bIm)3O{p*ZY zC9uxW8;_5HH(DUeMABl|-z)#`{6`e+Jw9Fsjfc5x2*||~3jXG+9-dd?+w~5xtvN~# zV)CP!{Zk5Swq(X)m<%Zl#`*-Ycc1mqLnexO57@PDyWJWdHKa;vr%c^%akI*e5Hm~d z4Y<+~Tle5R`6Ks)?adZeklcXl$RvkNR7NY1Ip*n=7GzsKh&j8G75h)>wddoa04UOA&e0I z9B6l4>t;kd1e?clxY>vzu5ChMtDU@7QI(Pq%@E1Jk zY$<9F*fR?JBU5K{0i`z9{Y|+8{hwkEV+-KX5h|EBQUxirU*`PqIv4LQ*QvuaAJFG9 zHcl&6d9UOSkhE=Ymk?m^ur6boN^P)mu&UGaY_TQ?y4{R3-fql~k3}z=*`}T@2LHIG ziy*`+ELV7}STEwUsCo$E=BQ4?NdH%)oi2>|~>IXd3Q3W71t)ptN zt>7Ctg{vg^Xq3TIQFhk>hvii@e@9dp+Ak8o$+LHOIkoc%inzqb6&&I1G8m6Zm!qcS z+2K*V5V}|pbVkY3x^DYlLV}_#5qvU}{)~mvqo|<9A@#HT?M=GXX2=30$0(^F5mZ^U zpa}SBJ;20|AU04d?1szE+fzQI9b@I&JRUcw#ZLxJoevKh_Wt1;mN_)4Dr3bHCzqCxnd!d@)a)WlBU8ES);8-~-22BW}a+GfqMSpl3h2)c{FieN^1prafp zBOS$fX8VS;FQ{U$l73Ryyl^=>u=ZL6!KBNu)1;-&MSSUNtyuBB=Y!CDvJb%TB zut#rfV*Rh&xoW(!**|2p5!PY~#u3Rb((qu=(uaKW22m&K+`Iuq!1fxh%3rQ;Km5ZS z=)<&Z;^;V-i&^GMZr!>?nN1Ojb}jkQ2{SpD#vB*^3^uS71{wn-K8?cJwO57&=E zR(h(X*?<-6PqoNsa`hKdmDCq5Z3N@GGYfV5J`gktthKw{3JnpYiGAuz z)(n^kPZghx%7B|>i6|(CR2ryO(hv1urG!(zhZX*S82LTY<=OKZ4X~4cwo>GV(!#Qx zBB$4#zqH*)vKhP#iWKpsAp3^e03}#$NFSS4l`dD7ndJJ5ho;Q0{>IWw&>xu^_sO}k zXN8hnoV|K=j7VYUkUxKAg1i7dH7f5d<}AJw-&B$Fh(*2{GgW z>MOV;81}>A?>a>dk&Y=vm=6L$p$;E}jQG1&J}K`?zToTws;y=COkBAwawQr-WvB>b zXcN@HI=X~krDMRKpfw!6-wfN6#p*0b9X?lby&`kU8N8haUva7cLrk1ev%p>GGGt~& z)LTZTjsfrpjh$6&G^fd2Ng7p6{0IEnY)t95t{!QuN?rb1d?cVqs7#l)b>{)c>@)+HyLazN-N zc|sSr#85JO)MN7Y?(g^Ztz?y#JtJxuo^RiNEiG6KR(O$y z#0`IJ_mJ-qgs;a2iIFDfk;SuOaJ1dTr07f81ohI}DT*&@!FSLSy);rcR>Z3v@&!W! z*@+OA&I2JTsM~mk+IwoI?4J5ODCmfQ5FcLJ>pT%@(^iPqMcVWa)Low<%n6#e2^iI@Fk zv_7LNtGZFz?KEV2REG4aolDd3g9(ZoAy}-0ohPpZvaJnP$hJC-&k2T2 z6yYW?=%~L)sK8wK!;r*D9oj?`ni|YlAkSTjj^ixs6pJ9jlXZRn;qJ@P;KLWmwLD^~ z<Z6>3$F1m)3wkvWmuRSRObuwPyt}sAFIrMbmg1J0$gHum^84VwiFi256z- z%BoiIp|H@JVq9qLvz=&WnouV?8IxwxSjd3U`cP$Pc6o6ov2Wf6fm`*~Y7lz;?i?Yx ze|)`;zC#{0;1&p8K&)W)E^wXj-Q|o5>*=1$MN(%ERuD!GZ&oUnBtW#Tr((%<8jn%> zjTQgI)@B3LHU3ICRxzUdDm`mZOQ9HVqsgSXZf!|P!I2@*B4h>Fc{U^fOuAqTmMo6o z=8>k6^z*0!&L12Cs6jUgi%+d5Y_F>L++yp~llUW5&aq6W9O24{(_=X<#7HUS3|LdR zu%KBYh7^hgo&oD@I$!%Uax2-1=?Jy#(6hj08JEj^0LsUJq@o`S_W&ECd;$5Fy9+cd z{x5M#Kio|W*a2>2Cp)`X9P4yUyzdW+m5i~$xTK(XxXTgI=T}~N5 zcoOY#2OtVzd;rq>1*?3e1&L_6CMj1yl3YtMHdLszXfyWYZ1n3}i zgj20&Uaqujo(LwS%wIiefzsMT6-uj2Qc5EUDuIQPHi;mrjOsD@b_)pY$DQ8-eE06= z<4gRL*jPcv?!f)0PD#k;BSe)^ba@T~%`3sWdvbR9ZrJ-1GIfY$JkeK*k;%CNhRyv^MN!2Ax2BzXv#gfe8^M2zy3v&lvRz1U4$g$()311 zZ%|5TLLW68ItFbSN>4<$2t=$hbd=;YiWGtIykyyMz>w4tX{dp6&^c-6=eddG(Iyln z>+Q$`u&wL5A}Y{;khDzG%1HuNi}6=0PFror*ih};{Nv%`1_^C^ZXjtFuW?U)Nv}yt z?_ssHRzi?2f_LR!B|G5{U0&8_HYHEIU;MHeo*r5`z&YU@bWf?AhbJcMxzI%#yM`9l zD5>3{8XdI0SSckuOm?;M{rR|lW%^9AH^TB=1v6$m1i}RS`UY(V&dGZCoch7Bcx3QF zx>~~X#$Zshkn{kqudblyStjSbJ*dlX3=To)g4>HPBnCt?zsFvPZ@ZEqZ$%x84ROxS zvc#J-xh9#S-_Pd?AC8IV@o{4mhSV43n}JLeBTdZb5SdUe{3?Tf;A&I2OYR|PnouDm zLPr?&H6byLg?{&Xh(g%jCPqpW$Ez~2f;Fj%{D~%1Y%K=lp@7gjZg)R)Q=31}e;hW) z$FE;lib2|UG9^1DjQD7w)^{j(BxSUGmyK41~ai#1Ta^yJWeo!l=QZu3YJ>9DUtVa?#( z8Vu>(8c72{S1|}C%nliAw(}^#hNGo4=#LPbZYS{dY3p-Z+74vjH6&~yH6%BHkm?x# zDKbzPLFM?%7wA!Nf9Db4@Q*b9s}6aqoD<@Jgth5&qk{q}R|Kz@sw8ATBb2FJoXyrK z)=n5NUjo&HXbHZZyhLUwbm8sH2cMP1um`M)1?b8Jo)8pDCE!TLOQedEm4V1TE}&72M_%Z4^ZRf?;)TxP1wZBwL*1}!rBXL-2I5MXhYgJk z?k?z&P)!UKTQ*Y^RR(bmOg#fIN~W5c+GI%ttUhEA^#(*#n(b=vdt97o7Lz5Y(_fP% z9k7zU04s@kMKh%09AyQtLPC-b>F!jFdu1JH;DP@XL|2<6ydyhuo0Ij=F%}D}U-WWu z_7R(jUWtguj^K|BLRrHkjOLYx9xel<4O7c<=}4JQS~RZ6yM|O;)wkR% zHV6xDBu2Z!t@-EjSPcjFvK(wc90?1S8yQwi#*Ca5za>07sBE}he06EV(F7OK9_2s|y|2=>}J)Rd^5pt1GhTjbs?oR@mVHx!Ev$yoZJU2t$ z6)do0o-ES#%^4!GEG(CZre^3Vg+Us77;6|MGTC+woYo8x4X{Jlj)zgKC$X?htWQJA zqHuz&R9n=187j|)YU*!$5?}Y_i;s8TPzEoxm%`pbq!FDa%MZu0>5DituR@nd8LL$c zb+3qj%Cuz9;Fiy&b*BS=l_GrM-CQd~eR;3aBNHlb*f~|-Q$@yLOi8RL^Z`<_2z-Eq zJcgRHJ?ya|D-KE1o5V+Rep_hN`PmBPKWpu!~kS+ zQm=KeP-dabI(!Eb&lO;^?+#$THLVh)JUd)J`HYWnIbqJDhurcA;p0@=%vZE;Rz`VT41AH`a(S%Ztsy8E353Sp2^H^5JBv?hH zFd_1JlU~dQQ0NY zR#8)O4C1KX&Z#F1nk6d_sCn}u5cqh5>NxjGY_2jf&J?oxPZ8J^bm;)$aS<54#_CuXjJ~{<8b)%bpMP zu6BFxQ6nGcXSa8~+xxWJ`(?NH>r1h@S8wG7S8tU&Zczi)PCF4!8X-cTp6Rp}A)3mb z$9l-BzMY+3tk1xPsR&y67|~-aAzAIRpbJKCQZtp7Bn)y=jf?ymp14?a4*qatJLN^^ zcuf*trRZEmk!=ip8l{LIFzPMdv@%7^MAeK68gh5wbV8|XRCtv#g-~eRFkFkT1LVVbfl8o5U=|bYvpuNPTs}VQ zQMuYYG-ISXM_v(*9`*BBy(@48Fb#)i<0p&L5pTr^ooy7sR*BOHla|m?tp!m3`#y#< zRXMP>^*eO>wRiPLl7f?}0~A?*bOg+rQAkuoWObzONzydR(SmxAuUfl%esZq z4+5tSn&}aG{V`Or`f+c0@Z#d(ar^$}@j>-P^zC>+O}Cvjx-G_wNSff){~?V8oJjmU zCMo+P!3SV36gX!{XHO6paf`o@qFN$RamkO05`3I1B$T=ACHqspfh3JCN-Vj=CSPkP z1g--?DTw8+QFsqx1W_8Qrb1~Kv(v>2Me~9dFzgF@sxM98T?@!A=KFwZx$y()I8%?E zm%MS~Pl$)ukbpEq$s37Nz|@%nkFfvZ>$gYt?4T0HUw6Lm_Rw_i?%QM3E`(3}0Y~W# z&=9%(Bj8{UUv3`RiR}88#kfC;l3aNcoPUobQB!;2G2Z{K!7mRM6<=J^Cqz#)dfz%gs zrXegENp2; z>!2P58Z=&(H#1ss*^O2zrCFD3E7bwymD+9A?vb)*bG87qY_KmJ(TK8OI$$?(ktSWa zev|RDbTS#Y>~uFMdG-_Y-K59%yhjyg{&?;vM1Se{UA z;P|3g)Nq`MMU^%EGVX(dBH@#vg>jToh)mHL!1g=4< zq31`-(e~i=975l^Un_sg$K=t=_n~Xy2&jPi^(R*Wh!zqegHGtN=V|3IH;^jmMYhS_6G>w%K4HsDY)5}0&>xcoeg>+fIhcOQ5Eas9A+dq?HM+;iIxzuw(FTyHtPlU#lTX86HWlN%_1+pnnZ z#*25K{4#HCjVrqB}$u~N-%pM;|F!i257zy8oD z^j_dosuoE^ulDE+Kuffbr#T0)CeIya=|j6AE+Gi9+#~|wZnUmpWA35O#H35Tnmn&v zO`u#Q5?y?QV{r}&AJG~=#>xU%QqKIaHv)6?cvmq-fZwe$EcbIIsoiCR^u!h$8t=%Jq+tMof<+rogNp{G z>v2;$nG)kn0EH3&wePAF>yRiys6?857m9PZLdF#dGOf@sC~w82(-##hl^7mF6ogEY z)UT^*DUP?@1>hm*ZxbRtLkKuH{p z1}vNn`H7}=v-uG~P3w)6GVB9HBTNN5W15rTK%A|>`A2=8&R%qb(MF``1`(AFoVlba z6@YGS(2yV)q#%yKt6Yv@?v5r$Mb`?5fJUN|+Q{iQW0P>e)W{l_oz#LVls}zX0EnI4 z-rT)^z;ayvl*mix0NgDtv-8&d&UDGfLjmxmgY>~OndKPb|J{?6llY76`5WXf7RsLF zXJ`+--(;dc1g*lr$u8bmPI@}31bTjaHggI^el)Zy+hcP@iDI7-w&XJLuP8i}86+q| znNyJ;^@*fV)t>a3aPkQkYW9#%B4YHzZdo-Lj=C|{_7Ev{m9 zh=M!81SP5@vyEtUq7py8sA}>B!l{l{^riYdB^&6DVBY+@PmaxB8vkYJzaU0ji$Dpp zAtq1Nf?;361R$R?z~tcbfJj(P`hNo@_3&#;ZMETM|H;@T?=a{;Kc&HxjDEn{fsj~9 zeX(fYgsQSI%HTNpvH#~W@F(^o$|uh`JwfD8{iSH&$M};8bDS}x_^-|q&Quvy;s=o6 z@$UBX&KB-#SS$~}UwwtzfPWb~Q74f*=6hI7H9?0#&C(flDBzwQapGJdMd$!n5^Tnf zHz~7JrW+u#>R^W04w{HUP3k^qm@gd@N5@AOKf~e9lOKz~M)SPC z4C({C9jEMCD^IBTs`_pom|&DXe18(l9Z6SZeuIE{gLueu{;T6g2(Q0feednC@%-H< z{keUV@*dE=4+&XD%}XFlPmy-HUeEYGMhvM1PPqgS&K40%{ML(#f=QlGms?&i;-5lN z7?Ad+h@$ABEqX9K!ixu$VK5yq8sprtL`hX`6m_ep4WsWK)Adap!t9L0+*!aX;)TZsVeAPn^5zvz_~ht%Gcu3N=tmPf(9!7F3hp4arP&Jn6M zijVrJo{hkMJH{&vxND}eU^0$COn7_noPwEx~AB^!Z)(F-`ktMp#HRdyhE#p+mDuPpSJw){LHq2ZEXsCBO2$i5R6GJ zruuMYu{$Q}T03)Xc|F~2ReD2n$4&V$Gnt{!8dFKuO^oR{qRT}ep;`k#0ltGve1!H0 zt~AaMG2#(-w*@O zNKthx?k7&CNIt1B%*#D*)&|*10>FVr@CkqAc)oC1WFWpLMf1rZA59(UBBjUHET&69 zEkr;GU&xv>m(mmfi%EY;X_^p`r`R8P)S2jvTCS(Y%ll>7F6XO;c9E^a*2n^Ay%;+z zNRT{wwbcv{RTG;1D8!&X@CCfJyey~JVQJtr2QD#5J~AmGneBr54_-P4Uu0k?s5=&s zs1Ex+bWW1}2m(HoMv#Yu@KSWzehFLKy>Z|g0(^Dg+HUVgH$$~FDfzGKj9@0o?{a{^ zo635F$VX|9793~m13=Uh=EH~Vo~X22zGeZ2-?OND-;h-&i`RW-zlgFw8}@s{s9OZ} zA&Gj?VIz(iQz^YBxndefU3#bfz>*)qn52OfwqJOs5K2kAG{RJzkxY`;Oe&E=?{E2N zr0l#@9O1nk1Q``Xww||owZWp1l@B*EY15_ zKV&9BYOoo&l7gS=vdj7v-Oja&fSjaM%_upwXc<##87^pKVY%ysL9CJ5hDSr3Us1%W zLxuN8?u+2DW{p;D8)S*C>_H!oGJ**M+|Bnb?0Lj1_oq@aIRtZB1p_sh;|W-qb9gX} z2~v#Y&-$YXY-CB}Ox0m!AFJ9~-KFPA_Xp{r-cSc0h7a!d&{m4jef z8ZQWI$&^M{{n_~>u#xm)g@I(lN=Do57uezSU=WN6P{`xWhaP?fu>vrTLPeojFinCa z83~AS2|QTn1t^Zo4MeMufL78_)yFitsT9M(5n*HH3TDX@YBKLWDza3gyRjroq#3oD zGfg^pJep}#q)qW=Jb?OMpshH0Aw4NUS_b24#$5ofX7oJ53v=A5;XDC6@~fsLpyr?} z696mGw8&Hr1o566cCzc7B;>c@HX8` z@GAr%C>!El0x{8%&xW?^f!MmxdYF^?%{1|$>)C^@k+t1*vpTz2XdCI63HO#p6^?ve z;i??|j)}?!T%tMl2TlpYIXZ16DFv+QFXxKi1X_-1z7wEc1H;4_i`Zbgt z7s>TOB?`n#4^H6>-MPK`a=rWY{_YW|pq+p2@t?qo^WXT+4|lhZSHL{p1NHNL_hI)7 z=KSv-u0A{lLhl7!(9X^S2?9Pw%=_o<_B$Jwen$-?W(ct9@X^7~oU+^7DQ-cGIzGye z{D|3F+8^HuaM72SFaPr2kc6|7&7^<&L_~zc&qPEx<(Y_xOwovlDQY65kaVp7>^oSN zCH>zfbynY;<5+}XYjoP;x~_Oj*m>0jHS5T>@scBG*3bv^xAQ4}M{LRd1sRe46L_ZN zpPNzkuk4eX{F57gQqPysO$Zu;NRi7;T~5#=vn^%s@@OM&e|^vb-43!;Rj_qD@j^k7 z7Qb1$7mg~qtmG?pOAEsN7Ct}FO)GcYt~*mu6Z-fF*3?LMZl8_E$q4I;qJd3<%jC}#YB?doE6Lm;z}r2=sj}-{LY%47EMyL|Du!}XFXV{#VEC43)^_}MLR&C+ zPmO0IS{eM8a;91pgwI##*8uj+bgXD&+P;WeuRp|%?eRk-Yo-N*eFZg@gEgkkVz{6- zSlONGG~FPxC>xYFDC!;vWG|Ff%Ph)fFTex+^V2#GYw9Sb{PWX*!wOnsKL-n711uoZ z8Yr~Kava5FprTnrQ^L}`A$D?!EwQ(!=yCBnD+56)_C^%T3*?Bohs0bYt#KhO(I|`> zg2{@$LoAaqVi)GqH?TpF;P`m`g$*bkp<0!fAnW1Cnk~KRvQiSF+v2(qqBALwGB3Kn z@Cbmjr=nMpX&WRg)UNtzX^+XvxcbZNZK9UoxBU6%lauB0@bKhh<5_deOmw2kvaGyP z4zqj9lOn}{ao(*KqgCXd6{W*_$SP3nycvhA-7&9*`vnJqAO-`U>KLz_hpw4=B*Lik zUDg1ap;34uD>~<>#2}$0u}!lctm}xNC(S7ud${AJz>XW_^@p-1mVP8W2_3M9Jx%e% zy~(8aVM~ zdC0XYl~bL@DZ*D~xHSrJX*9k4boKBE-8p(yq=A*Kx7Hff6-VBZAh>>d!d?M9>!)Yz z6;64^UXdv+1edGz=c`prs3hs+ku6P0C9lch_FXe|qrfkuT=g7nG*1P!xhg7F-(LUz z=k5LV_3h)Iw_o1hf4+v}3l6XA%%*QSVbZuz%}Ep6*7&ZqW(g+&5^sq(M`u#V-e~!B zP6!f0I3rpkww%ZUf2H6jB_2_*a1QV$QM?A#Zw%)lD2HU7tY;os%g`VnnBJg}zz%7jok2@$vrV{kO+!WFRB+hm_9| z$S*AwvVc%q!>yE*Yw+4}lDeG?%ccaVj#^@PlS@UXw;Hw^VB-I>_9n`4Bv+OwKP64F zX#=NO)fu?}i5_b`%MAoXY?TQREVZ?Tp-8ZV5tnK#wLD4l?eCns_!b^O+1Qh*$Yi)@ zWQ6>CZxPa40;Tr+7gA}9DumMtKtUE(3cv`iHj>oR=bOs82ryMVG zM)ixzq1Fln4hvkk=6iGocqn$CI zp&0NxR=y|qlhs~GR|~u+Aus;2Zr?dB2el84zKAA)KfLrk_KQl_q1yu#PgdawGdfp! z28d6?1C76_A%WE2G80;9STX}N_Jd9L02M%Z1AWe0EfUIirZX&W%I9>KvZ0&>aLop7 zg6xL`vJF!E>MZ``G>P3ZRJwgj|Bw7=8M=+bx?RHcn&4!Uiq%mQ)J*LVdcOUOpG~;U z7?pRWGL9#=OWLh4WMpMA849^5bizM{cvVLbMNu|#F%*p0U42Uf@=CAeM3vpOpk_q=i)rkR*7g!kIuRm30C)H)vK|SByFL zsC--KF?v{r<~K57Ri1iqY(!pp>Qy}-jY6I5E(9W=5&!!2m(SN7H#p^)+WW6N zJHNgBrg{1D-|)AWS~OFSG+4zUzMBw)ksN#po)AVs_;`N}xPu>2lJUR4{vfK`+*l8hZY`m=N6oTg+89J_kaQX8& zy870Hkr_)-zxfQc=IeV9?F(jU1Tf33qibnwGd6Y##EMLHD`Z%yu0bD`MfGBK2c$k zqy!Q60mSxdgTu@cAub+r8FA2+kAUIw1He7!i!=7e?HlnEQyG_ccjY3+B#^`D3Jo)E zEWOa2MY&vMfGRP~2-Z!1RoWbkOwN8|Gz**+Mw}(}01+UKWrzCVkThX|86v=V;si;r zhA)gcSt5^U8O)*uTF=^><0{W5WPVWU?!(R{x)( zgsK55kaI^X?eH5B!(eUh9;_&3QcIGwh|E)X;Q({Cw>ZG8eNd|`y1NQ(bcx1TVJR<< zX}28FI6HxC2j<1!af8)@eEHzu6+SPAOEl6tH~`!s6JZD^@#5jvma8f}0=!J!Qx>%` zSB=4nqqwNhpd@=i@)uM~Yb1M+_`%XK-Ce}Px{G*NcM%VRi}MO>Q(z&5DE zBro&S6zeE=1Z}i>*-vqIP!6%MMe;tbQS8o%1jS#^!QXR(z%JPr_fo@|*r8)BVmBk( zw62Uv=R-YQx|qaY3$&jVE(hraktA+*w@P6^h;g!K(u-mHPGny^)0HGP^;lCWf-LWR zOWr-v&BP{23e;`WpO{gSQ#eoX353OR-f4Lzw84oa&=veW6SGAV_Y`x%Bs}TD&GoR9 ztHep+Jsn+ii4U!0;q%?ft4?K|F}V+kj4A$OpmJ>&&DG8$nvGB&b^v?)^W}ez0ATM5 z=wdhxk#06?aBD8RnyaAsS0Xo;Jj2fEvXrW9`Gn~w={$UFRTY;}%(i>yxmLb&Nhr$0P-&hZWuU~Ve9%{@^8G-o*mski!y!c>kBXOz zOq4E3Rnp6f7L*xifgkN9dI_4Qma)^2N%=f%O*2L9YH6Hn{mh!xjp}YF@^k`EgG({4GdVJTH_**7OZFydjknJ@Rv@G zU)cLITm`gcisK>b6XZes z`D|UzHz$e8+a1)U!E%wx(2D?kSwLrG9~1QfQR+kbPNUzH>t9M$-68z+u{zVI@|IYe zbD?B@a4$W44~|sLx9QB0m$Bp1X`krFhk5zV0aLN%I5Jhh{Un2G9j-ktGmceK!Pb9M$!kGDsv zNzgPx8bEhWMcwcntxV<*($~@PND~5I_BZe=^K~1GIh4%@rb9oW&r~A%%;9_zr`z^PP_fC_neye#wjEkKd3|I@I1;{grtVUuC z8RBAnafQf!X6)rduHbaPE6)keN6eXislm~w6*tZp4WCl}WQK^Hm^i!FCzpX`_?&xY z561-HlxUzz(5DuicN0y*(m{pb0{@Jf1d<@c{$0GtapEXd&r+_^pqSg9z2 zPJ0b@28sh)j%Ow35-uMZv|#DX)$2|g-BBft(mkA}-^#)6#8f@BPhXwO26RVmq?!mo zU81?ohU}N{qP+!H>95y`8w-i!!79ZQz*IT_>N9iWaA*cIaaw{UiCu;jRaJFjCd>J9 z3#T#9b#S^cM_qX5#FL%v%g8E6jgcK?bLxh~bGg0FkYzCKL_8wI;j^z3h*{zz!*+b<94VvUQ%nsc!vKLa{aJ3qdf10*i3 zlIx7|oni1wVFJnsyfUGzD1g{NY$nqbyq&JB8bY{ykrC3&;15mqDFLj@z=bI5BOH-^ zLK_%7Z10fMV9x}36KX}d9svhPd9;~@1H>|ozPW~FX*}ma{*9*LQhAud2+#QCF_7TV z!OR#8G!i`w;yY1gRqQT}@Pgu)0uj=WpPc1y+;hi3K%>cQFq**jmR}wP@7l$?0rG6X zbD+zzn?W!$K__VP6DyACC3>nhUDajIklzd|3=IOBEFFOTja$=k{d#&3qOT+En*v`3 z@2p2s<8|WOgX-+jT6F4s>rH%^Hk$3cq=bg#Xc#J$q>BlYquoHxv2~PPXa<4gNW}L{ zO$OII3Y>7)`B8)8o_otrC-2`}pxJ7!8uZPQwXPwJG(m-_j#iYI`aJ%CM)~$egFR?j zmh{2SGSX3q{&3B}fEZ0QcWINxE+9PhIdklrB`*_=cr7#a>Id1N7`R|1#!M3l9$x3kz8oGv&O>l0JQ7p>!;+f!dkd@(~Q28Kx+O zbAWD#z1s<6;^P$cfgqu#JIr3(-u-ub`{nlG_WBcoEA7uW?;pP2-S4~{eE5n;%s&Ue z{1s)XY{kb#rs@c}2jCDV{ixQUkvoHgJjAtp(PJc+^p819>YE{JE)stQVn<;xic010 zu+p``%JNpHDZv^$3?6;qUY@+vqzax=Sx-M&!?Nfyj+nkCoa3q}^KS%iuP?u37Y)?h zyO!bFdTdEv45Wu;VNIuGZ40Cgg~LERvSS5D2ff_3R3`_2JK$(p@=wU z-abnMZXV9>Zol5$KK%Cj?(5@Ew@@X#M4&q)TEHoFfL^ZB}DhRs-^dUs0j>3elC_9lYaK2)0L3`{q0(9r3hOeYkf-oQfQ<@HqR2OfCo+P^4 zgWKdBOg*-y<<(fG?Nhe*jHRC}30XEBy|{n;;poKp)O3$3=Mm_^G&3?g z6UmXtM=~d+0tPXC3cLdhkxpjoh>$%o0}^&JoK|c^;Cp>VT>4 z<>);cVlXRSo-uI}!ohiVe95vbSp_dhCHV*B9EO{>7vz7krq%3A8J36X8gE60bm#D$ zqJ8VJR)o6obO3bs%MH57Z@xdjI5T>H%hkCVdjn_)2Chx9H#CV{^9>{(qH2cb6Qo_& zm`}jy8vn<90{t``BA+06vT(3h7x155UKqzCnb*^2)lFR=^UbS#Tb9hMgS{-LdP@Im zH09xp&Qm(eAw32NmtCBp$0(lic>WIQ6Wt8e7!4LigHU>Of;7WUC7nlukO1+V8>AVI zR53^wGD}b9snrCMU(j+cts-3RC5kfo0BX1m@4P-MGc}7*eQ^7& zHL=$RSFV=S)HG-y%HNZE=U+*+wf%NX%nJ-Q+7ES0-(-EogdDV}lTvCA}d0TeS zA(~dG6y+Y3BmOkJWjQVn!U4?ezPVPV z3P%4txecZ1)%?vG=Dhy3K6Q9yE*brki_3$k{w`l=pr=JP4i=K_*54F`b$2)SkDnge zziG_X73geT<2}x&+v4j#O&LvSA3nFge7OEiHBbj4+a7G98$?5dV5)nKB%v#5r*Jv3 zT6Q$ft7Vla^VYZSBxrz#Z;}423TZMJ10OT2=K+hm3RxQyfQOiR+Rk+Co%VVC1E zQRa2rD$Fdsmtb3p{npJH_Y`2A6KG+@Nb;%z_(%N%G_I>NN@HY1`wV$ER$@fvC5DMG zyRsxNaKYdiPtGq;-%c)%;Sfc|QF!V3$#T>@5pCgUGkvoCxlGx8e#+kSQ)ZY#k&yB7 zgv%ErksVWEhG?%fj15Dw6{-D0pp|vnn6o>mzhIQR7ecP%0h(SWVsPAtQ`L;8&udL% za9J8j)aclbPSldC@~6dLJQoYQJXa5H@) zN&oP;CrSVMuim78G{|8U9i?2Qiqh2&2&VcBS^gyNoURFUnM(r)l~LUQY7otEisVun z2<8eevYf}w@g@%*oSnT@I#00A)W^!>jh_!`8C-p3IoRr|S|}Os5}NQN&a2JE@!9f? z{=!sbRD_F^tC}yOwj5}4fg2a;d2-cWk*QM4Ly<|4glAeK%!buLFd9a*$q$S8cE@F* zFVO89-_43gB?nfkj+K&z&n5cLj8mCuXNOs5c zK~q7Da8~f(@x_9K_BdBwV&0j;JHCAJ?qvNMMT&zR`yd@v>@yO~OyL1GlS`Blu10eT z4$H-BrV!}XVihML)`1dSRKo6)2&HWlgXP{fYUi8r5Dx5=iLm^1zWOtQp05v~MDz~% z8r3GqD)9j9PX_yAxxJGhFrm8)EFVh;mW1`(sRotFpdD+=DeL`|Y1qKZMF-D~W+iB0id(PU0thi+E z8RFAsDJmpVRiKcD6&8CJ*OIZy8rNp&DwE#zyUuA=P$oIcg$2_qMm1<(r1<|h^YNo5Xm15+txRs zvUj~xbp!b@e%3>oyXQMNzFvqcCQcuF#h@rtBqqZ~t;T#cnCLc(v$yLq?RsI=6!H!cq-M1v#7;z#tvX#B{SbrbD_Si{wTZHwMS(WyDu zUDau#GAu}Vgn}Xct1@1ii@BK^d=iYRx)~5N%?y)1%k(NXOycnr);Zg&&9WoO_prDH z4h)>>a!!ywtOup=f2!X>nqG(eJVkw&|9PBnk&<=m%>X0YGw>wKC)ET*Kdg~#t*BD2 z-8?ZvDJ59|Db|)~LhXRW(Wg&GGEWzhMswIdtGSY;96M=-s2<%*z^zIoOyZ~u_rMH& zcJ0t?F4pZKeTkw39w56?3)Z6x&@;1#dk4(Y2X{#M2aha*FIFzFm&x_hg&gRxCh1YP zvC4|nW<_4FQnP3t(2!41R$1Od^mrKk5Dh|Qh-s#*KxG~ZZp@QTDYAk>0g_<$pSw0J zxK)huVFHJYElAN2D_MT0j%-{Pf4kt33aaPRQ5aZf#DLqKmemb%brP&HbzBO1+z#^g zibj;m!lVF>`8NmW?LjPpGD@k+%)6a1?z)pL5BN zCP=9Y(zMBXCMK{goqvN5a3&LGv}i0&WFJ2pybuTb6#SJ>uFpz!Wc zWd$pfbm7chwLDzI9=kYEhn2Wvl|~jvbBbXh+Hp?LsM%@ppXd1SJRlQO^WgNapcXpY z>jX3)kt&%#YYAw;u+)u5_f5t=C4%77LlQk8&l&d27_t`$!c3`OukvYrD?4XN{ZRse z$8%j3Dgj+wRI@_jhJoDjG$Sji zLq`HR(obf_U?Oy6!d2(deTyO@8CalqisZF~4}rC6FkYR6l*N3F>tEU}E6e;kL zjasyazD(&Ry`GW_Y8E%}t4IgTz;1L`)1eBxsZ26<^W*jB&)32w@F`8JW)j&1+N?yR zwbPbfazZNxWTR4BF+5>S%<(Q*gvT{ulBuX)rN-t^` zkRR*fiNx{t{=+RAWZpjL;dR%nD}Xc%q1n5(jEp(i(a7|QL0LXGkH{%2kOjCUX~}#c zA?-sdJ7vEoow827?D74a3?^e&e1cgh$}b(IE2yby@1dRI^>uup$=Z#@gi7=q^m)RVwP}5$nqoPyA;uGv3DZ%Xe^!x!TkYP}i1X;F6CDucfv|CM%StKEI53jT{jsNJL%F3?<627{G?%x1n(( zNDr}exZ(%58>o|NKKhXBEz>{H!m>553i? z>@t=|%N|{j*-B}Kx+e26|N%{y&na`7i70ss1n}}UECuCDx zFO8;rIH^6wofDUvI~@aKErxApWA&3ul628}zUe>RX&!W{H#S2he6^Z`P-2eIEn zIZ)Y~&J^7noxn7Wf(b8-RWfKO-FTe()dYgM`6w;pO1}0V4`i9yteJ zOeXQt%fY{=v$~TwC&(+Uz*3KCIL7Wwr7mbgdXNLl352ma-Jp47RBU@@=-1g^?*7Jt zapSDK3GkUne$}%F3O$1F2H89dh%vY`YDww!sVRjgC2(83#l@MaYop93tO{k4MSR@8 zf4I5(eEWeZLYjtv+KVAV7(vycm`#e`$Ixb;7<59ZO_ArNT1k6aaB^YdgqJ7c<% z(V78JgY{x0y9??`Ot2p=tr`8rAr@4;)YzC?A zqMdPEzZxMXBKlo;MR`L!Y<-e48EL_gdT1tza8_R|LaYp*F+v^0b{x^?NQ_a6M~yNK zsY%m-1QK}Zjn>Om_X4bEw=3Q5IF~FGm1ar!8Y*t=1R>(87_9K|>NKu}YpjbVMZ9&D zwLy|PwL;I;4_egF18tx)*IAw^%MQ&U@so1`SOcR90j2f9oSB!XT}%oLUbI*6LlLtM z<4326Ll2_zWSsZy3f!aIA7Q*0N%u$R)0Kc1hM|KB;Z=Yi7$XD=iSqUHB{6v2niI~T zR5zb5-x&V`xQVs}L;=N?D6nZsxYUKS96a$`;Wr5#070Djx#DH#aNX|+ozm`bPmtwJ zhzjTgqO81=dmogqV5|2`tPsjGx0ZD^Ay{~LpzG^ybe%t_RRg23G(rxr5C z6uJ=tZroqb14iyyxd-*Fb#%MJW^7G21%APN%p-!{s z0leCCN=KvTk16KZe(b|A+n)w^u&M`IHgWhp%D>%Oc%=I4!l5%uo*O!_vMKl%zCT^E z+Ek>*US#fvZPA1R`M#1JPB+Dg;-X#b<$kdwy~_e=3~7(Pr{wb%jiM zx{O1nJRNbcA9}Jn-+t-&IlFVr0p14`4p#cA?YKNUhG3U!WQ|uqMTY8CR6uFP`p6sv zvc-($9`iUl+SUx<>g(N+Md zgkedV_$C1co@Klz1O5cn?}axCW|#y}f?=foC^gV@M8#Y%{}Ek-8)HwDA{RpGwL<injl}>noH0&8{Vw zR0jNRweQIdsn&=tzrC8Dd%|9RQ4bTJ#}o%pC+f705`D8fs9y@fQE7hM9-b^=GN92w z8iyTC`Wlo2U+no~H38Zt(T-4F{)qDN!8U#tZ9Ukang25=GQ)|uKTT)LvVgZ+x0Y~f z0Zn{9dj`Asd}h$alAec9uIv+2XdQ?v+LEG9=6T9c|9I8vhCNpVVg>5#0t5)AffKCv zEW2@a#&rFu4Dt&&|EKc>kkX^$u^iGbkcP!9VK{2@4pxQJL}kSGSk+w>*JjHF)3}+J z9xXTlf+7_5MvWYYg3Ht6E0ajMq6TqSB77h|0uJXN|6rDdju1Z33kHBIt-FlaFFbN3IV>$%cY$V{#ar>f-=jYQ*expji-czk)T zt&s&dT=I7Isy>-!&vS$Gbe_H7lL^eo3Cu(PUfse1cjicnn~MaQ59p?+t`exk$LLQ< z6ev~82-ZJ`d{Szszdt!%N{)oh3}jFpt!QTTc}ffxD@{D9h#*{SPyoC=C(jiv&46n1 z5m{|YV47v!lwNcs!eUg?d3R~yyEf;vCGhnq2n58ugIhksG=O<&Tq7|H--KAMx)`|V zU=NjU{;&!`Z5IsFu^$5H?+Lu2?O^Ad;k)J>eV+Vp-MhkTm-La(CMwiOk9t_W3$AAttOG2 z+p)6_UqVDQ-EwRL6nr)bB_&}=dS!221dY&cD>+_*HF1Ehpva@+ z0%2612Sj5JBmjIKd#jG=ISMGnhh$!E9GF*c{a-zhjk0^1oEMIu?cMsO;CJm~kRJ5ZC z{eqrll^=q#ir6~239KDP1lR;d%dIJ9R!6Tv@zb&Vn352j#*BK?z^pu*wd$aUcr=;9 z`IM@o=zdWi+mIIcJnnb1kAiQD1;A~WY8(`)y3Wm1yrkHS(a5KW;9ys3O_HyrJ~@Uy z7sR$++l=Cz!U_ZyU^AGi4(Zj$sTdE}ltlD+#B$+~K}6rGWe_D1*KF7iaqm46BEh2} zfgtQc;Pj)J;8}uiffm_s;bOBoLU(MUr7M=E9&!LeAlwD#+e5^%fs>h8tebz_UHEf&Fv=!=On;({c`ZHgB^VSW&jxZ zf8$3D1@?&v!FWkdoa4(ewhQu?R@dYljtq`S@#!fP8EoTW5P(Vs=}<*&s!ZaWM~T4n zlH-NDQ=IGDRizee(_3)Mw{XSLjPSU)b5Q_sDE7aI3;yAWtg%`^M2TN^3i2NdgGxD; zN@IN_vhEFVjz_+r>eq1Qr^r-$v_W-uuA$^m1w?{5_=kR(*?dC1p|S{tNBG>RqB#83 zSYmySJS4JbVN{{mn}8`qLUE-Y2tJ5wpf}XI+zMvRm_i08DfC|C+z_hjSSomgFa8~>Wid~gdQs|7PPM)?hxECtwgaqP)3CbXJgQhpEHBfs zCPB&KlR|}?A0l}h4Jlo{SLVbBlA`{33~~fed!~tg&v>wL7jMo}og)MyheHdXTBf2; zW+#YUs^@Kq6yIk&Z%e?dz?OZ=^9IualT4Vvr%W%Wb`zhV*h=?31AW`Y$q?lhr>L6N zQF3%<;V3D*XfKXH?95dWP@xq%8)Fsq3x`J#866u5mG%cIhsFabHwSEch()7qvNW@Z zz7H7LaG3-%nx`hIMz-rI14%|@;f**(Be#XKw1pU5%FHBO_ROS~{qK0}Ad3F@$6xVZ z+XjXb-a*|RUsPFJztj_{7uMbxkde20@yJ}piSc%1{dXjL?L>hOX zONN9lan+@9gBGriIo-sry1=1Qz`)W|B+O#>GR=XMDtOeGplz%STf0^IVj)N_-nB5S z1``F@$C`>gllCBuqj4U5%_Thr#7Tt>X-^vVgg^0`6pOyWQ>rkn@Xen5jLha zSlO29G~JXy!?Eu>I||TN(}$3Uk1Xl$MXdh z26&xOo`T#moIewo1x%jBL>9y1dL9=khxt2?Ei=N}4>unH4)Z}-g06!>JBte|@P?rM zS^QY1Dvi-~!z8(ZKjwV6=W<_8 z8>S*2X%NvnNOdtbvj|GANzKI@&x{z-&FAm#`Mhk=x;~TBh3;J>Qm?o}gL6v<1ggAO z(iTFRa&ovI4iE6gVT{q9-t|_z!WJf%b=AexpQ`4fYve-SGf6WxK8}UTnUEjvI~(Ww z;Qs;3n@^Ku->}OYBny-{MO8teH4MVt$zXR3!v6C03vrKB3S2)t+}-~0_<%0vJ3C>=tC{F;osVSKbe)qBz0~npk14NNm4A>gDZmM5qDA!=xIWZMDebtS4YO(=S5o)V?Ll z)Mc&YfX}htWKJYk9!>cBsRs*{Mgv7$Q%e@0bM?}njM^090@&=1&O1eW4}4FKO4gN~ z0VU=cRYvrY+V?*{{P6e@%W5GzH=|F_uTVl$z1$%x|BjK=ZYsd2Z~;@=xN5iSEKR4R z<7~!NclVsD%JZkaH0Vlv=SDg87?|EbLMPG&wT;*3M`!lxaE*!nF&_hR4L(7o|f)xqQ3sWV&F;_lb>{^9!J@m}vrV-gLd^)Gl0 zDvp-z6=*|7LU!*kL(8GVL>+pJAPSov&H{oU@-GP2Pj%P9%xMlgaF{l43`3flLHwPN zUEUc}GO_Ig@M-C$l(1lc>(7iEp!oa#CDe>x5Vbq6-s7>Byw+aUr$&NoCH`2q@bq^s zIc1guvKieo;Kk6U7G=TY4hMFrh`y#VuV+NOMjR5YHm6w+Uj(9mY5x{?WP}$-j(nOn zTOHSBmq#{@68w+^qs|d|K{!kxE5NpmAE=&7(7K6Kf0K=nrD;`Dm}WAOIw$@Qwvljm z>5jNpRz6|(9qXywe)m#8n=%0t&Vu>6`{+TaDq!$nC1DT)xe5U_CJ=;xLAF~mC7mu? z%{M1rYOL&zs}y@fAzzwlP0AgH``lI5&Je}}B}*{-bm6e0=n62EMd{|U>2)W(?ziN5 zNZr2uXhSL+2^U1mHdtUl4}s$wP$E&c?sHEyAX^--t>OHw9A&xt-V&`mJf2uhOGGG-h3{ximz9%Ly#YRvz04Xrx+1<4F(#t_Htb-gbe0}D*hdE!LO`Wg9L$3`XL)TZM zk&x3wC1~?bjUDyY%S-Wc$MK@U#^8DJT_ZAtN=x|rGw4IaAmDHy{Iww;S`j!3lW^es zyqH=C{*<3nXJ{&Ok1Lc@kY4!QT`)z!^}bj6O@`8k-*gu+iMHC6$Pkkw|6`_jZ$BaXj0MCni*-Y!AZ@}i8XWuC&IDIKC3OKN*~=N zCa#SGo}XReCWqkv%j3%xI!H+^$|dS7CIc}7JwXg@yWAhk$4M{iql28%l2qX;EY(vN z4XgHo&$TjEaemfjagjjROuw2uoqIL0#4EscJ=}dH=)$MlzuoM7{LD^zuRh-1-9P+% zeTUDC1Qb4?k6-UTUq9eLz#rt_ZrfJ_`|=?DHXa9rBt_YX?5s$i7uLP*WY zi2jhvQTGc;Bo6rsFAJAs@Hk;SwV!t!Xj6mU7iaZv8bt)(>! zkGYKt^~cMMS*PTtljW*DH>c{$%oS2P6x|miIz3t{g~35{!#dP9Znxr;OCNM+^^wyv z)tCF2Hr%yfvLEbhio6xLgdI&<=z*1G_)5mg(rX1PONQd}#4b(9|3Lt+8J@UF^i2O^ z(RMdwTee;HFV5bCT^_@^?Tl1TZFi2I*`)GD(7R0sL++F*h^~W45|j|&2(`gD9W?q- zI^p3(W(6yK+BZoC;=0P6vqss;pppVkyHzww5U@Dj;pp3@bPb4iDR< zHj<|wHoS@X1d6Nrq)~k9!Cl}jEsn6C$@bz9Cd?(?!s|}j^r%88+5)rE#H?xp$EKhr z*?{XWmG9XRwqu#)b-+J{-_i4$5BU8m!*V|-CmcK&TxivOd}R8IM^ZE^w;Ny@jr~09 z3>bfJX{RHP6B0gb)%pB2aQ(^HkQpQfo|kIj+$s%u;vp-KZ9%Db>+2jX*kC?zpX#`7 zXX2b$OL6G>obJhxm0~SkdZ)>Hgu|jr(%VfA;S0hG1NN(wMdCGARgW@_C<5s<3Mk*u z5Wj}RUZ1HX=t1m~W(Vk`i-lbx+mxzbC$%k`_=z-uNE73Yx`X41maa<(`#yAa)yV>qwrj37mkvshh zW$8ovLSsNlYF9g_9e%huJ3T{}<`^6n?~$}LUCN(t)^J=^F8cbFL%MY8Vvx9^F@)`= zOPTq&(g?X++<$C*xrTINY1WJhOLn|<|EM7#U{%zJb~G_&D~ex1(mK;%MI)Vvs>bw&vBv}@@w{o_}RJ|G9(dwCPnvl{yMcM&8vjG?(Kt-^xdk}4j+;kvMgIxO# zzeka=!UveVSC%YUUiO_32SPR|djZF=>Fcni5QH@@UtZSL$5q`g=tTU03KUO6Qf;X+ zL4L)zEJS?e$ht`B8P(s^ZG_T)0NeI7Ys*y*=@(K#BF;eRL}pjbqZ@oVS1hi>Bf|5d zVqfrGcwvP5eamEKns8J4J_)H8$V=6Zv+3!81bI70LCy>2*E$wH41RUMZTw5xc? z62lCDwDjMjz2E@JW%7Cqs^h<)*rXRLI!!jrr8i!((YCdx8-UyLbx|3PceI@^c!_Zl z&}U-o+P>3CqbOu6=^Bcri!we&5PpDulDF9nGQm^iR&&i4Yxcr=pR-T^uBSZ3FECE=Fb)At*2~Y)0}(AAt+1=g z@i}Aq>Z!o%?zQoO4x&g{@Elkq)NJ#@9HAo^yknb6>Ks?ig34+hi$;pC)}I#C!%fQ&cyQ zndk-0R?i&%LR$fO7uUYLQkT6^OjJ@5^Wn-)$86f22)e#0%E+AFt))+sjy!z?x>%-TV z1AZRJ=Yfx_mpkyC{crd4|E-B6unHS*QZVBR-erwRcICPU_b*VwHXqs_ZXfPdsd)AI z`u=Y$9{KtD)8oxcb_J6w;t3Qs!2F}sf=~~KUDb`O6Fj=a_a7F`)xZMRzstkY0`;~% zf?@>+Cpx36*$@Irm9!|lx9ZvBklG<8^>DC@il$(>dwR-gggBQRH+UXcLX6B{v_L0| zh{m_=@)dfM&ySI5;7VbB-AS=Ksz)lQT*^E_jxZZlH7xc_4HSvFJdxbZCGa`A5j+GX z0E2h_=wA2dt$jBhHY1@cHD z`h7b$NrHe<$Ao-=Zg?E4|*n;J}zpv&*9h0zOIi&^yx<&eV#fPfSD zekX({W+*i{w@NHvv2|$zM(3`02LGE2_mgivwETbccey+ErW5_i5 z9iH4|1~PXRoVLMgLK@aGH5tnI;rj0G_U3K}O4j}7!OvemT|eA@y4m@|9|r&a@Bcpd z!{?jNU+;eV1E}Hi4|lPtMNK3^vpLgh?>z|61Hk=4*Z_HAeQ|iUf*=LDAI>CGBTtr> zFo!M8WDR|o={0iF;82E=%uG$x-WArxQUY%w{URgUqt!e5{VA4Is=@Q7ehf`bYwa&A z6rKY+6&BEZktpGJt<=n(^suKf4yB;YyK@*+`J%$SL-s4nzL|VwJ`N`#n0^fA4Mj|f@JiRX-mrbH?23=65H3y5vc zSV>%3Q+3r7WSP>7che;0X35kJr7%4HiswdGHpafMsU{aW3A~TG_^SZa> ztwb9cqkdfD-Yj+58%(@qyXZvt18L!9jxjEU<&@}roGYuDKA`ThRG83+QThlOm+}tP zDgFaJ_mbZUZWO8&LAsHphd@%e3Jb72ieupgwjiWpNC4b&FdK;`s=qAd)F)DuSmU`v z2`s1HI($vu7G;)E9H@ZF0Z5{X0nQxC6CfOX!ovAp$rme4(nmIZLe%>KC&F1L9@yf}3OvXo5o+TNv!3G{tP^F2I9V z^%hwUV;*xNB|?e`JZL#?ud9`lQ2FzF z)z{-iQw_xzgFx@anPdG314IrQ-%moU4jl_*McrVQlo@@fA{q|S5)H7@u9Q#A(kC&k z0I32AIw~T7t^y(E6wGf2a72D5QuQOHnvI&=rM1!zpgN+WGl03Rw?EBLE-^&(Qn z33@GFuG+&b|6)IEcZ3>&*{jrM3aw>^rgCoTx%fmF8E7LS$Df&{&utE-db3cRd_Uk> zs4zAQZP5z)>;&5XcKuzuL_-GPULnv91w;NLAra*K^5Q!e6-dh#Nk_dOspH=vS7cKP zIdGt6GNfVe)IM*5pAj_#8Mu5okW+i-i<%^&v`xYeza5D$s z5U);Y5vfPV3m|MELP8kL^Gk$jklXQk&Fp?t(E>nYsk`kpVR8Go=N|M;`0&t<4SS0Q zA8>G-d(VrQy^HpVQc>*Ixtd+B$#v%m32~R?$ek?MMP_oM15qMvS2k40q+PUS7@~>&LB$1Jg&N_Z3D@N*b zGS!B4r?$V{U);X`nk1}87`%49gVZcQE5F`FXbreiM`l-4|@sE8RNHj%rUhA z@I|CqHAAr5K9h~3jj3Hd5jUAKR?Y0GDFm{I$CjScFcK}+&at#v9s2KyJa+Kt>qUsl=jelv8c z@o6P)04>9xP4e6IqVIioNN%Hsi84iBht%1OgkWmao*V}if&1-Ob1*fs9O#^Y>E(1oLVN>65gyu!es^mVWON)S=6G2r9E=XJl2aO5UApv;@_K zE2HkxKfs5u5S@|A8g%FA>+^XCeH(s{noD{tvIw-zn$e|>4s5uF;^3_FNnB9f*OR>>uFXHtc~+vHEIzHsU{_@6Ax|YG3-gmJFHs5jv-A;KUv9jP_D{EL(I#p z`m+=TzZlV#;2AY;Qsdoe5R=xTYt}J{DKQ%8ayY@)pIBGc64=98sY+a=J8aG%A_$*h zn!088_s^4)iAP%2s8mXL@ngLKZr(Z1q!3jp`! z`gjFsk04X4n61w8yIUNm*c(B2kZputWH=ZnehNBISe~ZPxVzJY z9gMt9AHNVmgeudL(23@}ocMAsN*waUAqnYy%@(*>jBEgJF7tTzHPi$0P*MZK9J0wX`Ty<&~7=&<^cB+Y=8 zJN25W8^_=&0>tRlS`L&XTa2eZ%oy$hdjrL4BvK>_(E_(i3GVBdD{!RUa)wZ%=I&aJ zID*{2db%!r#{3c#3@z}*3rJf|tMXLI?i60ml3VlZPWl2!myupJ(nEat93?+^=H9)N z_{Gub!CAZh?h^ScNH9T03CbL>`25*$z&m|YDx=DWT50q~*fGZXFL4`Nunb%be3UF{ zdpKuir9w{x$!kJKGOK}h)a*iqBSEN*%jHMfH8*H}EXv*6n2k)oC=awAu93;;tQ0Xi zw2=BkUqi)z5n^Okg8b|hr;omgvXOqgJ1mJWH%rC1zT@%v$>D1ICTw>i1hNU0UGJT$ zn=j@unxy)v66YaYP7w<^FWZylPZQiN6eo|-<(NeL!NS_sOF9wLGEUD<*NF~?BXb!2 zpQxe0z6BbfN*HczTSoVgb`%xfg~EEc|Gb=0no9p22X8eKb@|{GJ}-w$;`$#9{u#u! z`>5Z+D;%5>G{AaQ9p^&xW+aF_BV-6bE?fLRyO?vI> zt^Pss2oAd>OuJpp56_O(qX+BU6%Q}jn&&FuO!Q{#Nw-S4khPIc#k{7c36G{vCj5GN z$c^`INi8~oza_gQE-pAGEVeF9bqDYNdVOcQ+Mba{$sb_%jh90~&_ZPvYPv=N1};Py^s+Bn zW^#>&p*vK#h|{>0z8p%~q%qb}sIo-wRb+l^gt4)%*xTisW`LyD zpm|d4hnGq8&RxcO{8;;gEXL!ldrd`*rZLBqtN0gA(0*CgHTp5FcA*LM-yN!)fXXC2 z16(fU2qHM?hwqfgh;yDwBzR;oUmh+bkceRebu3RiuMO*4CF`TPkaPw50`VjQvL0zk zEpdE~&JGp_G!7tYNPJ#@WMK$hdWP8y0rX^jYP&RcSEU4w!e&J{*U?gXU1QN%x2347 z{tt9UU_Xqom3U<4KQ5(o2~v&!lK>$Xv6ax1Fx3DHt}j-Br{ZD-cq-NCLjq9P+~Rs= zXUiG7t#I^g_lAE4Y4(LTINa<%SqjK0qv7*Y8cYegSnBxQrhqBZQCP)gY(bnD-yu&i zjw+QwL0o-cs!di0t_Z&K$<6h;Cn zBoetg!R5=} zO&9=(W;p*?q9%w*xy3DX*UKMw^HWHt@ zGcI=&#@l)u>*euZgp=yxT4NM#B$hE>CQqY@3{TG`JXvY{Mwt}g@Poqwfj*d<2S>7b}t(_PGXRHrb$h>DFUsM%)g2+Kz*+&}3NoOY1o?QEju>~qo7$v&7eYDQT}*Cz!q_+*MDiRIJ}We7OA*@CqmsqqdgS z?a2W|GK$<8TVSeyvJ=t&D+ddye*~p$=P8Ls`jyqq4!JKdgT>@DOv}cBFIR#}3YG#ZVF8V4r(v<+ zD8y#Pndvc|IYg)NR}G)I>5ELT#Dy+-Fy_38Gju7LmazhB2$dt;M*^wIQ{zvDNY-CA&Q<4%+n@95VPS(6Ob6&TolEm)gw=$w7%5 zcGUE_VG-_r_Jm_j(moCb43>{aNGgxg_(MpqNyl|XNqV0C?qN%klL+tma2(*k;dy53 zC533Eas?mZJ2ZAaIRB=ZZo~zqKcl-Y>TQDe!QbiTP8hSbEW!F59)r}wmiCz=Dgtw` zxLJwBkduO}MR+^dc`pQbinHW1bS22@g^}c`{z;WfJ`km7=X>(VaFS(sw#YIi`RW;( z2s%)Y6rG6_)g5R8!k75Z8zRlCVl@m{ep0AB=14n#`1<+w{m#Y9fw?CBKDdaowQb>n z_+N0T3A6B`1Dv=_kn<2t7GxKhhom)(;#0Vsfh&)CET#u4hj-KpETJ%gL(S@H+bDRMQha6|ctgyI# zU=?fN4_(Ol(I^$4Xyh!-)oCM(8umj)6$5UiPz58I-fZ>k4z{JO`WvzY$+8 zWDgx0FV?U|p^L4yu@J2~zM?Z z|Ek&DbrDM$iKNCR>OsxHI^f!;)(>)9$ZG2ZWTMySJVQn0Y$YW+jdH{cq&gdBTL!Oz z%f2Sv@5ri<-_QsYV|4~YHS(P3hZ#?reJ~v;G4u1qk_Bn}+bh!SH-^75P}IKv`t@(O zH*#8a-?vwoEZ(vKAT^x@Os)CJc{rk@skh}2Pk6Mu1&u~t1Buc633{LK-x6Wo?YzA> zygY%aXvf>b_3#lQ99w_j>|WRR_cwPB_dqmw|LO7m_UD_OZ@>MPwvXgUU4QxV6}>%f zc63^tCBx7<-_F^<25r5?W|`*EH}hQXrk+dqDEV009#T~%Yy^_o^@M54xd&hdkqZ~O z=U4^0R^VPRi7&xXniX&@@lSF*m#XNSLoP^dAvoZNMXW9l>F)8O!F4Dt7d>q z&qIji&Cxr!fe!jHw@6S)&Sj8bF+_-XQ`*)bU{Rx;-}+>3J^l7Fe!pJ0@|N>02n)~7 zbd7t77o$nj>_IQu8|Dc*F5(i+LkPwjTKTw&5fd0mCuFpBK+dZX@nSfp14X-Hx(K6p zB_!lGyM6Uc&F=FBO_&((NyfPhn2bsB0Uq8pG4-aX3Ct{gUk%gp!uGdrR#P2 z7D~5N@EmQvb2bU(iYi8`f#xwZfz?qqwD$}fS_&JY#IU#$tWGc^(^ZqKmTXw~objDz zj8^NAaEg6vDH_72?WjC9g zl5n9=;KbgmwuyR{;JX#m(s1{F<80mf$S7q#7Cz zO#3g4P{Q6>@fLnjRk|m6SlH}PAd{}oxYx)D&}Z?CYCeq^&tTK+?8P>wxdB#=3QfnC zh2?d03`}b#$u$19h;RxMbt4ka-Sq`vBQBANf(j#0L_C=I;h2{kxJ%D?MQ7=o$>$6u zOz7hQ2RqVB#8tEp45$}gL~?vKr}shr@;B$s9RRPZdpcDZ2+;d5kh6hXK%M4EN*64U zXv}$-%q0Izv|U(63$mmxE9nf&vPbYRA_T+e;+CQnrTIldY6goBgR3{YDr5p{sr-iA z-s#(fcFNKt3+b68H?X_TTk2m-R6L20SY%}_VNE3x9I%bWFr*s8b*GJJ-H1 zYf6pAu_4ik1)>q{3uWWS3B-(P>yl&zQ-KwK!NU@K$L#XpP%72rNP)_T@@P$h;h0deVfLY1R z->4G8BI+IT4X;liDN)M^se0mfNH()OSe^%SbfE)0c$-sz;=%t04^bbGlKIfEH+*Xu@$CV)TI5RNLw#Ga$+ApJ!=of~$Z{ zuRShE@1t;<%hh)d_J^icTHCqnS~J>kg@g@)qAf~g0)eX$z_y{@1Xcp1Fq+m*Yo_yc zhUL(@n&IhbqJLaU(iJqH#2^OO3LB7Lt}J;mK^K`8$KGtPw^yhI;kY6hf$O6>$Hd(5 zSX$C^kWbO#MzRFcDC1WYv|Kh2C${np6h_dhk~N+;<6v^`4UmB7Mh;E(j&4y5%F?y% zkB`@PA8r6D`I}bA<>qiU3bhMMZ4%``prTBl(WEvt<&jD*408qn1Q+PX&tJd%-}l79 zm!D{fqt7?&0B#C4+@Dgzv>)WwVYL( zsV!=Zo(EZJ7bBF+_!f0=&8+Hn+#(xKx@~2#pGj~`iEI-0Zc1$lw-Krlw?Fz)%WooW zX9*;u_U+jbx*bT;TvErmzS0|IXTnNLY^dj46qEF9)OqaD=@a-OT1x=UqyAm;=V)ak z;#xTLJQN$lW_|hc69_U*$@%W-l$`ILPs#c2`IMaRMw*iIju1A39r>%Bv&73jUdGRh z_;DFOuHwhF3Mo$;be2fY8*<;#4iSy@1o$)u%R&MW4UNC7H>k5A1(7p>h?qC-11=;h z!$$7G5Z8*b1Ar8m>|jxY3+~{yE-VnSL2y=;XN~qUV-pVkfCg3!6mf>~iyHgo%M>Z? zsGwDqpg!iWOPVWZ$orZ*k>{G8XthF`p*RmT>#fzl5G(bhK{^&XW2!9lXw_MZt5~}{ zmzbEJ-UUMXkjrT*hpJmkZTPW8iln%~_D6$##kN$@la<&#DM_f~nB|I}BC{l2sAfP` z$z{^>o&?zC`MPP-i`F_sHK9Wkl?z#cPN52tafB_(K1j!%#nBw|}j%w)x&cqh>g6J5RyXPT0y32l5G&+R5yBklNYvx0(Hp+cdk+-v@J^f1dGZ z2J~HVkU$)mLTA~)k6-RJADCcUN|l=C_dB zOz(jH2X%RM^xDrdHT6V+_AW56z+l3HVR{({915a)(=ovzf2hg?c_z32w#Y;I`#}DM z0C#v&X+mW>63fO3gUUYYH|Ufs7K!1C>R&+@=?+zNk;)`KlGqW>)6P!L*Jo~IN1Ezt zVXjR)-}aPUPo0dTwaPjVV_asg)aU3aU;u;}O)an*^`lXuadv_IIbBDKG2{C_T3M6& zJY5zyy~$A%%i9eoYqO%bJ8pPupWhgfhit9XD@%+Wju}Q|_7Uu!?TcmYN{bgqkg&rw zyEucf<1qpOeAFjN2&qRH3VP@_V%G8sFtfnsGG&#imzp)oiswbVG%T~fP%OQP5 zS(Pzhip_~+mb}R?nCNRFcWK&xeaz2bqU~qj7Me0gcZync;jlyC>qeA)v*Z@CPN*BS zo8uD!97Is7Vao?Vb_j%YuuY@4b!tU{0AQFOZGi0kcD=k{bOQGV#v5Iyg(k@X?b(&Q z8T60yGfFQ{G=fb7$yDi^K4C~iR(BUsz+KHy5Y|X{YMz?R-%f{gYYxuXnu`Y!Rba-+ zLLd;uh71Ib3uB-4cnEe!&=Y0lcG=edm|nmW)dVQV#V)$kwEng~vJ2%*DG)4f-+V zAPSPE@rtOR9+)I)npjHKXP9D+ATF6dCk0({yC_3qDr};3%-l#0VSK)sZ)u*CVY|KI zI;q@cRE+CXy6%~=Dt23oOpnh$UEj4IzqAkShntVLUv55Vwq~ffhAzdrY(313R+Vnw z!%f}eB0J;<#e1~*F*J`eLamxr67!=RZ-74=!Vno>3!Aoi*P9%h&QTIJe^MR|1!F;= z)J1u%XOAOBG1NvWBq|@nCF;-*hzUBLx|_4e0Z(W2L~Gr4Hzu~L{Q}ECwp{je-W`+! zDO`nVFWgV*K9{kPD}x!V=6D)3n`g&;t%IvPge8s)0L^9ni? z_z0Y_pff8k1~HD}OBs09NeLP1WnW^w!#j?djI5}WGx?YLOx;-rrI`x8Y8&kuiU9yhl>_rm?wvQJ9{U|u5z=(GQg{Y&#s2X z?W#?ZZ@WgQr)QdKg=itrtacs=Ym6eDUHurMMH3LKq?%)aC0o=(M$GM0!znk~UbGj| zl}cjre&$Wj$TTuzJVFE!K{incK^*aVRTIo-@I`YUyQ)Y%&<4Y$KpVKj3P^Y{J1QW( zB-e75oK8;)A0VCB$$=ea!<)EBrReg(%Ij38={r$fga2#=zo?2XO}|H+Efp#wNBflo zW&~eC`F$~vzX?k4^Kmh&0J3>5rxy~-aYubA9*0B6cM zV%QeZL1-R6k-&RN(c$&Wfy(Q5rs(UFC=Q6={Nzr~hrqMe-Gg+UuZB$AOb%aoDi}d$ zh$9BKUhf>;&$j*R_0KoRdj0Yv4b~U!FCXqj9tX`t&IcZKP#{V2P^bOO(5E)B>B93^ zNIH30Wp8tc?nRro3H`uT^dc%iMhd3|WFVpp1%f*_#ij*5sx5 zZz@6-5natAR4seNhqSq!kXjIW-cK}uE1QIg{p*x zI>U6FM7~rM8IL0fhnpio9&q3ZO(n2?hHz;PtS9Fv<htXvl8=JWBX7(O#lON~DN}*cfL2xQ;7>qR z;TK-U89V$gUP7|+5zj&x4F@23lw!mm;nFfXL$=Txc`dc?rEWiH3?60RYiHwfs$hcZ zt*n;_a+8B4Pm@CMfjzOeGNKP8G8N5ahFMrLNsdBtsC#of1uLToI0n2#IHUb0!%C4o z2|Js*^GPx8r%*+?P!wQ(z)?YhL8`_3>n|VwFB2{J)hE&{QYLkBc^q@oMhf<=6siSv z$#ashV>JLWT?}2uyd$GYZXZOC%4qyMf;wXabzCj<3iZRY%S{VRV%3XiBep25L^x3> zYG>!e*GI6vm!oe-qv>#OJe$t&*9e;9%{SxW3_3FDO8N!vAfNOQ0nqO*k3gfRbEf&?_`{iswor`bY?gmv7dmK|#qcSJabY0`HY2nI&+W%2&A_2x==E9_~Wn6&X7sT#sICN~5uvP+F^- zM6em?d64@A%q}z477B5Q9B1kE!Jmp4hx1`}Mx%vOUN3f_80ID%`!1~3;BP0g1#YY= zYn3*$=bMwTlJ()riuO#@l@;+Is`gMSQ+p56P!E#%s$*Ivj5}3|O1ZW@*?bRII#`Ue zyeDQTQTvlBYsI4hEd_oj7$5p05dGNQH2t2Lfczh8k>99GzL~*uwkNR_tuzebeLkpU zb$fk>=Zs-XPg+2dqLd(VnXy+dG`nt(!XZeN7i9{i%O3tYxa@(@&Gk&*vl3Gf=V+(Q zVmHJTCVME_#GOE)>b25fH@QsM{Muk;>#NgrIX%DqBdkOZh zHw{*A8}g6UqFj{Mb9Pe>r@3vTY15bC00a`(BKm>|kY?mv>=$ZmOC?_OEt=Vm_Ggpb z$rz0xP%3xx&18CzP_)_1;zx(qQUbe?R)6q;}n4$AoMyQS-M! z)mWTKTB(TFBthyuMS(qw^d;HPp;x&vtdO0OcCNh)+YX zB0~-*2g~p!S+P(ZtY{vaD08zYr%aQBL($2fppzRfz^OkT-qFoJ1E(Nmc zU?Z1{xTWS^l)`_}@M@D}tAa%=A#d^jd%Oh-m>prG@8P&1;|7S%X8!twAg8F3gG-uJ zdOAN@L*FFr`ZL2&y4>i^EX{DVI~bva*?g=@H|O+7BAh|MtGm=4n&`|NXR)_-r(XAbNUa zL$epXD}Ay7b1W!FE`huOII?RIB6;d;ifoK;s5~*5ltE}FjJ$7(u32?KJ)rAf%?T+& zQnL>d>Dw4Nj@Wj+S7ITPYtSjVkUxY1q{|a1xS$2snsdwRjNT&Q(@l@^H5_(MHrMmRP}2 z`(k^rT3cWaJsj!b-xIVyFXLjnURC8Gm5vaG0+QkahL&cc{wW4I@<9r`+A;b4Y{Q`fns15%q(v70dR)q_zTYE*hcu7*rtC@BZ@jQKN z`aH*JreHaEa%X8L831g&T7TbUT;Ep=2X6oa%8p=sl@aBft~sGADNh@Ev1ADQ>BU@Q z%Dxaa^UM|yg}T)0%-WDKr^j57+YF&e!YS#IMjE`!IzUTHqqyx3GRv+%e*!?@%R%@k zaL$N}kJ>-OZM9k+1{yMKrZ7-h*3J}N*&5a22l2BzmeU4?KKSDw`4kWQ+mL?JtyL=& zQC~S{P&CBAqwh-18AGh<4)`i{z9%chvz*4RA(hXs^!Rr!`<*J^5s!^=XiMZ~VhM72 zh5eud1?beWuf*u;ddq!AHOUR!@9A-Ww%%Ftwp4P2Ood58qYP3c8b$~Wh!YO>3oRlx zs||93vMtZqViGJ@7Rt0TK!ZUI*Zsjdqw+vde!7r~l%eO}j2o1zyD8jsv~Wq-4fv6{ zDcpp(UnVU?>yPJPEDB*>*iE^i4s}(}(p*z0l6|JY{_`=DW}h(=tiQ_4q|~^+4c3E= zv_`jl-U%?A$@*~RWcEzd^T`Z+T2pXg*zJ?t6H;mZE?RkZNKBe%ADm+P8G+vaZ1h zrL46gdE8ubPuX-DfC<3F#jfV;k3CL623-bd1OC(9@5v9t@W#k!u%~LA*L*Aq5puF# z9kDeIk7Q-Q@7x)d8%I(J`)5o^K-TyrJILqO{cwJHan}Cj_+0oeN05?&w_I|j1Kz7K_H+T0pyA#d% z+}U|Vogi}fAJ}Lmd`@Pfe@eWrU;5LZ2ICQohkwA5_x;vN7D&R*Rd>e26PCuHutfBi2~@5;RuiLPqPR=AxBL+ zp3=W}Y4V`}UmA}tAsw)`AvdYh=&L)Z8v+7t1V#ZyfhNU{cCKIj@N#es&Ep54EF7LQ z?Rf|AYP8Opc-ReWi++%CT57}|LCz=OuZ~|1um^ZFEdo7QY=QT@b05%XncwyP zZ(>lLo=EX$i@(LOg6ypehXnEP4p-92y}FK)eUK7%7O$;*r9uC1EWKrJ70|Ja=<#MW z;*X@0UbsU@k=Z>E8xvxJ8BZ*pL)tac5-y&|c)FX+koNTW=^+$?dX3N2$~~w1FC@T; z+lu;+({VA-D?qP`&R4M_`3KK_kb!*@DpIg_Dw#|cU>60cJv$ejE%CP{JRzGB4!g4z zO40%e3_&8`LBLbX<1}j7ED}Oi405FEONWh#{?j2n)^CqAA^|wJU}}3tLGDiP8Sh^N z@viBa)*`sL1{L$$;{kmC+OWPqdBKQDU;rVt+|MRS%m7GA_I(W;&@d@hIQ&AK;fFWc z_v!;?YmwJ1Rn{TB-o^U$b#JI4Ed&6_M>6Qf28fJ z-yw8e@;&iJyxnNk^YjIzBn%}0{gJ!j70Yi2KI*7e0b_5iBt@;&z6 z4acLwut*sIrL$}upHZ|9iojtI4T?^G=;nMRP{{Eb5*NA%+)#wafU}l`MEFnigEDXN z_)Vx74f4XzwDeRo|E!ZsoFwFuhzN6rUi|jcO|W;W)_Jsds>6P^{0Ma>$qy%zC2E^p zAySDrItkQgH5c{x=ncYJmq)-JIc2B_ zbDMite*hz1Kq)!$3 zDP8M8{(55`1W+KrO4chaUX`7LdgMO(MEtvmlJdjEg&!G86tfMZt03(ekh4Q9jG=sg zb5Jv%8kM zTM?7b)6nx=w!#~ysOkem$8fy6BYL`-Gjp`uB9e(+-(FDLz{4R|;4=8nCRGX61RRds ze>TZwn4DvW)9a7NBFUK9(2>i@F7RnmcAjK|i?fY&-6q01*$?7_!^X#>>WO4frRKm( z5BUju#X#rK=Hq^Hp^4E^N&gp;6aXhN*xi#f0`e}ZIrM-E1vk5>f|58uWyDWsbzJF$ zK|_G7FlcChaf<-g7;((|E7_loy|Rv-hu{4wD>_`LFJ#^pzv_?M`G!2I#+Nrsd4Hl& zz{P%ghNyyf?5hm<9c$l}o4WTc=|L58kA|}1UIdIxt=0N?o}wRcS<7Lie63|zitspx z{=hYbii8eJqG1nzMI+TzgzqENAI&;r1p6LlQK+!%_n^Y$W=_{>lyE zHdXbJG5mo_bXgxf4YcD_DsqW|wTYPO#aRyud@%NGl0FL`wy=?;>ybLGgXqoN0g*+O zc?0?w2h6zU0**XQ2d6_}#o;j7p25q*i~~(&&_LB8qV@&Q1HXV!HW+iTe?+6J@v$(V zFO{C2tFz5&zWQ@}ygmTk^^G{$)y9SFO1U=Vp|H&$^h~np?AzV7KJq!(15xTP7l@1n z|3h+nQ6Ty4Em`Lskkha7%=^RWJMjZz6XmJ8!R6YZy#z7CkQIo5GXj*p$E zKQ%?S-3|o455}0Jehege9jJ4b1;-F7rL>QPxj0A^BzX&q=9>;?~{(qv#$Z(W1QuIcV`k2F(gd4^o9 z zJfa9yRdSz6!F{^p_1@!?Cwq@uQU#8mxEIx##9od06(#_Ow-G4*)H^A5k}s0x^DU7q zi5!Ts3M(JfO;lR7#v;;;7suax9Wf9$UP=+#<#LRB^3Ne5Ao&5>iyV^qxdGp|R&xx8Vrnq5UJ}H(%(nCdIaj9=7&&uC4tG;4j7d{^dH|Xi zTRO3Nd=AhS?2`WdXYPc4d>uam`&M@rsZX+aP}sxzMK*+x4l}7`2ca)gWri!D@LU7} zQ*JSwdZsZPan$4ydyvI3Zs))=v=KE>hWEgX;32Zb8?&H*N8k0s(}gr(C0%Mcoc!LR z8K=uaWG1)t%Yo8zD8_?Ja1;iqp z$-ad15T(DX@5-tGx){5&Jycctd=8vwl^4-J^_WGT9Cwe_u{QWjyd#-9r5rKN2_$B|4{Duke9KoMU!0Iwqn>T=RmZ$++Ioo{#=UVKL%sq|X}0VbZ{o{VV0S!9rc=L1!au*93)- zK12_~RMJOz)eph+{^Rt;feQ^R-KhO(O6FHyAW)J zohK$eVK!85DXRl(HWkYU()j5BYn1{gxq8;`D2;k#!ii8-3F>o!;rIhVF(fjOCU)#G zvE$YIS07&8y!!a+$Cm@YJh*-}_~F&yJxKA@;O5of78R~wY)OLM`n_N-|@>q%-H(YWw@Bkr&3L8O}k zwj&>^aK`TUl_3`V@akxVgfAK^>fdw&=3pB~{JnU~a$MdE08}@3cQE!P9}7rRdI4%$ z04~8UvVC6kro{3lICF69Y=W;7O-jb(fo-Tb^3I&W+2B>7$|w9zUCqRzKm<;l4xni( zV%zO#M#?x)tY2pwp@DKaEKdb}e~YFV*f6%3YqGRI`V5_&nV*QEOoK_}sN6!N?0gJ6 zlc;L%@9)qk{s$l-5DMR1+I6v5lW+XJ+^<`k#oxt7gdn*3iQv%&;x%rNWEfTF$YUo2 z4YdTOjW*c}N4`3qzVUE^V)ozx!43*rv*-sWf(r=e3Sm&|Qti(u&?369^?CA~bi@dG z&=^@G>=d4`7_n#57WIjUWcjk$f@l`xqCLXzAYQl|=85 zIEDPd-5bBaQ-h|EM8PwN3do&r)bkgv#T0ctgiZw3D-c*PQ9zDhrLq7`S1#B~(T9i3 zXaY%9_~{w}B4wsbUrh)zaBoLyrY9$PAxU zMF+c{+Kdqzh&)%iiaB(T9i0q*{`%?q;r7$b;QiMx_YbZMc!Y&1NjbAIWgwkUZdmbt z?$QKtpg01dRpi7Ie8F<&=mOD11Tf3m)ks|FH{?e($K~Pf`pfq3t<7PsC{k}J{zw1e}KC9A;^(rDgx<)Ih{sa}5KVO>#%b)CBApns^Y+_|3nqsrk# zW1b`JWPbXF65g}yNpcE#qQVWIbLr+)0}}J#!9s}(T4aJJ=oj!Ab}RmDckvB*MK0(SU?-%?s2Y86QVeC{XzQ{*3pQ$f zSl%|5$2}AI6fa*Ko-a^?Au$_Rb>`uF^!%dva0H2gCw&X$A#fWcECO%y|Gnvx2gdps zQ&vCtp>1%|0hCz@SOTc>;G!`+uDk=>m?AKi&PH*H5#1)U5%%1RAg*%&8msHvvpJbo z9#)K-lnzwZsxw7bNmDBjMn+y9>7I{(V4oWR@$m|X+xi53fwq-UcuKi0jo_#uIBg=} z6QV69>CLnU=FXQ_KQVRpC*Z7p{BYm?aQkropQxk%x6^|aT)Nx;8vFwonT-JTNk#qt z7}$suy#Q}u-oVG!S9{ITW}?l?YE`Vbrc)MAm1oP8>GOA)K7W_p=kKzMyMSD^L}Pil z2E@*@r5Q4Ow@Xrk*SvbdS_W-?8hXJs*@ z3}vx(u{a`d6B@1ug#tcUNGwY%PpHKej%-{BC92n+Ok>P#vVco&xX%DX;+r@?Dyx)! z2OYLMs5cJ!lpbN!4*aBWxPUw@4H=#5Y4R(R5tJX+pbT+FR(ZaK8mDR$d5QBeQH1qZ zp3#J}N&1**B{0+scYe}jcMI%U&f^SHDhm7<*@JtWkx>x&WV@n@-giu7_(|fG8A}po z+zw64gu4o0KIAS~HtwzgB2x?nB_w&K*^pQ+oS4N~!_?r<0sI=B`)&L7;Jn5E3Fw*W zYndy&@beZjea6^0aG-DhcJt}Cott0DbNHtyZnT}SgknMkM+W969BeI(*w(Ns&Jp1< z9TMFc$?tookrAsn$r|tk=ANdOSgT#$ITwYMNy^}DA5`HF>|C&8WH+=kl+Kz8DyC3t zcb%K1)`XGEv~x5=g2Tz09>ViOi8<6-Cz#&tFE`hJL$Tw>o4cDY?{9YQfBXFE`qS+- zYUJAX`u=m3f&Kdk+@JAi^U&+YLe?NwS!5?1nGwxuEWcW$!rT7jCsmM}!`)nXP zx?YF|(@FXcP7xs$+Y~?{!Bfi!h#4Kgn_#~2XuLNV0p_yimjT17J9Nm&c(SqMweQJh zTl9d1#nRL~agdTqVj4sH79i7SX`rGyt}kn*)R2p!BnNed^AENsrl#1Z*dC)kTu~o- zCMt~u=TPF!9nbLV$__om7u4Nhl6uI*T=G8#uK@um)##fmafIR@wo+&q*}qcJV^y4H zoXv!%Oa0}v%y{Q}<*qN<>sgj1NudFCpoqu0S_lS7M99v$=aJiq%jd|xlbfYK*v%Nn z-sOd~lDt1*AmnJf+4=bR<^4-oueTot{OIkbsoRN-!e@6jivl#dI4zI);vLxgy3(UK z8A$22_?|qzEW`vQ!06WINSMo`6KEXj5Zq6+gI=u7-vPBrq)l#|>x0Pi!pERDcSn@| zsm;3|hOQm!TIFf7>T2&+)|Jk9W5sC6w>*R@#lQTgmC0r!`qLI79gQ5bF;5m5IjlvV zEHVmROrZ!}ATR0i;-E!zS0orq?5Bghv?e<%=5sd-C6+vw7n5@TtpS9o7&MF9k7!Il z3>b5Rdff^(4||K05ivWDRxma;>p6QIzOE?#-)CSx^xxM_j z+ALmRQqM16Wz$$~*SQoi7@S-N(Bi_Xd4mL1uWh6v42)t-p)|R80{xDK)1lcB%y|F% z<2@=UfBiy_Ao<+Wga0+4Mh?%?cKh+)2dvaF__yu$@$9>C$eE9mn2YkfU zA!Uh=ga0l!e*Hj}`t9k{e;@qukGTBQ?*8K+wdel^3DIDIRCJs@{G{Kgo4p}trV%az z<6ZrFjg`h-LM|jaP1)U9%VXnnwTjeMmDfqtd zm1GS*$XYXHQdhPxg4|ey1s%^MYD(1~Uw1~3oDwHwY=_89Ilr$q=aNXGL}bE!lvPeKJRa5+Z-P%I7&hSx)WhDjBvf;|GrlCTzSPBYcJgAcY+g(6z* z3N{~d$Xbg(v(he4)OR5s>G=z!g53gqs=viC($}GKlJs`vn^Fwg9D!hlCQ!K{?A4uy zmchE+4(`%M9A82V1UVhqK7oGN+VASUdjl+T7$-`G;2o4|y9SC@s@>RPuw66~60df2 zw+4kHC|<{cITu>I@ciPfqQI{`N@60yYH5ypb*BeZYG`ExRC`5_R$TAZA; zTN_Uq(#1u2J&VCo?cj8Nj@ryITfKdB&fLeDBS_5BbrWVLq?Kw&K1gql!7X!YJ z5v)GG1SwBkO-`{=QXG!l*fh5fGL0wb!pySrGA${lpRDV_Zze=lnuk1QaHEhQPZeiJ zO6C?Egfq7+Y(yZ!dK{q4uy!+T&;-u_c- zlCr?p_U_AxC;Br5yC z^=wta<~QiekYQb)tWkWi+Q@U_f^l!JgnL4nbX#;ff*0U{WRiIdfDgujTdv+Eq9es7#igwVudenq-6 zAqmY;?

    XR@RWihd~x(P2J`SY4mhd@?ay+BIqrPMTY%pUGI5pcBf21S614Y!dv!^ z^(bh_wiUcYF0KS`mwVWtH)LzIu-85Nl{O?P?tnvQ$89^I(bj@vO6_OReciW!~!&pp)jKb9s8PN zKzyfAgy+{%JqnT((T%G65mm~mw%G#sJlk4jgRXC8%)mYCo7K6Ee+wkom#^|Lm8CYX z=hu`yBRx!hSs%tjTeqDg#Z%?-7DMO+ZyE3-8UL#^{ z@w0Ua2@1j5xeiLGAtHnTun>Z%H5pMPhA)AmNQ(fZBKI~5F}kIa(!@OIBm-wdB!fuR zJ$;kp*)h|(|(Ks>z$?4 zR#`>|YT!;f?65sA7b^p5h}a~st(W{a4y}+7Q(NU|srBM3!<(jFoRj(Oa?5|>@ph)R znk#SU>8LMBY$8KYr_~RSn`NMf58m@xHirj4nnOD1nUE4DOk_~Znmvi7Hn68;P~^|d zWZZN^?L133qV4v9yP9DnBXEDi>J=q2QZ!tS=1FK=c+Pw-1TR`z9PG&@QU^59fooTt zEV^w%8E%;%+?k?{zf0VTyhj0~itp5|HWg0pM~8v^-L^}^t-Da{R< z-QUV>nlM;^cM@3Fz+hogVOKLXlTkyPQCn+`HlKug51!cB4sVWo0DDa{+rOsNjO2L8 zGoA$1cUme0-|eHcp2<&fUX*xQ@c<&>=?Do=TTH?My4A}*J*`F|5IdeseTSq5sVLaA ztqN+|ut675h7a?J`k6XDu;S}puTPi{#uM=NxA_QbJxV%``tFp!P$QU1dIIOu)~Cv9 z*6a_Dz0mF?Zvb(cIt~~X35kWGF&Q2}Q(P@AEGI;6#Vs1hLAFM%#WDf_Iw!*r1>LCJ zKQ6u#4O6&2v_vxe%8U=S-KYFb`OwX)X3( zHk4$a0UlH;u(#~Tu?Jg1JDEfmoKWe+5filW{R3dQU%ra@U(j7!UWfzFOOB}8{Zea= zs6`>Rt*Xv$hRBp@S=7Vaw30IJiHBFkNz@YyQ79fNI)i*lGV}Cylj&c*f#-j73vF_< zX>0i41#pguRbYG+!j+kou95q7ae4;oueg3i%t|lTVM$eiYb4q3Y4AN*ZzhM9t?wQ~ zW~Ie{4)c=qMZ^NZ2)YXkgyub1AX-sr%hnAuPAOESg#7nMglD|}Fp!@gT$Z$aXyWp9 zA=bpqqDlE9DT}Hp6|41)uP2t%9l-?is|S+y=gMWDt9GNTX->Ex4F(k9IP5IcU27$efBhE9f}X z1ca!Wm%15w?t*weRo`ah8gZfe;<88bW@l#FNbv#)^GPqG$#DJVs-E*r8g%_KL5cv7 z5wq8JulX9aT4ae#q9xkG&Z0N999w0(zC3IzN?0_G%UbM8?WbZGtO}sbe>>vOB*vYhX zq>(s(gL|=8fh&U=&0#Ij| zd+EiA*skkk`1PTFTi(E@Vj~UE)-0pY>;fO{=6h; zBK<5-Ovf)#Bu?ro5Ivi;jbk_71!FVDiQEPI^v7f1ky{F|;)s#Os0fka;53AoD?&;z zXs>8|aC}hl)6wxH1>^u8s}FbIMJ?W1AtVT!E!|D4V0!-bdZUu|7Lh;i5p(=cPVr6d=}IR(k8lMeN*S~)Ofrrr zBXTKstq(Y94#U8hJpksO*qU(4AuKw`y>-1p?(H7@4p1yWaYsWi=03T~bJEPd)ZP2;iyi z0r}$@oIXnMm>5f5qkqlV@95QSmj`kcWap) z3VCExwj{sY8W2tR0mkDIbDe__=(J^?HMHKrb_$l4g(mggs34S#b+Xk{_QaD7lSLK# z9A9=cM4^hpH=Fxfz>HD)>lYO|QPBZQr-%JHvV02X1N6{1qQom0p(9BYL3@P+Am=1Y zWC6h*MBUq?Lf-v-mC)fPoIlqvADgjE80j|Z{E4}oQGfOeoSMl>z6Hbd&oeZk2;sNa zGCZhF27K@7B#a~zDRu6atDE`ac{aA59y&l$ke!L*r$|SRlSK;IM&Z$G9+C`X_Yt1{ zAWnNvUm2*G4{H!dl9Fzs#YswJk`A-U5%&=1`1(Y1*xoEaY=ItVwdj}evt2fV_X`52 zobQ5*t`d*fei8n#$1a?A`zbOiZctjXxd63$w%Nogt6HhY8*dZrl=ik!Tovlbl zoZAq37`(8^`6yzYrPv2BWMGrn`Hoftroy~^2u`I8butuZz2>fG5+KiS(@J|Im5or{ z=pFuhkN@uR-v|8nTV}M9;uA1NFq>I~;N})QN;a;ll#T3M@v(W?C&ePwBBneb|SqE62O{wCb(NoEXwP z;yU=vR9>uf$9yKfWifYKPx7E8Y{L1se*!7J58I`;<#YvE0%$I#%N!5$FhT&>z0w zViqi0bg|{2bx(Z4kF?@=IQ0f(I%0zMi_P;?a|g!6RCH&EqC1ivN%B{qw%Gg)(c7&{ z# z6U)5&`y*`DIn9Vyx8v|NlwCAd&fARcQa6r4sozpw>5tWAZVzoY={lK7%du!<4|p3t zdAPS^5%-dH{Jmo_L!tq>;eW!oxY~Zqy|kF{=E!-odYMCgLq_t9%M@~G-bcrmeQz}0 zUavQ>We0Qb?W2$2uF_;^_s%jQ%a8D0F3%mz(dmX|VzA`g?J365XOo6!_kTc>u>NIB;WD{3*DQZEL4 zLO>rlx0q^4rUldNNfnyrH{x0nefYP~h}ShaGwQUJ4uY697^5Xc&eJE44?phl zmiDe7tpK)r`73kA_wJpnS7!qX!?D4gK!#7lQ})55iAH(o@pwB8AK<$eCvzkkAW(F` zfyDx-o>de!CozR?#jJ#4y~!Oj4TK#l>}Mh%5fAW=@K{@Qg6nyv4Xxvd*z9aJ`JtFI zoWkwd^cMYoZbJuBM^tH+n>(B4imuORAOZyh75f#^mcO)pIn6kb7d*3WP)T!>GC^5D z8DCj;;I8qHi{czon_~!NA?93XJEX6%pT-LZWhz(%*$Uj7td_WzaWQ(yYlXeKVFc+J zj$?1AMoY6uBUT~bd&xShXkD44gvV;K;b!E<%yawh=myMD`8gRFC z0!%HDRo@<7$Q~BXWI{q-)xk(fY{(1-M4E^&UQL)awjc&OXJ~J@NEIsjyHr@@j?T&` zDeQ=#iZBLzs2!#)C%B?O#9T^i+PMgQ>-pKs`N7=-vY&3RAMSqpe0T6K{3}H3X?z-? z#b%P7rgqEwjle&Wb28aVaf$_`S9;TxTkBrO#@MOKBt4nIDSh0EN(`vZEbi=nuIIJzPZ?ny}`vla)SIm-E@#(TauMK zm6MxcH0<*;Akrjk?tOMw^vTd4P%G3NTmTYC2Rh41=(S`ET5rh zii@R$P}~4JUS^jUCDe`uITT2>D$;cH@OG1Oyreez#|dm9n@IYb$JJV8m)mm)9+&e=K?`lKZ`RB6Q}|&3ZIwx!4`X?dd`|uvE~+1 zlT938DZ32Pfzht0WKTob=KkT`*Uw?IMYx$dAW_+1&SMIMtV8XZVjVKIL=ETioXuNg z?K1p=15Hc3LtJ$x)xAU6l-3AzH4iG9g&c|d#MzoVz6G961v_JTtu}vOrH8x_4uI=* z#kg~=TTYY5mqx#7Ge0c$PKSG>ZI!gLr%%$EMoAO0@BtFU{PyMZ{g=n5gVd-8-ZvCl z&)R)SO)PNsv$mpHBWOZ!U;q6Mg}REwda}F}6qWby5vc8ekclA^kt5QQ9MlsmX>}>X zZ8*#(oYWF{66Z$YtBtS|yZ6{l-NntbQrr;VO*@%8k)B0q93_l?6@f^B!pBEU70%XesxZnt@E0`~YuTRCM;xbIg z<*=$SsO>0^h8^MrGCN$$%w&l}$4?fBFLDru6qZvM26&WB5QyEpi>e5~wjskfHohhi z>Z5MLlhMR83u+Hh%Y3UWJCR9Qi=G(d5&DrxZhm`l0|RfiVJfY98`u00s-&D6sen+L zCWtd?1|CgPl>}d~5zzg%2b%Er6q}$qbf|fWdpe9wz$2kk`UzC3X{m?5GhqRT@Ab@7 zA%VRVhd8Ao0jQwf!CvtcG#hr?L@cAAXi_9(J z{Si5}+Yql7;Aa;$rI+2?TJEP~5H2I@IzqV(8G#bU}+N;xz;I1l4 z@mXfPPhY?MHjsbd!zt69klNjStU=G@J1_;Etxmjh1K$UBhxn!A!lQ%gTm_m`Bq{hi zsU1t3F0kzd!QFwjEq1+?$+f?eJ|xq(UX!ws*bor~EIQoR;!LN~-re*ZGoTJ9Vp2?o z%z`oGeRNP1t^J^7-uI9h+6@(fq0@zf%E5mUB)h9xD57MUP(oG*KFg*FRD zyZ9u9K%g$0B)DwpIl+Q!=Yf=sw&#*f*R2=a|Hy41<}LS3V(hVU?S{(Ab-L)vIsXmJ zAd)CR$mB4Ta_Hp%H_3Q$r%lO9rFp<3APtAw7+N7}0k+_Gy=&S0#9E?H251bNa!d%> zwi&2gTO)5P^L|!3*`y@E7cya+RGHD?w4;XjX|tc*O~LIrgN`)FJsi9~e|09Th*~2& zr^wj@*}A78m__wIev6*3rE!+Uv*>LWu10@}4?$d*VzzpF&v4VP+jrl7F!{&#f82dT zV8!pQ7DzZ2#UdVE*J1JmD02IH4&2E36+C399K(O$ej#O0`WIY-zcon2Dks2VG*#BH zC4zBtdrgUUv*pP^L!KB`_$1|SiO&dUj;AF%1sIKC$KacT3#DZkrMD!pZ_Tqi7jBJ$=CPKA(G;Z&@sQGJuSUIw2N=@*AUh2N zLRR<_B@-LdTz5mvhS(TYHv6s-=z?no-=M80G&h1?!hhFl(IL3WSLNvEd0jUILgqz@1><;eb*81+ zT|I0m@xfqv+AEB=ucxw_bhtG~eU@=z_x3^X(I=F=5psyPj!7|-Oxyrc2&JP*VEq!! zwba~1bM1yU&9&Nt0p(dQCV=6ox+)Zof7;f+cB`;53Th#VDdL_4!*Xb^=w4<_3B1_! zDkqTltT!HPHr+p|vuPE0+RKa^?)uE~$#evyjp)KvrZtju;%1yMY;a4U7@snV z0($}9soI8X?;(HdW%m*k>)jmBTnV8Ng9^u^l-Z@5R6-~R86}jUiYEbK>QBOHHCepe z2vDn6o7WzIdlAmB8*-1+TcwlXp$LYwoeu|xfN>a>n+rsRh8}b5gJNt zARx^kso2%^=E|n->8N{pdw$L0%m?S|U%ns$2%ASzJ0MsXu|Lpd^cQKBTn;0^Brzk3 z6JU@I%W4dN=f?=ptAKdPA5soa7r!TMZX#}_30b}ac))$dSk_GAwl(#sFyO?Z7uZ$v zHRK@J=b&xfK={4AJU=~OEm1Z&_(UTj`&cD*2iIiRTHGP)E|He1g~(z10v|jU!5T+~ zxY1%PhUA^2uF^K4(!9Mnhn8wOIza2<5Y7;!)~M%j0i!y( zxnrzlS&TynX)-5^Wfl{hH9BLX4F zvrQKD_i-|>wA8nNc#tGtG5EZGJ%--COUAArgwS(gxQfSeD>5?9gw-m+R{CgH zo`kf0OnqqL8~GXk$H|w{o`{Z-?G=Z5Z293rkzs}ZVS<1tU$y6=4p1OP1z)ij^$oxUM=ndZ zine|f?G0;KNek5;d_8aV^=|i(mQ3tKaS` zGsmYF`YNBR*3=|jsam@D^&~#7l&qq?@^O7V_2V+V2=x!IE|;s9z=dWDQtw~{D2t^L zVM^|5rBSD7M3l6c0yq!HM9W_Widz)sVSu>k(!~!*Ic1rWqRFS0Wa%b3>maks7saV+ zA);|gb{qAxOyeKtg3i$ZX?BhHH8zSlj_R8uYmX%^D|BS`9wz0N2Xg{x{U*SFzWj^O z7pxLGue63_vP|rPMyJj1W$HY?7v5Pa7NZfe;WbZwzuv%(qT_9}vaVf{A*$K%NKL1x z4Yh)2sRJk;FT|Du-Ugzj`!^q(FkV{|c#WumKVJ;V>nIS%}rl z9!x_Re@%XVZsKZ>%6{zc%asV@UNiC|1X<%*VLK!Mm@Z{clf#xN9AKmDYw?XzYZnT* zK7&aI$EVOArN4iprP1XcVdqkqWrI&ud)$NuxqRa#31itDn}m^95bt=DexOjoZ(r`; z59nF~H`limSt;DJElLRl4HW5MZ1u%_vyp{TxR6@>YpJEFpmWA64BQSjuZq#rOD2Z` zZVOcD0Y|l_jINw1g+MSCalxjrp{FB}KH|IcZ7y3dxoZjO*Nh_0lbNND@xY6#ITAE0 z;pSV(GL%qfs%+=sOspJyJ-^*KR4VDC80cTYYviPYUH zf_w@?H!r0c$kat@P!Bkpb^D!wbes_0n2D+bP^)*)dF66=EQ2JgT3zL<(QeY4itmh* zb@O_?x#_ZfosF`WJ|@xp5X8sGj2-|yOl+*87jY7DwjxX->KFPKsx62+Vgfh7gah0N@NKcy4phYM&K8Rqj-Tw9N zd#Wij(7!+2BZl(fK3qE8t?Lw+e1KWzTlnHJrD@o)&$rjK1pG%1`Pk7*S5hzoK-%V& z^x!Ts16!6Yrb^H!5H`=|a9-_d6@teet@e=_PJ&1{y|-dR(Jc&jXfDxY?$8Of5f}d( z-wx=!y0U1=C-{_i{0H%d#4=2eVbquv#_hJ5-!qsbAUN-=W-zfjeUtHEqB6fP+_nm1 zQv@%X+PlcIV)FpqAvGm~{KysRuvPn@k$Y>emjlUSU<3$wHA+Ro5TIwc$@SMTEs@HR zwzk}HVrph66>v6|i*BY9JDUxfnHCGf{A-~I7?JR6q4c!cDgqWooOUl#iRTPN@fAvX zK#Ntfd5d!3++0p$6-odXxq(5rSGaBUvh^_yRjQ&Y=W?9*5DpU@n-EbkcNB~^uyJ8h zrR*e1MZW#|37h@y@$uo~+aK`#Z4VG!ER9?L5M)agq1%5dSMl&Zm)sC#(F_E$01Nop zyH9^p>L-UWh`wH z$kIXeZKz{-zc+A2EEb4EMI~XB6GqIM<#RE;r`9e*fcxatQFFNi3CZT>cqhz}T}H$G zT^e-B%&29&-y9A90!I;kgT~d#lEv2Dd9>JLcOEV2 zA$hbk6CHg}xg}o`iXdM9e1kKX@>!lFLa+N_*lqP~By%|OISdA`m)$H_7*a>0BR8aS z*-i4hSpb8nT%2cFyC(kaHiUN3p|_5kAUvt$g>qnMIRq&B+2XqPVxO z=>bs--S9ZK&>ho4m-Grvt>C(cjJH&!CsHjQ&qRJT)bH-w_H1pYyNlVg%bt4o>~ggK zDM$0$U3vIqFth!Snf=6LraR9ldDHIf$T~A{nrlY28qd_H?C)~4-20Rx@y_#PvBNJd zZ5VVbt;TAYglgU#g+mQ~YZwfvP^jRR!nfcDB@eWhXn2S+L4be z=Kz5+0jCilyR((VL_M}WBz%-Y>YUIj;Il;sPHy4a9rm&Tpv}-G8X~nwON&QG9Cp1G z^NIIpUb&1Z^ZQz@`Zh{N^Yb-iCkXALlA?~RJaTmFhc*B^6;#tK0sITc(kzNK!dZnm zI=H?|Nm9u)n42nXT91ZLYYQWExosD+ZB2q`#vKeOkGTfNdIc$i%YMu`Uz`sQ{q%v3 zIA6*Tg;+WbEe_RgcN>LRlVR<cHYqiX|nfqK|W$i$fm(J3M8&5x4_v^iH~!Y+eCCNiPrULHuq&;FPY*bY)l+! z)fP4;)4giYWLmK(NC8(xnj)DnV)ZULhdq)e8h5gIwD08IXqQ;OF42X2(`~AVIF(ME zCmhHIj7zkrS&f$~;Wap^cXx2HrLUW;h6`#ztI6pVn*5HuEt`ccN=mf=SZ7an=)g1Xjr9Cp$Xx15ssYbK?h~*go%cjL~ zqoK0Q^$nm^s3m@JyId`iSX4c@YNXF%+q58ZOxUh&I&Ea+VaAu!CzOaV$POpsVRkYd zx)desGv-UltEZC?Sb>>=YgbazK=G(wcXrfim1nt{I;HSPkY%Q!g4L7s`0+F;V()BU zBA)mhF(cWQX{+jo+mkDl)=+|{W0UGz=BR=jw|&Kj*t)I*%(3|6R$ktO(nof&V)NaO zM#H06-@@@2h9yd9NRN#nX5UcpRS4$*sX`tY75GrZF##Z2HQY;b|K<5rW*VYPi)12G z?&Te@Y*wZs`4cCK&sNgJ&|hk)hvyr~LLKZ-3B}2~-A!gA6R=%wU^sm4%lidHzs;1*_KxL-z9(vwagzOpK0o7s7;T~mz$2XWNu^tK! zFB){L#U|Ag@BHLSWA0&vmt-iY&4#3!7T+2(X)L|cMP?BxR@@taR4a^uzgn)5${Jp- zkhu6hKnL*Ts}~%ORMJfdd!morI1VVh?`|=jOK=gAqn&0Py52#*Gqss<$4-$M61|g_ z#U8pq0=!GQAm-SMl!K8-`SR-4>oUk$2!%=j$9*K9&qr?`_wSvQo6ms?5ctb1{0i6CZBywZ_hy{T%&ig%T)ph0={Cxv zD2eGd>NwupO-h)2P76m8^IvJJ%zdqw&U#!4BeluC(fqE>;K^fh{~)#tHtR zMZ9wy@`Bf4_j14*#j7Q#D>Zz*LEjZ(nqVWONT6}7N{il-nKwvw_*4{bHk}YL%95YJ zfNCK{jD}<8Mq;nvK9XOUMapn`m%uiLJfh+dZYVw&Dm<|t6dcWQ!Qxjc44e#yx#f{; zS7{D@(Ne@=M#sjb)LN5%Wnx$q+FK&MKDygQNYGe14eVyJLXMA;Q}%VyR~uo7CIxIl zmt?#}yF2f+mubzHZ?iwMHA?R3snl!}-85&wL_xw@yvW2Z$gJ%hiR^x;nb>uj z==HvRY*x0ELG1kU^!$L)a?x}e06avX;bY;Gi zgU+%-Ll)vXL&*in_-)zMlo~1>uMrMP)tEc&7H};aRFfJ6H8M z&9DWy^hBG$#p1;&KZNdouAYP7kl2BrgdaGkN>p#r6qBIjU zbCKDXt2U;~cvGzw*Y1%vJGcw@sQiN!Y9rXMwtx!i<`Y`NKe!a1BI%7%gM0_~fF1)t zeWWNrCDc}9e7L+qBU2Jb&IJ4!IXG{2%ZlWKk^Rc*-lwqg-mX_RZ_nrJLMjrL4*divjSJjh4UR)Z})HR?G+y{>%dbN9BA_#!Ods^g#Tjm`ryamgw@9m zZV_c8x#RKo)Bj}dc$L%|sf#fYt&#z`b0&)0zW@4f<1Q}EG4b)jgjt^OVh+L4F9>&%= zz@ViH)zd?X5>Z*mjE}6>uzA1<3!6in+IEV_;f@qXiO$T$#HG^&KUm#L(gYFK1ASg&dv2T>(>Aw%`Z-6h$1;k z&2d1kk%hlgx!LzcdB6#sAnLCx>*(G^8S_A)!E@hoCAmv~KiFsrtdV^eWgkC%+IGCY zE=qZADi0~9-B1x*jbOz^mtaQ}z~u-hPl%@`==2mK2OgUUqBl*z zPh<&Tk^n#MPfD@Tzz)U5@~|&%P+yXHU3F({t_kBf4f-J8sdcE(NpDxB7g-rMx1Zvd zdiC?H#TiKVPFZR$5(CHZUko?9RvBU5s)I5M_fgfkiUb)B5G`E2N8wz>8Muaj5!tp| z2u9{Qy?>vKT*It1Q|M5{>X=!m$V;H|eQ%RbOSOZ{jJHriUC$xRCxg>u7Kef!U~mL& z*RNl%kacMgfx?|8e`n4{kp&6&$_wd_w=&B1!PX`Fe3?b&(Ijqs$Kc*W}1eLJMEhWGN;rX7{{>0;t2Wa;z>kzX^Z+{1(&Vzyd>xFfw(uN5a>2lCbiN@r;{gxbN&T6KQ2>}2i&TW54 zL;U~|=EJ9tf4e{U@R@+yXCFR3e1Ak8Wv$`R2Cskk`tbSg5$g`&NblWlpAGERL4Get zjjIc#9=MWU2WF>Dd3X{|rVgEr{p`|e3aak~paQd) zNl!SNUxCIWwW$eJa#>$X(McJB;TQ!B9x{|=Yg4-buzs;vdSYIaZ-LKw@Fbn;s&5XD zoqY&utr<+M3d>9rm_*H;(aBm^>7+C!V%g%k%8fV?!0&Wl`zGyJ z8`wO1f}M*1zy*!(5>jPws?$FUefj6msYN&&9ehizi&mq3*0@4_R6Q#}@ zGBjaE$_ph*MTFz53MX}5?zX~o6wBLbsW6akA6?w+>&wi0WrI#-w&B$sBNKwOdnS9x zaRSI{W^!+}=yM#y!imfCYvKQ=pe@x$T(3BU!UfGB6AlikUH zlnq;GzSBWRpXoo5lMVcib*J4gva5?eM0VTeg1LfT;)wLE6s89muI8T5f+bGEkWMJf zg7iFWmP8!>BRMW}gKMa~sE|W6JJ(RQGR=Dw?5Ty=cA$q?q#vNw9Se-W2!cp~@{&zV za}y<<-;X}%|IC2|C~|Q}=G#C-pbZa+?@uHPX|VmII*(=z!(ur+cX2OP=LL;t*Lx^D z@1gX~LlCj`=P&uWU6W6Tcp@)jh3ukjH|f!mDK*;QUMGYDjx=kr}LBW!IZJ2AY{Wp`MK zblDwNVlUc>2DUft?{hd8A&E39^dWNvtDu;^gowMPE)_-IhqNvu7gS3|{FstKkQT2S zX=P_htyyn$C5Xx8l*|D(dH!O9B+Z1C=x^L}sBU3~BxFcF+n!z_MexP)N z|N7zJ8{KPAM4!UR_Kk^?KYY6T?K`|>NM^XYI(<6$KmNyH2!)cOe>;G#03CDSj32U7 zrp*$eYN3>xxL_kl<`qH;U#x!R2z4e7B}&HB!clL2@)3~3ZUpMn7||zbb&=hJWsJC{ zBX`U+X;w~8Cut?hy^DMSdYn=+-cqAR>BGeh<_O7C5MloAv4edPVUn-Y8%2W@$CLQE zgHnda=IN0$8<-hL?}sMj$mYU731TK<+;v>9(0;pzl0Dak*xPVj!Aifq=6y$-D+ind-=K6{Schq<|S%H?vWslU-IR_fH zUE!|r^aT=VF`OwP>+MU7Q##-vEP=p{X&^4ga4+S8pjDvbF}OscP8wL1Z|i25XK}cIt{Bn`YCIs5stIrbm2q#u@4%foN(m$q88i?V?LaOwO}%sD6~~X7FQAc#_=gZ zd*+MQT7v|RX~Yoswbr-H49zz%oq=WC-fYfMAeseY`WjuWsdbV0%&_`tLr{2x?*soX zM?;I8MU<@lwvOMH7@Wq{F0lmq8!TCV4Iy}XZ4pmdMC%1|{@K05WBYAA_UAz!v}@1j zer-LTM89d+{sdSrDMoTB#tef+V}(yuyK!EsL?r5kSp|fYAlhMKO+$_8WBEgJp400m z+s|*mKRkZ@$Gh*_?~kaCP%!8S35a7nK3{a{T?!%qsjSMBJ;*e zwql>#Q6)(pf#phZ5A8Ocur^-ws2zio(`&F11srR*p#|s|W;`=D5(*8wkQPXROqC_= z!Kt;~e);{KrNS?2*D@$oCGBo%^Vf~r(KqZQxjs&ieJjH0d|xFYVh^m`>5F{to} z�gx21GY~b_@T7j0Vo@S}g*Q=RLHngzvTw(3>5$aVQ{7IZlOZMmDvKPAzBPzQvuIqHV z849N62n@r+!!(^;EX_~F4H0<4tusS<2Df=4aMJ(i$lgGHrU3@ftaz09leyeMXVSdL9TGC5X3y6Gl2^6j8Z-<+Ib%S7wO(yK(}&oUiec9gY6%N|zvmTnU(p3egQY)9e1UwzMjTpvl`a1OU$_ z6x#xG0jkdtX5FR=XRdVOfb}daBySa=QS8;@XKWtBRk>L_U%~%n<#@KpkjKrYk_`+q zFI}|B;xDpBnI<#%HQh9xk{%@jJSg1)tI3@vjf}ckj2~?>^i={&RcxMSH@v zd$9u8ry7E*hlda|4iQbIiJy^yAE!ocAy~h;T2tp~TMp#9^2VH(wZ$?g2E2Gmg46<{-r(2=I;B+oIX)gY

    d9A($2`3J( zZlgUJ(CE#Q5bj=FG@Ez2apeJ$`pL%Wc{jDBY7+T$HOtoib!zp~HOhQt74L1ORX?-6 zeJ#eim71j$e2s&weV=Umj8j9zqLP+PbhlAQP|B17@=wBWt+6sO)owa3xtL4}f|p@= zzRAW_@1SD~$n+mG4=A5@4yoFjT!qMX*aTLWB8+2N;J_;3 zq?z(IW<*X#Jtq6LbkNKe-wY!{8&%%ndVv6r9LQqg#?QZ)U72Z-)33jzU;lEFen;wM zwOgb2FDKZdOlU|Sf!p)N6QEFTC1Kr&I5Z82@J564^5UbTuII_EJ#aqlV-@g3g=*z* zfyn~mKKNp)L1l;_iu4H)MBPWHa$JO{v4gOak>xYP6bCmsXIQZXBsP{`jfNabmwF31kF=3~h&~cG6C|zsGtXUJt@}J*t zKEC^#FlJr1 zE8sS4aV#9m{>BXarrSac5FNAlie~Y&g}qLR^O~5>gg_Y18Zo6akCF#=EE(95ISaTk z)!oq?2DavKz#tBC3s=rJr)%twj&2UI2ZM5AQ>%!cU_x(7Hp=y@on%c~M~4HvPH{-} zHn}#_(?OoA*|)%AB80`bj&X0JXi7-A#&QbhA{hK%;Nq`d_E&cr<)t(*jHiP!z)$#X zG(^I&p_Yp!Tjco*Q|5MqitZP1=TcbiUiUY&Tjd4Z9cD?n0mN2ST41dx#{q00Mgf8j z($U;%(cQ?jA&=XpF?k*{HZESdnW4B015T>8h`n%mXRUH9U&7s4V z;tO5`-kPXFo zkxry$Asz|Wr^%VJ2oe&b=UK(}Csq|0+CW9pCT;X4c#5`7AkG4}S2GUSw{&J8((@Ln zf7mSl$ezlS`J=u>5Y15vm+hU+Bcy^5e^rtAz-^CaA5o{MVk<}jh`1u*+teX?7tyIC^Zc0Qi&h7=%C?vaRc-yQBc+^euR%)tjfms{$ap5$E6}q5AN*~&v)>mZt|93AjTHg^2z{Thm_`FQXYnBB@pR!RKJW#;}VP5 zF#lS??^>-&CS)On{DcuRZ>vdsL+n;FGJyx{>0wNx(>M{@8&-b6--aViO6;M~-KjU97Jah1e!5?6)=l}nJPG2AX`3D2-oVdXX z8RroGj7Ysq!VS+gA`JNL9ziN*+%@bTig_E&T8>H`e7YO@LFSSm0DSxM{1WBk!eCHu zu83zn9Z5Q7p7BJ<+t+Ji>$7#0Wok9+9Hw*KxH?&T46fQ*8C$)d4y{hGGY3i&m+PLQ zX3~aZiKnRXoF9d_*filON)p7VF9SO29PYR9!Av3c&@FNAF!$eHbK;<$VB5nAa(jVP z3=oW3(JSN8?ZpeB5_2~4BxRO5TA^d(K+}oK&JS9R!Uaf#Ud9C{biRmXi>@D8J>*<6 zc7m0~0xyq8)B0>AZ zQ4H;mbe#-GOmM(CvsGf^2Hp*~R7v0_nJiBy3_YTqK`Ir%GJ183&@aj8Ii(6X5_=xO z#1~Wpur&b`yM`)o@F(C)a?y#Jl&Di4K1@#Qhv!Krn}VZuIt}F^+PRV6hXnBs$3nh~nqbgoD|uqBHGKs)sL1-N>4^j6!IGSnKJxz?mehaHAV${GC`sd6Th!{Ou@ zHo9>$Ztnlo0Nb{K)ogxqg?Gbj4{;NIqMIe?gJ&Wqmfy2FJs} z?D)wRL3k&~Ujvo)%{f@U{|!a_@&*_oL>vA7@e!~eEDvlzJU<{gvTzRA#cGYR!vIh% zZmvYKf;5OkXHu{pO8NF2^0ubAJ@|I_@CfYD`}+?F4tb9+8vM(@40w@0aR|{6pBnLq zc86~98TE4*-BMJ^O?E|;EnOEb8c{Lcpm+gFAu*|&+XTIbJDsm;Ozbun4K|oTCiekFZ)DEwn3OWn2 zICId0G(sD$v?_)0o4D3eIggksKSa%c(QTIxwr^`e6@Wd?-r3tn-)wtH0=iZ=&_i!m z=5x0-PT*_I70PpoZL*Cp&6<3fNFSYhWz_r_T?#u1q{1NWl`mH>S+KkWWegd3WOxk( zYTs^v!E;7-(7Ikb5b#_eJ^W7jYL&tufB<;i>%tzE25zk>kU_>W=?-GQjC(uy^!3Yc zQm_8y>J0KS|J}ZMGsIDgEoXm8K&1UHo@@I(x~xy*SLlq_s|9%QYDqBQDX`wp5x%-y zU0xwrks&Fl25BkQ_?yKelSKzTsifi7)+G2Y#Co7OkeJYVb7fw8I_eP;mSswju2;~q zZ2N5(4(bUV)XcZA{qcpkMzAYoyq1H(_iw_*v2Hmye@?1YBJgl*F91ql4Lt|cfj&jS zWtW$nJj=d9muh-CX$~VUBDo!jE0CiDvnrwX`VVbZQ>@Ed%bK&59BXfXeEjtI@k@SH z#r~{zp>mQi&6{I|A2OTLBSd*g{Q*&~8G}TOTCtyX8&yz-J9_!PH|bmJsHjf^x#BXW z%p(UWNKM+wI1wLCu5BG;@*;~6Fj1HU&WHS_vsTELfpE%U35?1{IC2-5FkCWQRx-#m zU7Ll!kwlbz1GjvUg;;MGx3nN$p% z02C9t4;?5rACkYk^k#;US}srAmsMD;Mw2MtmXY@fTYJ@@b~fSf&CNPm~@!`k2 zM`$U3MM`(t)I=zbnYK8Lb|&yta;2w(pZmUIoo>R{a;e{heYasdgS2ySSI>e)UgL8C z&JypM^T!BB9)@tllOJ(kn2=XN~wh#2uF zH`lOFu8w&cJbU--{j>XLAD;d8?61#0J{{aaIed%%-r>LZ`0pP7eZYUe;lID)zmLKR zI({qN_Mo!TVvc@D1(gk~)~rK=mX8e!xaIQdmcZMsAt*BEM=67lBV~;;-HbR_!h`w( z>w=rM#k8anu#Pl?zXw;lyU+rVFZjsB#W{d-@BEL;0GxBLk`UH{PO%$wc z?MfixPTfP1BC5>DB+KV4hU|hosf#SiVt420BwEINIL!~|DU&zJ(IUq8u>zb3WNaNS zG`vrwDv8Jk2ymdu8qCRzXIknvE?16`@;)JQWwqq9OhB}f3bm4glF9S*s|kuC0lCqe z37#dM0(Ktp`c)d7t99>_)F^&oFAk zR!8n9*n#@w3br=8vxG{Q-C07V%hAqsq|#+~Hg+A$P6U>9x!olQNuS+G-L1?1$4oEw zziEG$qvhVG93c#W)*dXF<$H7&kKm#^h#F z=uSJ#q@p6L8%B93^Lb`>B#)6N`c%+Rt0Jx(@lxx;?NIp%fxKwW8=ImED;io1vfU1) z1?L%qgEgWIq6m)am&@hz=PzGw5dN^U+1IPmNfu2FQ$>`0C}_a@I@kpnhFZCY1ne?) z3`}7*XvUKq8||vYKGd(q^-!z`;mM7-S`iNF1S4v1URo)Tf34L5fuZjX7P8OxTR;+_ zx1?OUr`wY=piYX#tu+gq>uMT{>*v@LK}a1*Wq= z-)0^)GaH<4UY{>fI~@an?TtK(XwX!;CRkQdXi>ukVy2wEpiCb$5h+9xa{pG_lo-yx zZ-4vr_3hm!Mo8X2JpB0fxV@JW5$}NjeE03g_T$5UZ9jfb7xhh1m;jOkepl8wgT(aL zyYG0^yH7vf-yc8?q9-xC1Zx~GI{69&-bOrMpIo4RjC^@hm{L%u7|RC~n6!;U!7&j* zAQBU4n{?thm61dA6Uo$#GeG@YgHvF;SqOlVxEKgr45#fXQwf3*7_;S~O}b%|+XnmVXX46Bg|gi2CEuCe%RKYOToB z^?qD;XhLMMF%ZvHtPV8)JWnR#!)^5v0@|KzmfP10)D{LrYak2UP9UC&+qGk5l$v_- z*C3+SHm7Jm&k@858irQ0P@v|~liS6ZxrqmG`on9)t;2 zguQ*H%}4?(+Ozc>u*xm=nb`yQQElF2tP9`L*RqqVB};(9@MxlM9V>#slUgllc){#i zdR?{?Rl~hly`l)HmKrAt-#2*|p$LMO9CWMki_E^MUoX47#RQSG0df3hMM7liG+7O0 zgha+HFWso6tseRYqksagZq}#BVHRWT*m_p&o-$N&MK=OUAzQEC!0hW9S1r&NOM>*E zw}rO}OitLt4G>hh0We+nol$F*MMhW9qrpJ~_!i8x-dy3PJstTDSj2!uKbXG@XBVOp z#VMg?6^d?!^^foY&c0~0^qcCujMSSdv?1QGHB6G272<|}1;U7KLVzX)kjey+jj~CK z$fnIu=PkgzFRVxevTx7_C6h4Oi_+EDd;_@=opFANLS^SSzY0FXfK-O-hR55SR02< z6xTa1MFmb}tQHH}e!R%Rp%`~}Bxn9GZO1}$I?QI?ctW1dKd5yynJ|x}G7ez>39)A& zAb-ZhrbH}bHK|a@b;4dDzcL7Q7*0&dw}3PGY_%DTJ5m%7@t&ucFSRELpN;n=7zvzw z4Dt07CsiiCSgu(s)fpFeRB2e2FMu~iPaiVJ@BjS%c>lT3ji4oM-#vVM{0K!JRXyK5 ze*F5S%%VcKmjp-1n?|>?h%I}J9vzn3&xLgOO2os8O0zaUX)`vm8S6&-;X;h|i4#}0 zoUvkTuf0eS^l_kg%K`=+H$&gI8#(6WmsTlOAV}8$Y;nC|{Xz^7q?_9}@bbW)tc7?Z z_YJt9{I8Y!h6^Q6Ba>rfD-(570#+MfwaNK>=Uy3OoHUdcZr6eU-@s97fTmGpLg^A4UN@xbKiDH4I+}3v3B~aXhuISI(t& z4-Qgwu4XhrO3_qZa$^?uN1?=4v)*XNPUN**V>K7QinIYouE14Q zN~F6F0sUA6!bK=mcp@HV$%RR#3v8M31l}U4*-_$8!(y*cpOSA+8Z@8}g8W-e%>N^|8CMo<>C3ZB*TWIuXW+S97ZBo4!BrMwUzbeUll6mRDUhu?87<2e9m^JHzy^^2GdvT*Ep{=A+XGyv*>+xD&lGa3 z*HQGo_!FAHS8Bmsn@RCkap$JIbHh8Uxs+E|&^9ynm>HHr-eDIXJs^Dwb+A&6Z^RUx z{d4^%hQT5Z)@d?Jga^PI4+2_sds_816l0YsUZ8UDd`+1=c&F2Ry_3+9LcGnzWdltG zSAkm*8%}D#uEocSPYf|W>AIC@wI2X8D!Ggb^dM4oO^|5;Lr$>ymX$#T18jXg9F-*~ zzYeg>r(QV@xaH%|Q!_IED^AcBN4~4WCy9Mfi;%E>vnA|p*-Eh3fjGxfI)OQFr zz?`lbffz@D`Lo?g*RH~GRErtV_MHMBDGnjFUxqr%^tLRz5?zANCRGQ~l@#DjKbv(@ zRZ~frC4_3BB+QOnJp-0;)PrT50?P<-DW;ClpX8frwdhA@y9TUBj*Ht9pcaDpb&WJd zH=)`jE*r>2M$j_}6PW}TwxlF2q9PbO%*h4FK`<*q`QpnBXbj%h?<>F zGA@hN7}kvDOIo=YZiV=?kP%MWS@YdJ+rC~(2<_WkK*$z?Dey8GzG%^v;5H!%@&I`a z{dvC3bEH;U^)~tjEZE+SRI+KsDd4;+L`HZcpjgMjriW9uGR zhjiHu>yR$HVI9(iunxoPid1P?qddY=HeE_Qq!G;`+urSf4 z6P$>9qzEpqP|b3SX~*t1UybFMi-i3mg{ZOIr6_rhC{`P4YO4KCsQwDG2AYgvWwJL= z`2s9lHgF{H%y(|9>|T)&WoJMAL^(uoek=~&!TAW}|1laN#y?^)?Y zigKfClOl2;elgdsG56RSbTGjfnQ^ebF8USysmFCb+YkzQtDb%^9+Ru9<%v%N=pD&2 z5dC<5c8TN}H19$#d5!aYb#V9o{ll}r-+e;7ztPY_=OKu5E`w$k>@AnVLfCEbp3g3NsheW~Yln(DJ z4yKzDebe7bNda8z6;wok(EHdHo15dE2rzaT4bcTgEnzn8WCaU^gyh*xcJ#7gbx0hQ zkuH!epA?7sqA_zISR+X-N6mJv-#QvYhlV_2=Vy~ul=I`WfuW+NYYDiI|&BRJ}uX^Yuw1%zCPLS9>wjZF3F<0#FFnu61B*wRU%3>3Kls zC>ThyzPQ}(Veq5D^Xd|s&T8Y8k}2t=Q*7KKtVGMY$Mc&m8h&zEmt1B`{Mv{hEF z)=QTTKJ1%wl<)Shko3wA6zMW%TacqwJuMMMyA=`S2;| zVK7u%OqN)j;|Y(MYHo0QB{C1z2Ax{IFR#d#0PSS?b5V zS+>SMk!EWQlY~kRo0|>t$K!V-i&s9S7}GgCyIeeYsW~Bv0;0nqWbb4}EjtJmmP$Ef z1IEFFFEz&|CpM0WQs;InBk2HH-MHg9>$KXhS1`Al-7g2-4o8q!gFmWxk!)8n|Ghmb zE70Fp*T56s6R!^25p;3+?T?ZJ03cw*i185_K_$|u$UhOxpw8N|abnGF=Jm%FzOWCzoNTpVWO;uWT5T&`q;diKW zL~T|_HQxeiB$h{!Q$6ojgDQEJ`fEHy6f5b<;AAHk^UD{>K|1`MucjE%zhrc+fU3T(z>4CoHL_}>8I#r1}yqCEAVd^p6zl8 zFc=0v7^}*f8n9Mc#xnbhu$i3tk-JL)sM*G?wku`CusqkI8qnghbNq5x%_t0Ofv9Ol z{t5Ajh#;TsU1UJjk^oL6R-(|(PfvrN2A|0qE3CBIO}VJ<0-Ostg~uI-u3Bw7#Y{MN zvZLJns;4uY2|h>NQ&AuzI1+WC%c&bTF?FK6j@vN|)arB1NvRHO=X#yyqNhV`KKi=q zoP<1AguCUKD|&klhlA;HI`hnOLha||#n3}u4|#TAs)TpLDiEVBFIUFc>YyWo`izIo zIx#DNp*%df#x1WQX~FzpS(S&sOU_3K2)gHs9J3C{fUf+XvM>NgiUff%SL&1|FJ8wT7}z6{CZ*ZCN~852@5ow z*HC*Y+TM)i#F8}gV;rJtMOsU4up#5+hByyW9Q5>+L1q0@mQXZ{}>E!xd-y(JiP8MIZC+%`ZuH zP`li46h+R5#0L;tvKIMztq57gQvbC*|=)XV{} zTOqp-qG<-neM_QN7cEk9mEPN|NvVJ*vN|o0x`B7Wgo7{EH;*IdIrIc6RApdZq zAvP!f7>Wcia@*w@r+=53_KZ0|)l?kinOM&EG!rOBWOArkCu{ROsL`n;xqU;%aF z-`H+we`u>+_q8lF1<2b=gtnJDZ6smK+m#uT@XZpTwVZ4}yBD|99($QP%}s1VLfpv- z<~r4^c{O4bgouzLGNyq(wvrQq>Fu`95d}j=VJEoq#CUp=LMF4xDF`$qanO~X_HhnR z7TmecA$yazH$RcR9euErkmwLJE~cBTzD|YH*S6g(_b|3#e!gDdJe3_vb2S=} ztL!#ScUwP#=#|W7u_$>jdA1y}Cqqd=0k0iWP)yoE9b{GvR+4w7+LxUqJ>cqqQ6{#n zl9+E_y5Lm7z~1TYBx6!erg1n1`bT92D%?tZ+a-(EMIdq6V9TgE7G?QW2x0!ZTnZ_g z^rlP}mGltu4=0-JNG-J}9d-_X!Q}}|#9RSwDLg-8Z9)0>Yu0_!6}ED3c1ganYPUQ= zCCIb6+Zmc;9Cks@UT+CmW^T?wnu`};EfPWz48ql*pSVZHzzT&7#-KYFFk`0o+zyI7 z0g?n4Gq@eVi*Wz&MNDf_x(FFpv9{Sd(CC)6=$vNE#uhL6INc*lLEmguZPb;Us#sZ$ zOeg@FfD<;LwhlnEnNV7Z?@uf^%OYd|C4&kYUxO0YICLq22$3;>skq^A)SGyiR&7x^ z>y?bT4r1vx=)@qa*6QpW2QiG3h|fHyxRiFYG0!M7y^uI491N6HO0b=f8yo}XFm0u@ z%GRf+hYm;di<4m!`o-~JthI%7*^o<_erf+I@+kq2`E`9t+9qZdJIPLM-$C9aJEMJS zcRGKz z1*c1`Q<;YXHF-5svtN#q`fL()b6gdvlb%ypwQ$)*YMjIuECi`Pz4|jQomu`A{CZhn zy?Cl1Y6g3_jrH;tC#lW?8@n^hLXV^;ifEQ8T>_#cKfh3b;)^nIic)kbBI-#JtyD?1N#xMkkq4rxnREmMKx5o0rJ!Aiw$U+tEWOjc1FS zQ_?Wz!6aI_$Z){9wxGpj@mH!O*=?%q+)5|ixrjmf^cL9yk#qm<@yB;(u_l_u;E;EQ zI}*-6F$55xtNI@D?x`H}ME1|^r6s#S=pYcQeLLxRxR_tkx@@u1wS6Rg#-qJmP_}XB zQ?6gXMD&ElWY^z=9w{LFK%HI3KK2hD!s zLB~JwoZZx{gTCEA&xf~r=NVZD5az!3QRC76xgL+E`<4S;hCkD1?-cPtd*@m@{mi3& zrq9p3Z9j>ON1Cg42Nj{qPt4Kr@FxZhezkwL(dlO%^%H&eQ!PSPeuC8YQ|)B`MmwDB zr`W^EUW!GRy{o}Kd#8t;_O1px{mg@Y;yHWC>~ON5%-H8A#`6;VN>IM70H`YskxiZr2!?79lqX2*cL01;-FXRGA$qAgdgO>ooZ z#wJa;VomlG1O*b+#UD~=M1Er_i_$P8@n$L}%j^MC9QNuFin6KJ0i%<|Uz@$U)6lY4 zx7*9bRi9qJ^QoDLLR*?qAiXnmh7p$v|H1{tDrpk>ppXE50ntv7jcegi(ZK`fO-ZAs ziitr$i%b=Mx^BrB7t*b^u39d8S!XJevd(>alZG;AmspioN6ikL7h87+T(igSfNSX? z0oQH^MHWtRTj$2o+$&vgcT-01Q^cMj0Eo#koNel5l7&*NTV+`^#R!+h{K_vEE9Adc zYHnZFIrG;e=EtG<^NTscbWBe-v{`RA86_W$+r=silN;FqB0WBR{M-Gfe<~7KQNP>m zm;3wo+kYSz;8vsFV56r6XrK*=B2 ztZ^dSS64_q&Bzdo4}pDQzCPPzh7L6|qr9=xpzRv_R2DuKmzQn@8_NR8iwM(W3@s-n zzpU?9&p6=#bR@k3_G{1}`~?=$G;BeqI>SR9jndvAxRC{MBgqJo@Mvw=!f3c3%!NMs z6-GG=q{kq1!>8$?0=Um8SW(v{5|`{=9Cp zJWd^~9Sk<`o}G2V5fRJVZA^=sj}ELQV98pslqatvJ$``{Tssw%XdoZqmH&1ifS=^Z zpEiPjHgk5qLBd*#^rOFby_3P%W=vrtZP%i$4z z_A%w0I$cLkek;;lF+bV+-1?55a_n}!H5&<`+YXxv9zhZ!_FY+}2J)XZ>B|i;f6F{9lK+|-SZ(@=u9>-*L zBUHC>mhSk6tD7u6kt=;L%tw93yf|8Ru~hbo8QSWzW(JlsRHP7)^fhn~XYo1RrpgypI_XDk ze|$&1;O#%|VEI5T!R_~det9R{jcf+1*uGgW5G$N*x^AQHI%6N>C;@mhILaVNnl`yhR4&&F zI6~NeT5l-6Az%k9ujDDpD#fu?$CIo;a}>e4%w@24liol=ED%A46^dj4dZbcJZ$n8y zG(OUr$`5}@63=@&xH!`LoFHGB3ED_XD1Yyb*da&>ZS+lKlJhHLgcnzH&Gge0w3wpP z>H;T-ks`c5OtPQF(iH*p7E0Jp)-xe%&c8&}BJ;W8L@eVy^?~`t>-n!6L{noL5XccQ zOwI#fC4~Kk4AyPb1r|8S1yWX3mM@sQAcdHRWOD-?#w!L{YLZ)=vga>ZYzv56)Cfk& zI9Sav=>Ru3Nb_KV_b3ph0Q3v!-4!PSA89Iqo@9V6MXW%B_VX!CIX}xh>9Y(u-Jtwy zB_6G59M4|jJet6HfL){w#KJM0Mz{A_f>r485Tb(ZgvoC_YnWe#2SYp6sM$JdO*-W+ z(CXHqu*Upe$nCd)FsLpzp-|Y-&p^XC zi($w?wkjIdddSJTS*>0Wr;V62CyF-^blXS8j5a8gjJZH)JoxB2lN3D%p)M|NmjE`k zU{Wv>8?3mAr@EG~9FMU`k|899NXg9KUg;+Tt)z;f^-apMqda?_gGMZWLWX#5RygY5T6O#NH2C(bG|Q5bz;yP8rvCXs(f>y ze0=!$_Q&Hr5*8hN18nsE{j-61Xzt%1G*7W1Gow~F{?BErEC#SwwmQN|mdQb@R!M0; z)pm7J+QNzi-&sM&k-#2?Dw4&EY&M0NadKQK7Ig@7muM4Ov;k2VOGGpyy&#W#5L35J zCQQVDMHJ!K+-_75M|J{Djn~fj0ppvlkV&jk3JQ>0JsUtaSy`s!y{NWUHhsNcvF%lE z_i5Uf4NiEtbkZ4ir!R%{?V}`ArVo8*&N{m3cjj7AKmGnL4Z48m&R>o8~VM2mr-4O+r}voN_=tAlr+?!JG2hN6srBdkTVWA@0e zqk!`kc|nM!f$+ro1r&IhY>9s$+Y*WJ2wDm_8WUj;QwZjmN#@NQcZ)0~L%7eVO^gup z0^OU!vvFJ3B0WP|>j>0dP&Y*v=y(*o`U4Hu^%w($QhUI35w@Gf7}z)|TCe92c(y2) zK>~pSs$bTO2NT3EZXZ~9E}n0hmv-@7<@O`OdKJ}YgI0VxbMno z1y7O7fnuNDdMBMENC9LeQ%_VBcon(Y)LF?ilJK~GOFA&0wyL$X8)hmvW+<4;aOB1T zvB(VvV-Qz`6`VlF_406x+N0WogZ=gvAw#)eg5>&cEaniScwB2YFsh;Qv^sql?% zALSN4{*Jej%Q{M)%Ho6=g~OA~21E?g35pcKm5g6wSe3TQz-qm8Xnsm|d_lyid826h zXRw!S^Vn7|Cz)yW1_*t%tQVH2s~I}~Hx$k5WHvAhvvWr6relmI^?#rymGe1I$sY~6 zjWmJihkHb3J>1hk=L9%Twyw;So5*ma9wdF?!i$hEo|7MA)Z917Wa-lqTwbhVAoomV z>+R#*(3!UhAme5%hHPT~t~N-xMq56a(kdm&60>Bhu`-j@ZnoOF@+|IVt?5YN>Kdq+ z#5d@gHo-(zA1CYj<~Bvo)fy{fsdlT3#m^$-lYptLW6vw)Z0V%)c=?K=-2LM#lmq+v z07#xV z@4^pMPjObYZqyD{%~ZZ_p>0$7vRd1H8sBjlqe%`68lpk6s3?>PQAHR1bYCYSX?j;q z#^X(y;H$5TJ)nF1l}e+a+$m)zkQBU~G^aHqziLs5fvx9{#A?|=LH@DWMp3)(2*g{MoDE5^}v@a5Sz zx^ccCiT{W9-vv+mpFq<7Uzev#Q1IseX0Dz_7{UPow*TkA8ff3q{P|{X)u3Q;<}P4q zMFdI4@;H*bslC54b8xxCu(u$aI!x&d32HY;^Y8)|AH}$wG)LLR(xz9makQ>$+K9)R zI*HO-h^(oqK3WiU9}lC`h5R;|^HLq{c|<(42UW}v1Q2AH!WNe2ZhNddE( zP}FaS6%cL8{W5#vM)V9Mr{^ONh&UnvtIQlr!e1%pNvwoh8(mkkSc=rH#GR2mv(V(H zwCx1Btew-dNOWl&0B?E|vLL^bM((YvL-`y!v$6G|qu5EPGKC})r!V9bsW;VDZgKf) zja)A}BEjOx!~MJakAFwJ1_gF*?V?NwqP6G~Zj}T<+zX0;{H_&1icHR3&`-F|toq2o z9gSp#5gC6H;EyqE(MkpbM$D{HVJI{6o9P}$oqV?3T1P4Tq0l{!X1<4nkYTwc1WZ#) zk7rY=d7a5GNDnKDG#n^CHK9uYbZDx-)&_CC8|=z-MG00iK3zk)$Ih*}io420t(WfJ ztx&=C)BWA|$61DfY$Tr_NrOa%pW+-{BR?_OX!p9y0ku}XsoaSqOmd>50kU|vOcM@K zq-=y~ru`e~io8NAu`nA}+p~3qnowniV@JM}%y1k^Fj1-~M-HdPIY4`Wm{7HlodF|e zw!^U`qD@()c5(ug1%{Lzl9R^!9m~DPF|Mf(ps-mq(>nBK8qgav?N16=?S#16ic5&* zAvfeOhHidy&6tMq!QHdB&rrF@{~X*s8@zosc;|@)QAsI(KEoK*J~I9P8T%6EHjXS! z{S<^dVuG5H-6#Mg!HM13#YKu14;UaNc_ub0D2Z}&T8D?E-1bhy{`UR8cjQ%BpgYrBz3K`yKbblU$XxZTBPQ;y2xMo81Rq*hQ)w3(h6IV-oAzk(5pqp50&hk%Aedk~rQ zdgHjVcx-W+T6*y$0B)k~bDALz;m{hETGztu*(DnJplN#p+>a;PJBTI&zHilGSqvVM zv^LQp6TFz&RlV-_>yFCJggmxy*aKNPl6-`tM)1|1QC-!rC~}Sgr0AM3P%llQ*q)v* z(eWE%V{);>0ffQbw#3Em_5k?4xQC<^n-o*EvTULd;eeuh-FiNw>}|0Y3F#Cg`f_qW zLStO0`N+EvPBg-+s153dVBV98^ef85`tmH)vWxbkdzY1tgKs%PL+~v#xHuZ^U9Hq? zcIc(<^69hLN9fHUI5PCH5D-$u~@0;reXb^^%9QJ=+fUxR|Dkl!N|wy;H(t zG6n;_EdT}xlF5Pv$H&KX7&t1dHK^9xe+S~>LZ3pcU~$*mO&aA>9j!3+`aIo8by*Cv zoW-#;mb0KASID}Kke=8dzL!HFLWxxA2^5Y;0rmH~gYX+k)@z5o(-gw{N8;21>Y?+y z?16+W2fqTjeCk&K_dhl-@X~uCDl7ZW?pp*SBs+74^vSWs_sO!b} z4303?@PY+c`qZx%2a_|zkXmty>I~4wQ&SK#FH_383Q(uSfMd&C4Y1@A`&KE!mHM3E zKK}_O*E8Bd5D@pb|Ml(e{`R50{r&x?Zx1kX_I~)`2U;KGKkdzzFJDpb>=sGuHZ0d4 z{Cgkv+V=GfV&(*;cELfM^zC$=tyXI`y393;o=I}R(y^H+SJ$4vI$1RJmqx@hg2 zg~Tr7-|nZNrz&v%Ejj?XMVenU+2ZKQDEEosXq!3S87S=WavWxvbeAnu{^sCS79c~@ z0N0%76tiU^NOc||yJYHbzJ{IQ@FhRmsjYQ9J3UilYm7ZV-J;}2`x?pUaLf;yqoasy zXarT?!HrB>`l6nDZN9*zW717Cl-e3Nu82?B-IcLx_P|&bQ^UH(o8JV$alfF zJ6hIuyG*l(s^faV98l7<1ZPT0WM!bfcQxaqK{K9aJV;o` z3ibZ;mP#EigDLVW5KZCk)GnNO#wN5S8^-0E-PC)$t3b|X$UXHs%o0L$)c)0;rtN`b z8XI+71loJI#76M4dW{Xoy+*FY3GSs@u0Lvc4?wI99es3MCBJ6&QzLwV_O7~0A)mTw z0Z|F=ph~6#M_j2-1x8VgBKw6NMusIL`vqU32Q>36^8v9Op#+ipel>A~Bf4i2sSb4n zqzWnr%+a3DH&>T$G%jkj_v_=mp!oRf`+q~(m4EyI>2>?%@rU;FyZgtl|9CGYJ#RIr zwLw%Xs>gaY+F^tnk5{lKX<#lk3z0tf8>Yq2{CB`8D0>YdvYrF-70Vr*1A!B>lLsrL zHz+R2TLQAUV0nkcCNi?`&aroAuJQSL3dFbH0mTW6cF6EQAwPvml?qpvNQL9$Aa4by z-@utM)=q3Isxz!3*UKrRlE(~y6$;+s+16dXu()X~ttA<-yo5quV4T~w{6l}N>H z3++8peRA1lXl2k&bO1R#!PSarBtdOp6PEm%7TCbckI!0H|7OmzH(Z%>_ zNAn!C%douI`X$4PCk_=;tiZ~LR0+0Xv(UIpaN_7@WweCBMZoif+Q6j12#fSN7D87p zRU1=+&y;byX`!x|2AC|Tx6byYPb!khjXZVCcF~jVFv;b_?D{R*&OqwIfh`fy(;;%; zRj}&2vo0KEY#KH##*VS1ExL-I%+7Z(qhD@5f4*s%#)nTz5n$m0>6|YT!(JqmUG7m# z;`e0HcE*Q?J0}ZL#*kNjX4h<<5NnqsObx6Ak}ua^1yxH%!>I~lt6JTl7*(18ZnRm< zAb8!ObI8b=;z&6S5b^6Ba%NJSAWx`FYj_mn)sO^n7U)E2j?nKJ6f~!v^s4_tcTDb2 z;kiE=?6a2vsT&6Y2Mi8^0X^7v?+15ZKHYt}4Xk=ToWw_oW=noGX9xLLVqSdWs)HX# zPAMW$H9qm6>Vr7w3B)@HJ_srmvdIMEp#B76D+ekR)|sMY2VtPDgtZ zclX%c-GwVB_~cR@LhBF6_5kh5bW6M*{BHEYsdGyX3vrsOw=kmdq7?F`P)OLS@lV8g z_9m&Fd)&ND(i6vpv}kZ`Gn*go&af=97R>o~E)6Q?*kVGpKxXCp$NNue#}Fc7c@gvk zri5@#rpiiC1-m2qTudxLfi?Ld~~Avc8~<#ea@C zN+lG*+~=Y;smBS#Fg6ve$y{a4KKk**WD0A;5d=J9-sJ|%(~7v`=HVPvl+1MJ448KP z)3o@gFll6$(q_<@A}){O0>tHmI@Cm18i<`Ms_Dg?|IN}bsB!Jg*r+Z1XsjhQ(PVk& z0BXUa!Sj|z3)#cS)qe6jx|681;xFjsW)GAD&Xg*{dR#0T?KQx4KZJ}mL9qu2iBZEa zeetzJMfb{)N<;gWJ~bL=k=_jfV9M>RXB6v@BotO1S2E*+CM*S}v14nM5&eoh=>&Ez z(pi*j#D8P;aRPjwN{);6@JafF5ld1B{M82-iSkT1B+7P3`cN00`5 zzMsx!7}#^Rc$FTxzA5^Gq*~VXvp#2maw55+vY+(3bEt6`a`VOD2_%c2HQ8>BX|79` zgn>qQmE-XU%$tcDQ3w`i-7$wRI|Ic%%W-hC+4=Txi14(&a7K>qE*#4ly9>w43@IEd zM1cfp+WKAfo2x6{7Mv+AZIO;e0|uPHrR%BplrVi!4*l)(MF}aV z`Jx;qnp^N7T6qdEWWY6Qk5Zk}J<)DI|xPh(Fi~{y{VH97RaJX5V&WVT%Y08;g z*2$TrFH6c}tI=Y?p4&C4V%zPU&KHCr(%6nX5u{5`$(_Qp0a2bUSo26+$y|vYv-Bld z=>#;nY!})jRDXRUIR<{;Alwu5PU{b(D%{muh){J)AOzQ%3nb!gNK5i+fi`E}@;hj< zqgW9UNSJA|*~MIZ&(bj-nX6fA;oArB^SxUAjD%{aU?^hFQY`M$eJ>tgVBqh+-HXH5 zld|t`A8+n|K`Ci<{nqD+cArK)jeDY=^tw|r2{!+h(y@U0?ofdu8@fJ2#6*WhHbK5f zTD60Wv@Pn|i_{dy9-NJuw{PF>$gRhORkOb{XF;YMJU!*`=_$K|rtm{2&67WL(meS? zh<$kKS$=%_hkktehafZXL#4-mfpFFpFmz?NUZ{UxQJ`>u6785Fl~I$O4Yf{lUMVTN zSR8?LEWyA>KCADzOCp~F^UsZ3?B4eQTT8C)n9 zfzU4V`5*}!u2KXHi05dZm5>#M95-o*D_ndi4G`@+h+w`5@)1xkCddXoRAh%@1m=_E zV4HsnPY|b6?=>{lRfV2WeYGIahEvTy+9oc<^jdkA-2lI~t@C@&{W z_CZyJ9ld6z5)TvVH~qL;LxR~ZDabwwRVy=FZPa)cd1kBOvisqj$0TK6BZ42`$2C-( z?Q79Q7z|OOlW|ztK>O=GvS&UH*}7!!<7a9HFFxMgKRo_+bC1u@LlJ3MY96iG0tMwO zLGjT9-oqp`0*zA$62NtrLqIONd>K1cnV zcHH*bRI^4Hr5yqtg&F>G32!s{A!SZiR+>3VIW0PFfYHhc;G&^=hyLZ@TZzddh5(rS zI3`WWKFMi@XFjm6Y!`jB!oKSBxM}KhlLuqoz*~_ROy&?J3%q{y`br2FrZ~y~T}z4b z@pWSb%E#BE@Cj#z2O@!|5f>UNeWaK5obdD=V$a4I3`(E3NUc#?i;IVbd=@dZK)=cu z+5>c>phhr5H1r#(8}6Agu$0aB3Vy5@gU2{)9@jX)5@K(iy*^uA01Jx&^vPv^?D_Qx zK*dDLb?_3Ga?#n<$7&AH^vXy!WWi({EW$TO8 zE&*=@N-hT~MBJGY={KOlScBC?3NaTW`fzpU2b)gyjkrlt85h=Pf;DCp%p^68j164@ z4C|Hyx?y=n7m3{geZbFOKYT-someEJ9UZyQSsI%8PLM^W?8aea3KKYj1#Q}cMon$E z08)cloV=+hF%%A%q0eoobH#_i%?o>h9#6hUo$HZ&Tzzr5q;dM992xg*lshL+S8(q$ zn-OnnfjQk8RWr?Jy3ojBXc>I6bhhlXDM$ORc^V!MCka$~J{1w9*O3H^tNI)jAjT8r zDC@n~dr&2tTPSkD&S&)Q5X;979NNcasLZACW%4~+D`(T!kq zjdlcKJBAbKvW9l4?5kp1kzFjdAsqt+snIwJj&Q?*+;AL8_4-8jkO*AarKG?LVzNz2 z9D0yZYd)Pfn=2L`O>_)D(fI@w?PA;`W(Fy_$PU=dwjeJni>-?Vd3HFQGJIEHyBLfm z3;>k8y+l^RKwyKQbYG!;%xepRM#y!>j-arYtP7XwtV>)Bma>UZ2n+fN#Nn|JcD+Iw z>ah9HUJ@PQ@ zo>4s=8S7dcqpK;J&aBNioLHuW>VfJdO-@~^Bt{o%WB_T;q;UA5bj1hK6czoG^l4!} zo&0%FXEgu2qxve&1VBO}ju{1Xd8uu9S%!B8R2St}9zKg|;Yr`kf3qJ>)PBh!i<{Ht05#q*0Z=7JgvF>kg(8%@M$B(!7^d>4b zNvI!B72aF)CQoW(*_N%gZ&4TdFLqp>)Ywr+Nd`;evg5Uo48pBQAGTi&p)0;SH@IkN=jsv7KD6@t-2U<5 z<`3cth`A65kFgh`e~DT<9|!p<7Hv?9x&!%Ab;jeH9{DR}a29fw@fc9vrNrq-Bk z4xXixxTCpO)x?*S%uRHbq|VGlHZWMMV8AHG5MBm*TMShQt1?Mx%eFC1{Y=Bnd<|IN z8by!ZGV93R6BI*cTALv+DO4-+ypaeedW-|z#^|QuVn|m^5%U@#I?AJj-;&biZSbP~ z4B-|n_R<7UXz9piG%1U8=7q)YEkKN-Ba9&Acx6RPHs~{Zm-PzTdDyhdvU9xlJE;d= z51P!>-*II%&(U_Qyk}I8S%<3t}4z2*Wmh&X6nR1MtJ) zSXIt9u7{uLvItpQsCUZ|h(-VY(Hyh5bP_+VtPj({;E1f&(bbwc8lV+#nL-JoT!N^I zss_XT!5El{QZ?O1i3kuI22aMQX+ulhT#{rM7~IJo^-a-j5?1Q9O^#XH1oFws=arXz zox0zVWg>Agn3AUtSsQjpB2A4aLpEhC*$)XtnG=O;!o)oDl{|HMb#ewt%xA`1+Y*gq zx+MaxfIs9Kh?l{7&BPS_1s*{@CMRMy#p_dfQlM^QJgM0h=w@C7TQO5`5?gI%{^QBW z6>fv<&5%Xq2X#iF6tXNJw#;N2t4>~dzY+C>YDqRRE8}S^hja(E?BcY9RGtrR27md> zJN)+^|9!xJxA^ZP{`&?0{mlVB(3un8zR}(cya+f?s!3|xy|{)Dl5viT%#5>`on8HG z3rnGaI{mOL6XHitl6j~W=&T~CBVZB|ej-Z9$^;=R+l5gmvYFXEqp=4hWfmST`!+PSa)89@N@gj&_&QhHzl>0f@QDe|1$w8+u9BCQ06 z$+=$GHmS>7=#j}=*E;v&v14f#Vj5sO4k1=Xbh#iEl@lR{f=#Oe&6WcddhJY6T6Lkb z%Y;VN3v9DFmIdrnpQgudnGORBD~*{9>2&GE0z65-AZ*-Sb!hieu<|ex*?C_`QNtpz zg%xFxW^vW2P@yHBz;loriBPIHGclN0lht)m2Lu3Mk)PHnny4miry!jopBfJ(*jcv| zcHRn&%Pu$D!J#)}8xK=J2bDYy*pcmzhpRhOkwY%c=^UiYOjcpQ9(-6Cmy_)L5Vhx5 z+siZbmqm9J0TEJ-wH$LUQT?{u*ZBv6wZ#U1i6G||BF-eWoG>U}vt~zuL5?=N+b!sn zopJawWq)V1k4|~|F1urf z=JW8cAMR11@c!Yi54ZR4zkdGfw}+eiNBxtv-f!>kzy137!+X&Oy!LA-I3(!ktSpL& zazh#WOd%wZ2|OZ^ZWNm?PY50rZd2N0{#GWdy#S&nA!uv`&exxr<<#6WR~FTjIrRHO zuG}*FaV?&>VD&|%?g>?0Rp-i50UmTiRaNvv)@Fkd7ZV4(##u3{hYAa%M#H|K2|I$I z7ZL=m8-eT_Nu6d-?8#XcH_9r^aCRpeMyjINs53kSrlLxBkfg}B*6I^yeYFFnqjKCiXsKm z2L@32)QsVp91#|hUw7z%@y0ZHM8`31%5Vo=oLaPJs9%82A}*=5jKZ243;fg* z&72xN#vXdL?G=JPEJk|G6qgE)`@N{$N8IGdqG9lQ4&!$03XAIL=4MYP(U z{4@fznH!TTJYgGC-#`ZZgoKI~eIl@~EX*Ox5=v3Oe1qpsNVGDlB&ug_#&-}%k|aiIqQ+XJUw|yUt`)n`0KBacc1V6@9o}q58tuzLu5wbHRueYkmZ?%8P$olMYA9Cg1m3VHm)1f+~8{euJaiWp6&2ev3?O8vI466kQ25=a?*{nW@RwmYHdOIrqySuDGP`fo z8Bxz2#u#y%W+LSl$UpTf3RLSehWSxH9coeVj3?0@ z!3*9$;s6KD&bY^R_mfdMLzjx0g2sFp|EHH7x6L#N9P~ghqHHp6ug6k6Iu0=dw(c8o z(y5KhEwdLDN}*|q3K#OCV-u}F{z5!P6jhNfplPz20O=^@F|q79B3FP$<+vea+DFb-qf0MPnIh~=_WzS90c~8+wn*C* zcQzd-N{SoHF0gLDkqNhZIMVG-$cYg?dZrXt%ChYPfJys2<2ulUj`>z_QFSBZe8j20 z{ANn|i6G<729{zwUkq0mOV339hS`D#sPzTcJCm`no(xA2rXPLiDoTvOg$*%nLsn-T z_SA_S_n4tj=1+-gy9Uh?GV_?JLBoK~O-fXiw?24mK5rlrbgdROFh$gu-difCWluVfBELBI=Y})D3jd z4E<#JJAj>N(|P=Fb}@gj>dg&9o(B|=X7 zd?rh;k(Xs2@8pd6Og<=%A_KbI52>veP}1TWnf-kue*C-R^6J!aOzL*tm>d>w zU2O4=5KW^JpP^I`V0JyN76W>$<*0Fcv-ZYSOkmuD35)|K0ON@@C=lYiJwmUlCySJ7-)v4%a6u!@!MYH?i~_rl_&q8UnrjPCJn9r5KPFV@ zb{IYl?6+$I$4!tfv7y2>h=6U&IrQE+KZO;#I_9b za-sr5xjtJnX2w)EnS6-XT%TXY3?KMW< zZPh^#5={}sA5Z+8Ke-{c3@g_^2a*bmp*pQXVo!WQNiJbap$s_sWSm01U%bK&(X`4Q z!R)2p$vqG9<81q}kW;Qu=mC!OIf0^FG=yaa6)!t3W;1|yYs?at8`yIM>Fq7m~C(4h&y7P@jG zyQ*OqRdNQKV#EaqdqiuRn{qoCkvbb9K2O*b>^&5yv-lKClGPGh)p0chy zr@o1l2F&J#!gF<**~|Tt^np!xxm=FNXqZgTVj%GDP=&%Pla$1j`o_aVt%3_6JJDb% z7p_Tn2lV;qoGu$9IC@td)33E3Ki&NDFcK&#Y#!8&T$SV+7o+-h*gpYazP(KhmC9ks+ShXtTA`JMH=9a~+gYP85mz}~jo?Vl_z<#QuvQTH+m(AX{ zgUR#3`S#^VJ}GkQnTXrtO@sSqz9d*4hs}gWn^V62W-7zwJCCfh>@=}f(vMjgDx3ocfBIJSm+h$m?t;Rn*&H(VJ?!Lm5>o~ zpGeE5DVkKy*v^EeVxV_Cn34~s!lTCHiOTOO(lQEle^jkU0o2UsE;gRmge z3B}0~L^?98gUC!TRyH~tCbdN@USJk|%fQXd0VIg5oD^`6kR5|cEb@{=Aw!Q%b|slQ zqLWn;@A>o3=jY4i%a`Yf!;4{tJrn$(RPG}u1=zDSnn3_@qD5ub^@O_C>FfeA4k#Md zw*R1rRfV^0|MvLx%fntP9>{mrE(HGqVsm*hKZci*%je#@R1FK)?8g)YY9?OvKy0sw z>NG%gq_fb?C+3oBSz1ktTAzd62c=z;KM}v@eWxoU`jaY)VSB^7P7{3b(tQb)5&eq1 zjAyDMEC4xSI0rv#PMAS_4!cNH__@F?vMCm~r>2Se_K6?>4}e{BpaoczmVn=_z5Fh@ zazfFFK3ZSm&;ZAP+b3pfB~;fe=m%)qcVEALY9H^v-L@ZZK0VyFH}|*ghue>6z4HOy zSl*W*VV&`^F6s6q+TmxEiDqvsrp)sS;^9J)62S}Vq8Aad(J!01vUyFnK3}8QU|ch zfr6cuUv!o_YozSNI2Xzwd1~0sBiRDSwdl@ueH*U2tS+>*)e0GLyo^I=q91MKUE(8{ zICDc09S=pdiV?lHs}BiA?>B3#s)ZqhY~!t>XLKlU;2}0h*rZ2*&xGi?AO-M(DruJ& zPgNh48TveESqvSDe-4>X!VgjgkRPbd0CFO%75srn!O5y+)+gw_<(#7>NfaI;J|uPd z;r9Kr9F89d7>~?(p3ArEJ^l^A?fD?!@g@*sxf~GUnbr6>NHi}qpnEhg4YXI|4f6LC zC=GL=g5mxmMZabX0d&S>mh- z4M4G)(!0jZs-gT2qMeeuE9fej;U-z~Kg1COG=M(!d5AgOjArN3j2+W^gE+>2V$Otf za?{V@0+jK|>~q+W79Y%YATEaNCU}NJaniNR%WL+5m9{1yzJ7a$0+MP- ztA+-hIIMedy|VV@3|)U&))bL}a6#~?9hvTc(^2hvMwHaZLd={z2!ToYpPI^i`*ezY z4PPAT$d_*llR-JHNf zA08hw67{84fP#nX7&#Sg5BXaK^ALobR5o|#cXlz?XR!@%`(*hP3Y-WUh#>J)$uH3ZK@$r!)G<(G#vD~G#*??Wo3ZF)jeaJt%3ZHb6K?!wlwESV@ub6HP6AMhVt zT&{oK0O`2egDdL+di(oF@Ug$qJwiR*1UR@=JN2L_f=iAVs#YL17;CP=_FCPaR{H&i z;q@l0FvX)PjVwcP_9`4p--2>N<$U%|<&rA1HQgI&{>dJYY~z5gg>Y4%7zk)>PZ_#$ zj)vIi{=qQOq%SI3HR=(gi}Q zRt!aZ1%k#1k_D!l#E}jg)@KuI9Cktge1<5!5$lWZcT%*7BaQY)7DAulNVx1c(%bXZ z$qc=n27C6Yxu!O4|3HE5|phrt{|_{eNIB>v`ER4msbhOpqh%n_CONqEfMY-0$8yOMauO$%r20lJ5w|F)`KkNO`L3OF%a}2K^a& zr^qvaPDeqPh%QLoEM{j6X23l<8o})9_s_S#+#F2iXjq5++%>5gO*t!|@Jh>pxjLVw z-U@&KZ%jhwQwtWhJ_T?QoZEm?YEh}o5$A~m!Kf&^+MFoUe5NNN0kj1zhyO>$6-)|W zLvg+2fM<8ake+PrT0q}`g2Et_TSZ^%Y&1@jUYLrbN3FbGh`wStEEx5t@Q530bx|Za zpF@MChaS8w@2v@u6h@pRL|FvNMEr&0LJ4O7>-ufpUPc|ZGg9H!-8sCe?uw8tm)+{I zn9Uc%pwrgGsX>VK;U1LVm&c`LDT^Y(7+MC|T(Y$xeMdj-VKv1+l{*7_=jbi`NGD*a z;;43JiNuS_jkBYFFL*%o<8qsPK2JU?=c8p6IG_H5oUi@(?F*YveZ2dGrW3zXUhuE) z|4qG{r~(9w9HQ;{;C~HP(TTv^4s?l=J;}qCy1oG_2 zM42OjPTCC6Jev)Kq$;nwCd)?odNP79w*(J}%bO}YnslPD!bpt7>Qi!&C?0UHQ4=)I zh)qd3512rE>(9|jwvw*cn#p2~h$eD7{G-F{e8Rld?wsoSxV}J|%2M0_sz8W(#)1N_ zdg^6?c^oZ^F^C93gkqsm@A<2m1sgbkMFz2Li}w{|+{k01l>1`?-@nR)1A4~5g! z1@NEHW+NZ4LrK*qaRp=JGfPuE!6R3aSO!5CUZy1=H7wHcba;%n^JAX)^a_iCf(qz? z7f#zio!06Uh&MCM3Mj8057AY$vr~KV?bD|g+WxP%@9#d|0XdI!pv66Yb^yh^R+EET z&f;Xh?zNhPavQ2%m_THKgS?zsZCFHD$f7Ymx zto3&we2`4k>A}0-Of^>AENHZKnXRv`H!I+0CO*~?hBuK6HK|%Z;k?Yor-kbIeZ6-9_tbg@c6rfAI3kR9`vv|93HT^ zlgX{;ga4Rq&i{J*`Q7b@54Rt_KluLL{mqy6e;eH2{&x33Ol~wenv4(s&v&@p=>>|R zGfedpoKYG;aXmu0E~`5$_zPWYmKnuegLz;^NN-4q> z7n=pIxA+@g;h*_8Td(ww`KDKA6byQzX@Ww=1)C$FHkE{Xh^*D&5P+M0_;GH!1}3`{ER0UV_IDu1+F*qutJ9Pym9e1KFv>P7R*>v0rc#Ycz%o@8HO^GFDg>pf#%TY9E6kNB2Dn4d@1j?Fmz@Y}gF=HmE=ec|wJR^Em|oU}DUbd>%bD4! zVsdvmK5FNeD2&$$*3s+!Y~63klSMb`IEEH@Fp9QYV|0={ZrVLQ88ZCDFwqbk9u7ft zV-Kft3cx4RfE=r24o#(Jz+a&@Opq{av0-Pk_VQx6I!EJ_gbe#9>8d*ep&ANDHW-h7 z%8yC794GW0mD*H2E~JKF#2XvH2ucjfS;4Ot3KL0Gh&1k2C+f$Y-sM1UDR;t!pp60= zT~an~E*Gfwbg2Q*Bki@S9LE@^KeOyCb-x&grWCG1di#0D~H^lrhWG6hJISbO%$F2nz-EjbFt2?rf(K=2Z4^#~tM-`MigCGzOlq zqX>eB0f0wF9F_nm zi@2)5EFd%{2)8wz3nnWHSEVihz*$Sss|g143;0FnTZzlShBDR*961HO5J&7=+ZibK zwj9rD>)KBtOGmRFrr3kHTE=$fuNnvRP|^`Z2lHj~GXk2xfrJ39=j+_-WP3uOl{t#_ zP{mp`jKcs9wUgWCBM#vmc6TTIqDEPtb=b<@DHp)k;iu z$K^NU-a*I1*_F*hTu-o7$>$2Og2kS_d)T`XSPXyu4`5(K!oKIFe;we@)S9QaOa22} z62unAWjLkMfI2;lUj`S6sMKK}D0TQWCUpo%%II@}EjhUaMP9ywtrD~7vc!Cz@)+%O zO7qsWtMsz2Z|Z&YXPBLx7dd(t^3_|g?6U# zWr=U4nlht(u+BhRdj|Ytxj9^F%`;z?1RaD9BKwD!x2On^T_J9`F=Y2B z=s|PD+q7hYDv1bYx$0XEepcz`h0)O#Eg{%`^dxdO4+nzL;ek+|YP}lJSS+_lzbj2JlhAXDStnjCJsX_7r z>CcD5kckP6%eFncSZsMZCO;tefx;CW9jUUFT+Hv2eSzlgYv|4(>IU4N3(^U|iusCJ zT$Uft@;mbSWOo*tDs}@;!1)%vF)#|M;O?tVE{aj|k>f}}UtS_h`^DggAAXR0sp)7i zZL|!?utAAV$e+O7y-GpCz_W685*oWb7ex@y0`G_j)Z_`v8M=cKuj+*dokWM@;|5AM z2sLq`bTtvcZ35(s-O;Dv4!AtNFbHn^c4nU@K5261S622x;*asIiGOS2UPNF*K6$aW zPhS20^`Unye|_k80ODt}w$w=EYi`g@eJ$!`TzM3T!_N=rb9?x*iS4SSyp6 znq~@d-z+&)kvEQpeLZ?d!|{{65pyEWl$Xq?)tV}1*y|)3lnPku*>om7n`|lR=d)K* z97U%Jh+=N7QK+VvmyvJW-Id1|H3uGFM6nroi^)$Y6S@htF@11iH-5B{ZxZM(`hyok z`ZW{&AiqKZW|_z2QK8ql0y6~*5PZwnb6WOiqKR~}3P~iy%gZzEc>$9gE0*kY)2Tq| z?rphJKrC(c9>Gi|-sjo8+9WRlZnav*f$-?Ae-;`FnRjJCwj83SJ}d-WP`7$g zsL2C2N7ye|rgC*ZB;^GEiTX78iK^}kt=R~Y1fSz*S$e1R!A_5UO&SfOZgZQ=7EzT_eD0sV1)*`jjiXS0h} z?dFtfYW1=&TW3&KjHusYF=fJsCDjReQercUYO_N1&yy3_%gP;jq;&-mXdt!azI;`G z8-0zjG%HjZ#Jk!M!dFZs0``(+I1L9Apf7U6`54)1usEeC2P(2WQIrEStSE=8;icK3 zDCN|=A^!j?zqM|W;uLAyv*jF-Pb<`3hp!7J9~yT3aD=8P`v;T#Davq94)!Ov=;MoH zo3I0KgW~$^F#$n!454P1zn%E{8L*)7G2_I06Zi=XWE7rxI_^j(>Bb`o>1^DdLEOkE z3Uz)!bhjJS3BRu2I-j7o#g{MF0-6PzI+(YFW5U&nZJUpisGdJVrv5l&>JK$j-&Nn) z@DQpmmw1TrBXlRo&p9Opo(SaFk=02OU@Q$!tyC?ZEG%!t(%~dy zi=h(do1_541jh%|z-npaGPAR5kEHZSeiK{)#YZd$RyYYxLD2&XPe~qKB{N;6s7WV_ zHFbs`_7Ndh>(#344Oi~A&yvM)ib9D~28nI|z1^qXUcKz=+#Qr#QB*3qWtCwWB+xtL zx2#Q&n-a#AFa^1%=(P&LW&GQelOt`PyhQ|c!0D4~#X)T1P>#~+ONd%WA>-OaM=LVV zUko$zkoNwv_*Mg!I!-elqflzr^~WefHxaK73C4wiyMT|xzXdnrAE^pp=Sc)USICLm z@y;aBUVpey&v4!++Uq9VEBCvIULhiS}edjN%VHj(q^%ySdU0IMKKR@9fhi8jS2Zkdx zcu-<26z(zYXuh3EGo=|>sv{r^M{p5^VASTo3%v)^#JBC>;OT8UK+THn;%s}Ka2=?4 zj9OvLen=pR+$M~wVBsDFD<|cz!B#<-k3=)#%#*~uEPG&-C=Tj;B7~z#Y`qy@h~z0T zHYpM^@!BDo@r5isH@=7(8LI_!grKclK?)j9!2v)Pa`rt&bG?~u0e)oxMhwzKb4_fZ zDQK)Ph~#HzXVUJT3?|6g1su8DLgc9-CCwa zXjM-GAXNd!iU$T%F`6Eb;y8`uwLT?3#aqR=0=(7uSOOPo`Bb34pl$VxSM;vFnLPcb zZlgvztIKoE*kIUV->lW}zM~k58AlwtZSXBE>Po#ghC7A?jGn+uCSXKuTv%`>*?_F8 z6r#*`p{TEcb~~F(RIl@D?WvyO)!)SV9acXwBNTsQA#?Mo9iv*aN6mvcKNkOEieNI+ z!Tx0C^)r)>V^EqXcj8UQn(&(pjPvH6%k5g$)=G}Nb^wj*9j@tNu7y(}C8i#Pg#nlI zweJagcWoN301tKwLOi>c3-NRaOU6D6>#NQ36kgUVME9bY$1m;UAHSmR;LR^Af7$;1 z?#qW4{BZZ-_ZL3Ge;^px_Zo_zr`%K>AXHmD5@IllrOwR~3Fs=quEibgO~@>ULee_I z0ZCb+IZi5vqc%$q28+xL{$<#yuSJXG0UibYdM`bS<_ZSEPHCxbU zG4Lfebj7-UMoG%A@7qszf4?1MhBEDB8>E$-Wzg8djPXAl7}Z*~S7NGX99LFfFm|hM zi}Xc)fl3p~W{=4VC2Mcek!X6k4z^7K*ye7W`^JgPI^B5fNmzdy}PW zHPLo&0GE=SEFuOi3%AfFr5jBpPH|UJG4K&OheS>=ao_4&1eT_Pop$L|3f5@~&qI6r z`}>httuiEI~!sm-pkMAfLDp^gx>7QR1f6v;6I!)4^8Z>R2NWr8f9AVlEX zI}>$SN=(xYUD>c+6iEsL&IjV0k-g)H))6@=YvoWC1T2Loc!Lg1pqr@uTRM+EVm3n_ zE}UN;6&BXXRyZ6~j`XZ%a%2I^FFT zYuYqA#y({OjrY5qBvdq0=S4z=8Mo9c;xG1r=TAfD0M04H?VZ)N!P?8%4YuM1U05&< zDR_11@sP?%=2`JPM*thVLWUv+k*RTj5Z+bCq{={>qx?gtfjS!Wd+x0KEP_hWl1%l^ ze}s5e@)E17s4!I$&ngh_)fSwMuZ8m1U~rHwQjTEo9@W<#&5@T@GV@n8imFu034yd| zdu4W*ua-np$MN4`h8B@eAV+-P93{Ab-goN$AGu0wr2suNG3IfibPdUy!zVeMWh~_>Rsbo;Wd^ zVmzR+C#YQ70)6;9gG*qaoN4g`tEO=VjW6m~lsDz&B z5#+^yHrGpvI#{Xp2=tn`Xq8wOxgpA43E>=O_APHQ!;b=u6LH|?75v8nUk0iPhiW*HX0u|U%(b{1+nT_S;+0PVU%`TSaz=7j ziZhNVp>SJ0cTu1To*o2XT&&)(Ev@Hgy`=RWXuwNdFy~!@N+FOUu_jDT>FI2J{&LCg zx>AZ$CsLm!@lqbO+cz_fngVN$+U7fSY9Mo7u$f@-cf_qcknlC1=&b3x2+HA>t6}j%|kSrirQs=vJFeEfTDfKjl z?{Yb#-&lzTJX{4*K`;PZEfq{Pcp-6MVSJxS@EFB1EGQj-u9Cm8C%&@UrXBq4^ z8Xr3B7M9uaztC$3U5dGxBWPOJZ$X<)3@dA<1BCy>f>m}n85|C+@kbsh%chi9gVx?!{!lw*gFA|DCrDW!+$}s}0p$%qS~BeH#@OKEbg{@q zyKqOltdm^~!@`B2&7)e=f;9DUXEMEa;ZcZhg2k1la7dxRryyJ{^ha?!skTF1;%xOg z>FpSJM{QQ+Ogd#(r}L@6MrijDF75>zw$t5M!j@o!idpe(Fdt&75-jk|Xr`j-XG}Do zjY9ok5JOsuZ)Ete>m1a%TyDr+BM8jI+@WL*N^PVKqOI)1Od|H|9~=04$fMlEz$UQ# z9D4{EkEBkhEC*3!ni8aKn&rq&<=ZisUsZPKEFT`eqVCt14=9*t%p#l((S*iCIN9(H z05*C%y8d#!%;PPonn5|_Uu5UPC2(lLXwqW2x*nRPY|rR8-=Ty|B=IxQAJX_4zB~v{ zEgwODUK0gH)^Rgql&d(9APJ%sd-m#83h>mX#HbB`D4U zbm8?-_@mjloGdDMLEYEP!MyAQY_%hp1W^fULL6Mj2i5Ec#!9|at@=l!Q!GR;&K)Sy z=$ztoGIle~N)f!Ap?&eoH%T}VoB(>wISAh`ovp7IKsxR;*zp;OC|1czisj(C-zqYr z@GZHUlBfiKFyid=0(|#OEz(YGr)S@ZDCv%N=!1oXQya?2MlS1Qxzl=cN;W%W0a|>< z^Q$>yaASRrJiJCRZ6~`ys`Kr{P^!4&M~=mo8-Dckejj1GxijwTIk0?A6cW$$qsn-N zC->Y*)<=IQXz#RRXiy8;yGkz*I43$M(oD+f)|8lLTyL8&4oVCkmb79qiy#90UZNIKpMnbnHPooB!MjyGD+zz+nMP+=G=>#2 z^Pr(5LB~o-R7U)0)xIg@=~eh3On236JDAMIf2Oe8pP6+u`V+Ijc{$6eILe?2ZFHt=@HYrS9u1%i^tOk{=T_a{PNm@Lh_*PF( zGxgUCY((CA>g1ij^)z_)quBOPI9REHc~nO$tfM}Ur1w1deeE#f-0(;sHp}!%t=|Yu zIFb3CF=f(0`)?RS99^{#{igkQ1`6%J9Cz)|D#U<+pcrh}gn+`Jbv6NWArS;qi!P48 z=OC=$!H!9iQuFLB(2pXB+gy8@ogp57sXeCI6ZjwO*s z)~)=<5!Z{&YtI@Y4@xg98D=bqUKo{QEyC5nhOk^ng_>>948#;*T_thRx)_MCYILA0 zbW*e*n{tPF!xL!G{1EJE<6;t@qj zyLgS`a&r5)zQ6m50R2Bs?!SKf_3q0r2n^h#^=)S~W)IEa_q;Sm+)-jEjk@TQxfe&H zh(PrjN|+;xs%mh7gpg<$52!GC4JXZ<8nqchmXW`>x1-Ckgs{c56-EJn^yxWO#qbEn zGi{$?7K(C~)nyYL6ru;rnnM?Wl6V0BwKeS$6`g;u{p1ogs-W^B(mEz}w%tKLZKSR2 z`|?_7IE>%AUeoJ{$O81cM(P~h13}wWl6k%PRkdAsfqADK&Y0uOEoaEeO#K0qcKdS1 z8YFm4K$0xvgCC&|EYJx0N32(i)8kXP>=ikA`xgEo$!wGf^3MpR$UjF6Ke4Y{1qLeo z>Mk#ON$UBa05V%c2+LO#VQ76v4RZ~%7cUtZcCcD+%Z$`M0cVsAGFgy*!nGh`65ki|#EGD*j?^HUV2<82V{$HRexFc^VzhNm$tVg5>6 z9aHcpXMNW+l}prWS!r`exb9TKb?J{0ULD_|dG;WEHB8U4RDWv*1M^)aVR6~_qcbS0 z*a_ddg8Egt=y&N1k!vU6gJNO61^LH7!)r4T1i!!^mu;HdR|XPIq?JLp;F(_c$LM}b z-loDG6BdI*lqp3i6YWm(pX$4({2(Lh5g{-L$^e=u9JlimVD~|{jj|(zs>Mr~Mnj(- zr8C5^kn$SX<(ZP8ncNAL)rOV2RK{tyHhf0G{s^Ru_WK-&BgErB6>)+&um#LW53Qb{ zm98$ubqedAq#b97BQ&V;YAMkDrv6%n1#3AXcv->RgOo1^Dk8o!gnjbAZ6qme!0Y0w6UMj=T9pkMbzEtHI?z?9bn?GAN12mM5r~j}ouNq>e8#+nxitYYsPzPo>Z{!fx8 z`TRe||3~AR@=AuTFQ|1*V#vqkm#$EMhQ;II4^GmCF*#wU`G9U$TsQ}a6gQ%*+s;}G zaDpah)FuXYND39;o_hmc9x$2Vkslq~AID@m0|h2ij`xNBO<+I9&_6E;7t4+a7ckO8 z_677TfBKkUek{4a8LFS0Cp8CTj;yW|HIoV%4k%&s^4`8M;(SIcjWXt_32DzdBjn|< z*!Pxz4IKA4hN=w{si8KuKOO9=oS~xSz5ZgSPUQx$7r;s@)u$Kxu%O6Y1AAvf2Vs>^ z8#_!0u2rp`P;y;S#0~={ znL;RmAs){c65=BT9_0^igqAa)+KxCjgcZ$QV(?t1mzC^AR;BH{n11rsRm<*xpYrPW zlteVlQbOEJcZM;{NS)*a#zTaR7xUlhBz-JlaP#3oOr4ty#wbbGA_nrmzTMs5KC}NVN!oSWDTsI#DAyyfy2(j8ME)y5Ev5GQwAn!3@2=pwz-FLQDtG9MS-h1!y~@ zDziAe8R;3aJDF2qMxtO#fhvdl(R9Ktn!0ODh-nG|{#M=+B;z5+0%RI43slI0Sw<2= z)^e23v!+Z>YR1q_0Hpi;^l`PYHl$yo;+-%=HscP&Wf(e`%08-Zf&cN~+Zn5d5@cg~ z|9CvNQRR`kmmCL=DYZ1qM&Y1h9bxAKVZh}VZ)-X8RI$#}N*_Hl^rQCcZ)%!XgBTBEL2d7d9iu0z2bL9y(O6yj*JKiid=BvTHao^tr~ zl9agN<#1`P)7Tdjv7V@jeAJ=Xt`uQ9K{0m3#7#9OP9h{{lu`*=r=2Njlw@oMtQh}i#Z2cbu^A{hOfaYg zYQvWiXBsk-U4SBT!WFrM49r9|+dq*AVX;@2Sky%E>YZc6r&UF^=Ww1{5oMW~GG>jQ#?F}l2YAN<^?WRHC#;%TRHw)pA#lqG<1=@?OBESuB-9+Uk1 zz3<0JobuNc{6hXvqUPFbD!GbWO8l&3x9Wii$PGBm2sli@;){AFgt`oIFGhTIYU4Z$ z)bb{vJoL^ve*Fdxkrh-B=m=DVPcPxf@HdDhUZjg;^|Jq1cTjdh&(%1bE3}mFdizIO za|8KAU%&h!eT{iiRPK$&p)V(rIXtF0y;xY!=@*g+g_o~T8n@zr)uq;ZbQs69@dE)S0R%p=4$5#H~(|x1nklc#gG*M74^rTxt|oqwdqJ zJY@{flwpMi>1@(9g7;bJ$bSM&Sh@!2pnonOGc%(kr}08d-iE-q1u}Y&+JbjkoLw)O z82fnl8I7bK9&R7^9)FXbgZ%6Je|!9mB{2!z$#uE#4Ohr2aO+inXw3X)oHrFb3}c4a zl$9elpdFSY3gxJ*HqUQ^VsXvrDD%8;5$}>QI($1s80er<5`GXOj>)sqTvk6@NOYxS zFC3mP5m!NYriI2`x`b39fqZ)g{3Mja@-AeG$J=u^Li7`o-pJBW%352y?wH^=@41is z2IW@aK2B|{a!A+61sc{$1fF?p5;4*as_DCRzOY$19tQJ7@3$P^(pc!Na5aKJob1@6AVI)#4(k3?VIX~;yiFl zMClq-n9=kw2_z9*PVk%@Vi9n-s>2;j;Hl^t347NamyIK>W@wDFHwz2uj?339mH_}t zUvw_JE~7}y&aTUx1HDCxAhchy#^3OQSHUJf;+a#SFDh&n{#6<4Ikm+dgaJo2 zT%0sU>?}gX|KX=)kwbn%X0Xv%&RG&8UGg(U&>L(PlT;B~x~TT{2}i*dN$IamYPLlOefRBV(D<=YzzIVv!uRei521hE)A2tg4 zt|udF07VxE>x>&!o*-9nHCwiGitW7*505V%?%%)obo=G`;PdV0_rLzJ$BWNj4EAuH ze{m>v`lT5!;KLQb0~s$YyBth?8h;z6G^kD|jRF~bQ#QewOwP#vKoN@cGVTQ5N{o`q zsJ@oj&j9x3Gh~U)@ro##zCxbpYh-_sAn^Y_F{m06tiX#4(8~7B>U9b#Rz~$V3I3Ru z6uMlu9^^3>A+d_M3@)i-Yrn9UcD!m8i|ccQ9640PL}=7&vTD6^^gR^nL*4UJq}kyt zHa|2+qv6qLdbGbkJe)S8{oC&kkHr?3bBTf<44%S~Iy5zb44_zC97B%_7}YbhMjkGZ z=PHdth8A-az$qagkycHw4|<#Y)Gy^Y3dXgg935wjhEL8Al{z4Mc(!|9Zpu) zYsV}0$!x~@E_CNbiel=z36#pwLSfz&ev?m^{%$2^1TbqQW@N^g5iI3oOWD|`FmQCI zKZ+A1*}fE1K(Bxr8YeXwP@m(>)L)hX$U;RxI=sUk-B++M){TSv46kkqy7FXk zvTIHsf+W%vP{t(zYI;$(X7GP`QTniAJyVpol6R4)*z0;kvVbaufqiD#>W387wANK8)7QQrt(r}Eu3GpGI5?m zq(ESc67%j&YqEpuWxL?7O}1;h(RUBkN*K-9wrJ4-zCU}b#q}$6|qZrOKCN*O;tpfVvO|DMp2?QvoQ-Z3bT`x^;ybTB&Eo*z+hom$B|6ne%ik zz$co{ZGmC-iE<3?j8DP%PDzh(5%fs!1NgPKcJHy-WAq;DqgrqQ3b*E|60soSSfdL# zoumpPMTOeHaN@kl(CU3#l{z?~(doS%}^t?z2FprFp8&6M)WswHg0WiNH*vSvvzj} z51mg`SU<1S6jZQd=?}bfs?exvljzbyzv)W{D(~Je5RzEDI zrh=3)moA8&a-gy%ohiB&?YD=U`^Od~QBlP0+pmvSdkQ_#LLv*Yc>zrD86zjIj4CmB zhy_4&o^lkNic#zu%*)MT{ycocy^sszQtOZknh`5F4=!DwLD0qZ!r7=)|AGNh4&-`a z=ZmU9lQh$wlvqPH;0{069KB@iD7;?KK!MN2YsHTwLn^Oai`PK2WW9M_^TC|W#OjaW zje#tjVPvBf+NjS{0`Yg7kDJu|V9u{qW=5 zzzkfxNIw5Ge{Pi?H6G1mL~eB_QUQb|&Mj_>;hPUd*X2`vMGpkT#XFD0vai3U*xw)QPr?#hUag^`P#Hy;7%1)3u_SmC?F6NSruWFoq;=S) znt�<^qGt{0jMr~<{Ho!`jth``Z= zUJ`akOC=vvb_Xjc=D8(V9Q-~CBCgStj@51y*JQ+J;(@VU>uKwRBm&LxK|yVKJryp7 zVZ%Ri4pddBJRqVS<5Wvl1;aE8k%&EUA^uP;s2Ro1EJ2n$d zH=R6cd75$0(}aMHc+f)8Ye{~C0_2CS94HT5F`g7l_bBU}rv-e#hh@E8^9pw}Pn)~y zQF=Rk5sozNp&~6;Yz0P*mwyWi8JA!b+Vf@zH7l1NE zwph6pipi`{tX7X3$ysEwl;##EI^{i}ZB##zzhdL{1r|aR;=r{~gk`%8i(6c;ENwYM z7Zy>2=iAe*R4HU>V{os%`{#r2{^zIf2KZod^h(%^xY`&YgL7yJ_MUO$u`?L)CD4s2 zzA399BS}3nfnqLA+o6_E7Rsg4IBa2RxG}>nuxqYY}Nit4t;mBQ97uNl94K z=%v8d!8zSya#T~e?($e$nyO>gu`lWWYWj=q5ncP~_JVW~T7ySr(ivV?I2lPMZkGnS zVYeoe5xq^$)JtQR$OaqeMFHJ6!Ns*9CI@ktfCaL_sw2-}i&Uy@}4 zuu1Z!%Rzl@lA!X-7^8`QhnMdeuPi~|OkIeK58`NV&rxDY%6Dtpx02D-0=e^`qH5{E zp_CpBM9+D17mCBs@ZxDR~pi!pa zSB0kV%T&lhR#etf(8TqmDy=8ey23IE(wgt=hjW1s=v%NnMb?B`i$v7;2=c=GBWzxF zwX$XTxNa21!*G3ejqn{26W(d#93l{TXt-J#057hGF|m^YIPYK`oDlxtND5wa}AfJT(AfqH1;L+VBf;{#=@tf#u+dIp}_2bJEW zZmIVeR>J%Sw7Z4&b=0;+Z%Kqe$tsd*>?sbxCj1F zZBc8P1gwIFQ*t0P97ByNqIXf#X`tz|WrW`#IMPCD#4s;ys#sx1m2)YO4(q`u+8#=$ z!fpxzu^gz##Lg6M6hsaqkJLQdO65kmFfo{u?LV}csDvl^#~BJ9MVQ0O)_mD#bskSBR3cm}m zO9ok}UPP{wc+aIh>Y=npwF!jTORml=czD(09k{ms`Vq7$RdgsOC>lefG@f=3fZm`y z=+$!W0RqCih-;&KJ0+$9cW7;Pe|z&mSuT(kcEpA*j8Aw+xRYqh2L(^AA(us>f)5ZC ztjR|D)7F$)_;z^AKr!fXD$aL9H=>v1Z2t2a@PgbLD57)5VYMR^?C*MMq(kS7l&GQD zE|T7~Qh*i;=wA1Yu6|24`SJJm-M5eEuhgOeBN!o^ckQQJ7(&pqcNjv~+YO*aCT>$@ zE370NR5~r%Owkd{l^-2yo2e0yZWL~Gg{x__%EIv$2Cr#e)W;YXVu@8$0nj~68)@g) z{B?`j@}iL~1S`dXKiV3C=};B3i_MZ3K?n!U;2@L-k}7DfWChF~4aAa@B>6X*gyZsx z_Kb_o>P&e;bBe2HQ+30a?TGb%+H3qKq3E>Vjus{5RsNS2Bn^d8(4@NSX$KZc4RHMI z{`T|D-51neZtnvTAhi3J$G;ilLt6qf=mNdRATUHhE^#cQRt{HnU0FJec37WIeu$D! z_QuMsv~!4+piI~b!!3lhz!yA*i(RZERAiDm3Ug@Vf_hjtbrjyiCKel?N7@Xn_nRwY ztE&t=q|8l|Z``I9t^u1B92UPF#9)58A_R*G+;)wMCyNp4yS%uBxM9`Ngjf3cvV#Aw znOf#BnkhrIDMD3z1+QSAQf$e=_e&0Ls;l;!7)i@Xq~5D_#?1EJ_FFnbo+F|A6tIP8 zJv-a{#M4er^297$B%H-T`h@JzeJa|_5|CM9-AmfvLfBSoN9aZ zOp+HdI`yL=by5>Gtv0NWEgHZ)Dc2yjK>0H4mU{+6tbW}&TzAwq8%I|C$LMSQVgO5@ zf9&%Q^ma!iIef~h)mT)PRKYL7MF3+6&0?glAQ_h0f_gYC3W16^*)BOdyxJ_*SJi#Y zXXs*(EJ>LV$NTap4uDo6Vql07b$yb&sVk|`EikqN18X=U*u$(kL@cOLUN3O$V%VG; z5IlTdkXuo;pCT!YRE|C0BcH=}=(r&KOxxRwNIG4*gTiP(X2c37du?gajVITLmMB20 z2IC&Nv76Ra4V53&DU}WB?|AHu0iS1=v$URJWmLa*3@_O=YILe4a(YfcjZJ+~p%x(p z=tH~ONGlO^V?tSXlxIhyH5}51N9fOgZ$Clr$mPJ*=JW(kjmTjI6tSo!8>}pNCQE#p zu6g^9_m5vczteWoUBoLd*5p)%78q;-k@8QT4B-LX26lIM((eu!#X}9eT21zDUc7tp z{>6vqgBt`1yu*L*@!yB=B#GvDh2E@f)11>j>gttY)OkYR962vM^ob;-ciWC7@R^Du z2>MxSTOug{Z4N~?hv`B0MN|T8=EO2XPb z<6C>!k_F3qb6jH3iHhacL5X`FJ{`h-hzRyQL!k_IK}mNABc2cMaQn3nc*JRM3KFF-FK05K?;=m10e0$`wa3*!gxrWRTN(m3UjK(WB`CAwy>zRLSwzqNPw|JB|y zM!9{D4n%ioJng7V=*J!SE8*&KWUhMME7d@wmJ0#KL)1ErxDKM~I9E2V4^-l0)E>NI z$cR9ia-oGVK`co^L&b>RFUS&@KkVRY$04|gLIReI3XSkA5;5sJwZz~MZQ#dnKHN`( zclTM4&0jF$m`Pk2c$tsqeKml-%l`Wz3KB_>XW&aRj#{R8Kso2#)_i!d5fDN=TUQgf zdMn>d_~VJy$NS+937PL8?mZ)EK9F+d#2e0~BH#$}k~8K@3dR5>F616@ zlhPsGy0}P;C@w>paBKWe&Tjl}*Z(TA#?D2u2coECyc39!f6b!V^e`$`386A0S{u$NQfIV6^B92)+u1T?Ugoa zi7Y=Ib0*&XwwzCTP6VF{c`PtCCA3Grs3=$4k`+Dv@#}4?f86}C_xHOmA71cZ&j$ft zrHZDD(ZCJvc3k3fM}#x##KJER0u>!>;FDzJ?DE9bNGf09$Zoei&f|1OWKmj~pML_& z0xG{{sVI9LR&JuE5l)CEmwFc-krcG)M4y^4au;;PfKFRo1lR&SGsb5xTaL=Y;s*$w z#=?O9FXcEf?mh4fHF?Mlr`nTM%ccyE+@UCnNhCM~4LEa)b{#q>F{35t%)Qg(NmV); zvcrF9YQT7lpXxhW74Ld9Z z4%_)P@c8S9uU5un8S_B)+ARKhYR^tgbMNm`hE#hB1z0}jxhtR9LYkZ6dci!4VaTbR z>e7Gu!BSBsUMcfN4AE&7rvjSM_|4uocsXgsUQ??^3mN?L`F{-2LIy;xjG`L_i;5mV zACTzy-KS6Ai8_|WJ+Zs1y5^`IQtfI_FM*zB2BY}k0|df;b2y|$Lp?vF~R& z9+OuU<%q1c@qRgp&>ac`#*EnN>GL%-PQBhUh)MtM#~4 zKs=bNpPV!vJ$)n0`BOJqD~If9na)Q#m`t8}YD{_Zp)u#_2SRbf$@-~Z!z5rdq7Fsi zsJHmRpQwGn(g){vUx-@@+qro@_#cD4ch8>>{?}j+|M*w=hnE&Zp=z=rCM_bqp=*Yz z&L7ih^l)$9u7l@QI34cc=K+7SV%M;pue_8;Z~{WDGV#IiybmMh6}PxDM)fs`{TZ zH{Vh)q9TQ>_BBgQE~>z|YUtkBq(q3b7V5g<%QlU&laU$pODfx;rW@)=oxn#;TR*xZ z(Pul518qDqYBI7~lrr4SY>=)#G?W?&=#fCM2t7;?L1Tu9s}c5!oLW`W7&L48;0Ui+ z?zMzY7u;7wRSA2k2YsZ#E&MtfRRRnH&LO(76#qdxTXzviW(v!l1wTf2sG`VJCaE5i zJb57FbL6fjbPaHlhmTJGMEEF*{RYC_4~db{I%Thfo}hBb=$;X< zE`*zl)^iO>GU%JOy`B$rqYQWfJF%S!HV}XpYRCzt@!%mrYKX+B%9vQ9bwQ5Gg=CLRvm>e8c+95)%c0jQ(89eVV&84|)EQ1?b2?I2p1Je+1> z8p{mEXELOBgJ%iJ4_5B$VB)y+K?v_14kl<%5GpF7^gT-v{WEJ3K`a@H-@f68l?Ia_ z1dWEK1+L9P#T(Rzh-XBGD#KmQ+X;wnT`U=)Eo{_b6xY5-6xYoXhE2>19*RpA z=$Nuh%KeU!g97o95F*&b`Vy>BGhyT?L;b9oiIww5>L##J~+j zN)O%I$H&+k=)I5$N^r6W_+!C!Z8*tAp^!l>T8wKZd?Wi`H#s{((qqyuP)c|3G?gaBZg6V#zcsax*>={XhIBh z@DY8!DhCcbfI`*Y86NpwyA6&fwes=k$ti!vYC*9*FM#Z^*;sAW640tEeW8R&f@vC) zg!^ADVUbPMDZa`*6J@P=Ms$2aH{DjzJwFx7)|nC)piD4SDJCNoF#fo`xi9s3Y!~HG z3;_+=2uHH*%D}=UB1G^=p=^AbjqG}>aK$W7*>qPkY2l=bmV+hrZ)!r%gaC-(IRJL&VJAFPW zCo5dj1j33|3=U918&q19G4 zaArvGNT3bTwipT89H8~rffj@JvVck17IZa^-OW)(G#he)Co?L(E5sh^a@7=i;9C=9 z;Xx$$CnTX;LIJP0P`w;EIp`R5ivD=~c8;7Jgxo?GrddH2c^F$kLN|6?c{nn-k5-mK zept0NbQVBcgT3#idyV{4B7VOg;5qFU9lGK@Q@Wzh-!@FlOKMF#gHY1ky|GsJ1{&0y zoVa2ZHA*3fg9P5!JUu=ca0;k=PbeUL z6xE-|PG1q7B9;nJl9hQ-m1hGPw)k0lzU|3h=m&UZ00q#pS+gVZk1OQ1@U6%GVmagt zah3dXcHqL|s6KAHzx z3IXbdY~;)v0#h5-)i~SEhs(Wh2LM*#@Ho_uDP`DcW@0@*sxEQxjG#2Ci9W+GinjxT zC}Er7Qe>T^A;TanalRlDL4Vrz%U6W8e7gI>I>a}RkN0=)z9BQU-P`-}Rj)&Qax)0_ zL#sPk&0QG?TZDF>sJ^FxAzl72XGC=ZK%7^gbn9<24w)yBzsKBsL}`x>C(Lm`;Ea^x zOw145p{zQ#oKy8bK4ycF#c(05Vc~k=6HB2L?bcUYOZJ0NKhq!l@>~K;h;1Z zl9L)}A=X*r3Z>kqq0VHtSF00Mwr7h4vhs_ROg1|{dnMeNWn>nYs1_bRC=YYqqK*^e z{#bxRt^%IjW&F@xIXR0S*1aRc;2m)mJs)M^1gH<89J+HoXVB%aQc+l>s|{?&z)rbP z>5!h4uKiR8^2~(#6)dJx_^Wmgxx0(L^|eKiY1MMPHCUR;y~>bm9$vIQfmS?UMGJe< zHr)?mnZWY#io0$+mO4zKDrc|}>92|u#5$3V^1~o;yeN5J3!xW4SK%(JN5SGQ!uq~$ zZhr}g*TL~z0y@bdRVkoktg%Q~Q2-V?ORz?b2`*nk;MBv8H>;n7euEhc@2W7!(69*^ zjrgp51(`029L$GPB2N=Z&T(iP0BdJ<5HC)3>Y$J^6d4bO0Wv1DcERF|%f>&h3b5~!I(Vv8n*xJdNE$Wq9afViYOx_W%Pjc z)`3p!m;&43$vNn#_T(JoRZGklUn9k!xz(}dB173WOxxQD_{{09+e>vVr%s(ZWtZK~3eBBz6)dkw zB48&x=1XI$*kKuNO!9Yk-xy<(7~h1W8f>;(mUv-9lvZ8IYTvi!1r!aZpri2C*&U0- z8n1&5xGixnFLB$D9lV+*nk~FAXV)6cNaz-OLzgN|Aa-kQ`(#m2qDjZhD7m)F)XHM& zOU=5BXb!RnWN6nq3_WDxkTZ+gB!(p@dayyEiJg|Z)nNHFqO01)(_&)_+c)w4?$-av zH^pY~F#feAebdoPr+^*zFmx1mG6sR+!uo~TDU1LkfsZEDygk((R*0D#=%4gA^sHMrMEVVW| zrpd68*02-ePu5+oR58vjN9v<2sS!WWDKN=**gIqBYVK`oZ9Em9AQOfPfbzyJ(2S$m zsI+%QT_%P|q0LcLNekT-#VRHKH_}2NvvtP5;7e9$RJ*y6CF2|wpYl06Q?avq6$6JFU*aNAqNw3Z=**+DCb z0@HtN|3C!+&cmSni2kv%5-yFAUEc?m_Vz@UaD?9)US8I=RqIbP^CDCII-M`~^@?-UEkG!@;q(IgoLmv;WR7ONUTJb~UpkH;K<%m^va z9I|h2G}kbP%%EPHLlfyBEJ=x&Ehn_LPjZht<;gi6^NT^9PzAwJVsxl!9OY$bpd~Nz zL7X$@Lr89hdWapkiu;W1&8&3;IXw%(k-73i-Lc@XqFH5Kzo%%(OVgHfY*&B2mctQm zK=Y@Dhi=Np+eZ7Y8V(}t)?NT(HGY1Zarr~g9Q?r7jcS`|F!CYDu&`5;3m*AW$UGk(Xr*xII`DUgI zXhYbfJjd)Uez*@ZT7{N2`SKjMW3`FgMfF?Q+;PTraZ9E0@`dbFUKqD9p0g?Ii4f1( zRJdcN;bF8nhK=_eUrq5$>xnNq78gZafOiyFHg6{`q#H7{I$9_ zr)pExk=c%}ww7tLXN0SF*$CBoRI5zebXsssw})zoilr{xVcvv3EJ+g@yP@GF-fcBZ zqPL;LHN6{bY^iYWLsqFtLlvJu(#Xq^MKJaev98&=`$>p}1sG*U+{Q3%W5WDOgN`pE z&f8)6a8K1rhsh@!_Hl?H1PRRappe zLz)I{`kGQpcAF=}tDAVILv65YB8}itL_<`A+7ww99{;9wr_J|NCvbTGpf5z60%u2_ zA?C)yFRUL@I)m8Cb)$K7w#$Krw8|3^D2~DO`YdFZqN&pG@Ap;iJ_ROByX8aP{Gn>=VYWWHuMLN;wi3Sf* zm&Dv2COgqL^aAdUC;b|Dmv?b^_@)tc?3UpKwUb{jj#thM1r8v5O8LDpE*cGRcEKXTYSG@r}Q zgo=v<%x9mA#YAeNXcyCj@pOQ%fYk+{3e20)A=*Ifi%UGPZ~z+aQ;Ojy*7-__!73d6 zaDKj)6``j;4Ls=TIu~LPW^*kX*Umm&WJPpG%hbu;3*ep>`SL*+1gW-_K-lFVOsVyT zpVBq6VY!u|ZsfBhhl=KtOx7Y!$izaUDCS5L(SwcoaegNZLC6kF58BYJu@Qptcxs(C z8CK7hF1X(y>I?#N8P6wM_M_uyxZdk04ud;~f5>eRhXZN`BXDXISWZldWg|Lo8z05R z&*4H+B2e_j`^Chu%vMbZ)-=}Tr+wQuvLkL~yq0kOL?(gFN1c?=kD855hvJ)Jz|@5T zCB{7o35by%6v0@;18g=G8FYm8aPsWVE(DASYwM6{q6J@zBK|YG8!>^*gGCPM@Et0# zdqVR8tyi*c-qDO=WnQ{v-dt`(p&hq7H7#w9w0{w^8~Y+-R?1|WGFWOFG37yN4;yjk zc!M_FO(BmA3ydvl8YQ>|4(qk~b|OoOLO-EuY!Ig)6>=hE)q?y2IA|LaehVkWsN#i13xYmExR6e$RjD4aEf-V$be zt(!{axR1TY5Vn;s3?3^}d3LqAOywCXoLcQQ-}FDWYI7pMH1cAkuO-oM5wq|~vtbrm zbYpD@Y_l9+A@bT_&%pTTV(YmYG%q=ZDaJQx5=>}Bmo00l4@ai3sG4>tNq>a4cKFYl zDgMZOh13-JbWw{|G)9)pAhpP}B?Bb+*yKuvvgVM!lcSOuWt$xI7^ zakmC7GJ^-|rj1}LUrq`@Gq|Y1R%>&x@OPogau4yeNcX( zBv>PL!z~rovQ3h0ZHBxCo1AR4{YDLH3bLVgGar#Zy1DO*dRq7~TA^AS z#W|yJt}dD?QlmRt^#QQ2+9WujYwNfGllQFE;z%!+{1*S9HCXa-RxSBvRY9W(Ke>RP zUSkLrlf8yHHLk)aaWe=R_haVq+^{kB3<85NlYVXy47iLCkvh8QO5PY zFf~i_6;U796xj)(mP8U0SWtfF>k0&}y@(xzUf|0IYcj*o=sDvWmJXWINqs>mZO~3& z^q2`tEEN?s|KWM`-CC#DoYu??(2VKY966OkGn9sPd=9Ac_^)K7^#Mm@23?kn4p*l; zOyeg}8MGI{{iM54w|x7?_0ja>hBeaw#KP@siJ*4o`*kB)UFzc7-Ev~~alf{WttzX= zk4&2$lnJ*F)RkBo{&A!bTY=}RdMlr4p$fVvzcZX9v>FpOQCT;JjX@@nvI?6ttmfnB7?7;xJ{#j zGxNc5dsst%>_FVKZ7kRWolK^8Vn$B(1yA0o>?xU|8?`hDq@+GjO7XJ5K;3Td3BE0FtI zkC9p+2lK(uVq&I23Uh=-yM|HF>P zwdBgR!pRBDP(+js_mn9jVZ)ZhCSPVEdUm)Js3msLY1pFY!v4wX$`~<=vW$&@!mS*% zKQp?yF?FDSGBX#cFi=dg2}VuHX9+hcExS*;AJ_Q#DvD&j!5RLA(hD|bL)IlQa9geof$SFtdeH?sCXQR(Bi?9=1SJ* z#e7u>K8#BkuNQSG#AI#MrBDXgR(;R{5N`YxR*l*Sk{jKcW!ZIWrW&U+RYPhtnhMQy zP%Y!#ouJv&rQs=CF&h3>E^90Whzus12ta|HB&*kBCKS|yY@$Ux0<;;c+ZEgf5wDGI zBfEv8KsNf7-nsU!5idCQ={Z?_STkHPmW!{A3GC)vwb3AfURg^*fTj#a5}DpYv&h0= zs!v;YAime#-SaCw<=(z-Dk81DJ=LX)q3G(o0VV>h?J`T_!{()BaR>$%vJ<*bAbrE$_1{zkwS9c=2ZYCPvKvw&Q zMwOOW=mWe84c`qC=-r|{A8sqd^~tkggl>G?Uai4dy;^JxBAS3&Pc;7B0w)NpbJkwj zy@Fm=8e=hHtHKuFUNJOEV!2&doH;R|=2gT>>Ygb{!t|75}Ao{tt_$K<9jAPSHK3+o$lmu;%ZcQ37Ily|Mb9H7!Z_LlSC|fr& zK&T5Tak~EyrqX8H2>4LMrT4Rn6bx;$)c~xE?KzuIqNXNZ#JW5W=`sZ-X9TOjo6^)VsW<%j8UO4fDy6tQ`YQ zyxSy1tum8?Y#m$b%y)ijM$AmB0WYCThTl4B!?h2}?Tc)hOsVW_v!jsvGu8=#Pr zUy&2$yXpiVzMPJ`LkA(OLecj#CD~D0wFegU&nF>!DGn&l}nO@WKnYMX{g<4#7X3t<_KOv&YZiAdYsJ;TJ! zvg>+$qkqTODYUhBscpNH!(ENQXf?dg>Sapf;+A}qMcw5 zpDI+DWUz*Z;!kH!SDQ0}+=$ZBId|5i+SHz0BYL7iEvrjns#$krmtben7L-VHYs5PV zBeR$}#;{|<{JiOTlE^9Z5Ge`46A54$KRoo4@poFqVpEMe8Ly#4>idyegb}ppk;an0 z(J~wDv!vu(LF#^SaaeIP8BC zL3GX+6Rhlh0^Fm0_KZnW)W|*9Eo-pDwVl=hFXFc`$>|c)ECD#c{lhG_= zdS%@?Q&U&BlW`M#&%GxaV>4UJBsyox5hpJB_?{4~U zIvX_%mZrT|{eA<`hUH1aIQ&jLDGlafi*VT6tl5LWXpT0G$uhY|Z3o&JUEwRcCmOtQ zT@v%ck`YcoKpB9BgMzwq=1Hs-hYO%&q!zK_SfdJF)l3seaGi+m((pXnPaAUC{BV97 z))eD|OSmbmok1d6J5kO8V`W~n-fEKEs^t*FVLuaDwT4$xsSQGp9$ww z5IhOa{X86Q#*4d3Y)l`!@kYlt>_o%|RNe&>c7w&iYD|xbh9-8~4Ku73JzumWwf)F~ zqiO9^r@@e9{s>FL&`BDUi8Ul<=`dfzE{fN=P^^j1Ae4cGuZ8A~@z_vWkeo+6NfMit&I55#*j2r0(;-a$+WoXh^jWP*V2Wm=i1A%3A+rL%3Xe_6>QdsfGSnOZ6l=?`zDqb|wf1lG$-ClCE9bRh_I zhBP`ch_5pXYW92$Lc`0*`m5S7YN+AJ+6N;TipZ^yw}@~NM$QSRrPf%*%*)_)lZc|x z(jim6wDjrLEScDfFtJ?A=aO4NwVe(xKPp|$QIm;b6UVqZvEX>{g%$f9gDj1ikZ4YK z>VIsf+LEYQ81aVTmul&rK6!FSq$PFEn0sq^v@;nRu0u2rnqljX+t#N3S6a2?Ma(>q zdKz|wy^MRJK{r#EM6MWW26eq5=IM+-uPO(PCMnxcYNY84bJuE9+WJM@Pjs=ApA@4e zjY)LQv~da|c{oIhSUnUc5Hn;F6TJolG)hEte+T&(c6G5X9fQwNIExZLVJ;r6BwdKD zfG7)6Z!xnN&bo;6&E$47*f$&2n3kbtX&!1`#{{ThJ7OMIyIXmI&V`dYqf-%UNM)YX zSQ!vKVW_CCDUIsNVFGl9Su2;92KttTCT1J2KX#|klSa#qte6ZVbeSH~Ja#RamdJQd zz{Um55R5%Tp0b6!aRO1`W-xahUd;#1Xhn_7vEJyv}JDYo)q zO_zlBa`p_&Il!OU1jshCM$%u~Ces$+ntLR_9rTd~z6c4~K~Gd;{W@YXFvAwBy)-&y zK0vK!Po}IJf2dSZ8%@G4Zk<+!vO6P9P0tQ3yLcQ#8pKBtH?K-xAAGz@z2%BA=x8*3 zmLjpK;`PJcA}nRt9jm1k(`RxGct_j$Jrf5&xBRm>gOLZABAbC1)bQnBb=Io7kZO8o!cfVY8hlRWalbseK8#WjqT9XEv!qH zIRtFp)7`zSw^UeG>0Vy!E)Di!2gp@p%qM_F!US(|Gy{C$`kZO#LY-QZ3$-risObwf zz*GzF8sDKL(sE1836sE-d-pk9m&W?@`uaBKbg8Gt3JACY_wKu(K1>u600N*lIboJ z2qfhpB=98o8_q%aSD7P-R#>$v>Mk;omcMZV^KjZLz>ih52Gph6U-D$ut22>l!v22< zQ&}l{9o+-VSCp6bHSM-H{@4wcM66cm?OEL2SE*L|u$xb1O#iXV#}}3@E%f7$u}zg# z1C_oWl+=M^SLjBideolB@yPN*s6vqCr?Rwgw4|(Qz}6WWUO%DvQ=aK4ZLwwbn7l;T zHrImaV-dbxt{-MaM>|%7AWzkV1YM{>gPxHF-MBz-l{MYMB3aw$w8>a5d3OHjzo9JL#(+erSJX zY||l_OWC`!yjUrhE9D893B|rbPiaY0UuEUee#8@nRXLvuvWGQISU#|1aCs42hA%Y% z4aTy52=E%2Si&O4%Bl&=6DyVt;@IM#X#!TVP3RvePh8wHh?`HS_VhyRTUr{JpkHQb z&te>`4)$ow(g}=Rir-eH&x*(sRuuXQ%h74AnqanY0s4FTC-mbA%PJEQ4#;YcGEP?= zNt^FP6C9juagQohDEr3q$t1Qxf=o{re!|*!M~ol|0HNQ=x-ugCfL#fVCDLjb(mN;Y z{%J!D5E#MIsx_Iqep=OB+|R0kcUf& z*o5hdPIYh-7xwN2aFN1rP-1>y{;jPkYV=lpX+AcJ(u!hj!1#gsR84N1DqjtApBVRb zLyEcYIg3clCDLBEwBWLno7}M#^Nw;$+xXXJIv31~8e1ElXN@-1G!p+vrcP1MJ6l`e z0j5fPq-ltsFqf#6Hw3ukhIC=U4IN4otr~~`7hwAY0}AG_gh*y{%;IKrCQZCES=E3^Y z-Z`gdOwah`z2(7W=nYYI!-Y)}{Lupk$cQj{v|ePqev`1>o6-W;EI3@nY`@M~ zQ{!xFp>~Pb(p+hm!=E%z!R>UPDr}hTlj}j>lFF!AAfr^b9?JUcl&(IieW}nd%KcEO zV6ERNg}jupB%;}3X3ZL>eO=Nn!OQ)o6pS&Vh1*q`ARiR1y(v8&(5GW0gPU0ds!RT1L0GdGdmKrX;~W6 zUF<)mup&r`gfn(mlG(Gm=FOC?Nbu8G7&u%H@sL^A7&{}@LCDsT=21nLkvFlQNH+9L zNY_;F2djspy+`~vnkj3#DHdq1@wC14kcspTwvyF!o*9!CA?R0Eq&^Ip#@1h0iaOgT zVb?@aDCfy;E6}VE>5m$5GFdlWs?&i<8{#Ua5o322s}W0~v$HI%_#^BH-4hM^z`7*z zx?u$t1xuS?orGA3)p=oqPK&IY!n`X_YW-JZx=vtdLx~+5lU^%kNx8L9_gw7HGa0cr zP~`BhHs6t2gxDV)v+)SnR*?-{tx1nAV@k?M6RZ7~VjL$U<;aLw2E;O&D=uAzxYO7W zb2pP}HyIe?svJbo^7(4a{-I z=%~d%=dez^qs`2-ESY15*ZfQ{=!=HA&;cCd%63cCF*yJyuExi0ov1sjbwR$4x)`a^ zTCD)#&?}sOw)cvyxI*cnVNRzo88IeIqF0w@LFRn0LFs&1#uH8^*kh)IX@EQ9MBnx=Cb5kok(OgG>@-}jLnqTK_^$D=cGE$a~7Q-xRA!rsV(k? zhvy>O{jqE`Ormce@$lkcH=G%;%3$c;r@i`EuY>_iA58Y$gFP_w9#tuiF?(6r;}AWu z=roNp;V9U7v#r7YL@h=oTZ_m-+=KgAOr9PQgsxTqb!MVt#=I^p3qVVTwW6)CNtmLq9S)~$Iv37@tCs%KiJfI! z*yNHhC%P8$Y-qAitP-D*$oJ4~FT)y@NSGKW&}!gpTnnG51DRU*M4!u1!YUmzn_|e^ zz-*c`otdm?!Qf5%Kj@6zh?rbw=C<)n()!7upyH9k5fI!-YrSP{W*o*`be3vJu^wI6 zNz8AMG>a`#ku=h<=rTlpw*+>=m49(d?UW|@5jU0;JX~cG+I$UY#jUN}{sfJHETTwf zu}a#+t(N5kTG&ym-3-An7oJTLHASu#y*(E&=(MfOcB8h4@tt2>ccl}_h96+Y9;MNc(Q`5GjWmoWob zKbL`Xa@rb(A`=FoT`}5{X{Lu&0xsCKVRaJ2=f-PTu)&T_<*B;`8y=vWQy&4-*YvUBc(TRJz~Zsc&_+xC!nx8? z%x}S(eA$)7s#Vr;W(`T1VSyWK7KVFm0g{-bK~P4PM`=``(nh-4_zBa!#`3YfZJ~Su zZ8e5C(J=q{JAFR=+~_D-fz{q&SHw3wS9^|dGQCxzCx@D9^sq^cu%0s#(oc*TI=H z^uzTIvLwWq%#$#gi-Ire$1LOhHM`SlYV{{f9zj*czH)8zkViwT1d!7R|1eK7N%}#p zhGtSYLUtYv2Vj74G7DZNYWaDxnU5|}))3KC7UQh3pzt8G@+ z%1WU$+D0oTOFPB06~Dv$b41TUF8T9A+ZLKow`0aAsLs@bDiKe$Ze5mNJT+dS!U6S5FbJYuJGXl~bR zKU!oPXd&{Ol)5KL`3-A$bbB%L(9=+|9MvB8suf17I%NY{ZP;`0jrQrV~Q>L*kAiWNoISYJCyBdD|~61;B>9yGcJN?M{U)k))$q9%(;iA>F5 z0z(nTl!+gW5Aowafr?=|2kogaxht22CvwY-_BO3qQSBgR$n8GWj`tr;^{3wum-uD0g) z5aiVF!M2yyH_I`&7zHI3Xjt}UkdA)HQ?`yZNT3z0R+@aQl4)F;{Jg)`(u6clX)=8= z8=zLZ7THv~v5Y**rT1!{=oO$i=+nR+HH;BsZ3~6On2ksgRT~9}Q+-6^VAgpIK`|3kj%#4Mw z$V<1(!td;$$`!iOU&Aa0#|`-p`Y_vxM-JMjh@Z0GPj&Zn-Sn>>PT3YB-ejf1?JYF} z19-Ot2-K=pEk^^ho~SuGDR>!l?f-vh(_u5)pyxOAX@ zOp_dH8iRuqn*1hRwn-B^%mZPZw_0NpJLt4E(R0Qz*iQa%PEW)4i-owse4kJMO5131 zyXJ7P>PJ^Mpwp31tFn^G(YB;1!J>RLCHUBIagzB#nJ63RJ5~&e{oR#SCHQ@zff>`> z+$_^(a=5$D)6+Xp7^sX1PupK~TfZ+%OU!TS#(tMw@Q&1J0qgC7jkSiT_=uyhRzHwr zTC52)9(7FJEB8zG_La+vbwOY}gN%{y;5F|8!E!!p|#A*PGs+o+I zagVWIMgkmT(~r~)zEmU{KF63G=$hw@oYw5A_2AjMK7chmQ=8?J_P$XBoD3X*;CI=& z4|>9Z<&|Yk#lfY^%KJ2>6WF@r|JI$=^Sq7m?`74W)w0P3r^|Jt$2S||c+$V!V-kFJU-g+CaKXb!jTdcFyu&sw}J7T+G z+YcKxY-e1<{U>^89q-LgqUT3={ZY{y;SD(Qpd+vJwu~KF>1_i!6&_m|{w05m@K!nL zS84ibVX9T(Unc!=PWt1V^Q)cntDW=5JLiwr`^mRA{~T}sP>%3Ubkd)w>1*HVL?`{p zPWqEIeeFA*?4&={Nq?%7{!}OZ=}!97o%3fn=g)A?pXr=GQ}6fLun?T-q(58F*AAZT zZBJnxaF};??G*kR;hp2{!y|IZVUXqf*Zw)D_9y-t;hpQqpK;{#9r;2>zQ~a;cI0Ej zH8Gj(n{nU+>5_IP#5- ze3K*J?8vt`@~w`1yCdJ>$agyOU5@+%N503A?{nn)9r-~=e%O&8apa#m@}rLYm?J;o z$iH;tCms1|M}EeUpLOKt9Qk=i{(~dG=*TZQ^2?6=iX*?~$gex{-yHc(M}EtZ-*M!3 z9r-;+{=ktxa^zTdg8Dbisc$13d0j_d-;p^pMc$Z1E;I&$8T_jTlnj(mV4w>WaE zBTshZsgB(4$kQEprX$aGv{OdjdI6q7gcMvaJGZ&PnZOy0~pB_?m~ z-5Ha&@ZN~YTY9^Tq7?qKm3L@N-r74SCU4{25tFy|UW&=vd0VX;UJQS1?@fxyqr4R{ zc?a+6n7pI+SWMo@8@^ujdOLfGn7oU3cua2cJ{yyF^?n$Wck^D0$)mkd>qoD@yEiQ+ z@8KOAllSy)jLCa>zmCacy!AGSUT>_Iipih$iZOYdcVSE(@BJVqPw-xg$<5xV4WrlJ z+nW}X6W+?0?0eV6hWi7|PG_sy6*)B8KGz*DHH7VsgbhJ|lleDRigEiw5>@5GpVl=q#Oyv%zwCNKAP z*gE=rJ>K+~-0NK!lUH~T$K<2Ef5zm#=*6J#9v|ziMtCPVa!f?}SZ4$|)|o(xbq0`Q zjXC64;|n>~ctVafc93I@735gs0y)+=K#o;z$g#=@IaZk<$0`HlSmA{nD}0b+g$Hu% z<3o;pJjk)kha9&yjPSN~zDLc{BPT|L)(n$ugg44bzk?&!EID#wgtwD(erHGC#gUsF zc~?i?&5=ht^6rkjha>Ol$a^{R7)KuK$e(uPagIFRktaBEvm@{A$O%XG9XaX9fg`6J zIqk?9N6tEO&XMzuY^_)3@Ca{T=lp(-JkgQ&cjN;c`9Mc*apXyk-0H|}jy&0sr#SLd zN1o=$?T&nqBTska8IC;Dk!LybY)9^JBwDXs8v0?HcSsES)gH3Iuwo z{T^|6FlFx@vpm(DXb#drvRn#^#eB|BWz)H8K9j=+u9-{$jyd^qS(72iOSw{QUeX-o zoAdc%I+64%NhI}4<)WYQOSxRWlE@}AnM^j&A0VL1K)ga zQaa(6unJvXpjs&m48j{y?iDoWGPrWFk|-uCX*^;knW~mb*>a{@2>f!gR516#s}$fp zsg6?eLqFY|Ol2$SQW=qRDy2e@N+nChY8tzy_z65@K1iD%B2q;E;0pOgqPbM6B(v2@ zIhV?%N{L({2&!o;j}KC%AW=>h`3qzme#BqkX$nCB4_K1;Jef?gSWKt$K_Q>WR&ps{ z?-BkW88nyEK_ZncWOCJ1A(uwTyJ{{`OeK@$bTXSuBf^qofPBlreht(n?~+b6BSAS+ zC?QO7KFC#4Xa*)d8i-m;#Y+wZfUR3Y{e4%Q-R47yn)lv|Y%H={8zsy$g zxiZM@N`Orl%zgS0jpsP?i+s9LNM-yS-Zx)LXDiuUv79gDip6RrNTpLn{l)UW$_jiP zc__cRkSP>$K{`{eRx*`hK1h_R@SUn;3fO(Dn8Td5+ylQrXfhT6DLSQWwvZ^4ljTCW zoX=E|#eNpAkSwLk$!f-CK)Kl4y9~EU=9?4Qa;cKZqdaGGIpk?7Rm@hi#j>AIN@s&|kT2%br9vv3OH>Qy{Y%UHm-a`>XOL`8 zmD4$V@&vxWU&tl%1(fn+CQ->D2h!D?sbL74ROv36s7bh8CevJ$_x1C|QqjlfETyY{ zvXqjKo317cg|vA_bGyZ*lDt=@xl&0Nt0-;ds$a%;suU^-l=W;mC?u=ZWX044=T=y) z)to~KL$NNGvUt);636V#>Y$J)mDBl3A(^XWGg++f$!2oJ9Ns>c%lPG-e$leMb~QkKDW#I>aw=CX zBTXiSyOoj0#Z(S8z@&ydka?79KJO=sgTOo!0Y^3NeE-gFCJPZ<75|xyXkXq$b zrh?s?(MDwOn^e^=R1ue6k}p|aK%lHuMe~zFP%ISkDCgN!$uE@ixdK{CR136q#bP#< z(Vr|$@_C8{l<%OF%$DIihsu{s74YVnykAZuT5Q^6{PF=_JDLE}5<*Q8a@R z{>>E%zF$tU;`NxQvgT<@r9!5hDrZWFB$`3SBBD<@?`QBJ1suwnvbG}pQrQyfO0rx` z=1bL*kJb|fp^`~trHp4Y><*TjOJ~aIbh%Uwl4uALrA*e(SMd2!9?&|Vg*Tsd1w0Z= z@}OKzp#ejU&jl#)zMn6Z@EG}2GLgmaO!B?~e%B0|YW!PBq8-j>ONDegi%OhF6Ik&x z)uhSAe%+Cim-o|Ul)!Q(DCJ6dtY%0i^2uB>NTQJ~1}Rf)Pz)sCuK7jEuT-<8jE|C^ z^Ye*J6}=u>q*5YP^ig_DUlu-32Dy+dBr|9i3aN4dO94x{DjZfTrBXFpHjU(f)^Jq5 zR66e$r4uP9QAf*(AjlVx-^T@HN(w2lJW%3=b)F5$^4C~GE9%3&*zD^zlsN-|%} zps1uPl~gf@@_>s#7bvA!uWc)kfi6<2;^w7HK9fRoTFB-_3krf_kSJtKgA{26s@Wig z*49rYQz^7vc!+ETs)i3&noO!(HF;QT1@e_t4z;49S^_R#%%hN_0OS+-bRy%MkKbQ3 z&44LfWj|HPqKQlvGs(Q4Dx?GCPa%^JGWh}?(sUaD~TY7#xe~JtAGwA z2+C*)*~^EmK%$81Rfc-zm+<0f6_G_bd75TCPfWg(7V7YM{h-z=y@P(KD6vC2aqh^~?BdDcPO_*%~Bm zYCbW=x&10_Ct0^cW}!;Q0O+{Fdr%GcM3V_ z_;LkQj6x!jPvKF@MW_*}bP1);Hw}2$@1XmFB8-M4hw_pwR-i}9n^e)7q@c&LgNM@H}-UZp=CN-!0$`w z0LmEInHHiy`lECz*_?%vR!wA~!j#e}=xgZp@%{1`uNJCkVoV7#LsNXZTr>1a=yfGj z6KJQE43zw!nDP#4^!-ca2SIbbiWaVlui@tdloR|NWKf^c2q&P+aAYNe#9k>&esi{zKx0xuJDp0U zF+jp_tmymbR?)RVAvX;>(wpHszH2jdE@&b_5J1UEqYRbN+2qkL7tu4J={8S1sj7w}omhkC7L;4a5*nW{L^s>_EBDC+{3!+>T0#juQG~DTyvMKxbV@ zR&r9)QaKFD^_6AyfV^?DkA@NT26>n)V}z3{R-u#OGbb4z^Prz=PUGDQ zsXWF_)ocaXlf@troolY(SAt|BV|1U`E2jfA2+;NAwJSNKM@xoEnuaD^fx*BG@M`9O zT+m!fCefH@QYDxJdh#s*i3PY5^2Re9ko0ChWIdURn@i2tG6l5?%nN z0%)rNJ}160^gXmUW%OI<8}$z15Aw|@*a6xAXuy6EeMYW;^}4xo1+R?jV4S4sy9bso zuV5vM+#=B|qr)nC9t`1N*2$vP3zAT%D)?>~eDlM}FZx$hMCVTV&FEy(7+6$_35>cC za}XsRYL1T%4~liBV!Oj-uuGYH6pQFJvKUm0b)tarbsh?6DJ>=^85NlFYBMU6$Jks3 zy8#qtluhU!0rUj?Hq_EQUKXt>Xu|W{lPoFvTF-KPUzX zbSHuNm@Ag{EFWBDet^+4nkLv|%3_Lvno-T5^+An+nw~)et#7uX_ZZCy+yGmVy-z?f-w{0D&&g{57EA$Cc{Qkh8+U> zHNTkZQr&pg-a#$dxn>M~Q>7G)EqSOdl^g~wFn-{N&yl~OPQ4x%Ivamd*OJ`liAkcnBtUK)8Ux<%<{a<`bj#O ztrN;zgm3|QC@Hfoa(o3jNS;cbLw=ULguI!2fczEtNAgwj4e}r4hopGf%6+#a#fw#B z@lF+r*QszEDPE!?i}$BcygG&Attk{QOrdyJ3dL(uDBh4l@p2T3_o7g|5{2SzC_I)F zZwQgkAo1x`zKF!9QTa;p8uAA6X7VobC*)(~Z-$%SzeavYjvQf*?@aDZ9!Sn1i)24} zI(Z5C5cxauZ=|?s$a`&0?nVY=8##}xlE;u=C+{VnAYUNgBG*~h+-GZYPclnRBNvfJ zl2?$ok`Itik$)!NCpU&$iM-Fwr1%JmJc*o3R>@W5xup0AO8Q@uuacX6Q$)<*_;|lb?{A!>vfpPa%&W`^eMDOUbX3-zR@Y{*HWu+ym}Oa@_;S zIb?~vjQj?9H#r<`O!B)O$vNavtGIB ze!mSlojj5}p1gp(pL~IQo7@(2+j5=5$yMaJ+eL4 zC-)@}A{UWM$YaPe$=k`Fkk62RCf_C3g90P>+nU^+49H339P$XVmpqX?pS+U%4tXE> zIQcyJSMnorW4OP`bL>EVn#_~a$OUAT93)RCFDAcA-a_6-K1IGnzD3WBCjTICVxmiO8%C7jeMWnaCdXx?Z~~z3^|#cOP0uf@-*^d@*47Pa={+v z`XzD&c@lX6`3>?8@*(m`@?&!3p5}TxlH@^M1s!IvptOMahxnEW;Q zJMxd@tK=KxKgbWs5o7sY@{cJ$O8M86pQHR| z%CA%YC*_YQZ!peWf20ui-JBdH#QpZ*`EfkHH<{!4R-T{6HS0-zM)RA18lLz9+0Iycgy1l(Urg6XH6vdHi4@bn?T=q7c_z#`7yFucmwk<#UDj-3>f`n{ZQ< zNAhkVuJaJjKTi2c%Fk2&qY%G)hsQq@j`TdQ*>I!~?>CCvU5M+Bqns3ORiy$`4R}REX<7LH?F}mHaFD z9=Sf=QPOWjZb9xW#J^*O>w4Y<(ih^r_7&pa$&?SGJeTq!$|cH6DECobEyQ(CBF`l+ zBfmsmPu@=6N#0BTREU3{A>SlNB+T(m$sNf(NNj4Qze|x5$rchNLEE8q$c@R($R-lq zwWdS$SK{`{7IHE;M3c|Q3S@>=p1@^{kjHglO0ICnuBbq^yCK^K;3P5bf|{vX|^9Pb1GFFCafheu=!Ayq>&~`~i6%`D5~D zDWAPk+pe$>z<+p0_9i8*U3+W=%+@Y0TFIQ zZc6S#jwU}%Hj@XEYInx(r&FFy7KEF6UWHsv9!;J?ovOtE#zI~J><{HUy#p`&yjy5)h>_g|DEzb$aU8<&$l7D z8Mzg?C;4g8C(~q`5Z9VUc93e<$MG`dC1fwzPaa2}NS;l8hP;BjioA~e26+p4J9!Uz zKluytDe^h;59C|qyX42@@b%4mLG*@?F>#;dJ<;Uo_iPfP+~Aa!Cv?|Z4{}1(lLLjQ zm(zs!QHK!i>Ovvf|09Ix7vvwgE{dlTpGdE-{X7Ki=W!pHZ}on9f0SF8(e%DeLe#(A zNqrvNA8N8bhdvjcBPBAZ&xecbCo*`T5YN>{>ht3HW{3>xbK^PZiVUJT(RoaLPM^;O zWdli7kHK(RsrpBf_X{xJ!{f8a1>_Or5+NS4N0|0BTHNrA=ba#O*28Kj5D)(uVczpD z7UCwC3->|4OZi6OzMglR5HI;d9)DOk(eoY`?(cce^7zZb13XXl4P5U%kq^XsO8*L3 z^^F#c14YJ5sGfo2yNTQiy;O+IQ#}L6_ZN9GzPoUW=XDCFdfuTzWUlHJ?U=_E`5@0b zPB`7u*d@b}=?D?%4WH?GmkE(sUlq>wyl)EeF;t(J<9YXr-068bZ`tK}sz=}>{Z5Y0 z^EB4U@cF3kA|tau6fX2U)fX0_Tn&eOFy2Ra2+EJ}Pz*bShvB;k565>CcB4E9kMO+t z!UD>#u;_V53QPE2!m{U`D6Dwi*}|&lsUEP{^HdMON4`#uFZH}{3y*|eBs|LV9uO|` zyq^n~W4=#_!tjEy*Yo}&T;X~D5FYJmK^)%ad7nao9Nv#|C>($tRXB)xDO~A!N#QXl z@4{7>#}OWjaxFa0^9~lSMmr@u9_3GnLN_2h5#>*KlINW!JlXTKAIEpTOl0tC;VGW? z4a(YYqOwd8p6_{GLj3*^;RTpy7hdRjNAdUod4lk>o_7{y z?Wgg(OGUm2-%z>MVpA}x^d0!M>jqfV_is#+P^S2AX3cCu5o;=S+9SRiX+iD+b<3fDT zUs8UCe4c!Xe2vs`A=1A~`6F_Kw39f#A-O5JHMs-1E4ddrfegqzc>t;7MO=3}d+X{C>)hkdKj1 zlFyPlo<#bWDZfs>MZQOVLaxhpc_VUja$9mIax^)X+?&*KChoT{WgTZio=SNp*+niQ zyU7Z96nQjx45|GUuBZJKcn*)-e(Ms-SCC&JuP1LJWBnMeqx~4D{TTRDrh9^XntYCY zk<{@h(rdp4zQf}mki*z7tWS<4w-3n(8(mdK@KFF8oACQl)CJdFFEPx)fC!~&-ah;9UGuPXk+?L#l98HcT_a=4RjNk7|xrLlc&Lq3Y zMPxTwA&(-DCXXRcAWtLDAul8^A$44h=lBZc>&ctQTgf{~9akg$gOqi8Pz zUZngA`8V<%@&j_%`X(ROCr6T7lG~HJkb98hNT1A-6G`hA*iLyiIgdPqERc)In$Ky z-}wZ}5^GoL*?HvW$jiyANu38nx^GdoDRb$2~e923hCBz_*!B z=ffZmr+#k7J8Iv;@ojm0XL1j6JZZ-{IxmLwEj&JroK33T3+L_lrp)6NS$X!XbpW%0Q+>+t(iDVl&o$Ms-c;yJni%GSs;d(kh1|HAjcARo9<%>vbU%QI( zb)+4i+(!8>@<-$&q|TG!K6YGU*IB&8^M4`VBHt(Ncw__W(K=6t>uf`LCsJZFOZm6s zkR;_isq@^VO|ByCxZ_O9=aZi&uOQVPhwIt##27Z~x?fBuFlhwyljTuQDW?YKba z*KqwacwFb#AYV-Ri=-V7e4X;m{ZJ?nO3}*6z9=NAtL~3nwUN$^FU6B&LM)e*f+rr~h$^U74^M6{ueUZ;?_1b?o zUu?err}O9k_Bk?quZd(EIi2hz?R>xyloykjD%0;hNMb5W<eGU@BEjk*R3pX?c{9I){jFd+j03~%FD@q zQvDO~@lK(9HhCd=DQVYPe~t2uL-++Ak{Ad*L$AwpGZ4z^)}@XNcD$A`VB{za-sf^knMbx z`b$9GgXhPS0l5!p=c%Sqo=wgt49LH(9S1q!{a-V>Ysu0<0vP|Jb55Fm7GP+BM&9*{E>}2a5Rsv zB2OaEB<;M>=P6%7ewDm|{5EOli+)J?LGowhFUjAKcAn_Zl>bJmA0^)RBg*S=Tw~{l zwxGN{*+lL|Hj`;`KeClPh@3+%B<*}qmGW|OfLu+UO4@m#&r<#Z`DOB2@|&cc|M@=U z`^X=YkCEzEiTB6rYx!8)_-!=P{ZHxPt{(GWy}*2ziR?H3Q+mO%yq?vcbzKC?fwc!; z#PeSut$uI~WvfTuOxfCn@1lGkY4wChDO-K|8OpyWUnc)bzC)_tBc5vn`$JtP0eN%E z+mXAFdy*5#6uB=siEJl3$OYu#WQANt_LIkv*6&6AB=I~K^7y6XmE_k*>+kX%%6F3Y zk`I&WKZ)yEKbPO~_>1JLzBDL<(aU6X zvHmFE;PG3??~(VAy1oMGtsjc6uYmk4&%Z#vLcT#-|C5g?ugm^$V{%J!6uB!ohTNO9 z{+tsjw~^DyPI3`>1i6^(A+4Xu@sv*^&m}J+FC(pg$#s-(B5xz_B7a0$zmmr(KTZCQ ze2M%EY5hswr#y`P=LY1a*Tk0h<%h>f>&5|5ur zo=<+BwEiMrrF;YVZSoHChotor`5EP3lD{GUK>nGu{vrRM{1Leh?ZX?9TaeZ-q>1ug zWHXs2_am)8$U&6nkPFFfvPxP%kO9i8$y3R5$j_42|KrP)uO+`p-b#L-w0<8yru-QB z6!{$aN7DLx{GIZ9q-@Kk^9axQrYStPAr#|p~F zkSCI7ke?x~KgSm-e}()yY2#{rm$LQac!2Ux$zPDqkiREiCjUylLw-n(*wEzDr^wC8 z?Z{ooJxLq4D@A!)DSM_$K7K&TnlF(zBsU|s zC3hzGAjgvdxewVwP9tZN^U1@=GI@*(n3 z@>k@u9-_Dk-L&(NEAIy_uqL-lMnyf=Pmz0d&-~5zmfkSKO)zmJz*nq z3vzq1iQJ29Ce!48WGi_PIfqeKJQLKu#fNl5@#J$RfFvTtOZ~o=BcSeuli5{37`k^6TWy$?uSNlJ}AilRqb)B!5f3NWMzGN&b`kgj|ny$&ut%a>Oi#(VtkW0v3awT~Jc{+I>`8o1(@@n#W@>`@`KX^Cg`$>(5gZlUcWxL+qt{eOl zkH1d7O@2TQM}w~8T5>aTTXJV|4{|&iko%A=dq&1>_~< zm&j|#Z;*C9;P)usLq0@4O8$zp>i}P%{0jL7`7Ze}xi0OJ8$?uSN zlJ}AilRqb)B!5f3NWMzGN&b`kgj|pP&q#7BatCrZax9r3v*iBdWO4@CMIKBR$R%Vi zxsp7AJe@p`{2X~Xc{O=G`7QEx@^12e@+agI1ZmgR_fQ@r zk0(zf&n4}8`pYO^MP5hVMBYZ)b@V@?{0R9t`84@E(ypJk`vASg?S4Y@C?87Nb@E42KAK!bo0~Flh&+N^O!kn2K^Sg{Bw<32S|EJCx1ddLH?S2p8Rjz08_3WWj*^9`7HSY`3m_4`7Ze}xi0nfjma&^QRJ@V7;-&MdW4VRpfQ#P2~S+?@r*Y82bl+pOn%<(I$#g zh*C)@Bt-~iX+eqhecwY7B_xCpWr-w|EFmqn3Mqsr$r42=LLr3zGxvN)=e>XDxc;wq z`M>X-&*%2NbLZD@o_S`@%rnb*W;W;X1uo%ouH*+?&&}M*ADKrxeK!_mDVAd;R$)yZ z#Rfc?r?Vy7up@i0KZo&Bj^VYOz)8G^5AYE_#b>yf%lH;ob1gS;3%}#f%%{Cxn0v7d z%kyAXV{O*s2|Sf&@m#iL7xv~LUd+pRHOKQ--pTtogO77ApXbYbo$v5{uHzrffNeMaEAu-K*qtR9_y2W(@k3aHby%Nqzh6y^ zH)m_MXE(w&22SK%oW_})&3Sx* zOSqgX`2p8+Gq*B+-)^38117qEwi}DG6w9#^tFR`IVgsJc)7g@3*pWThpTl@5$M9NC z;3VF|2lxn|;xk;#WqgaPxt1Hah2QaK=JWhx{GQ>xjF(|~9?WX2&3Zh6r}8YG%eL&o z-Wc{#71G}>yhw>7}-^Uzh{3hPcDV)w(e3A>eh)ek<-{nXAj9>Cw?qKfsFO#3M-0xo| z>){RO&8zq!bH9I?te^k3{c=v9>sV#K`yoH&7u?49d5&G|e+se~OLIRS$f`VoN3$Ut z^9-KN^Vx|#Ie-^(B(LOkyqS}EFCXNioWp1NB46bSzQ>Qbkzet9{=!{d=PSbE+=mrd znbmnDk7XmC#%65AcI?VN9L(Vy#j(7B6L}Y>aVBST9$(-RF6T;q!1dhBt^ARB?4NdH zQI=vkR$>*_*%?^A+Y^EW`3VnAKRD^>_kL1G}>yhw>6$!EwBaw{r@oa~7ZE z0xsfGzR7p_5kKRX{FXbI*LBW9+>?8Ae;&lcSc}K-c%H&Dc@8gNXZGSiUc}3I6|d(l zyn|Eu5Fg{ye2y>iHNMR?{DhzLYi{SS%oV#4c1|Op2#L_&em+tZtTk; z9Kq4NhBxvy-p%{@Fyr?k%s0M}uka17;)nc{UvL|L;x4Z96=X4%=6*boRT;nk;ArCw z*_dbWY@W|f?8yPVkRutt@8CM)H*+%Y<%5jhb1=vFvwV@Sas}Vx$K1%T_&tB&uCDVH zVR7!m3arfPJd($<5l>??wqiSWWgiaaaE{_w-oS~xi_?8Ae;&lcSc}K-c%H&Dc@8gNXZGSiUc}3I6|d(l zyn|Eu5Fg{ye2y>iHNMR?{DhzLYi{SS%&*7CbgLPP+C$b5fvo+hZ8~bty zM{qQ+;f=hFck_Ne%qKXX3;7D);3|H|Px%G6@h9%0y;+dOSepCsKvv}uJem#Jm}l^8 zp3hF~$pO5OBY7pS%<4n%xJifpsT+WsJfa|%LTlpjNXm9SuqAbO7 zti&p;$)nhSC-Zc+WE*y55BBFUUdl1NmJ>LM_wWHe!l(EQ7jqfk;%ctt25#YZ{F(W* zHw$wwmSK4w%xbL7dOU%r@+_Xqw)}VL*YbVkS;tNMhCeW&y;*>Juq4Z}A`j)^tjptg z5}UFG&tnI6XFm?*CA@;;coT2u6i(+XKFI}K#HD2DX`~9}$_V9>y(o=kfi@A(%aW&U+1Gn%y{>*&Z8HKqQ%dk8TW;NDk zJ)Xc*ncI&=a{blSJ~@sju_;^dJa%Ar_Tx}q!YeqAH}Q5(;dIX8lU%_6FW+zbSM4AF zRr76YKiHW)8Nat;sPPdT#jANev-kfo#ozDeOy=}^$8U;%mml#ne#!Xz#<}Oi$^Ag? z`Earxa?gj8^^ki$oUDi3^WkJYF>mm1iI9U(>?dQXXIWMloV|YAI;h8*#7qByX zaUd_^WxR^l^A_I0seFi!@o7HCm-rgr<{Ey&&-pdCGq;_Y-0pLF{{Ojl=GWZLUzy+e zZSMC$ll8hyef-2-oTnFLF_vcT_W0+v$BnkTEsW29;^*`7sQ38!>3F*=?(bz7-xrLZ z)2rg|@pII5jMwLhY{KSj&GziZz8u04%>BM{a((x-{SV-U9LXzr9dG7j-pdF1DCh85 zzR29~D<|tc_xsApddU60aT*^23 zExC+4=Nlj|+F|DR+%ei1GE+-23>+?JxJa_GCTeKG&YChd=vV`+w^Gywq z|5?|`>uLud$CKEUEtuQiNOHSBUVHr%p2>4~0Xwr72l66b#;bTeZ{Z!B%7^$EpXPIX ziLdc(uHh&AoL_T0e`S8pJMPXB+?NON5Y}KF*5`?A!scwv_Uy*K9KsPC&1-lgZ{yv( zpAYj1&gVkD!rcBwlH2nf-+OtMFY;Bc;CuX-8~GK#=P%qf?C_)h&x)`(_hAKAW_2FP zW7&wOu^C&j9lNp*2Xi<_aV&4(MBc?|oXOdo#}~MS%ej&ta6LD3D}Q7j*MWCqQI=vk zR$>*_wJgra~(JF8~(tA=VA(Q50+$ER^*{PoOO8|PhwNH;Cbx8?(D~*yo9;$drxjZx$k>V z)U=R16#>$r*E@CPQGPZi)E zEXlI0$U}KJ>+(3B#HMV)^Vosi*^fhc39sNd-o)EEh0{5UPjUelaVg*AyZnfs@k@To z9n9;zsu1_&-rS!D@i5lnF+859@Jyb=3)q>xIFJ|dGG4{&c?<90R6fMV_%xs6OMH!Q za}7V?=lq)6`784~zuTQ9xGxXjA*{hVtj`nKgw5HS?b(feIfNrPn%D3~-p0FmKOg23 zoX>@Pg>P^bKjf$Ug4_5LcX3`-ki}S<`|&_l_R2#a$cR$ygT=aD>?jd&WHu@&2~EBkOT zhjSFi@&-=iU7W_5oXvTBflIiYEBOJ}b2GQ{N9NIA*lsM!QY^vG=2~vx7JkQ{na_I@3Ue=(VR;_RYOKwA zJb|b3ES}4@?84q0#EW@3ujY8($~$=P7c3^k*<4|70D>#lf@pew(bk5?FT);(K$~XBgKjLTnlHYO% z^Lk%GA@0e&xjzr$VXVbtcsx(xnLLLVurqscATQ!&yo%TJ7T&?Be29WJ^I<;0 z`CQ0X_y$+;Lw?FHxQ#z?mpzi7w}LFj(%g>+vMP_@(QL@ZJcDQRe0E|_4&a3x$t!ss zZ{}p)%Ln-==kQs+$XB_7@9|@9|c zeiR$D1|R2KKF^o=I^W^@ zT*poPhCeXjx=#V_!ICV?iaeBuGq)eDc;OzvnO9)pegDEY5vc zft6XENAg%U;%RKgR&2+v?8Ctv&QTo88#s}7aT;fGHs|pLF5z;nxIFJ|dGG4{& zc?<90R6fMV_%xs6OMH!Qa}7V?=lq)6`786g?z1~fa9$7c-nM z#_tLJL_KZf7XG9AyNRExIKlZ`{635`jK}AZ@$>v~zc;zhS0uOV+~+Hj^^p5~MY0}p zpRY*PL+(-yiEP5=Y|ZxU#=acF5gg5Hcq4D)-MpU<^9j!9LcYQ`xQZY0Q+~m1{E54` zE?SVqSepCsKvv}uJem#Jm}l^8p3hF~$pO5OBY7pSKc`O_8G&W-^wqsZJ;b0EuD30X~oXER4jWao$^Y{Xn za5-1<1Fq+0Zsm{6<2vtdEXqZIOpI zk3)G0ui!Y|#M?QA(>aSzasd}{Dc|I~{D`0NOMc58%WJ^I<;0`CQ0X_y$+;Lw?FHxQ#z?mpzm1 z&4Mh((%g>+vMP_@(QL@ZJcDQRe0E|_4&a3x$t!ssZ{}p)%Ln-==kQs+$XB_7@9|@9 z11It>PUB3@<~+W@ zC0x#x{DAAZnOpfI^Au0k&u%QrQY^vG=2~vx7JkQ{nXg2$o(gj>mSK4w%xbL7dOU%r@+_Xqw(P>*9K?%x zIj`n;-pV_9A7}7!&gJubnXmI5zRz{s#BcZm6D5=NQ-FK0B+IfQ59Q&k%j0+wo3aJZ zV+VF;KMv(3yn^F+6L04fPUkE>$pu`*rF@g`@*{r6FZnHZFmI`3Jr&}f+?)IJARfkA zJch^f6rRa*cmX@J7YFhpUdF38J6S&kS&XH*9}i?z9>Js8kd1i;&*u5;#GV|$3ptWk@;ctk$-I{j@=?y=vwV@S zas}Vx$K1%T_&tB&u4R(-RD{L34=b=TtMf=6%SJqn&De_V*p+=an8P`WV|fE7@-9x} zOwQ&!zQ841&XxRt>$#a*`6Kh}ldPZJSd^t$j+I!2HF*>p@MNCOmTbe0?7{vV#!ESd z*Kz_U@g6?FNB9(<;bJc1TU^bx+`ujTjz2TszR7wj%)MBK<#{lxu{P`R1fI&XcrM$r z3wv`AFXrXEn&WvZ@8o@)!N)n5;WkR{^L^FRe;@46KHs;C=k5x!7)x_M#_zGKYWxTu z&4z5u_<6pwji1j>?8yPVkRy2|uj9>}%zODDALSfA%NO}7SMWW4%#Hkt-}4vl>b`jq z7Uw>!z{;%7BY7+v@iaDLE4E`-_TgX-=O~Wl4V=ikIE^zooAdYrmvA{(@&m5tW^Uz= z%;WoAyRj%su^cP03TyHxHsHxToh{jh9od8ZIgFQb46o$`PU1a$fRFGgKEuUa#<#ed zYq^12_#J;{KHqyP%)MBK<#{lxu{P`R1fI&XcrM$r3wv`AFXrXEn&WvZ@8o@)!N)n5 z&+}!z&Ug4e*KrfS;SWr>PhNm~uq4Z}A`j)^tjptg5}UFG&tnI6XFm?*CA@;;coT2u z6i(+XKFI}K#HDlI&s%s0r}7~_#;5rlU*c32j^;JIk+<<~-p_~m1m|-hU*Q{E#Si%@zu-3h#9iFKF34gm&HZ>FtMUjQ z&4z5uGk7-7XD9aL0A9$Eypq@PW=`h4e2|ZF4xioScnWwWQ+pr^hus?_KQjX!ZoWM!EhY#=(KE-Fan9KMUS92{l za0|cV&&=mOc46+tGAz%7S&g+>k0(-yiEP5=Y|ZxU z#=acF5gg5Hcq4D)-MpU<^9j!9LcYQ`xQZY0Q+~m1{E54`e_fEpSepCsKvv}uJem#J zm}l^8p3hF~$pO5OBY7pSKc`O_8G&W-^wqsZJ;b0EuD30X~oXER4jWao$^Y{Xna5-1<1Fq+0Zsm{6P7c3^k*<4|70D>#lf@pew(bk5?F zT);(K$~XBgKjLTnlHYO%^SX~+h%^KxFz@%&HeckSQ(bN}yNz7h7D zqj)v1=gpkNfAsSFqw_bl9L?E^ZP}SU*`GuCXX|T<|93xU@^L=RXStaF-|OL@UH*Ue z{Qh0Ho29m&H@K32_I~=m>iD0ozntFM9RnHPXOH_|y~5wGVf+=;`H@uObg&xKxsLy{I;iVMCtG zzv_J9kCyY_rJVon`{|#omw#3JGAqKbL)SX zaB%xqU%yJXf5_ebliTP2*W+yN{wKNq{^nXRMqIP0V??>itPs#1&?>+A4e(rKVcYo#Q?i1I;HgXHU?M9 z*GF!>{x$U)*JJovN!E4!;tbtLWQ|u~WyaV4k2HQP8}T$YWBj~FJL6s1hl4qs@%jB& z<2P_3@8UGZ$Klz==kWzD;c~{$b>zM`H@SWnyZ*V1Z*eu(as#*UJO0dko{ucdy;z3j zc`&Q7HtR9>`OV~d$$f8bvL537Q(>RqJsG-{%Gy8u+53OtYvEb{Z+C{r;Iqc}w z+dH}a!6dhb-2Pya_3)q4AIx99A8F`w^H)Fb5VwD>mj8O*%t@TWc)t+0gZ|$0>_6KM z|7U;C<=_1|*SP)ku6EIfT+dDXnsGleh)ek<-{nXAj9>Cw?qJ@$$^BCy?#aEmKM&$zti@w^ zJWt`7Jck#sGkb9$FXCmqir4cN-odGSh>!7UKF62%8sFv`e!|cBHMjFu=GU*%?kvH5 zc>oV#4c1|Op2#L_&em+tZtTk;9Kq4NhBxvy-p%{@FrVOjF61kGgRA%Qbkzet9{=!}Lr&NT+xeqI_GOP1Q9?M2Njm_AK?bwxlIGDpZieq^LC-N>%<4n%x zJifpsT+WsJfa|%LTlpjN6i9AIyRj%su^cP03TyHxHsHxToh{jh9od8ZIgFQb46o$` zPU1a$fRFGgKEuUa#<#edYq^12_#J;{KK&^b=3Xqr@;sQ;Sex~D0#D^xJeO_Rg}ph5 z7xQvn&GEdIck({Y;NzUj=lL>U=R16#>$r*E@CPOeCby#k+=C@qmKAv@4`*E-$CKEU zEqER~usi#4C@+vMP_@(QL@ZJcDQRe0E|_4&a3x$t!ssZ{}p)%Ln-==kQs+ z$XB_7@9|@9_YOdu5ZsB+QnfZz)x1++`i)C1z2eTS$vmQ_2sXUA4 zvMsx?HwW=zUe2pIp11N&-p3hyoOAg+U*_w4hwpP8H}M<(z=Zyk3UCjWWLZ|^p*);* zc^pq-Q?}rF?7;5q$DzE0S8yC};_aNm>72zUxqyqflyCA~e#FoCCBNkk<_$LjqWw`J z?#aEmKM&$zti@w^JWt`7Jck#sGkb9$FXCmqir4cN-odGSh>!7UKF62%8sFv`e!|cB zHMjFu=GU*%?kvH5c>oV#4c1|Op2#L_&em+tZtTk;9Kq4NhBxvy-p%{@FrVOjF61kG zgRA%q6+=mrdnbmnDk7XmC#%65AcI?VN9L(Vy z#j(7B6L}Y>aVBST9$(-RF6T;q!1dhBt^ARBN+!3X-B^^RSdNugg*AB;8}MYF&X#P$ zj_kqy9L7sIhSzceC-ELWz(@ELpW$LI<6B(KwcNlh{Ej~}pZ6sd=3Xqr@;sQ;Sex~D z0#D^xJeO_Rg}ph57xQvn&GEdIck({Y;NzUj=lL>U=R16#>$r*E@CPQsjqPZERDgT1 zB+IfQ59Q&k%j0+wo3aJZV+VF;KMv(3yn^F+6L04fPUkE>$pu`*rF@g`@*{r6FZnHZ zFt7I|72=-UoBQ)19>!WchR5?1p2>4~0Xwr72l66b#;bTeZ{Z!B%7^$EpXPIXiLdc( zuHh&AoL_T0e`WqM$?a)(mf*fTfQPUK>##mgWD_=LYqn=M_T>Qbkzet9{=!|u12WNmsR)a6A68&xR_BpCmW_BCo3Rz! zu`By-f*2EX8uH#44=Gqu78a z^K`ak8+K$5_UABO$}zl_6F7_YOdu5ZsB+Qnfby4ThVq@n0v7d z%kyAXV{O*s2|Sf&@m#iL7xv~LUd+pRHOKQ--pTtogO77ApXbYbo$v5{uHz7;c1A zjkjbQc4QCs=P+K%F}#)&81K*SG5!D_;ZuBui@A(%aW&U+1Gn%y{>*$nuZ6i6iqTgN$Fy%b9)sWgpMJ9skkvzp7pGSI;MVzU<@Km-Ejq z$6uYVq3tIAJjCs_7XE%7JFq+ZaVRh06&%N#csr-?|9X5+xBTh;UfTTrY(1x|m$)7K z_qGqmD%TC1$lUMIC66m(((E_l&riDbo$l}1w}bdNk<<8!)=zx?lhgCBoaT?qlkT|n zN5{tl{*UF0x94={hkfN8!Vw(JYj`7XIu3+<&qd>5 zrYlTe6&cF6&iIDNP|j_}w?~HZCaMj*u=k+$9fE@fB<&XeHmLKkL5XUe+7D`HZkkJCI8oe&Rx3? z9MpM0+m7vf_3F^RW6wk~X>#h|KEE^lw;89-w@2Sl>TFZ8=J|UQQj6O5_X1K%o1C6f z;`SZ-hNaE=Unzg>+BxfQZ3hl&KWOmp)K2$4VIc<$?l-7yxAuKH^@^$})MB-c{RX$~ zKA?Zwj-m3phbm}0uye;j-TU@w+p+K9=zsieRKEe8hqT?9DVkU351s zPKkRoL)_GqxW_WYMRgIE@9_+Avs2=t-_tGc{FJz;eV#6EQA%8NJw9FBvXr=|GQ_P& ziJOxlZcR$u(;4E{rNqt65VtucZeE7CZ7Fe49i>~|9Vu}OGQ>sK=Hm4hUH40uuSiPV zvl-$_q{Ka!Auj4uJD%_J8R9CX#J!Lqu4+o$!VGcIJ4E97F3J!WU2}}%7H5cSloI!1 zhPWmvaW7?vYmpN7a)!7zDRE0O#C1xEi_T}$t>0cLaZ5AAMb}c}dWk+$>GBOviF-9e z+~}0J*D}P7ONo0uL)?UvxaAq*Ca1(j=lAKBV`@s=n;GI}q{O|IA#Qd`T(qxAH{baw zac^gcTa*&_PKLN;DRC<^#H~n)i`u*C%C{yZ?%fP=>r&!YXNcRJ68Bz)xNRwM(LOj` z`F5nly`Ld2>WeDgoh>Py6#>@LzhPW0faT_wkwMmKFm?5rHO5Eoe;(DdT zZORZgC?zgBW~bW@hNr}Rks)q$O5B$j;>M-KZOITfAtmms3~`fF;=axhH#H^hn+$O? zQsTB|h?|`f7qw;5)!+P-xNkGWElP>|E<@b1l(_FR#H~n)i_YiMm2XW-+z%Pz)}_S# zm?3U+O59Hw;tbQsNR}ADpgyWmDp! z_Y9?rtCSKK^*5F-E_!w$-oHf8&!mfs`gDoo@@0stmlC&YhPXy4arraEHA#t!`aw!p zz7{EQyJd)LlM+`jLtLknxafU*>E_!jB`&&8pDu1tN?ef)al=#MqW2CZxm_%Mdp?B`$jZV7mEEO^J)1TSyl-BPA|+e|x&P*(q@)GQ`bKiHqJt z6z2<|B7gXahD9MRbqF8(tf4~qH;RiVXOD}fMZ>}2C;B}6QCy7>lYPGR!r$T{ zDql2I4L=3LPlNCi4Qj8h%gBcV?KdcbqI3*UJ^z;-Wr?elPBUY;hw)T-lU-(R`yJx;B*Q$8juMZP+TM5(w?VLYC;GF#j} z$As&>1)~3v9OAgBuesFu=BrsKF*3wO^Sw5N#`FC&TfQpCCldYQWWhM!$Jz3YJ}N9n zm>%W3J{afwAzQvlAzu_14{^S4v*lYC@;wrQqI@?5<9tz{!SVlza{LtXEeP8}JjBxq zgt*k@op5xaL?XmR`EClq|0`dJkA^vo6Nwk14a1N&-*JT!)5E={DBsN?HeJ4p&PpVr zZ7m++U$d95-f4vrcZZ2lzFRZo`>a{mZhvR}X3w{#N!ag(<%sg#mLcDkR*A#`ap8jL z%D1^ip+w~{J<2yJL%uy*ClXiYAYX}=g%T6xyCXxsi6LL8!rz8;<(m=mwF^;E`R)p) zTOS)kzUcfZ9@6D2a(1Ca^giM!-;@xXF5jy25{VvhvS7M=l|m;$SB2?OzI!v|JHAaK z5%mKZ5AmR%eSJ{&g1m`8S)(-@f)y8636T*&uP2#S{X>0r9$T^#bw%o}G*Uw^#@6-q??T}JulWym*RSR!#*4z|C^!@~X` z?8l>g3o_)}dP!K`sA3K2mbcQ#us*^eF3K0RA=54I^ssY|z6TKx>GCxi8;)=GFVAPl zx9aL}JkP=BWAnH|iD5;P;iuq(k*YL`C)y8sHl9e2GcF?yCL7tIauDwAzwG!-|HFjy%Rn>Wpl9o1ks;qV;lmU4PZ$sBmUqIELWvE^_g02{O~cC1N&O99v9tc(&XDh+kT2?=G#=8G zZ(EeFKyrPo%#d%daFc9SPWD%!^S|gEI9k8&X2|z^sN9Q+#HCBW|C|3&DBm8*eD7t* zcgzQg#Es!N7!T=|x7L=hJr_^rdp|?ISHDaoPR&92T7(C`YAD}_8S<6>DUq0)gY_}G zSmDH1*2mfm`Q8W@FQ45vUfT5aH)!vWZ~x@-ev%>I_;Ps?4Z`_gJfy3?PIU_>&MckG zw?0F@<%j1OF6^i!UfZnZ${|!@$N7^%C|9?ZvQeq z}v3`J(fSbooxIlP57DP8Lj;Z}i!P6VHX|QNFK(>GG`(`OXc~;~`zXM&}gH zI**U?Z4JTc^3|-HCov)?+e^q7?_a*nkZ;y8c@me$g$t%DU%obl6Vt==sC?gN$ai?X zJc(=LBpLF}2>HGWaZ$b>GUR*a*gT0jVR}5osk5JNO}-%H3qeu7unS3Be?#i$Y}p3+O*qQzF#xsdoAS4N&CJ@=%lrL$P+DZe19ft24p|q`aFmEdZpxx z@6Y^RzWfbxR=#m5`QrOCznAZTkS{0O-;9ti-u~kIGryN_YRK0*-bg}y#J^_WURrc0 zoOn4*92tJ%`!m0n@0W&o5^F-9cu1G8Y^Sh3@vqs-SFdy7 ztg=Pri|^0;UcOx#Gr4hG|pMR`Mq}LE1epo%t$c$TuY1n8-=}wHdTCU&Rdh&OIYfqDGh=5Amc5dlZft1$3y&U_IxFV7EVOpqmJ@L-@{Iq@4E1%>*zU#cu1G8*RaBg zu<2*zJ0wHCtD5CW6pdzPNSAN+g`qte;-d0Z$&l~XvqSqiP8Lj;uf-*y{Su}}`3}pF zZ)M1rllIWmkS}gONB6YS)nAKn@MyN*&H|<@-{i|fd$MA(e4z=SHs7>ic@n*Mrc1lL zQ|~MM+xh+x8S)jsFlX(_veS09CqolHZTWhJe6@2>zHuR6UF#z>Y18JrJLC(ObbcE` zXx5N@dtMasy&R@Q>-VS(`RZMiCr8(x$AwPj_m(d-Y15XkS;&`@<((Sx#mgI-plS16 z8S*vFL3?~n$oEl*iq5SB<%md^ zZ&}FKFHDch7xm+i?(PFp*<9$qI{uAkv89XA>RqVWlLYaHX&bQ z`9c#QZN580zG%Mj5JIzt?DaP_rF`L#oi^VyA>Wm8l8`C>HG95AA>VUhVzj*B5SupN zi6NkvGDW1zH#)p5A&QIgg+pT6d@VyjPRq5|u9;a?<8o7y=q3Q)K;{E??PMJNNg^Gvv#ASniidnTDc|sv z@|}|*U-OVJx;_{W>6Uj{$d?Ecqxx%=A>W~)GnAa%e_Qs*&i&tc8S*s<`K}4m<01Yv z`}$oM@~sLJqw<{}e$wp^mX69<`Q|^ivwUqc#D^o`iE zc(f?wi=M5DmbZI`eAiu-=Wo8Bn67+P=j{Bv^~{j(u8=P}PRB#KeDy-U_;}tsL%yd% zzMOnMTBPLbn<3w;Az!t)aKUut>lO0F?SuXq^8FO@g)t`4)tHXZ=>X^vgRu@hY^;`86RAFy|bi*5ro z5V;~HUuZJ^wttD9nTYa5pT%g{eoLOj_U5}KN5a3+wCLxEY;j+GUN8|J9r#)r6(Wx~DZFdy$EsIPQM{ DK+Bu! literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d new file mode 100644 index 0000000..cc2a874 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d @@ -0,0 +1,364 @@ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d \ + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o: \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/config/conf_clocks.h ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/config/conf_clocks.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o new file mode 100644 index 0000000000000000000000000000000000000000..8072e679354258973308621418e2cf673525de0e GIT binary patch literal 800352 zcmce<1#}fh*grfoyL+?u=0->YxdZ}%5C}m-a199r2p(LDOQ1k0MS_*!5ZqmgySo=D zT3lNsrC2Fe9E$vZ&+J5M|M&gA@0>5^?9M#?%ric_do!qVjTp{3OZnq0l%+w8b${nh zB%`Qg2xTMqaLGL%F!3!^=^~XbQRy<3u2AVJm9A0gI+bov=_ZwKQRz07?ojD2mF`jL zK9wF&=|PnqR_PBaJ*LtVDm|sr(<(iy((@|4sM5PSyh@%r9mpqsnT33&8^ZM_ze)?Lw6IExsI;g`i>tJxN=vJ> ztV+wPG*YEeDy^i_7?oC4X{<_XsI-!>tNrS(MNIf4v z>s8e^nFV=OpIOCGeNKE9J&Ut(v?IAruv_ef<}9e0n|?{Jel?yaCk8R$z4t&2nwa%x zvPkCBkFhS-1tENJd8(@?Qo3^Ea^cF4s|~L1Tb9+`V{v=$u} zd7dW*4UP7V)^g^I^buNBEz&pIy-jzr2RolXeE$4w>*<&(F^O99-c#q*m+ zBvgzKs=YJH;~L!~u4Tu)h`fhi%Z9wTs)w*-7E+}j>o+VWOI6;Q=a?w{3}7OkHq)BaaI&LLitnZL#dwIyE`y%AR zeJ$kNeTq-wuxyOk%b^lbAGt7cRDFO}!q{i>{|)(nGp12Yt(eL&$y+(gU42f>oTSPL zEFmf?s^X-WQ3)p!rp7q>PK>G5->d)F{z>uKs>Q<7*qF&|QVc~kHpVwPD#j6co=uD~ z0Y?FD1K5X8jqwKD2Cx|!$%ByBqP-&bqf|qq%S3xdzUGmIhek(4_bo{gx2Hy&V(%W^ zG-k?>vNGa}Vpb#SRF+h~5qIycTE98AEY7IWla0w9zR|8~)mshid$2FO88vKFPPCV( zg!3FNrInVT=gV+5D4*v!t^XXGNb-$7INC?|sOF${6g#C=hIset?$N%jy!WQ38t6y*Rb}vuj;ni3Wi$8qqTXNC!`@!aTvh9AH=K4?wak$@qInyw^JKRpWa zE!wc42lxGh67$}@>QzKGee^SX_W5(-XKQ2%kt36TP^IMHSl`ZqVhelal7nKWbRuX9 z^cGLf8ta=RX+v00q2$c5QzSG65Js zXw00%P~flJ7do_uUW&0h7i>TL%2X~I~?ap%f z*G%5jDP~0#UNj{CyK~wKma9^C9x}YU-aP}_uq}x0)|ItK^B!}1T<^iBeHqidyG_db z^{C&Y6XrArxU9Rep|Bc{F*;O)`M>_@)|f%aWhJowkyM?3Tghb3L5l_ZVbWjuQy`%m zox1g8n(o$mmBBP_+yBsw=?ex4rst~(CXJho6KqTq#({poc|OsYUaA8TgjUXF22M=)yK8%#}aDDKmCD95AD7CbOAaY&w)h)DSF#Icto; ze9Vg)XTD~_8NvL_Lc0x?(ahOFXPL}q_ci8kPF<@pm)W8MX94Eg1%hQU2X)t2R`b(E z#sbaI?`)xSAta?{3WYPH98n1IpEoIzm?EMvqdRdELQ-a@P`sFtL=-}J2s0dUWuDPBD>1VO z)xL1Ei(ux}Y=g$kq7q>;Aegr>3qJvChBlpgGPBqc%JyjAk(tGbiRl4z+?ZK{l-Y>Q zLpS4_YtS6ms2(#rQ}A>>3u!Jh%Ertt62V=BNa}<}(N!WuCPLWJu+6V5geM_}T+o@> z-4gKtf_dv^$Ntc6In(1)sx#jZ6Vso56wK^N_HD!lXXYP8>gDu+lMAFGZ*M5_tW&Qk zGZ#vPMw-3;XpC|%k_e|&Zrz-BFV)oIF_e|%USgRVI2^t$CG9q1^Wf(39GI=WdI~5y zL7j|qH`3hn9&Deq5H?u|ZXSySt5t{}U#Dt5O-xL`(isA0NSTe;p!sJ1RL!^kt~vRy zns3Zb)qJ}SWu?!*TAD}9h0k|LnT^<>`Nbuqmd`Jj{LSZA79z#x=P$u(`TS-TWu@k~ zmS(#FpWl%(8?pHa)8u^(#(e9<)nulf%Lc{0P<+2un#oLu!caB)wWy4GcUKsy4Zlu% z;HXn!oP_E7t-(wWg>eVQw_X%8y%d51%`ytpjn9G6OtPtF;5y}{mp+n@`R~~Py}r^j z8*%#RW*9$)jNepWc$JUK29U`i)#+wl{xDTt0lp?xT|vpm{99dwxYw>qz z?{LkP?(LU>O zL&|!%UY>*I`h2ORdb{2cwE=fXY6e%$7m#hpKTE2gt2WtfglZ&J8C`lH>a8(fj)I6_ zm#Ya;oA5@G8sL%QOH&dlaK7O*$B7mh(OWs9>;#ggTDM0wq%2jsy`rV)$^$5hB3T=; zK~ckq@|)J3n(iUHMJCFy$Z|CNY+MdP!umPuX)X13j>{` zBF&(d14SKkfMO~7@hH?@Utt7bUUMN z_vh{5ha4;HIrwfkCr2`SPRYmooA!oKuyoc&oN&D?|3t2D{t2#^Q|c(zeln8f6-K%) zLs>y#r0WOvp-o0AjC5T_x1z#G*JXI46h^u}w+U=TD@=;(Wq1%yX)V_)^WIbp8S@y) z$NbNhg6mbJvo_*{>#g`vEb;yB-GI8*N}Y85{zzcjD2#M{{Cc$QwhAL%|8p}i?G#43 zeq{$R?G;A4o`ea--a%oc>sh`BrlZ1;>wZm+028k;v_kQ_Ruw_%q%i)3*-`_T&I&_| z7QZfWzpeZhe61t}wJ} z@f+-g_Vu;G&}8acF&eG1hr-CoG&@D?8-tz^x^i6Jd+11a{EU(v5Cup!x~&O za{C5e5;RNQ7XF@8OLbc%AM+pf8wQ(gQh|*)q3#fGpQ`Tg-_#xCBU9D=z^hSSsynLG zIgg^)$D{%qaYEfCz9m)NWxgbJy~E($MSeL|-Bms_RoykEu46x_`$;OW5hv8$=U?Ek z(YH?RIA(v4&O5*DyAYV)6ow`#zjlX!d8jZnN%&T7T%Xw=DU3##MwNhhtT2M4W{*Y~ zpC}9mh8(@e2f?Zp#%KI~s-u5MKIUJ#B$~~0>6$^DwlTQ*l(m~;4^By33l^ZJ?V>Iw zB`9=x*9Yhjvn9w~7uvv2ck!zPgMX=_oggunqL*&QEE`m+h&miC&K&NZwD5&xg+a%S`6<7$MulO2+1uZ2; z_C>+{M1C|m5uDX^FDIPLC|;q-VC^6mDfUbPn?8WK+MH%?%n@NBAx>Bl;IaNlvbgO2owUdZl#cs7#Tv5gWqREeHqnR@kgt!L*!q(eDq=l`Ig-8oqf`v#6TVD&27PfvCA}wqKEJRw^ z23m-;uzhPGQo`1?0^*Q?mQapF7e$Z;F2`VEV*0T)XaGYfQZ`~k*j6|4O$pnY?LkruYc79uTdPb@@Q*q&O5w6Hz15NToi!$PEm?YV_W z3)>3|kruX>79uTdYSEk)HnnI@3!7Rrr-bePTExK$+xrm|K^Zo=OlG?62!^Z=6e)w) zY&&rC5snZZn-TN)^Kb+;kJ@CDKEFVm1Uf<$MlLTxj-tJWDGZ$<`Hk8Eo%s~TB+RRk z7;f@g7`aFC`{^Dq1r(1?JhLz*ISN`B9iuUA=pDIu7x*D3J4YdY5YEX--ceZcG5=k= z8FPe7XKlm@*BkP@nNnPDtPG^N-b7)f>jy@_WK)HaD@M| zX)g<8BTgUPF_gbfE#7doV54U2Ckrz|VW=JZ$-<0O7;4Ct>!THh+No7nNeV*^)vAXv z7Dl6X=qFttr+DP@b^RsO`2-6i&kb2d-7$o_{8I`ui8rT$$-+#Qe9V6#jshH0r297F z^x=*Tyb&^fQr$*tonugJER&nn%ExL9+_9ebO*OEE=cT+fkg|ZB`2^A0CRN&q6VdvC z)AItW9UoIAkkOKrctT;)B5_h-WF!K1!QoRDMxIbwj-IwKPT6WJM`4mXt9UF&b;l8& z6IQKiJ;#$$9X&7kn1A&_2;T+invFQoYCm!(x}%D4$0ISz zWDT)0)nrX&vK1a!IciBGHsVB+ZzD<~uy7nR~fIPE*rQx1iXC|Mh*veUICL}VFXQM)^N~I@yJdf zTiXDIp=ruDx^gY%7^pC^I@;hM-!aI-=rUU8@I2FzXklz>_vrXW*vvYHN%w8UiB51#bfCgmeN#0k*;IKls_vA+(AJ2di5(TAQVpCIB`GhXbw)L@ z`bikM&q|dx;zYD=iECt_JPt!0zo;_FX!$k4OmJIaWVGa%bjQND%VRy%_f7Fyp| zR;tPSs!YT3p-c~?5gT!$zTb-)cv@+VKp#|n%LXM&`AK1Db7zgkpa0e--idCIx5CIY zC*@@+xkhb=`Nvg8OBEU!u@NUq>8q_p#WEjg-fPn%QhEo`Q z8#Rc*tgbL}4w_R0eWH+(qQ#S60CsYYa0_G2IQ4LV%Ue3jrvrG$7;P|?ZF0wrC$x^S+Fq=KXcK+O7vMN80qX`4 z>@9cej`3P^xi9u{IcdW-L2HDGSOojY4Y*^X)>=|Cxw?cRHj}hmn4Dk0my$WRtI{g;r5V~1 zNey*nr-jc<%^w4R2+r@~HZaf9I!Lgvd}!d9t!0z4;VwESam>*o(06Dr-^VrRa)E&6!Sp}l0j?%7 zeKmY^uD=D3^bC`9=9y^_M6wNkA(+dv&?9gJw(kveIAx(Jd&@8JI*X-N57a&xsgN1? z@+_TVwFpkxJ_WvPdvfmp0St7mp(Yd^Q=x;3T5snKs5-`f)jaQ?W+hu zEh-8?5%{hjY}B>DVS>3I;CTKIURgcaSV?YUvs07bYR0x@&1^$8vJYkzBC6 zQMrSg!+bE|5&lmg8AQtU10JM=>Yk+pkzKKm5T-K1(!*SbD+7!aHFA?l-L>c-+(`jV z*XQN%H3f9K!l)TVDOqpV*1Moai;kfr>*soM63)jcYGGIIo~VH;VxXiJb&XktFjrI5 zlCBJq0IQ1$l3Lc~(+0t;B_7GLM!H6nfT7xoxsvOk1}Ez%YE{?q>j3MDX;QX^Yv&OJ zroLjXM45t_5E=TopdRlM%ul@zH{l4Og4S;2$YAOZp=Nfo_AS;-#oONAPY89|@}Z=_FZu;+~Up6q}l3I#xoZROJH6L~_(h)0klDFc5N_rj{rBDh7s{;QX8d zW61yGYt%$`-VbFCY0=BZoSwlv1_g4-;WtF)(Su#R@Yv5Yw`^F{7Ct2+RC&17G)x$y zAOz^7Ib`~Cgu$}{A4h85N1^f}WuDZO*ozQWRG`iE3^R&n6z>41(4m<-W#&OldFyl5 zvx)c-L#wNEP1tKDXl5ccb2r25=BgfbS27OBJ-?8gwC)&D5L#OZ8sllg@4W)9w^VJQ zs_Pv`t+f*4q^dfuGS$#RT8lVXMZa=gTMy(*khca)a3;Dy{3>j%f;rMMQngu7;}0KJof*Kk+a ziEyp6g12zj_luzaO9k)YuEb-I@1o$t+!cyLY|pMrKb|+cQyU|1Wc)H)FnJ?kHw*rl z0{b(cXP!Mo2%4)aU;{kqCG!;Dr!F|sTNINWBZ0ZoC`W+Q6Uf}D9;nwSIO8+VMzX8t zrt!qHo1i+(eWL-q?<2^bWw}p^go|Z)UfzxI0G&{IIQ4YdbkT%{A|IeXLj3b^>S-wr zeXYdL!QGu&*&$@4b-_YAXNS>Gg8CBYp>6Td!}GIvCm}~cyl3LwmPR+u9c9YeScc&& zF98}CdmS3Hy@R5>IkUL23`wWqsG;G;@;<<;iy6icr-jgT{m^KE7ZmlZIAL>}h%ty$ zSJKK10FN0F?S^|L+_~8RcVXVa!GmT_L|!+)!`(19;chbz;qGQ$#NA}slCj=$YNO6T zMPOzO;abvE=tKnsor>znCUf{mZP(9J2nSon6fOE11*;zI> zJ3Q0oJ|vY<*q3PYiy;e@ENo&V+#{z&CZ0bG{9HjWMSp-wveTv5T8#Po);qxW^vdwv*9kxzPM{<)t*?_nKiye z_{>2YIW$y`(^2jkiC7_+B}U>k12d!%*0g4wt!S2}Uv{hp&1PS6EF^z3M|RbxJQ1FGtvuC`I^yNIf8{%_%_A654-`9y^7dS zJZCMuuWQP8n$6eSD8$uwqd}Ov2T+$-wgz!CTMB^y9k`4)vY0`t!#U7tCF&WXh@zUVvF)I$m;&uAr0ya&ydYXmBo;`qQ{k_6Dhld1sdl1M z?Nr3pRa91><~lwZPE}E$Zh2uH$kFs8y{N9JPRom03iNO_bs|o673j%A{IKuUL=&-_ zoZ1nK*I1hgYUxyXsp$*F%Pmc<6ew6o=583jM7#(t2vHfR&VsrniAs;Vq>4(9yQPR) zin}XNvlM@$K;3e&w*n0oa(JGO^R92jWwKM^CJZDBYT0B*#(1dW<*sYH5vbvUTAm0N zuF|717LF9uOsRfK?FBemP`ekwHdhbq6~!1qOfD>+j*V*)czL!op~2+;ne3Z7-~~ ze+o};J**I@Q#%k(%t`9q_eN9i?jM8*o6$Ic!$|VJRmw;*La$H!@t%kPsNw9rTX$hxK8;5eX7AbykbD%6I$|~| zVYW%FIiB)XYC*EpBY9=ZLyV00hKyzFx(kCFula2%y;dr{Ezz4E$HN8Q;26;_g`-j$ zk{hrcIGD!LCZw45d(AN&^5&!l=SP8?z6R|J3-V)mAFamF)ov(=){LE>KY59P=1=+p zfU%i$!ym5`!B~L;c>R@6(r6qIle!eli1HTl#Mgv;kw()wE12gWm{R}1RR0I&i+^Cg z`Uht4KQL4Nfm!-@OyN3ksv9l`P9qCQPYsFV5c=g!z6DHGvG^!^dNBsjTYLdvjDD=*7MQC9Kvv*$&hqo`okkXBDVvZy8Jk@jZBXd61%|!4A9<^1Kd&Xzn$LlAl*0FUwm(JETVN z3n+c`HLkZKIGow0I-3m1POuWkR+Ya52)CKj{hg0D#az z3lW1jEAf-hA@r-%LH%I32f+HNI_@+@b}4X{j(hZM_y>T{K_ja{oK^VC!-&dq>rpaj zQ*n*(gdS>Sm1R3$LCt&_aI$3q%_4E}?~64=G6$Us{f-w#W4VS;rucZSRjs-SI!7XN zCo>oaaIJJVyiX%O>RhAVUo9ifW&x2c78{3G)Wk+?_fQUvMwsetdVRyhCXLpl)%uqO z>t>DC`>gbWH<-jHXk4RV$|6lvq~C5Ufre;<(6u;kb6GIDv*zt$OD+LhXPpwm$>x==WfHePdMbZJXKI_tq1T^fEe z0Bs_~)7M?|%;MR=mVw7{Z`-UW8wQPB%-y}bJHAt4n#v-UdM2iMmUb`WUd7B18%||+v`(<&~AepO4FUqC)O-_|h?=D7{K$unDqXkF) zi{6y5R7}T;_(fUnVf0UD4{ldVRpI`(xZ){yO5-O_V$#(Vz$)qGRFP6lS1qU{sr(pe z(kx;qON&i4Un@FzM4r}?YbZLrv8XlE+`t%AkWsrC8R==c##rsYVo*}~hdG!DHV_wv z5i9Scl-AI|2O*Jvb#QNmi!-cNtUok%mI;?&g=spMe_3%4!bLbr^W)-jxr2-aVh+XV zH>t}4%Fvj~<+2PJ#12<1uJkGiuJJb9!Sv@KfDNOGJ!1VS80Q{%DbPlcf zSBCU;N6Q-27p)9Z|Gbeya4GS@Wm%vc)fxztlusp4E)o|Al(fTTNl>l>E)XaoOaFA% zvQ;;|t-1@yRxT-7my#4)br(>ssYRe9#a7(~lM} zNwF0tZB?}hl%&{-leW5B1WG32qH@Lk#a8+lF98Q)Om&|6N1XtHp`@G4HlSn}E-OZq z8!HKQC?OS`HNai|Q4LVDfd)#*agBX5XaQx4N_;&^5^%Y(?yP7?c-BXMCGy*(sb9Wy4kD|GTnYtVFE^{J(TAoj%e@_#K&lKF8J_#dPjLTNg;Nu(gI-2W!l2)uveC*HFEW#%9JXz5Uanj?`KxJr;2 zjNDVX`8l9)UTR(GOZndlNVqw!;{Q|t9Vt=zZ+ViX;jzfS$O~CN|1C>$Gz1m=7g>${ zw;ajz!xi=~a{6Bbn;IzMAAw8O$6o`5)}LB&srBo%&z|y#p zN<^j-E;Lw5Qij|*3n+KMBH%9nY`8mcg_7a4u>y7d4g0sQGKCYjH?D~PrNlR^a;};o zs^60!jbE|>{V&X=6Q)?{Qkcf%aIzj}waS0@^dF)m7Kux?o&TjMbsI8Ea#6VQ|Bq%H zAap5GBoTuv48hu+20zMCOgsJC5U?%t~{jqKPA$6 zPR!$R{agD@Gob_!pNZf-fJ+XW|Bvc5B~}nl{S(NcLF2+s+RFP;I>5?jMUwhQfSTBe z{MVV#6Br*{g{jiO1!{q6;{PI$GU-ZF|Fb~q5Rg$$)zl~Q zlKTIU{LAp2F|`-u{JY3MMzM^Hnmq;SAL{?Xt41SV$sPm|*}!PhQ)T~e3F6L;OZE>m zu;6qN`){eTkf@5wlg+bHvkcZK#+fCK8hOU_c%ti|Ptl0f0auQHBg@vU))^VWl=hdt zRgq=1Fz+;~bx@C%K_3J03}5JYXEuz zLkb9=@<&|sN8zHs0ahYM0?N|Tl>QRs-C7@0cA|n;s5C{czJh0}G$r}}!w$|Y$sFDa zpxpu2a`_k`mrWcY=J7RhKf=2NS~2{F5dL(nxSz_Duo-!m;cYK1Uq;QI$#6I(fZwSb zw%hUGH~o!F`==w5)n)OgziS?ul@d?W$z=pMufg-eAr?Bt!f)Km$lZ7{-aFP_${(hoaJ=GqEH3Re4^_E0 zJg>^VyhA&RNoNKstnMu`$CH(KD$G^u5>D5Y{!L}`_qD$1zbG*MRN zri*eaH$&j%D=9luR8YBDB2wjMi;5~YM?|UIT!9ysq{KW?N#*8?$||=&&~M&Qi57|~ zD)*hJs&b1&HI-W|VpVR5sIGELMGci(CTgnOa#2g=R*2dvw^GzmxmEJl0La>EfnT$b zcGrk{Dz{eDSGjeffy%8H^fNmou|eRaBbnPM8mrtU(M07oi>4~KMbH~JkdSw6hTc3K zsO!2`?h7N!b-ZS%1-39eN=-(i_iCwRy;~U~D;@=DdTXO>Mtr%U+G9EmT5ua9uM_V+ zY6Vm`RkFD}bR*Br6FBe>ZEK;7LSwq&6tAqO;eG{Uy5`YB*F4cryqt#Lxlic*u6BlP zD2@SjEwg)i6ASoXR4W7J+RKbq9x9?c7-CgBD7SS?C#Y+c;th{`c*{-8+{wrj9Dv{O z(*imx`7B==!2^Crugu-W2#&~t=lbbIE!|IfSHt(+c#?a@yXm%V)Y?#NhjzH0P+e;gRX^qW978mJ&llEV`$jvr7-z=t4#hrM##pTu&f(lEAh<6 z$TuP$@z`u67`c1m-2vU$WrU+;8Yhhi7={5nV_{!K;wKoG@f7d+vX0j&f4&vv-CN>k z?${dqN}$1t{QxP}a}V+7gPR6Bh*vxeM!&{pu$f&66M|qH>{DjEe#aiy#@ke^*;>-G zPUib(BFv?e#Iqzj$==4VDH*I>8OlGsKvt4=z-P8LCrKUZL7Y8Hlf`dQDbQ1&sL}Zd z6S#-$PQp`GgT2DoYOp+|iCQt1^t}0+@*lI2Cmo9r|C)is**61mPQ-*`uz6QVL%}k1 zZ;s!~GFZZX;!M0jwvsXsCh-wrR=lG8j2}ruZ+xj~u$oy&st!q;rKA z@%RlOOvE_iTpvUEDlXzLg3WdyN@%7oCVF2_Kai>{d-G^>0`>8LZuO z;_tJO^ygelJcV*mz6@3ac*JvwWP8w?aI73=M1$>cQT{NFAmPthqSEi(8EkL_-QO&s z`)H|uU|uSFFU+e3TZK=F@S~M&2(uWk=o#!6ES?Nj2k*HVEG`4tohIAB08D@e8*qp8 zw|q-?#|)A^Xd}%%@ths4_6y2)lV#VAQydn^{MhrvzYVjT!CqoiM0{kv@G!FC*@>*j zqc<3=MN!g|fVY?pR=+sm$Kr=LV6i7@csGyk_QOP7EnD&*?&Px{p8BJ9ekS~d6U1K~ zKbV5PFobMn9ZmS(WE*gFB8w04J7ESJB_rH#1Le1lBmOz=B%6f(huW+_p7_f4Run%) ziIzE-^tcC-4<6ab+htqmt{*1ZhC_%NjJFfvIlebC*fYFIXt2673DY=?JXt8)MuSh3 z@419@*7||&>kbj;Ei6n7_VZBbt@NR1M=ITJ%yrR}DUXZqnvi1*rLwVnCh<{N# z^8EBs%5S_z_b>7JhQYpjM)y|kgz0>U_-8#PZFO(Zy%avh!RxY{N%P!ll>d4Tm98Ov zp1@#BBM5Wu8A*j*Bn^iO5$D@SB-?r!-H*H>o&rnh-UzQtATu2U0#+_ERqJSr!scZLDDomP7LRV0=eUk)>;mS5Xai055@tnt0Yq0kh$=j#pi2u@b3jJ2((x7HYsnF;dJfXo>?wKsV{OU@ ztss8i6%?`9-DGj^UdrE%Ald5mDZfdM{DlKZkBPU`Fq&1T{G&}IyYvl7HAyC&(_|ZP zpFz~)*nt?VxQx%9=aerngYJVrkzIWs-5d5N&hLZCRv6xZfzM%d|GqeB_W6-`%Izho zK846ie;LV&a%4E=LDbcLtLEywmPBgyW#v!rcg5OKEsh4jRBBfFE!kw0}y(!DKy4bosI z9}?&Ok|b3V?|0yXnuUaKB}d4t_-!Wi3)%b5_9m%vK6L-C72*BIk>`EeldOLMk}~l2 zw2eK($Yx^^W$;!ro4kSUtws|*0-yfaSjArj?rXmwo~IZ^ZLDduj(e%DmXX-W)>Inn&o~4kMV2Jqo4#%w*DBM9xspSCgL) zG}4eywu)kMzIb&f4Rgkm{C^`y@58dSEcL^aUs}QBsq6$_=$_w^58HPU`O*9Z1wM&? zYK_v3;pOVVz*s)85BwU(MIeylxi6kS858)t_u!n!_ZI+d65r*CuzttyRD!kLeA;!$ z?cp`C7BlwprA>j{$J^5=x}SHZq4ofe421aiJnv#a2l*oEdxyAJN2or`qt7A&NBF{O z=+Zy%s;j_qlvlq4oyYiuyU=-@=iCgI6WnV#kmq?mEVFD+_%1uNj1i0Olh@)_Rwx@M zS~LSRUOagT+5}OK27-ygrzVm~!XL{-W3tfi!m}wNfST=8v7`#jP7`?w!|Zg?p8T94 zn&E?FW2Wd=1j#JnhK-zUnJ9vFj_qeL3kx0FB<(VOmBE;{zan<- z6ztr$uvs%od^`-MGCThOrozOTC`$FrlaQ|)+!**qOC2!Xs(MaX?l!kC@V(AZ*B;Ch z$-_~6=Ppnt@OHmJ)f_(g1fYd{M?6Ah(e)IHbqpe0WAwe$a~P1h>+Vrt`qcPgRxzFz7DhBi%PT< zIU!!R2J*5Pehvb^iqhqf+!G_;Lhd(laRyi(i7_|8@>CStf#kVpf!&1hMvQC&oujpz zRR0sSeAF^$Yaz5yoTuG93TU-fD+-+3v_=MW9@oa)MsiUbKqFJK*8U<`?rRBS!172N zksBp?qxHZJ*!ZXw*$HT*euGA%N%|ao3v0~PAB4cAU-gUFTpGXW(`eoDMvpi|0{ZUe zP(9Qr7)UM|d<$sfjfHU#m}z7y4ca_ou7lsn!w2|A6NL_?2U0jIHBh2u!nyrV#(mme30JHrWPz1hm(-UkA$x+x-wI``H%z0-Sek zOX85cw5^>73p0w1!9?x?QD?^u(>pCn|$m>k#hXE8_)CW4(EZg5{{)|64#8?7yu6%TM+uc>w)l&o~9pV|$gt zaQB6M#rKf=WUob2$S}u=VW5q7MADLMvLlY>(dmvnHQ&o$T8y-bRKm)D+&w8 z9bPmu{^;<)R3O2>e9*Rl92lKYP3 zIQ%t!ca%5-+Ed3%A3%RN`nCh~%5jkf<#&z=v`hQbQS&Uce0Jo-*G9%r_bhG@ALIV9 z5;!Ng=lu-NrnuiM0`b}IyB;8!@4o3QJX`Euw;Kdjy00G&&Ta0~ihy&EdjaY)2i?zM zax{*)XT@tw#%cGfv9NH_y-RP=Z{2cYB5*Y!cWv0BO zSs4pFvaW)_B99FoNEUlcdjac9JQ5#+Wx2<~st{l4@%lArt35pYL0j+fYkjn=4IW#` z>x~{&X)M^{Q8GUSwtKv#1c??>i9={w__=kYEtT1EEHL&fhnU5YBM&Xj zL;IJ)f#o^> z#SP_r%fpWWN)$t@5~ujw3oH}Gjk$oPinV7E(Ai=Ut@Boit=Ay1OC%M+&_a%SExHLr zfWWKxMvn6Rp{7tY&8FoVUxi2YdRIjOy1w5H>R4V0N9x8hKA6^1d-xN4DPx@GRb%nN z3|1ZAs**d#o`*v3uTXMZSPN{tZTUL3$I2l;eJ*Y*aP?0dKNO_@w_z((93Oew3SY*r zpBv#`_%zfg(q$>~5u0%gZ4{kK+w5Y=J>X37wzRn_QMw^8k^91-ze?<7@Yg;~mEN>C zZ5!GrT!Cyi@d9r5T89_5jc@NRLUD%kmZd?M$m<`0j;Z`O{!5jyfcyP~WECHM4#>^i zg`w59l}mTGap~`NE*;*%rN=wDba@viZ*BWIxh~`92rUmI8F%HvJ@co$xMx|A1f4l< z)PsSL11PkSXWS*YQ=k(~OyP~X;~vrR33y7a3j?*>ajK^Z$#eo%>D*J~t9h&iwU+k^ z_+8JhDjFfxlz)y-C~{!$)u07$jlw-|o$R<5i{5~MR9MczUF`_<j2utzp4c-hxrX!0UzOqX$x>}O9hYp>#6YOtq`j;K zXX5?4pH`L2xidBKuDzk!M zx$1hF{i{6~2+7!i&tSj$?g;SL=(G&{HP7b&wbqbQz}LRI1(-US@{*4&6OoVGI|C)F zH-*AnKlCDWHgF?MLr1UJ za2}Xm1r`F+yXZ6U_o<(Rd&1Dhkm|dE>aE`fn&#P&qIT=X<{cas*$n8OV{m!w?`x@sIdA2z%Tw z@VoHXAYwT?^PI_n5OW# zx-pLrb3$M~zeEjX0T0^`dkgvS2ylMKD^d+D;<`Yxn0M<5zsBBwA`Kpg z`Te%ga)cK*VebbX*9`WKa_34YJI3oY1M)au*$~JRyxcWFCwYY%fKKs>?*aYDKhjKm zn%D0KLudHOp@7cv-|5uo9N(N5$$6fMPRK6sD@y@gpy-r!$H_c>Xhxd(KxZ1oVQ(;IPPe$-m16 zd#`xYv4CFldu5^X4UeaVrdX4Hqjq=YdWj`$g4j9^odV; z2HIzSr!B-2MT2f|X|RYN1eb=0RkTeXDqi9z0*qneb8fgaTzsqm)g#2O3jmE2MZO0# zN))9v>u7QP3^7QF9SmpMXMMHOcHZ; z0h%mY&?p7_ZEOoL@3-o+$o|upoX6K8BIJCjJ#r~Oq7K%x)kbEbiFC$qb+R!?1v8YxTE-euYo5G2u zVmqy)mWe#q0WBAi^uTL{I73^HmEsevx?iFLpL+3tW{}JN*#o6^p4v05&xbVHGLaUO4 zA`+*O#v!q2C6I?jPdo#Gb{ts6y#l_72b}>#+@ZBq(H<{hs8}NL7avAXyGl%tgu;@( zbATxo9|3ixD}M{O%Urnt4P~E>0;XKY%fOU>Pb<0#^KJtZxqz1F6`v;p6SWIRX-4#g zd%#pmq^D+;hh0TJ=EGL#tTLDST-7&gVWnCNTG7X5Yyi#G<22~0k=PiRnj;!PL#?BW zAywOrc2jkdXgsU?2NvE&oG*pAUVREt{ihY6r@0q?wv0~8KY6}hat-qpmX`6nOZ~OBW=xo=FwlnPq zu7Q;f&1}%qaZo)_<1^6Cv{S>Tz;u3@5j5^&9*anEpFy z`7t2w6)*#b($k7>V`#-SDDXb=iF{>QFb(EoDgYY7bB%)9q5SL(SQ^H^oebn~Uaty# z7{P~>0Ov^lsV-PX@i(DRIGUftRt*zqZW@cm@DhjM`B=U>E5yg~mggZio?~dF3G|1r zkxb+x@_=&^&)*eVCi7y4fSkgM;$tUFpl(!zY5Z&xlwmp#oDbv-e&;oiGx`1n5SYbx zzl6Qnd{lK9n!|tj4$xeFrVb3v<2`9uosXXofQ1D-{|E>yyWm{P8{~tbWqb^slq~1pCqUT>e*6F|tmGxAD64qqvp}xq^YKmxO`z1P z*YbL~A-;~E{u0RbybtYSHt>41)ZNIdeStD;;CVEqU`-4@Dz;KR3r^C%WauyBlT+y>-vzM6I{ zC-?(81v|-Kcta<~DmsGyk#BcF=V`u*c0p(OcKp{oOrZD|GBknStObGdyfU3}T;Pjy z!0bi-Jv~yr#Cc|LUglx#q3jAj)ec&&@^y6DeT^R}4kv!%pL^i>F{o6y>OL28-C@Kn@Y%D}fv;zMy_ROmvwI zx#42vQm~8=?YlwQNRdQ+Wt7N4XXm3u$7(2hk{GlJPK*%_8pFnl60`ssCrZ&7#dtA* zj_fChZ)hx&!HH?&4sBnji}k*6VutvciaAr% zq{nKr#M|ef%@!}|^mC5Lf-lrDf!;d>mU$wOrs4TwoF|km5PiP|a-kR=3YPE0a4O~^ zah=A3#bPTR=q(X*X?|KNW=(z$H2l0F(V1cm10r^I9G{Z`+{?|_=0-J z8qt-OJZnWK4Fc;#zzqnj7vJrJ>J8$`WC&~&{%3&PB*G6P*(Q$k2J#2dXCt6vVn<6T zJ1!d2I`)Lv@Ccrr6lME^<&?;f4atw9SaEoLTGXUbB0Ropr4jsrV;=(PkTot`(jeAWL$ParziAbuypG9BVqg@yI zbR;)K_Jv4pio3L?z9rhu1e7d(r{}T1h{wMJx-ANO0QyzH0}!J}XgMKnEZ`dysEFB;-ON>3`oV^N!yJWoUhnwg%8vor)f z6Ndtk{2?yU$oE`ieGASPVl_=YFU3ZhBVUQRVL-kXpXd?Q8_}l|Xm3R(+Qz&SCt3q~ zFMg_o5w$_s%4a=4m*%LDSdr^#E;w_O30Uh1z9$ z+vGcKM-xDcv|mahS*&Hhf@F!t=p<^X_BHMPmua(V09vj+%Zp;J&^psW{7S9SaU`p> z3-dr*tp)W1ZH>051q!xSTNsXFuG89P0?T^sO%mMQpsm0$DUMKL|A5&|T3}5GY}PW+ zFtbG~wGIMXwH6&AuuU7Y2s*cGvuUTZL(AG7EIYL^^f+dh7I+G@-P&Q=c<#}nD?wnd z7BvnQ_GugGG30;J%&4?ZOjXSAGB$m zksQ@p9)*L)w9&KzJ+AqBf%Akm!w1Nd+MNebc1mly1onQ^D$yq6wDx%kXlJxScaWUb z>P`jnoVGVV#LsIbXuEtt+j9Z}7d734vP;^G%;3DN-M9ejSF|6;p+r};ZgdWCO}jb) z7JkwOE`z|&T8S4BzpmAbguo5Wmnz_DN)LPI`@=4op8iqb=ONJv! z)Vmac_+UN52v``RzbXO?L-hoDiE)_z?NSH~*9+4b)d)QkJw`?n5fsC1ACM7sCR%S>-+FO z9E>UYbORRt4^7`0P}T9go!Q;XRTC3iqOnAc8e`NHV`A^U_uhN&y@M3#U;(6yQUwL+ zy$DFLfmjfvgDAyIo2O`Yac z?6UId0+B0f*+zuqu6l6Q!$WQ0RNGUHVGmxa2j{fj>TVG{_^9ZM@OM>hE`tYOwc-oZ z{nT+o5U;6`oOoVWmGeOKSMAn8AwX5}J$OU8-h@J+DxM2skXo1u55a2F1(*&|@tmK9 zszhV(!qgI8e}=0Oynii1xpV0{QXRhxg(zjfiF~w*?F=kNP2B*6Sk-4Fh;hoA2f27< z{tNUHRPt?z+*D8FAd;x=a|WNJ*5)I?WR+qJf47v~G$^E~dd?D3)dFsr^17}|f3Bi* zZ_8Kh=Z|e6+T#t+?*naK>ij3sC;F`UU&F1poxCY+MGrr4xe4g~m z5+0_H!?X22iH_wTV6NxV?{I>B7G49d-%$m*KMFa88gREGto-v;GV}+#@}oEGud$$v zd^Zc+G1{BZ8gKNLE$X5Zp_zY)%OK11LSSM2gCPie`(iFM?(*Y&b?+7v_zKhc$j!TO zoxwbHN9YXV(s+{2FY!3#be(u?3DP{!(Ki6^sZK9`YVT-`xIXw!yBqh;|AIb6*TD9p z;{xD1)$n8NPxW(PJ&^49jt-)O3ZH00A&8CiYdEZ&7sFp5oD0GU8=R25S(!u6PRzOj1$!}P z7cd7g*&e6iBo_4p(OG=rC9tb-E`j!!`F#?l8xTvQ*m)Y*XQ8tg;EPzF0-z^f%z*l7 z`NW+v0P(qJw-a7dOip#VfH>B^mfv+^#l2hK@< zV-PRMZ$hAMBh&cdw38<9akdxbuuNbM@=6zAjSEUa>I=*s)DTvpkQ)h@=m(9-u3y?`%#=Rl8$AcIon~ekrmS;KN z3X=ou;V4{AJq(dZIb{JHMafKF=)}le9q?l1Vh^}YkS%t>(M>tDHEbryO{XE5EK7JM zN|j9!5J{6(oHiH9F1+k1mJOU}mCCSch?Ggm<7l}o`vS=qGKg=(3c1A_yh_=I`v9-x z{Y}t&Esy&{vPQ0*1@KO`<-*7ZIn5bv>!fQUf^U$`dH2Of`MoVf8f6jZwoUROpUOLB zRtSYpsv;1;STn5!Y?^4Mwt$|g#*53GW}3I{AZei)!|Q5G%>d3MtTbD!U@SyqYXF5% z%>mAI!!%1yBEWD>xi0)gXuNtuBvNyS3x-h|zm)*dnr*9KB~}y71+F;F@eh!U*Q}TW zD`}d>6>yubsSJb2UCsV^;ALt?`@rS{O)l45vNZd90c2|&QlS1+V|fW8Ihv*aK;)UG zN(&EpnyVPM|M#%=a7+Nb@7KH{@AnNaHHXK3jW|aPNyg_mtz8^tf>17MbsxO?P ztRBmE?3&}e%vjss88Yj$x>U9R;51F)N|QdV4FfQsRh)F=54BE! zXGE3PVC@Xe<*nw%RIwE{OlUGYHKi>CNi*`{-ibN=%e^!UT2u!GOIkAoo~>vb-#pfo zKOYKbX&PrG=V(?7fb;Y>*K{wCSvtkvuE9hOPC?0J5X_pNY0%#3S zgEz>VQ=CAuvW9vP9XSNOV5+GAF@&0U6&Xs2-$NmcR`V{qaQf;th!ONRXI7EaJ{2H? z;(5`ONn_8++(Iq9M~@>@lt4s@@ZN%&muPYW@TvJ*A09p_fAk8X%cV z`k{tr-lDj5cS0fUOF3ykDO9n6c4fx zpgsO}6qut(=M6bdVtRLoI13ZrCxfN)1=w^I&3huiOTuggyt|3W1n@44-Ty%0is&{A zR@}vq1He4Q!ub&K6#F^d@)AS1r{^v9&Ij-jhc<(ERn+mO0bk*L5hAf-FX!HIqAMp~ z31Sboi?B&=qDmn`PxgLg~JX1x^AJ{wV|3c(wr(nQiIm`)er+}Fz& zIvo&ofmrhxph%?geP1jVad)spXuE+}DwgsK4rL-N3dH9^`vcU=MKUk-UWhc_7W`7! z^4(e?e)EMwrKocORwX)czFRHc>H)kG12_qOExa2L=NoaX53skw>R*5_q6K$!bmgt* z;GLFP+#}SN|9k_Uf$TI1HVtJ@zF&;w-+T^dWL^P07)z_~ftkp^1Av*ze}bWBCU53I z!CZ#&gl8e!@DjsP8uIoPE9o{6JYQ*T4$MzpS`6&Eys-_yUk>HLCO}T+hwFx1#kX6a zjBN!ELGlY%jf1h=0WU;$E{A%k+~WzoFge=@lHqdgD~LqM7JTbR%Be4aMakV<%Z-*N z-4IKRTz3n+Sb5wNSe#7cCnsKx_ThVTSbDxdMb zsxmny6(Y}N(rTPhx$J)m#23=!1hAL#BHwitQa=kGD&^40FkK~Q!~j&w&YW?+lGc1G zuceJ4MBd1dkMQtTzE}dRMh-gw?47*s0_?qfm{-7{>>PFNXU zvl7RV-y7mMs>5?w8XYkol4EAyh2+?KZ(wa)8+&laJM*1C*EJIKc|Qk%zTo#)aI!FB z8a^-D9fZ$|>*m73l2P1~Upj9&B$qjID!F``8*Hv{<%_=3aVx&+RiAmlUHwxr=xa7{ zzPL7r+Z5~OWrDK)INtz!4|0)npVuRB4~!3m?m?3&pdZRz3*E!(xN>kLHy^%^Zr_a9 zjvf07$KwT^VdsR$4d|ZyldYXHUkrI&8qVt&J;I+%@@)6+5m@^4Ge2hN;r|3xLz?IX zNh8Yb58fF%mId3!be21qCN#7+)J0@tz2P7jPf*yAr^d3^I4fGz7-(y7fm;(7henM^k0WX{S`9R?*wab7= z4y8E(_s1?tB?oN_LPzLak2A&4-6Xo#R zMvb(;7u1_5k?#UCQPB}1=E8$3{FWkc9Bf*NwTA($h1nfQo)uR9z|IK+2S|E|FPuGi zilTY|ZxLb%o{xy-T;i(8dJ6?#@dLL}{6sw8QrCp!?d{h^(_JX|i^2UN5+M2xg2)Zg z;R$R8ius()1&P;Okq#CYp1@d$ILgJLP!ajx_Nu4cFbfyk`3;Q-v2rT(B1H@CaYcz; zg}|c441Mro#Mqyp9xI}PL5vei27(wb_VThYL0G+p+neIWDmY3Mlh;5bN&L)Xb+T9! z0B}nPF3+ThAP<04vEUoHO%oZ-0Mf<&H2}B8QodjA2(M+p?uzA00Pcwvo8b1o*lrD8 zhFI_p#7r?h7H9iF95=?PWQjHZ8{9>DXYd}0>pU|(76aSi9G-}kyAV>gSegRxRG3W$ z$Pwx@g2)y7!lCd?0d2%=8B{1d!- zVUP&*2JwaGjgO*q1$duC@wZTK6v-cfHHoheL*%nq#>3qg@t&L1x^inUPE$|1ab9y; ze&G(AzHB=Kz(Brw38JAKbsFkMvO8CL&qxbi#u&>y)L@X9Y~@CqgDlgB6-POpTOL@& z_JC;@S2-fgUWrVnFrva$!TczLD|^fKfY z9zQeXLcTGxWWhlwJe0*bAU=}AclTsfdE zBr9e7bC|A@HP=CWC71RB_FA6i&f^=I&uhiEav;A^P$OG$G3lK=Gy@{4B^*@R7!W;G&y#TLrCRaS>Z6WxEAOg`-3Jf8s@D+!Kjkn0-ow>$&cY+qOn%;@ zR5tIniB{b|Afy=8wHx$eRS=K*w^gkv^zJB|nIPU%M++fxUs-XBEJF?51YV{}FoMl| zb>%OB0%dp#dPPc)7plc7oh$Aos>LEmma2h%IF%aZe-ylTYAL5owW{e`oWlq8p%lbA zmEruD32vLkI{!XnHlrC8IEJK{J+2jd4}V%2O1og7YSHcq2(`g~5Mr6Q4KRafDlncR_w@D8GoZEg&5hw(sZf z>u48iP>$WS!siozRUwj-2f3hnsv#Gjbt#0G{d)8>SDmeCeMb<_(!-9x&QlQQ))#1b zIU=;7RYl<0lIM8%u_J%f1F>zvVkmg_bUqE3H+gdN6`KlpO!g&%9^m=WhK^9UMi;A zZalT7QhRskrO|y(Iv>-qUC?_%qj=PRN`tBpOAgKBtTLC3cn&BhPhL#DAfs%kSI|k` zJy=P*Z-Q7wdFLQfO`mv+egoB7!`Md}YX^Ug)SEAB6D@BI;%7QO184Mw#ykAq{ZfFs zi>9ylbR7FVa)Fr%(>p_N;&QGLOzvfk&r=c>L3e6h8a_`O*A_C$8MbTiozINm zis`IopJ8Ek%p;heb9p8{&-EjGp4W_ke(eBBB_Dz z;V7ER`BpTY=kzI!uI~XaogAM*{SGbTTFPDW<+brWvb_q)JTl+`JD+B611O}0s}Ot< zO}h^DVtT>*VN0ml0q#tU5RglqSV3lM! z2$EG4@(x%vP3{ZcE1KO09$wS!-Qc~UvKO%VmfCJYWHn?N1-I{Ld=!Z9>DPTA)>1CN z*ZzUtxI>|iX7ICCPaiqCX`qXEg#Z#1FhM z^c3l5pzbA_{J`@Tg&l$Uh!TD9u8K)~!}3oh^vh#e)(!@)zIoeIFoh z^SFIOlx+tVD604>1&Ngt0D{FAuKa}vrvuOn6@~l*5nj#XW{W=G;WVEL!S(kX5nKp`Tw#&} z-ZPQh2C?J`S3dWAk$wuGK#b(pQK9(6krjz=cADbBPRo_Nk3e7E=Q-Ix zzUJr6P^J$6W+Yei1@DY3V2e z_J)X+yu%e4U+H@mR{UgW0Kj$W$X~d>d_EeI0WyyF``wU!CGZd^yAFqkAgMV7kzmk~8^rqhvWa7kank6K4=p@UH=In=0Fw z0ZWrfe67pmk6c-KE?D_U&#_~ zt-hAUzeD|ve8;2BTY0M!h&8h59*FOx1wWhb<+5Jj)k5P*+z`6mSUNxIp?d!y`e9mbmE)qUW7miv0c`xlvU8K!mB@2_A*PbIDa@w9q; z9t!&EHy$8d)sLT{a7jHegNMs%L?A@2C>t$K#a%7r2gpMOe*n=_rLBj8m&)h1l(#ai zffXNhfFIwhYE4V1`zrU@Q1DZ`9pUeqy2cOXb@g;C)cw_L&Zz=aDc|fj)XU9K2vn!n zLm^15eE}?39jpWhQQf%R9;&P!z;u|(NJW6*YG@d+2z9VM6e88ozdgMRSfT276}%$#eK8b@)f?_Zm#99x>8VsT-hziRHH^>pxhm#DY`JRF0qQT5oC@rv z8fpbA73u?5bt{#96L@v%M@~KJl{ZhUAJzU5Q2(Tk@m7OI)n^!pO)6;uBuz9exfW)s z=`jkJxh8fRcov%STadKWOws|*N>e)o>Q0)R_aHiJ+_;$FiisM;OPXO^VQ|xUb^`CR zW)`QH0h+b!=!T{b7bt=>-8u0I*8IlTB}8L07+9!g(hiso(}cGNc1PpSRnNPcU`}K2 zYtoNHGD9=JEr^*K^Va|mH1ih2SeB;qO^7_yTs8oBr0KzZ*2kJBybb7y#*&LA*_uDo z;r*%RJ6tO0@8or-_{mV!mc-Bf>4vL`6ccP}AZHctx7@10WV_ zENx+>M1%4Mz6Z_Er3k4^(}7FG&o#lk->6*k_6kH^Xl$lJnZ+)R=Hx zq)HRj9RXHrHgFpKN|Ve_$7{`qRgiq6Il3O|Z#6za;MHiFcSL~iGzKWGwOfmf#)`6r0=n$f(lYS38y484z84lcw?zBpWqBqk%PPa=146S>w1L zB40G$%>|~blg=e@JsnTp27g*-9&b(2*EzrqDFdCfWso$~*)tl!8|l>Z^4dmcStBr8 zop#(&x~O9~55Qi>b~CIv=oG{tPDh=xdjL*4)}0~gtaEG-crH4RFT>_FopU81Ue}q; zNne0YpEppyq0=!RjskUB`~hN+&PVP#1nW%q02ZQiDgeAto&LPqNY?qCtBtpGqB#pr z)rsQElcuA05Lmj-u%$3|TW3Nvh<9{)&Vj$XI>Fqmzo*lt0wLw;w7LN+`8p>nV6#xi zH3L|YPM0L`ign)dgIA)HT?b>OI&HaRTBc*i_tJBn?|Df7sIzM&c%O8-mIE~D)Nm2v zvySc}`1_*Ms|&2?YUl8{q^EuV80x3Bbw5L0Uz@?-t%3FzK0iZkN(0o5v@>>rct$%V z2MWeo;)=G3_Rqs0nrc1bVa!Zxd;>&tttao~w$R=+1<_JFf`>ILtqZ>sXssRS2V-Zo z9^5=Xr+sw@yz|<@oZ4Q{4o`(Y8*NLj=GtnV>_D{BZq@_wqINQ;753T*K{zo7Z9cD{ z9JQTz4tLUi&8tagtr`p9qCMmS5m#-ON6@>Zy>=GH+_X!%hPv89APX_8^=qVAgu*gZ-ccJM*%{#$Id`9RI7q<4q@6Fo<761 zZ)(7c(00B6VzSogEkshZhLd1ARr`U*U zbF?elKp|KALpXTl+I(J%ywo0YM7R~&{ybDxYV%J6tJ2oJhhDw*C8q};wJrJGici{w z9tgKl8!#X0P1-kKL*YiVeTT$X|E3e)LGbbH@~M z?lZPK@7fvncY9FAJCB6qgaJKpoY0o6 zuC(U;I;*tS;I1~{TFjbNoII{Q8wU&P1~$iWee;X3x#6rSK5sn28N+c?PlS9T;}R&R zn!SU(E-mN=k{1(vGBY;0Wsa7J0QG61}Xw1?{mk7yOIgdbB0tG}R6bue8)3H>2bNx$)^Tt)iV zAyQ37lfZjLuOfiGrnU|+_J$5{>iL#>{RCq*)SNe}y`xmFoWG|kP7`bC(*j^0Xg8Mu z>u8f1Ks~iyjo=$-UJGC!Y04^qPh|cZY&O!AZ@@DXtA2oYbFnQ8RxCvj7dEZLsIE98 zYcZHF@>%iZK6vNEu2$fk7lzy(yC5o-0<#gmoa(xXSzH;pEL!#ha2LVfPE0+D}Xw&J02qS;=Tp21~HNIppRmz zGXT~TTYQA}C4=*F)?V1W zAcyete_6K2Zv~)myOU$_kgvyr=qby1w(^ptd|kZdWX`61WUD_xjFod+!O9(}dkG%y$|T+ba$hbBhe(FB<&iK` z#+3p*kTbcWmL<~z@r^x{R~-NzNyn`SsaVb`gF=bycM$4jvX=sQF4ypqv0O&1gX9bO znio8^QVjw2LALdVNIib)7eO@0p1dyqC>Qg3{*#>Lj6?|4B2AB?T)6C;JOn=a-8~J( zp%=dgeOT=%oXH5Y$q*m8nfoN82Jja;y8l0r9J9Izr!=#QSKG5J+kiV~dlI;F`*`6v z&vqVk*Yp|&$+aE1xUhZ)mm)WW90Fw{|6h@2)1aP^**ty)bhn)1T_aoHUV^o4l`UX- zyX{O!?g+UG%Fcycui4eI4Jf-C=RtnYS}tbowdQRQ`}DY)zJEm`v=02t4<=r!;_jn9 z$y%I^A&uvx#E7c=AaRCrx!dVQ$4A4vH+_f$xJs+|hW91oUQqX=F}(7+Mj>nA>N>6B zqN_idaLp`$VtK`OgZ!frOdzGzfEYxbCqg}#GTOmd2;~|9gp%<@sE3jMJ}89K+GJRX zprt(MMp7tGV^L(?30O46@zNrO47lPJOZ~a47e_5P(~Kv}VZah-9cT47=@w@PiL|df z0!$+7FYu5|fAC807G=(dND77WZ`q{Mt~Bt{=tXy^r_-LRFnyc8a69h~_2m@hE-n8a zAyrc$_uNqK;r;{usJcI}x70lxA~h7nncq7qXasmq>n6cNEj4;W?*l#C28B9mxfG7- zsh-!$4U}gJ;zxSTcf=>s4}sf8n#nVH6ZL%o@R?fNgq1He^?TUV6|LJsL{I$5N%LuO zbPI_3!t^+TFc9xHfoCW@K10t)bmvJG|8LwGlEz~C@4!q%B$4QDO&RC0=cTp0${^65y=Zz_aE#vBUy#o)>nU{052MU4R9P;XIp!iW^6O zg^Bk(3x$iz^#~$DwE70bNMZQ{M54s}ac~qZ;$2}ZMg;T1G*%S+4G(eRAn!nn7j5|4 zOb`y-(z+?ya#cD}jNAy3Br(1oR+7cy3}ClJ{s}0gh*w+}P8Idd;WkZd<1T5sm~|fD zwy@`o1_;0q+^2+eBcQqOul_9*D6#;baMXZx~L5_h1ko zi66L>@K{J)5TA&U{cxKt7X6MOo{9;-L!?2B_JYVq@qm|qjbg$>5SzsBy!!Yoa+|^J z7oieB)RoV<7_Nt3|AP0^@+$9K(3dCgK*T`y{1Ze&d7g_EMsm8UDmcp2sEZz^d=CY$H6fETUrvR4NG72kJ^2c3?L0)N%k@shtO4Cs!K5%0(GA7?{0GjD=eV>Ffr7j`DFi{5i?b+`4d< zPk8%?i*)Ak#8nnLK_pH}R*08_l0dvEukbFeMAj!mfXQ>>=e0n z35=!6-U}g;CWjV+m@bnKgLqrY|K@>5)v$6`{x}g)-;+7k5VQ@Zgm z`aq8O3)eGCuHhm2p`5}K_#=5v3x&sWJTIM|$l?MJv*pjbpnx79?+VY64a339m3w$X zeI`S?1Iv>OxC)am`$=F0@)Eb#3gzc=C=|(={o$xsE_{X{N@PoG@JeOx4ZzA|BkxVs zRatxm^^`BS9re|pT-Gp9QD#s#R2E!#Hc}b!0B2N3ZuA?g3+&cJeRmt`rYek!aAvB8 zmvQDQ)E`6(b$S+vmdbxHh*m125}36r8Vc;Js+$OKPSrif*`8N}@50yx_4`fuvr*H= zAY5DZNFpRVmGB;JFRFS@5$x6EKmZ3-&uvvlrQuywPO6?SowM2#3J)#{H4c2m>RtfU zFR7a5P;gTryhrY`>dooj6=lJV33nA12T2cgcoBG>>it0wy_Dq!0B?2R1b~mq;%DWm zdQku?zUsL#-1;fKmGE~>z1a=*>*`bnfWMl-i=_ayXgw5eDAf$=f$Gn{0D{z+GJs&! zVGl$?)E84=q3SnYi-f7coc@I?Z9iZU>I>%$k*eJzScy^rTSV$h62k_otFU1R6(3;Jy6Cj z09oqFSSUPHw^-znN*oPikCnk-7<-~R^SG3)9PdEysp`gsuN+lf1-H4XU=P4E<;>l{ zJhk{2DCDbYIj~ZoCi+96P?c%{iqu0bJQOQx3H1`?TMfxl)!r3YnHn$;R-P;V%NNw! zY<~jpg&JE2y_bskE~roge+I8o?Oy~(RVvgTShecg65y56hC|`Cs#^(TZ&ZguD7;mj zc&A;BirEa}J2mJxoY8x=ffqov>iYkd1nMl0ign74=hS*ttplt&H zIF&}#HV$r^)CaEpeOCX>2l%3_s-U2&Il@nlo+g#or>8Z`7X#>PvQ9(YKy#6M5{8|+1e7mDcAk=6q4)xc!jrN9nTgU z2YGyPjXk}blBe=VFLOd z-&{!Uef|%$_N|J6%>IKKSUd0)FUJk&EWZk1NQ1foJ44HOgfym<_mD85tL)H}PIBsH zMm>%|#GJZ#!>0v3d<~u@b+v$`6^)1k7EP=8#*d+6T!oCIGn+t+CtF>FkwANMaaKs# zCPF=tf?9%@MDDLZOr{Y2o^O%GI2cQz3!?y1X`?NOX=K9rbvjw|yBN3WR7=>rLvxk` z+@+zs?d%@K6Rg~)mCs-^gAUdJ%cPF{Z9Jf}T=U7Iq!eHesbT=EJfaqDA^Dg(aAoBQ z<^BXm*)-@6fTv`|&wdWYv;&q)t@9xHj84|UN*)d3%`^Gb`x&qTvUGv5LVB^8T4~9=U-_kCw*UFFDQjKIK3oqZgx~qn{Q#dk`{0# zTt&?)AyQ4&XCU&5%16N1Yx;*Dtv6)GeW|zfs0Io(bif4IJJL%B?>#w8f*y+Z-9Y?6 zDcrKGBgyGiJuO_0I2&mB50LywANB+LL>-GE;w={Q+RaBy=KY_(;tijXpBV5xB(I4k zUVL2_X02e;Uo_`xNq}h0v+WHrjHm8E5%&lpK_a3Xh{57E&oLn)%N+rRigU%_g^3UR zgOTCFpOdW!(QzuQM2bb6b47`-y+Di>K3u4Y5!!p;#foF^p%*8ba}pCTc6-X^RP<(cP=||!T zkBN_kM=6Xw5&gN5oGtG0L-JJYeF$QX$mj==T(RRWc+W%}myhy9H8=nA#T!nH3PgKL z5DUd)OJGG}9WUyN#SC84mWY;*fRzd-Zo;BTS%Ltci+kAs<)T}25HHBF3t`Mg&ga6R zo&0uJIZ2y8PQ3O;&rmKZ0-r7i#)st0lG?O&SfsiGYJUZ zO?LSRE0<+TTPR$SYjy*;%QO51c*tJgL)}xJ;uW=*)TBV&TOQ$W+DAU;oaCzfXafab zS;89>{A3GW249m&BcXm>hVt;_FCF}#5Fp$B4(x{P;0v4h$+d5R1<7)6CJdwdrP(USqv5$BjU4F%hWy%Wf9z2knmq8>;9^`pI zS6%M~OiyXJ_NA}ZOoya_n#rlUq3RKfSd7#LUQM4-4m?yEtEC?hu8FeW1AnF}g^ii1 zt6!jCu2$`Xh=tnBt1(NJ$xnxsnv;cCtX1v|7(1)Xd69cgW%3&NyxPle(_c_2+^x4! zi|hexk!irDor<{uw-;57EhO#L>I(RCP+bIgj%p{Tt4>Ng4VbfP9S#u}6=eXTs~Xn{ zv0PHSHvw}~c{gD5vT~gc?22l}*VrE=}y zs&ZNbW4`KcG>Cr6q6x+N z<#QSzqWYLaBvhSq1TRdz;@uYE>aZ5X2$eSw9wODIuMl9AD*qieqgB^IAjYVeNGQar zuP!2_IMpBTNBx&7%yWQhUsDAi{fxPlF=SIPA{)Ag%SFR`bGk8N(mQ+}dB6ttrVXD1 zJJTP}go~M#JfzPO;}OH`8h+^41o6JGwad6FwZ5klC>wfQg3XOP-{80@(g$`nAO8v- zx12HoWou~^@}*PdI&kldTa{jGgfJ;B{? z$>Y+2M19B~%>4zFL)D>>Ib2c=FGp(nL+j{nFL*f?I}nuPAF?5HVn;FPC(U_9c52~j z&~>SB3ry(IbADIqH2qWx0eyP25fTP8fnP;3qzOULHKGNZ;qnZ<=m(-Px$(DbLYH~{ zVM?uehB2d;(XeSwb+4dcLCtGHv?Sk5h*(kMAm~{Wjf97@WN;4HIdVAyh4b`aFTe$A z%e6Nfaz!eK?Qg-uaJqK1nJYaPX}cY4+LI}FlN`u%7Hm3FX$mBr=pLsA&NSpX)Lp2& z4hpW+nVV6UP-gbwGy9<<#6v3Sx8-nZyQU9Q5kH_dd1 zo)7iKU4uH>FrHm~N&7SO{3wbCj%)M-uc5A!|6*YN^xGU@0c3jx*bSPq8hU}$i`yMR zl-?J{f~oCZU?KF8$G}j^+YOO08nqF6;q;K#q!DzhKZubukGFM3QQ=nji>57{pW|om z5}}8mz2gRR9GSU;7f;%^;3bd;zovPUQfI(JBCT|Ql_WaCMWkf1`xlb8XaF~gQ>ctD zNGf&U+czI?sR0zwsgvLp(Fzl|Ev7lVd?}%gJe-%(w|ov|)OA0I&uIbYSmiW#6@qv{ zzwQL@CH=-Z2zL4LTBwrROaZ8(lsizUCU*gaS9D@Bu-DX;3%PG-%M(QQmUi)7S3|+^ zPqi))ngcQ5Y=m8+EL6q3E(7xeBjnuEX4Bczd_~H0nq#Ysezq- zEqcYPx~~t8{ra7U-1vult4)}79abhic!T5QS)Alfxy-r8)RA3bW!jpT zkeS}C1?V$yYhm~r$eY?`J$Ql7v%B&WGsmCbv7X!M1U}ExBJ%OG`{#_|3Hed-?x2?H|x3*v^f{}Omcq~S8`8S2K35o3z? z1J8u2bs%X<6T@M}j1qnW(VXU`L&1XTZ-Hk?jlBA`BI|5m*0j+PCwG>f^5A@qPJIG6 zPX_m4^8&T!l-Y(R7(l_6+{eI}9j)Y*BL*M7aQ5`Z5f z2Br(O<+0wCwp9VUL~FTJ=SEiJ;pj32HUqdqCm%!4o$NT1?_^mAIUzzu2I`-b}01sjG^-_Rvy7(=K5wwH1CFRf-ZlvYXtS$&Xk2-SA zC7)jM2G9a(^%A^7GFuK%L}MRAy_nK2AnFqOs}-b))q(y-+Rz;@#d;Qh4y98EV(fbe(u}gCRz#HUjz6+<*lJ!M|+1uub$Tb4Uq=Akq68{>^TGAC@QmI#aZm& zUzBkXzwlh=Dt7Ba@{$PVm*U*S10MD-3#UE^@QTRd0*<@T4MFf8V$T$ac#75hb$HjPedC7yC#fz336RD(*@CA?Bi-dfY>Uaa6^Pj z@B+pA?;sf@W>te1EWBTX7b5)fzzY>W@sc%6{LZO%xL8mEVuYCe2O`T6pZRU5T(LDA zAWuBwv?^cRdJlyHv4g+jLNUe_ri(;}9XN+#F?tkCmxv+LK`a$@T#qReMTJm*F2-;% zuw3kPgUAb^e;mY@BJm>hDny^RxVn|XsyA#_iRNb^QZ3pCLE)7M;=BB{NV*S^H^L+d zB5y^8Gk7&ZcRoD46U!^%@4Z+!2w1I%_lM07!hZWm1oqbkF57-Vs|a z-*Jugg=`iI^_Q}1S6r70+4VcvtdvP!09Epi72>RxK|6rGl8$F#^R;}$rRz6x>LZwb zE64I6T_am?%i^81WcBxQD=#`~rOpL_53(!)pia)=sRM7Po`IDH*>?!AkMa@UOP}O^ zF3dE_wcO@ul56%Ovd?lm4{Kj!OCJz*)p5R)_0*Gk@J_4Su~5)gL(72~C}SsJhRUB) zMr%JuP=dTtOjt-fu9KyP&ZZIbOUCl!jd6kuDbIaV4=>fga=FIHVT)(O1W@0 zZLKZanyt3zTFR0tRw6;JB}j%sKVcuuM<7kZsl#}5E5%F7hMRdv{i)4Zgb)&g@=ZruL5tPHZDa7F#~62M(a zPAfgs*TJyqsfO`u;a)0h7>M3#S62{yRPXZuSJl?`u;Q!Q|AWZ<)MB3huyJ%Yh}YGY z|5i*_2g7E7`sHizZm7~|fIyY=4#Xg}?iD11)yk*9Le%T$0HLZ4m#D(jkGz@+SKeGI zj8G%F+Zw4Ra26G%5_tDcwCchOg&1|4)2CSFybZ)SmBGnHyz;C9NKoCm2z*oR;-@%K zjpO?zNnKAu@X2Zce=E1tL(W)JlnJ+{Q&rI?oMxK3J`IxTs+7N~+sZT(yeG=@B8+9L zR-3`gQJ)6EU#|L>pT=j(lE3~u<%%urUnz+7SiptQzN5Hw(Qia7G=>h~9c066xSc!V zhcHk^HgGCCsufRAqcfI+K4vuMoby}p87Bn}!JSnRe40+LQ&YJ`1^Uvj>C!F`@6m>}uyUU^&jiS(D^XB*O0Qjk6;ro!uvtRIJa$#l^syjTQ*<*} z`9QCK2CvQb)AKX^Eg2z2ZfzL&=RybW68kM~9h6U=@CWn!;T$WMyB0LtX03t??a3ts6=jr|M@)534z z^K=*9xH)4wr%p55Z-eBlOPngrp2Shlu`dE;Zi}7JotMwmgZW3f!oJ|fe#kGp{tYZF zve3hEaV>8iS<-wJj!U+^R8$*!B27wJ;{Q4EE(+tF^=AI?c{l1Ld`RY)S+LWn$| zlzgaXQ7B27v zsfxC)1F@PO@fp3MyfP>>Qo1#QXd)r!Uw-+Z2An717_5wJHl5z0oBsOrm=Pbk`5M4ycU=UqJGT*V6gsCyq-9(%L zg19W2aqsMkST+p`?qc8+h9tQ0BK@9ueA%r$!l;_B<^p9l@bxc6(gjx)8MFFyqFE} zQhc)vpi&Icfw5{a_!*4VizPFm&>)`j@cU8NKL+oUNHYhqNzCjBh0o#|mu|j@-|GQ% z<ZL~XXF9SqK#!pD$dVDuHjmisWiR?59V^`Kv=Pm z&oi~VEO-NT4|&2L-aX}V zUx;|i><|!rWcQIEUX`_8z4d%g0r0l>`b+lZ;RiPM}$rEd=EOG;gllPWD zFG23y1Kv$(%R5aI}NCfaTJGv-X$r1Xs-~#2SZpfRH3bKnntQOrzs|C!xaQ?s`k$WW~SyWf}XirupfGs zs+NC;)k+QJXT@5XR=~07YjBj`YRA^Rew%WFRDEWh}vFF`T)}o z%B2n5I;!f42+&!%jfA9&+By}ET$Ql}BrmBmoI6}rvF$)aGHnPEch#NS79OfE?_T#( zrKssQzdTkzjRz z^WP9v&&!cewU`TIVaj?mj76xI61+&IzZH^E>bVc}qE%QRti&q8+v(y|1DC7fRop2^ zCa7O|(`=$T#fya`725#{$!Zus;kVS%N03Zad-*kvG!ylpj8Riwkp1J!gAl3A+L8i+hnSAPQUvHFX53O`ZVLvUTP)f=uYnldOC>3O8*705*5Yk`BF8h10pL^cZVbA{$JYt zlq=4C2Zq6Mzo9HMWN!mL5B=pXYz@CL0o)N@t>J8B&>9>^O_ktI|7kcRXGGjbEVJz9 zfinBgzj2(CW&*9bJ+K%4KkHXgah83v`$Dc?pJuT2kKQI&`FA^4ItTyC?aB$0d5WC4 zj_2UXU%tU{%6G|-nfe`pJI#e#E7Of5AwOdvzwj|L@C9UMnN7#VncbYP+nhvhu&r$5 zhRG@)ez9fEx4pn!+l320>soTNcz5n%Q1%QS2Rr-Phrq&qXTA*%ENBf22e=*+T!z3vp-01M^b<3=QFC%t2XIfN@}ftVi034{q7Vr`r^%Ne zXnk6J0KkA+@`hSNy3aLnBf7!e+VgaobKeUzo~zQffkF@ip2K_QaLx$6~0Eflb5 zddN9<4E@UEWGvk_1c;+)Z2;ma_8Qa^s1v6oH>n-pNQv|{FEEp63qRM%)GQp>E$Y-8 zR#NCKH?30XrYF?XXffaL>6Bg#;%%DDKOlUEj&}q0lx#U6%Aw7B0iKZ?PicANxCQ?5 zshk&b1!NzMb10-7&QFVIQ3|kPnq~y7gfi-Zm6A1YPAel5z73w!v03;Q%Srbjth}K8 zml4@Z+T#P$71Zii1XxMekAql6nJ%zWO{rf&?-hN=Q`&2Cy$bBK(C7MszPQhQIYSZ7 zZ~PgFd9LtxMhxOIgRwYj4h0jju0I@^iidwf(o7s)0L)yN@oN?q;^+ZLT8iB|AX*7K zE(utREm6SEiX~je#Lw_|h2(i5nt*wV-P?e9iNs=HKH@?QqP{9daJ$4;B=fA`C!TTl z^qSahj8nNTA}>M2U%cZoN`R1@qTdj>>YTA1&06D_mA0BdrE0^b+#G_rnK8y1Wkkpl3 zxbm+j-T5sB{EQzP)0cO6|Dl2God`#U(wwWcM)y7{_8t%LNy@*#Ym_19z-yAk%k|H)`~`SlWXdO4(N*8_M69RQ zl!JF#;d0w8C*nB;RzyOi#ho@V}Tc z;uYtnBa;;jOf}sI`n0+ypwIY)>yI-fH-TpTz!S;rZzYb)Z%=^yisjE?f7LObcviRN zE3hVM2aap!aw&S}$VKqGYX@)P+vCGu?%uKIA-QkQah%@%F+*|GrG&N+(xW!*!PlpQ zJfj%kpVI&gNta*WF`|mz5IIK^Ho(w%IyoGYHq?w?jj*NYGGKO8ya?bTkr~d*otDgk zqz5VP0eewXJ2>^GF@quELpoc4U8OMI))Go@IlBp?Pd`B+f_8K5G?G>nAhIY*;A&Dd zUFCLfDj6jqq%4oyZ7xpa^FS$VW=H;DPv z&j7{>sD3nfg=EP#>!{{W7{iaY;q@Kv?DBf|6D21=vXKPOa80y$AVfY>Xbn7kq2xi( z(-lX!4WlQ1<67HkvC#pTzG&j%$UuZMLe0A zit!tOnTe}BeVL1vHVDE(#PZ~6DO{r=;wTOb1QDy>dPus6bWgXNv_leL?; z&3(+vunB)x#Oz>*xQhqu)TZ zf*=0995%0sdmG{Qy7-6J?Ea#JUzZ6G!>eHIhPags^*}L??+KL9xZ4yg+;|2L5snT} z2o+UhpdKbBaZxy2#PK>ZLY(!5NTm3i=g=teVKP9p&~Asn7_o{s!{L|XeuP4t7)7}9 z@#0x~7)uZV?$Em_e&AU%QG6T>g(MNfS>_}BNg6~R3*SwMC0l44zX{h-D@5`{!UOQ~#i$Hm1;SGYpipdn|9|B?xB0Np_ovBF>G#_NxEOiyGZaSo zZ^P#?TaUux*gAd4jO)v) z7L{_|yLiLD_`IY?4(u=eem3kZTh5Qu@(3=LteE48Tk%bkLJt2A#)wL8wa!Qrky;vY(5bP%9ffaNFH5e3(B$1Tj1-&z&adHj@3Z& z)FbZy=u%hCko2hM8AzR`8C)vXr%o=AGa$P#h#1m2J{Kd}=mzWz$ z5KZYOk4|P3-5c?k(|Ya=Sx_G?2U^l9E+AP^s{#OP(jEmkOO1cR<~cIWf!=wl-~#&v zI?lhTYC{{xAqZQV!~2NssNgxkMfz(Ic=qIF1D*rT+Yh26opXb_6HRXb&zU~91#qF+ z!{FVO_QV0ZL^FyY;znD(K;beC9R%VP>dW(cC^c;W7Di`y$c>z9tCw z7VTB=oIldYV8WHczE#5QBK?7tjmlKT~Jw0?(yo z=|%pc3q&sQLP~!^c+aCCrt?2&X2Gjw*6?tTui6Qb41RqeL^8Pr-C*~5%zL^j{O2Cv zW%Ilq;632xkuaUZ?KVOrm#-j@$43W%_mCIS#>ae~NonsR{sX0<1^mQxV2}B1S|ebu zFtr&9c@$N@o^s_c7<JG0%l&Y4LgZ4J)JaG*j5~{s{ULHvEHH!UBJ60v zcuI784&rI?*>o6l6;~rcbQ2-t5sSNsYXiMAB8l3}9%5}OBt6Aj%7eVb<{C(z6@#f+ z;VovANk^vz6xc262zn*AjH8ahLnjLgkEXOWjltIbkWH_LMzc5Q~?* zhqgqYmA^{`@Rp4~AmSr`9SNSVyks(LM$2n^!OCU1u>qU0@>_bG;^aF&fEX`trj<>C z{5>W1iE=I#^^@di8Y8dB6JvoT%kDSfJw_r`%O>$^y?#ndpL8&M1#*sPs@WsKV%}%yt9OOBqH}L8vld78Jr1kD`HDY1`LlG@_*#3`sT;Cw21R1Hp094PNfR7$A| zkfaR%0>-W=pHf>gSvlxI=TiwrA}U{1j?zSXO>v_1`MOd{J&05#muA=-O7;$bn@Yc? zP`{;Q(-Ux8*+(7M93_E@54lR*T!4ql)yA-ruk2q8n~#()sU2IO{9FfKx$+Zba23jj zScp_AezD+HDa&pnmTILrjixV^*EPUCC>6BI`>5QS0V})IP`YqdYK<)-+pW%72T5!7 z(^&w=)uxFcI;#KFLc~eUrUsF-nn;iRN%hfS@Lbex1A(1V_uPTPY1KU&BChJ4XehX; zSu`NItACK`GpgM#01x#`8nZmrD`z3%r5t5&oIi&Y2z1%)`ZglbRm z>Q36!kf6>Ofzy+y-lkPhlA7fOa7CT^9wN!=HJV;h)ch&XyQ=>57kJmycSE3XUHxx6 zL{inW^&s9*zlw&)O|@nfuv_YtJea<%GAbLSsXkvRpZu>-a9aYsy*UFRcIcLY&m*b? z6h^L|1Hn;8x8U>W(W^iolk_#5j4h_>+PJRw5XJa@!JtgoUk1s)x<3Pb;$BL_C!Jma z?&O#>e4cWbDm_z2QO#poe^>mUp7kFnf8SgUk24yY;atxAx(Pndx=fYj+3hQ!HK*S+ z*ql3VERNux0VDBwUL%@)<}aenR0}Sgh5dzAE1|XMeLlF0XH)XJK|!rS~K<&d|o^G5k9Z$I1%>O&!+V5F#Da#TK4P^ zH6M<$mM>t?fh|pdm1C^!KA1et2GOJK$PRP|@dR^v0L+QKy940Nrc;UgB=e$mhYJh& z9U`Y#%ZnhMX3HFbxiZK9VA_p^P&dY%1&;xChQ-?f^I*%UhUCcx-U9GqO*6qe%S`70 zyjdZgcpqk|1NdS)0e~Mn5e!G?SR%ck=g%-K>}&%J0vf$y&(ubgtekMAe8mVfJizUPP6tMHuo`j_t?p;z%p1p4S<=f z6%`ckvkkYv%VGm=f|$*2xBxt0p`PI7u;Bk-C71b9LY~K*>1TT$vcsPvmV7pvwtPKe z|9Qr-V)I0T0ia z1!bJ2tegh2GS=lAVE9HL%9kqGr?g~#!GfvPS;->kOjNOnHc+o-ZgatV$sVUcp@u!6 z274{*nGeZVZ007Yzh(nHA@YWq-ho)hl3PIJEn7|x*Kz(IZE17lK4wJb#J>##=FESp z0`DZZp_de}aef4JH+T==;s(ME2@2gyi&nI_>VzVSXpqIoslV3+yQPz#{}_Zg z6Z!kLP)OqA$`H~OZb3K7eSVLY+*$Z~4`2_tXENf<;S)80T)v-5FL`|Ra9|I4awgRC zc^K7qAK~Zi0Sfrmj{uMPELv8gC>VN_YS6x}HJT_P$9Hm!vH6YzG63A9YI7H|Iquo3;}HnkNy z>ABq_PS6X-r^VP+I22d0xGRY6qL9j^XT*jUQ1B3)w!)aF_=~adf;ylC7B#1!%CBY>+S zpVEWtqWf*=rHVlYh&M#*wNSq)90l}liCgU;a$B6EBr#3&rs89|@T4*8j@TRv?5^;l zu@zrnv}N!j2Zb55&=Jz;eW98cUxG%l81K;@Umv zm5Yt^pjU{myg_^+&QLY4QkzP$H2dC^zM!JmZRz$>#F-|w9Rn;~+Do_19jW#@Y!*nj z8$MZ4>g8_EQW*X$IILe7T5QLNLNq4fdylO8F^Q3IhYS2Z_n}AqO$%R#rJS{JH2`jF00HuCz@>9CY z-Q~>%P(LHrj|S00eliePsQkALcwzFag&;=A+i1p%ly6T17A2p#2$5*n_XGmGESu?O zkC6vm0WVgzjYEKOauyXB;^p_pmm-(a z7?LSB)BxOt*5X2mL87)F{<=Upu%ad#A0z8x*Y{ARNa0Rz7@SYO< zRmunHjhbrtOk1eGlv9sEq(=7kgUwob>1~L-lGS>+eJy(=K;(^#MFA~FN~*wnEB{D) z6YP|*W#H{sB55nYLFFYS1c#JdS}`0}y3svhuiUBz?}(B_#*QkDsPF5bIL?Q$V~S4# z6pky?s2k#_)Kl-^gc3nJ5Y8#3G)nm^ONS!rKxHbOiSx=kn%IMsf4u>M73;Ko{#DNBz5q$`_lLFA6|ds~RyRd!6pVct`^(2QQF z91ei#r;0isHj9+GGzb(cyZb?;L@8VVk>^TJY8I6$nJ)p#6lDvDeN={CfS#o~t`9IPwSex(-D;E8@L;XR)1z&pE}|*YR&7Qt^F8X4r!clxb^IM7 z`_yL!h<0iVDnad6+uVob0rf8`xVfqKRsnNYEA3&$Lk&EM!}L^hX*ZsiYEA(-t0qyI z$y*I<4W5tc&SA_~_1lRc{M1XIBHVLoI2CsN)oj|o6`(FVjc^0iBS+xjyjs&73PEc4 zEbxNWX7r_?De5wNs9#lsI{;i)oeW5(s?n~%Zm5rG*1oBxt^>HGenE5GZ8g&pL8Pfo zY4Mt_W>JoEN3|ady}PQD4OtaNt^vFC= z&r@kGM~%;eLaxdO!AhQbj0W)<^FH)XQh2%1+ZH?OD!2| z&7(hfHrmTkP_WfDjRCet3v`5sy;{yfgzKo4PlCb;E$1v0oVE3|@;<30)8f@d%gLd0 ztrgz_IIr240R(H6OX2o{HiR0}7qxH)C|uHhdjcyVn#&~+L$$4QVLD7p?FYBv+MwZZ z8=*C)v?)@{djW+gtp&X=5v_G>35Cnr!A`(#XbNpbyQu}zzsq zSh=G$G9j|N+TrKG?rA}^aXUl1(HV}OXd(Tm`6JqMC?T9-tCx7xRD5mLQ2fr`Ej+E-LAdav~!2)7@!zo-=dQS12zn5F*ZB=C0W zFOmW5bxh+JbaX39tR3{;)bKi{PZ$R5xLy|wV~)BXEyGUe!zl zQWrWv@8kt=UOz`kTaZ4a3$S3_^KXC)dMVXkF6xz=fL+p4=|KNZsi$j78}^euCaj-QyErxAdrG0BO4IEJU5IPaOd4j$ZZ(R_^K{ zG*I8uSA7m+8G2hPePrq-UjnH z(bJgO5pK^KrDwqNHsWa>^D&MWK+o6cKr4+<;~+g;VMfbV2sgs`&J!Tg=-mr$qm1Lv zp%-l|qh;qc1w0oKL6x@6^WfxEN#DSB2+rm(4`*n z;3_C1Gm7weR5w}^j6QV=x??hafc3F$X_gyjzXdYm{}a%fka-UO{|cwxz(fzqwI*$* zPSWI0av(FMD+hgQHFXiEjVXif^onJ${C933v}PQo74pn`8^N8md>g#XZt)5-bFL&o zcWy)n*!gG3QCOJQi7F)X$>Q$Ru~~APb_6UPLSvE*+cO1- zEqk#C*k0B^}SQR;PC((Pvy0PZ2Y&daR^@x0ENTs3n~EFGta&d zIl{_5;h#X^)G!&wiPU{hTr9cC~3B2G64^FIM03@B+TpyS|$&^@_ zc43zE8pJ6!ya>e8EQAV_uIwAUevR71hQr{wvjjWv&ai*!rB{4P%#**1K3%E^TuXGUc`#MxozQ*QS(e1>7r-7HsI>$ru z1{+MP#G963-x{yX+IHq1Pz;oHmJ}{lfzAXiK$b1)pm(L!Jh4)8n z7!4H#ET#~8k690@jy+)!>*1)7y{9boBXhF_&yuIoLubX$(9OAvRa6u?2ALPOyp9!yE@VJ@`< z(VqKLN8t#6kp&M&`9^2Bb>JoL@NkTuzYB%qJd0XEj=Z823MY8RT&O$o@m|n#<_-_R zJIS8~L&1fgrbO=)|CdJf)BI3#h`91UXo7O%VJalud5=!862d>w7N$`C^}jfxaQ^vd zU=iG*0;VJR(9sZy;%lhs5Y6|}g6T4MI|*J4KR{_wEZtKzsFjll8z!VwS?_z`*| zAdxSp?QltazzpbJ;e9?pGMVE$#&N%J2WtLY<=>tJc8w39QT95Y(Hq`V`JGHi-r%Kv z05|!tL_~dy&+~)AZN7Ufur%&P`&QEVG)jx^aD6tcl<lA45AND)~(M8pbOAf)d$k?m<(_OYThBZ4D2j%?Y*qQ)=kG;t4U3e9iCB zD|T;qJ8G@f@gw0NzUA?=Ao-5p+KE`|dE;=z(!i&_MlA37Eh+Y zSXad2EE*30@uX;f9qKOPi+v!T68X5_QCw(5KUeN5=7z(co489YRd)T4*jo_s z5bx+t_7vm(f=w@xqCowu2>%{LZ&5;>V;_;_3lF{`p#elcF_4C~bK(Of1OB3nmYk8I zqYe-yHhqAV%fg=KuoyAqTZqJp4Nkz~#9&%5#f$mQz!Jph2!Q*d$2^E+i2#h{C=0O0 zh%84;rok{*%vcYFJP}Ftj)&sOswAxy&~~t3W&ubU=fHVqWxSDpNoSvuu>`k-X2~x^?8aoy``!`U_Me175aUps#;j_lg`r|7A_$X#p5fvO+nOAk~3w@ z(UR{jSh*}M-v~!BQqf?Tj+Kts1H?%)$Y#8hPgT+cDWWB8CQ5S~0VGLo)Pubv-QNY? zU1^XCkReI5rplDenNYtk8CO8elIm!_%$BxM=KDZ8?F?Ry6x0`3t~8Mfjd{{&3q1o#aX%6GDvzOKv?mSBUb?U%6Dn@^^r9;jcCr_X@ti*g!eB$x2g zC5(m0XBq>9${tiZ3zH8<;TXc@x;og5kPAIvEK;`4f|V%w7s_g)W!H89m*tef;Kj&= zFJUZJ_KXA;CvTvT;^p02U@So{D1u(1?DG*KN%G#e;N6oC&>}2DZbSQX@5@6e6UdT% z>C14lpZvw|Kx`x%_ekBwxrax59L#+SxolX{H@ zlx6hgfCrVPI!qr@PSNyvT5+Jez*U)73Cvw-I|<;7;!PcA4<+3Zn5VL?0wP{YH7#S$ zDvfEr^;Yix1P_;$wJku5QNHR0kvQc#-KO!1PZ$m?K}n?BEm7Gu8{U(Y!8Ea7Q7jUn zkgP1E>UfHx(Aw{+GV?I7Yf9h+@UAOMsl%PBFoq+#p)^_zV>gu~Yk*ryJKFktTX{x< zc$$)v2%G7O)obY8QKFl`%3Z~kzSZ=e5@rpz8A>s2d(Kqq-C*;+vho#dW+@Lh0c0!N zLqL3>IP`{<93^!$6mpeTl9X&rJ7Fj!!EUQ28dSb?j6wEt%j_Gg0jbZz_4 zG|+w+ZOrJ*s;F5rFMJR_&#zpH&kJ5rt$ktU0UXz&FR8@0xP8GgAo z7%{ByI{=xLr%m9l8u~S~R;P3Wea)^O&{~^M>-BY`s0h9O)MEJE(9a6K{yp{sv^IWd zj{ln)9|UD{lU4YJNsC-e*x^+Rtwm`7ot%ncaSZz1USSzFM)WN&24~| zJ=-z~4vsLl35e?`t9lI)2bSI&L@X-GVa1DWpn9q|OQ)5t534kw=gZzv4(7*3oP*bM z>^4zR6Be#po8hcoV$a zZ0LJPrm+u6z|z?RY6Rb5VL>3?WyiVz++%?>v6nLUYtSoW<~&%bV1IoF@Pf5E1BFWV zo|>;!tcb>fYW7QaNWNspC_S%X6?+k9Ez6|U>nr9?x$tZD6FmrT*lDUQ)v@VKAo7;g z(aWyySlh)ohI&@t5!hb7y*Who@fmTz_VXP{00($k2N1F8`z37paA*4dXkXsN7rb*k zkt!nb;zd#617nxOvLi4SB3di~2o?F4 zU@T0W+X-H{u*!gy2+@8Ac#-0b2TVtaa!2r@#g|b4H-zmkAl?+0Mndnl(5Rl1CY;tH z>U7cOcR0EukR#*P7b(3Uc~1m71IrLY;}KGUxIpEQ$KnY}JxJ>xQv&i-+#U{p&qR|N zU`67qy#OzT*b2!S{GJNb(E_A`#%polS4h4QWy|2UPBfwk+FIHc1GhF(_Ac1mBVEb@ z*ek6L1#h1;@F67aB!~L|j#2_WYA2+BXnJv$#!>m{q=d2nrQlUmD>)_YpaP1o)QH}E z@{_Jo&(L4ma0hw;QWEtu0;R90Ep=X+M-_=E$@Xtx(UNB#B8!nM*1>eFWMd2UIB6$k zqw!Lgxe&Q2t(^^#Taq2ML(-&6DB-Gb^I;dDayU$=NSy%AMm+3&^><^C17v zdzxYA#naO}|6qUoUr<0V3@r@K0C!R8zp%DAvmGofv9rVfrB>7)T2}ro{x2^a2=0n+ zcj5EO`Sc?_t6I`#@6}5qKv~0SN6*?g>aVT)nzDiQd#QrBp$T2Ve^>v9{~OuYkl)mb z8Uvf3F2?6Aju&t?w*Jx;pSQK5p>ul=TJirkh!#{kCjX8YcKWWzXG`{o(xhE%$uwYA z?0!0o?`DDY@LDt0444gDnGJbc=GzM9_ONF3yzONJKf;|8Thsv}&MYMqR$SQJ&ETD4 zWuHOvG#f(2H&^x_-9m26`$tH+vq8TDoMG6Zf`pL`2t#n#x4#lZFSe5kyk}YN7f|sdA-3KCPxIwR-dhlQ9)lyF$Nkw=s-aZt> zvpnAn;LY9oz@HCaI~U%4`J6St{J4p3{&Rd4jkErIDh=TQJdp~PfxL1UB+v7izrsTh zzZ3?^VE%pvunT+;waYH@J)J?k#Qmtg6T)+MffvfH=|!|K-q#((aQ=j{f(X8yR(O%T z&G*oY;(rbX7R>|bp}x#>s0kLs-)8{C@(fz7#PJIZBJsSZJB~J+2Tq2u2V537lw59a z14nsWWZ*C#auEz-KL2?nBp>nal%^K&7o_)?YZGAX3ICdE^M$;MZuX}3*r>eV0S;Eg#bbBJcP* zN5oRkSI{Qb27X}^f_TsG)B^j!r!<2ezAJ^UpQV^fWyoD3fljiO*z_FgyG8Cq0Bi9d zofjL?vm1i26*7%Wd&I#oV0*<6R8rd~I?_VJPV}7t;(l?A+#V2X(?C2ZDyRx}NZ37r znS?Yt_m;l4Hdu7iUpLldW*ib@DM8wzlB1aD5WVlK}?}VNuuc38X`$zx)XR;#0u)u zB#T+pR7nvt>|o`p_=ai+*TkMm=v@~(Y5`KkD0>8dLmZ+U@1}^I4(ygFo(Sx=kf?T$ zCPq*rC0*E273Gd_p?39MVL_{od%}~l-3*aD9159Y5|y;?i=XM7Wr_Ec)n$u2Kf>k% zF@fg%EME+b0eB=@3b-v0#{UYI$+So9i5N;bUZFV5 z0G^8LRCj(R!iNA9i9P?qX0g!e$te-F;ZS%kmOKQnRHXMqEM?;GI5;X7OQ{%LA&w3O z@r7vV0EJ4?ED6La(Sa5N)xzN^crV32)LyL-TeE=GiX1AvzY>FJyU1(NYaRT(5!;(V zuTIn!fcRGY+8zq;L_ryd^&(>cOgD%M%Anti-)NfnARbcg@lmw@6C#$<6{?=?k~|s# zSV=u7JKHV&mW?2+B`;qPZKRKMrER4Xv|D$Nw25-Vy;5Hqw)aV$Cj!_>W17L{eyK#o z@g0ypxdrU3B&dtvExq;!<}39X06jk`fJV1-QoB0<{?hU^gc~3Q(B?vH!lEJQywr|T z*&yiwEdqn3hEX71kWL3c{i1aG6G&c?+7`lQh_s&?MWIq2R#om!1uVNQ6{R zcU`2ko*MU2cohT+(b7y;oRG^>Mq5Z0OPzusSt2#i61Y@a-3TIOQc*kDESH9oWQ8=i zHHa^yrHf#tQflyqqbh0EGw`Y<_8L4?EAfY)mT7tlSgq9lAiyi>x-&eymcDKU({H5D z7eS;>dNl;Zw^FzY;ybAi<@WW`KzgPdq{V6Q@Lp=S9g-iUZ$E*Rk5YF5f0lCPOo;4~ z-%%oACC@zv$=&j#cm!cBFQH8tHgfR|7_*fpQ(m@5=C%NP<&*Su?33+jy>2JB8VhW{ zy!=n_4#*Qu0UVUSn}G{(NbXe%D~II~Q2_Sx2pU6<$hUt4@2LFlA7BpnHfFdzCchg5 z?6`c1Hpe*1JzD`gA@8CL!U@kmFlV_XsPRSlCfSs1B(h!TQyrc+3 zymLYuwA?Y$1DuhMQwiNe-mwFcp0X3Yz2PNiB*X1lIhY1qZ@F(Ih(7WpnnHZ#3Nq~{ z_x%DkBjoKy%z<3weYcuu6I4D=1XS4i&(v<&y`X@KSC*4B)FaLWQ#1HbL zo4`KG-i|P4sch!)M{fOt+(=y~^7(rLvUpp3GILZCAEE@C;aoTuv^q;#Nh zFj(oZ29aG*vKPS0MP=ngV3(ACTfh^0cw z8V`jRN;?^#Qkg`^-qOCX4+^UW_^4FU1|LgxQGZ~& z)FyL*S*Z)?^z2rPsl;rpKB4uIjk@g=Fk7`%KCnINgKe<6SN)B~GhelA0z&dr1L<`~ ze|0)_6a!RG8kz&uZ1Qkk9sU?1LF&e7P!Co=90KuzI`T2VMRh7oS(ntB80dwlKh=X6 zsv4INQkZ&dB#t3m{m~QZ5$ZvzLq)3HZGlCpxuf7OT5X^?^|G2a2*en*E*4mcj$eyHj6u_>iTWJlHtX3_7u@p6ej9pbbQX}k|s!}8D zy4q+xh^cBSbqY$=76ZU5Q#knj&NV7aR+b=mFnNrL#$HQUW8<|T2}$dm#VS^ zSdD6L4TV~DCSCVes!ImEzgBg^-l*+HAc#6uZ41e_YRjIm`A%J|0@SNNH34W)&tHbZ zd(~knzy~#-2Az-UC91kvYMYAzc4-4-7_-tE6~OIoZDnH^v(_v=0<+OloZ!J$i>J|M zkH)EWxmWX`ofZ4EPrJdGomNI2qWxOIPGASL)qem)XrFx#Vx-obHYi7H`{+w2E^FQC z4vEoDw1vM|t%7<_?O*_8;>en^b8z81?O=+jY4Q-eTg`3*lRxox;^K64dxvlk}vy`SSR)D2z zbz$J$(caL2dsmC0Z0(*_yA~ird-@YhXKLw_fZf+@`XQDqjqL=Mt+~@9`alb!aC5Xv z^!Vm#!Jh!+X>KDS`B3|Za<_cVp4Q=yv;)_H6=;pS1ADA_(E#{FE8GfWg<24O@zzt# z^EQk<)5g=SQKWSYgRx@m9W@V2v}hM#&$TaU3udY2LOrN5tv%&@CoQq&J}*jz{#pG@TvQqlV#RIp}u22As zx}yXIC*7VlCphbgRL?o7cYFfkX}ys*Bwh8&@4$1@lLy15yB@OxRy_1lYG-@uyK8Wm zUb?>vh-dWxDn4A%lW0d}vVNV$m#g~uUQoZL|8o?K-gLdY55OJWn%0eXb$KJeJ^dxM2r~3pCn1@sH;VzduV0}$ zVwOIc=BjKxZ62@(da^HgIeK^cqR3o*A3f-KdXw*Q><{(yBJlF{?et39BYj9H6bkfC zCGhZApGURVCweCu01Nf^QxMrx{lhHqp6R?Jf-lk+4gglHkDG*ZR-(V8f$X_%Pi6U1 zozb3_GX48#NS5o`ei*CJCse@7al@MG435U!Um@aTWNm_ovr$hCyOYMv9`NU4xKYl2 z%20v;P8+dQCU-Ty{1obLMh8k*-Hql00L~aYzX0$sextRvr}5wc6ugWh^x&N}begWc zjpMWl&Bxe8wJu*H`5uUV#?~PaIcMzp1Lwux7#D<)0t}sMuYrai)#uI|rIaKF8RmEp zgN!=@QyZa^g6*xwD_?-;Xwf$6)(1nM*0Gg=OZhYX_=6~8kLH5elIjgB7hkY&vJ z5?GCqO;d8Mv4k?mH%8J7V0Fe=TKK&+PBLKcjCeor>W!n6dp8(AEr9p;#+EKU6> zt=MJiv;YqZ~#?gDVY zG$0z_pvmQH@D7iGxNWn|Y)-%(Q_)ii4lzztK2 zJ1}nY0rR-`Cbq3Y|V`+yVzqsOwa9J zvrJu?eP+Kq!0gNpbguWC=T!nbU{*QmFGj|LP|pqn`d+aW^X?51~!kFXO9MU z)Z8Wqn1lHsjc&)xcVd7YH!E0m%Z}!;v~W0K_N9JVRX z%RKG>_KKJl6VxA=-@Zf;Ip%TnqFb)H{ceCf^Y?V0Jv4txEslJ1v#)_YGK+b@3e5k_ zMSzdZQ^H~TiMh%iAr+dJUWDnVW_&*ihGuiOm5?klw{8W~#pXf<#1iv$H%LA=zoJC9 z)NFGFSEbCHL4B=qa}ITcE6i&agZRQcpU!8c`One7s?2{-@wVFBl?LjUW;+^NYs^h4 z4Xrhs>VUm6cWe)l*X9wl+I?eQ)f~J!vx!zAZ_P*NA^3M@2YO$s-aI1&AvKu2S_6A; z-s%X259Y44Ywe?X0%aGL7TL7S-DNSAHo{w3XlDU-TRe}42R92BdZpOiLXly`)1r!2 zrd}2yzk+wxB4;Cjw?#bd0P(RnP1O-!i>rS_($6A_3hV(EUv^cOEj-o8K zP~sA8(VBWXF%~t;!Hcz+rvr<#XiE=Cyv34OoTWUA)l_$TXfZGjSb;_5|6N9l55#+7 z;oTQ{g%*FEgUC}0ziz;uS*VFP6Gax6iV)dzi>~(|S!$8m3bB+~q$L6?xA1Ncg$j!W zl!CvocuHO7N{dsUK<}l+{4T(1ELMj>q1GZ~7$jd=B+%Bt*A@#df%wMadm6#&EOs=7 zzjqe?w0x|$(8)@J#g_FTzPE5~k6O?FZ9KU&0y^#gq5@8bfn{L!nNIg-U$&q1_h52-D`hh@`O zQk-TFdO*aL#kYo@J9|odBF`|DGIb9YM^yq(mPK=}7Ym4m)3a>h7N~o(7;3uuu&bCn z(MDTs17m*dDb=6Ov8%Mx(Vtm-gk%8QL_L;37W@|AJnQ2Jks$W{J}3mUrS4F_z|N$B zc#$PVfOv`JQWqzL^|S>DWl^+N4Py%^RSRdw=w6Fpb{+tcticZ;iZzRe>1g(dR(6+J z`V>gUushwsi)FvMfEULGbipyivt()vCNP;Yg+z9s7l=tLHVlVyh5h&guw-_r1A<6l zwX{d$Axom$KOg&HV61?BLB<}lAp#zrurwR!6*8B6NIqr5_QB>eww(roA~tj%c*SfD z#Ztn8{eeAab@!oO$~K<`R>roxgO}44V<=U#;eS)D!IrPcgx((hkS4~x+=EKC z`}hvZDeU-Q%C(%h)8{xoXFiVVhc5gNn&VG#r9C81^9k#r=gJp6gBAR;#suiObDdhG zLHxcCuwY*B40;#&oFC!u5-*~i=^=dSWLOF1Upavn#DUV`Ov$}d(+j7;hVk$F_xFLfn*$C)f!gf`77!(B=GvLahQqxhw1R1#Lt-_ za)poF5A}OIlmle&%?$wec{mNFS^UHgjKcf*Ymrodo1Iy!k17PJj zzeg?oQeL?olI8pxdd@0%)4>2Q_~-|Stdj5E2Afs<#HRq&eAsdDUh;jPK%s`STOihQ zr}@BMaStkAz2^CiL43pOx5IQD-}(T&x7^bW{@(FPo&fc{^?C%@!1vO+>pf?*OX7eS z`wR3Aiq9{??P2lLUy!sHgQ-AvL>!L*c2sn|0iJ`XybaZ1I2hsz|M zC$0l465r(@h+=X15*(F?FOPuuT&({Zpj7-s2VEwNVjNhxh^2&~LTsfp⪻t2fW?V zyP+W3NETGhu$4p*usu>_4m|9YQg=dfpVXh$xOS3<3M>1is89rWK#B;3zk|}83|KiN z%})S!SUT1Zr@&q+rY&+uBv(r)9F+!6fQW-sy$UvuNjqugIWG0;14)0$i&jhlQaX(V z=cSaHPzaLtZvzOHO6LMxkiw`(eNj5w7B(+QmejuvkuoUH43)M&1~E+PM1B2m$#fi& z5z^N0;4e~Ypxu*EQi}^9MoU+zWOP{?PrbYtDIo|TR{CuaMB=2c=vIuEs`o=OL25>Y zphW5Dco4IsL~8S9ORcE*k|SN)04!H3q?|BMs-+qDq13$#i1||MdIa%EI=u!V6-dL2 zq3~F;p}Oi5=_x(8h0?4O08b@(8xH!J^b0K|ilpC{AeLh3N*m~vNUNuS_gpG@0?AV8 zJ1V|d%E7%MvP*75Q|N9vW&-rA z@16_dez`>sumkc-8Uzl?R~Nw1A$h?Y@D9tpAHtu#+?s+oBEKw!hokc1e!v{$MN_~# zCXYG=$>Va}*8q-kHZ{ji$UEl3Sb#j=fcHT8@4-+AlIv-D36^)!y5xeqF%#gT?9(5T zm*itqT?mnvm?091msVjVOuj+)b-29J4pt)M^daybDX*pSPL$ko8;H?zHm#d4%SY*o z#mH@G?HDT;(RwXT9&rdEWy!M#K`&cgM_FKw+?l3=T-oz`n9h^8K7qnRInx>-Uw(QM z;E_CLITQ-yOgn(bvdub3K9N_pgkGU+8wui5St7}2@{G>`ie&%K;jdVJLXU5W{Q4P= z;kmpt9K=%jF%|jBWR3deTcELVirRMe%Gv=?KcZ+vJgQ9j1;9bM`91s{Q+}d* z{$dn9>x-tJbH4Hlu=X}yP_PS-bJ!fMfqup za?%UDt4hpVfNM%V%?Q_(?~VebDlHr1^gK`w7eX>eY3mANo>J2Z>JOD5+9{W>45n%K zk+LTM!51ha-h=m8@j4D;Pn6F-2eDAGnGNDorP2)VHA+e@6l#^W6M?-}POpOLH;OM! zZgon}pP~0wxt|U2PMQ4*ym}?V5mxoJxn*|LG?TO z@smSp$!qWqtI@Q$wO1V}Rq<6#v^?=sQz;?!SNUb|0@Oa6fCZ}8Mnd7d8g>~VNd1+v z!(cU`4HPb@9%JC)qB^${$9_qDa~L6osJEj5LRB*@+rreazX8J4(Qlw0p>}+U1B+Da zc0w;oy+(OYv^s>&#AUSuZ97U+*DM58ea&^L#wufo~PE@1(=uiy)SrYwb_(hdTV(r0erNfzk%ngEu~8p zuPwQQQ;?u#?ZB}oX?c{FU(v<~LnK*iL2gsDK~xF2s#zaI@Yl2^|A2R0droVXRBa_~ zg1wB|p7{j9$DEF``4JX&n|=>A{9im(26 z3cSbaYigkorO*K-{Vl!Uf52|*mm|ST(?@iM&2;^Hssonl>*!pU z>BSv^Rp|Y`f$10e_}^f&QeQ!vBdhfJyWpr=??NwOz0~_80MzJtG~3te*|hq6rOUL4 zd98PJ0eGW_(Z-)Tef%5fz12t4WqhY^ruJ~X{wXcJ8uXv(75w-5s_y_k=!;XK@KGNZ z24HElzXU70jPKV&(#m*~3ccONN)ve2#+1nj@TAe1whXu!6UyQJv~i6#zqlF!RAzHC z7Ev>$Fp?L;-%X=u3mCg) zwEh*?ZKGc?cxlELEnp?xD5BQY9b;E_sNXemX`O%182JZ?8AgK@V#zdKc|!fZF^)3F zEF-rmg2*<*G^)Q>Mp%H9u zv=<5&&9}P%yJWsP1*SvHkH!KEH6NV{^)PeM6JW{a9BOK(m>sS`{5%36 z)!cR_{M|6$cm-oO&37h4;g&g%PS0)g0Y_L#GdtLWm~I~X8nN6lSJM~x6`CzVq4&)E zIc@qaGC!tTZLzsSHn0-&Od4CCn+MQhxzzmg-#8&<=Jp4nP;QCa& zuhP7ZGQcWx1Z7Co=F5Gc{?fe39tw39k4B>WEP>KCrwa(}7j1`7hldT&=+kc z4kr7bSPhv0$(f)GocklJ4@#d8%HTsoz#TGkJLHG1DucVf@+mo=*q$ch$>p@yVM?v=x639;vb%C9~{iqx@!`ujVW?q{N$}E-U-`T#+@PAH8cl@8b>?W-J^Qj}a z^V+|L{CrmfHWz$72lRy-@$!Pa=oy`?#YICvS#paSNlO>ebhoS#Jxa?D2-sP1f!2B} zZK%<@>U=ulT)lusmo;0TKxXZI>gTMp_JxJ@zfq35;URUD{`DyYePgH9u(s*iPFUW& zHWRK`b}<1&C#F()24DGk zA9^mV4HbS*v0T~=e41^Xk5l5x`c#1D#yVFa2zNGzZss%WCe7F$>>v$=o~#K~w!By+ z)xgfO#5Ul0GeL8o4-2HN8NMuH2h{yokSn4-$Cl9^L4WoI6@UU*!zoAxvd4{~cb>KD z2wo7gq@{l_>r7YV0xKT}$%}0Ec1T`gNfrPh%$N2Ahq8uLfH1a&`Z7uE!F{M-Vf)TO zJ%!oK0Ctt#qXpqLwsS2cGub~h0pDj6N8|Xi*%TV}9x#`d5XoU?N(qZui-C|VVYvd5 zrK}P4AIjL(26!lEtG7VmEo(>Bh<7Zn6+i>KXa`5{+4uA;d|)L%z=|C|b{}9r&!s#2 zAaB|k*dg9*8Waxmw6RdI=TUJ`IKtYY!!Z~J^or>1X{aVui(|-Z2PzF5P59xtV zL%U{;D zNBf_~@r`NvFD#55LgmwOsgw(iU+w{G6aMQ0$-ivr%h4ugjRs}X!hfJOc^EAir?(7& z{NGIrSd!J2xZ&TL1h2zyG^q=2`;p*P2GB{$VkQ zazLVi{{vUFg{MLN>52?K?hfliwx_|?&>v&*f0+9-*c#sJ2mBvVeG&2_y9|ZopCfuh za+K>ad>(Dl9+G3~y2JihJ4-sAToWY6_b1AP2~-sND{~F}PCP1sKJ`zmYyTJ15}LQW z))gY^ZcD#|boU7Zq2D9x0Y3k}qBk`EIQ$5dp3~albFXh-;eT(|57G2V?gig{f1y-p zU^dNxgI2xx|7V!PRL<&*e&Q$cuh}%Fb}6jE=ie?{;&a#jJ;CkveinRp|K%pE^!%Fk zb@sa46aRb9_yW=NX>khi_I-wXO77=)1C;*Wuc0;I02RguMkJBHln2lq+;cp%hK!_S zf9UJwkQo+9J&EB;{{KhYTgO$MeE;LjD=)gc>Z+@2tk*_G-~tjVC|oWfDGdTw;u1

    Z>9g3SrETt1K^5{Zvi~7S75Upn}aN2v4Dv54I=W0w3JM=&H zLmqg>O{Ftmr<0W)ANpIG zv@W9nN$+(KkWR1Z49qx3e+iM9KLwDiMIL};pY0Ev&YF)%yEqR9yz7X{!08t049?x_ z_XDJdlKyt2=SyZP#Mj+?1K|1`m*-ajXc(p-bM9eO(;It zpH&)w+5l!pu~__(+cRJeVq?ko9n9L$eNH|bcnZKFOkD=jhqA{r0UX8>DY!D6wZ8@~ zBUpX{fFoJuSpbe=)(Zd}%?ioLAH(KQ_!R%DHQn*ypXqvto{eY8=3q8~Eh8^$B0Gh> zhSRcqCuEb^feHXlVbv&dGL`w!`B=bK#UY!)g2}F%$v7q2X0b2W4>%^r(B;V-cB%&? z%w>6#FqC=BjNYKlXAgdb^aboXc_<6n5{mCGVxv?b$3K|89n6-nI`2TRlzkqK+A_A0 z!r05%NwR8Iu(|XKWhJXY@>a1LHsG?Fy{8+FHLRD2+FDkzA8PAZVj{Bjta}g$Hn11; zIX5zsKfq-ZYqb#Mo0;cg5Nu)Z>Db!JcG3a2jWu2g+fHxZJ@yB@>AuFGG>g9rHs(rG z5Lmo_0nRFk4B}?JDFkj)h7K-U<#{l-+b99w-gFz7HGg;oX^YkLva99DcA#>ItAJL= z2=Y{0J*feB>%U9@cbZSPvCig^kf@$9$*8CYrh~yZ^rj`+!cMjZukcFL^LDjfLsEpR z6}UuJ-vCI|&ShxTz8L___URT#qnA`cYs^k^3}VZZj~Ul@Fv_~utAQV1aS`wn(&;8L zu_?KpNqOXKbV#M8(y?Y1dX_x*4O&wk+5$86=wY;`{Z#-+`pCyDb6!4v;1 zI9jthFGOqhhb@42-g_L7F3riP?CM6Bnce2jL%Dm8QQ+2N$#CHGG~EmQUPD)aTklo$ zc%aWQBlIMPrI7uc%cj%E>dRim0xgdP(NFR9V}<1R^k+|R0%ZW(NS6i!*|%-rJ%lZ# zw?f0%Kx+^TXN&1KpGL4&_fZ?kekl*ZquAoZ$VRi3G{7-z16`?&Wq#!Pjb|sTfXf8- z^c~tJvg%WjO=5>07cYnHHvzCxbC6-Ja7MY={x9gR%~;Zdwm}<_+I4RW7WNOTp;ygo zhCoz{FuF`@`5T=H4l;}$(WyJR_|DnGfvL8j*rZDgovfN&#=v)Vs|Osn2(skemvjJs zkB`?;Zj(%rCr`Y@ht7RM8`--)ojE>hDT?lEPWO0zPxpXx+a-s9>EF0V@fmWPp1wI% zqDxX|SZ9!$z7H~7s?sftW+be)n28z}F+Q8u+%EK=1lI_mX|%wUazY z@AqVj`qZJPyuSUrg4WMI0g$#)GC2DmK8td|!r4dz2mT32(B5UB3U;6^9g;wwI&?DG zEn$l=62mt8)DL*7ZoScFxv35$S*1|y#kw4Q;$|D@)U+8i5M|qCm%-O=5QV?&vs1yv zVF7sEk?p7s~3KH<0a;L(j!EODLA_I=uyrmVE<``^_&X zdsImSPMc~T;O1#Tr=-`|z2N3;N0B<8Ws!jR)+6KCPc@1w>i1t+plr;M1>D536Uycv zHWgd7&F%r(_{~{Bo4j0vvU$s@#cgTrFl&v&hf-T+L-fl0c*$Jk9tG6)6xpJ7R}a!S zw~&+D{3JOEEw=rM)|Q{i=5Z+O3bQkKZuabc+`I#RfQW)##C(uG|>3#y52UenLPK@JTEi1Bpq`=|Jr8j-GLJ z96b+dawI9?lzrqurVcKHa@wO$piMVD0zRGAk++=j=^1D<{~|tF+sgr-{U<$2?Yz?u z+`7!a4*aeQ$q(-~mG(mSI0|a_xH2DUPv6bOGrNZDUYFIzNHzP0BXxa9p(?j$6zO+& zrAWO;lcu0=Gj=!1o}n?2=e4X0TD`Z0LxxWdo$@h1cdFv z7y>ny!86FT9Lm976m1FVPsdegtvY~&{kjiw!wYF%+F3qFIihMhS|cBmbrfZB6tc7h zBDlp0G}^fH*8$i4ehz%%>pumDg!AOtB>r*|@T6LQ6x-y%H6Yc0(F?Q%gzrHb=slA9 zk+BiHf^&~jKMvBG3Z3{B<*>}JD2LY~-=m#Co<;;NaL^C2Ecz7Hh5@4WC)c#S@>~ zQ{L4FW~$?J@JyRQPkYl}(>m>R_cuT?LO!82^Ej=btck8@&9?JJx$_nBvAbBc2WHnC z`tseTE=0NeLb}ZBp`^DgeX7uU$ze-+V`6gIrwV}eWq0Vz%VU3hC_d2l6#&b>2gT|G z+$nw^c!fgBK|!Q1f_sG`4QV?NM4_h+fOpuC(gpX%qY)n z@XnR&gDxUt%*aQH?JI#cu0=;+>YT%Y8Q&XQTucaj21sHLiXTbw9NiF{lj|M@JY^mE+NtGe-KX7f$NZ(=jsxdT{k)K7{7!~e=7x{J&w4=SN47Qj z9i3ZJ)U!)DI>ftnB9FdX#rY_Ae?gChdziEXRiC3@0m)%C>9giC+eq;1%P#hVpgb1V ztN5(lOTM)K!j=FBWYWG4RCE9&=!+R3!F{Fy5)#`O(k|JL*7ngRNTYB1f-0u>6JW+(qEjUn#uv57Ucu!={X&N z*=g8mP-UcSLpifEod#L%6@i((Pz2A;IUP~%Qk&+oYq$n!w{18@#qJ_Sn0rK#)6gf} z4IFY!I%`mzN*z%Y+Jt6046bY!6VH=_qi0cz53foTrl5%ioMhy<@Bb3CLQ z{S|AdX+^-plFI-mJaP`o?Vi)Eenbt5E<{ed48~E_^1(-ILY7c_M>3?N`&t(x0h0V{E(VZNn1gca z@HQx?rKnL(ZxRWrPGgTF&A46(Y384Fu4I{322M8HinR0Tis0YHm-cekIv6t6(^8bX zM^HqzNBkc2q)#6@Q*u}uDeheM^#pkIWtEx%IgbrbE7s!@?NtBqi$D=@^(N#8Zl|lq zpvmwE#b76IKtj^J!8NphTJsE(d7bnlU?2cI`t}nOpf0;O{=} zIAnU8MRLr8< zfX6oJ3P{{q(r~)16qAoP;n9MlDeJ*wNU0`%_HN?_?^d+SbU&*n;|~(Hh)L zhw+5GH~F3_T4=7CmQrzRlnf2DbkzD4>V zCU*qV*m?fNtDqr8v>fuoAk{JcDmb=!dI9vUZE{d{YC9d+&cn%mRiC8W8<)x7(5k6K zmY!?ADQMju3zBX{th2xWh!0+s&^8c*Ko6kQLeI3OGcapeKpiu&IEz zw`oHo45u|1vuFs)u^WPl^})6X;5pnPUFo>p2x+UlYT(kkY$cSPMr;O+bEF9%YLUVl zE|ux*(hPk8F0Ql47j#QoKqI{I1bjS3ll9%^?J-b!#*aY%yf)J5>ix+RI6ebuj(i8c zMA`4~bnt2G>x(q#(|SOHZ#aWn$W4lzhn_Y^8g?cOknk21m}yt`Ab3VxC0j0XJOvk` z%$tInc3v64+n>&$5ndvl8}l(9@Yp!SIt~8Ljt77`)NKe1$M^k^wmSMNAgx2!fUi@o z7i2ptLy@ZccL$}*h86S;&0(pCuD9qrxP34}t9$vONIhbQqGxTs&`IgJnAWyeYx3K@ zpK8F<$C;w4zH^;H<(HxaX4@>%s6hu#0v^1a?f^nsjzc-LPi5eT4W{oIZc8^!Q zina9>^2(eZ*aG5wAQd!f_ZE=n@}w_XH3R9#m0hRP6C<|)6hUyG08d8r2v|cS9I_sm zp8YAXvVER07jnuaqSx$ak>Vb3HWLbynQDR@Qg0k0#v%KwrGvdq8Uus-2rLhlLSaf8fk^r4w0mX zI*tqhZL*U5sgwb<%Th1X4obTe3vTImpM$DX2AxnDzmXQqTubL?RtV|c>^X7Z(D_>? z@VnTM?cDV?d14`Zb5yOA#&-HR?7 zV;Yjh8SC^GXVEWOaPxgVyA<8O5un zVj3_U|DI>RG+oL(LVegy=t#L0Z8;z3Mj{%qT8I< z=X75d*Pt%ox`ok5<2i;j;gJnm6Boe$GJJoJKH##Rt$hac4XhcRH5*x{2I$r%X0r%w zn^_cH&uwAjlF_!6C6VE>jb+B8&)eCo))>qV=1KQzJ6Xjm=*%v5gLczywuUY{_psa4 zx4rE6JJj~E>U8VApJ^$Od4M^S_jr(Ppx-$>#2j2vJIu;12Kf>8l7}+fT6zJJEKUxrTt?3=5(ghqLS%b@v=|cn*2z+0;02xxjoV zIFEa8da87Z^*sTC%j{%t0I#rT{Qwccf$CxYM}yWb8d_gMonk{_@-bdo+~_7v85#14=KeaxP=1?Cgx zQ64>e%HEwq?HP+)0%p&d*FzAzU=8y?@RDhwLGX%|r=9VdxlpL>4Vz7x{VnT42g^H_ zzyakw`;#v9KCq$W{e5I@_o4QQ)h3_iGaJ_l1Yg)nctE(V-{%N|ugsbvuiw}_`b(Z1 z?j-rux!e$P$NF*sy+D`8ts)1zA2;VK`q`h8Dfl>mYfpDx13AtYD1$iH79bzY)uVuF zKG$FfFo$qHQy^g|R|`uFG3Hxja1H0Slg1pu`OgAyB)2pGbfY*e{XptyF31S1$8gox zf^ICgD-S5+xIq+*9M3JLnV7)Y^#PZO+|E2?leouph)?FuQdD^g_xLF2rgFIj02XlT z76Ukqn|lVB)46sZklusv&(2XTJ`>xm^4g0OxUUyil9Z zJ*kLcF5oKCt@}dmtpWpE#EqT@l*QZ*GH#b}kH~IZ%3YZV;4;p}5_HSCS+q4*aB?rS zt>h|QM%yZ`Of8IIHP`4%T+_p#+s<8Sf)4KBwk(6Zo!lC71b1-@Xy5MU+(dA} zH2`VXz1&Q?c0b57%S3nWa?cAeg=)w+eMol#DQn$bN2e1xPu-J)MJI9^`I*KsNMK&%I)V^? z#`Wb_2)fMODFEgb?h3`)uW|ux0lda_y8_^K?nVblzrlT=Hy$^+OuC!9#c7*@{5H3S z0!w$ecv>HKx$+bQxX=Aw8Ce1U=^?~UWpj={~-;1SiI1A78<6K_XH=4O6z5{9ysU)c&n*~YtW zLv1_XZX~jue5W1AcJWImBHPV3e-HA#{2wPlzK@?a9pwA@%ku#|$p1eL}#Np9s~ zK8h~wj_~DM0Ocs3TnE5oJVyrXao*Mxf==*FFQImlU)ck!Pw~fU1M>|3md?tvydwn? z@K<2ugP-S{k=c2HPoxRC#8>!+@m=P_X_!~|He{q<<2z9l^E#h07}*W}(gM)kXE@*_Kg^?m+mePBM|N71T#$k(5V+GBo#4%rj_UQJ*=<=-~K)IH;0 z%?Fp~d{Rw}=mlSq%=nkQVh-qD@l|i3?G1meAF{W69h&lY{On5L@_`Th4dfsB*qH!+ z;{T!@_L*@JQ zhfP;!wAwDFxTu{651#hFYyf8STnn_eXgLd*EyvJib!fK}Wyj`+k+!N_2Cc3AWk`2= zObX7qTrWV>y>_70qSfP&{w^tzZf86tI$^z(6t41) zrRc8Di~#WTH7$d(pZ74p+YY1k;6ItvVSt)uIWVdKI6*g;f@iQ>1EeA4>0UN8hej9n zFdR7HZRt*@T_J75h-t4NB{KOm(x{K*(`h4)0N!3lc1ZN&DuBdf9Y7lE90?9_3G`-L zSAmpL{H4L@NrDx@z4~i8eyOMvCQfWRgQ|E00hcx5Hz)XKe zbKB|JBw%J_bwm#{Upz)@)*b3kb`~xE&g*)EbC*nLS+VPrb-?MimLdn;x7vZK$6znC z_6#8tpx1P=2YR;(1XUk5ibCfwmIzQTdrD?iUuH`7TOL~z0boC7MnUNQtegN@1K6TJ zfijTYcZ8fl>`gDA3})-dgU@HxWUw57{%6> z2eZ-42)CoSS8qX2KE|>p-5_WjYeZMI z)fM15m5p&nR=^IEfj*5@zKhy)R);>u4EBwoHk0-CM>dNk(W}DQY&3-)=dc#>=;vJ4 zoZQuUtnDWd%x9_ecT@}5DvGWwWMwI+vxsf)3G&5kHEpUTEV>y6wv??W7iJmTumZK^ z>?OIxD_GoSV6J3kyP<6rbGr*cc$c{yZEIK>?cTNQVgm?T$K28(VLj_icEbkdPLaBe zEQ>?p7bndAKNw=1p8UFUJ!JEZKih#2ia2z~Ck3NCDEztnDtKTw$u!V0M+ow+H4m_Qz<{t}{1!EOmojp92Xu z*%!J-yT!D1(X-pEHo22`Sf5QGxXU7*g4sRx{u?@RpBW=K4Xb+R5)eFO>nLpch}k=% z_L$|>>TA|k2?=l56>DT~nRNoh zzGDyWp!S|MIf(27TjBsNAK561I(=eIsv!H!7G)s&!t(Rb-9omQ4AZYHkJQXJc8hj& z4mXLy?73XbYt;I3wn4zm<09{%pZ&Ok1W51CRV_r@0PfWy)CO|T9ndz28%)OFVD3Jh z`T5)?8}J;$ZTJk#p)0bItt90du>xjhtZSiyCt z>xY%x7m8-B;>OT}{MB4lx_w&1v9|!O}|wmY(I+{W!_+s^fuFq9pfG1&?`xfT>Z+QsG11j=si9F1=e z*FGMC_HqX)LbH#Hc?Y`vT#X|jIKcHGL+2njX9c(%;`V<)+hHz@VjoAicQ-(Alp9|Q zZO6FobmefI+tC9AC%C$+&~}pRPVUJmZq6`dr@1<K&jikM zmByiG7r28I>c7Z&lACdfb0_QWGIxZ|fh(Nb7i3qtSrpT|#=WFK`*rRR{gBxW?!hQ9 zyU8^?hqhZ>ARXwpxr4s}2Pg6V7-Iq(9|yS_9=7S1TE9&$*Elb9%uoCwKiN_caVWUvVFrqV}5WSRN>E zxYip$@RoC=NcB5TO&;ibZdetxec;lsK@%0!1}I;+I??PHt^vMrE32R_hxbZ@*j)YqMFIQr!{~B7kDp_Swtl?8p{+k}TnpI%zB~CM19``` zARojZoP|yd=4aRdn9sM_gW3?jMml;ul#dBVZ5Ushb`^fvI|slK{G#Td8_9cAMh(C0 z{R?WN`8R%GJ%-;K11@8Eo)+{t{udQc#`D%K0i3{Jp=jAe-t|{tPU5RS0Lo8qe z=UkZH$ma3$$cUZKpG^YF0=^D?;)Q&+63iCy{RRNInBPeD@e=+z8CFaAaMCEt_=m@V zxtx#B2hSD!=vWY}yHU<80K`Dczi{UxY6FD4a}YaF7(>??g9Ovss0|k8 z)kO#Mg@t5_4H5Ev&^A;kOJ?D4q1ig1j1bPy&GATKq6wId5*qaav(ZA+Xb_AM_EMC0 ztT4|LDB}c=e4vaMrjn&PK@iG=^+chs1k6dodWr;37Bb0bpCX(yMK)Eq-2<2ff=stz z(}V;SSWg$qQJi#!P?cQRnZlF+e7ISHbslQ71;1mEFh_9S1)g&S+iU>m3A26$`Fz2I z;;{>aDyKoPP#8wu>LQ^f1=behmotE}L|8^wnM;KRM*v(dJl_p@D}>Dycf{{@j6rRc z(BusytQP)gjckoj_&a#670S?6#X6zp4FJ~*>)V6N2BDDryp6)ld1%`tY69}#d@k(G`6}&E?pVx%^@<6#RJfr)d8$#L^VBQqk)6sfMI8+BHw}pYn zklhjL(lz{DVKcp^xF;N< z4UKH5xP`ozVPYY%9xgT@+h&B=m*OrX#fDQMc9i&n!ULnl79-I%Mm$0e+*t7vt-5hy zQ!muUi-W5nn;_nd1aP8Qb_Xygi3{laO%^v%bbX4rl%AhV75mYNS0GNI!)=y6EV1qkw9OXx(S_X{QFR=BnJeC)OO1Krv@WR47r!nC$^xOQ0+fYdWEAsrW}1h+QUrq_wnMtlAmb3h{X*V6GGglAp6m zJd=cMwKyvaJlBZbD8RE;jOmGNo!E0WxU3iN(cWMWqVBBY0y1;8AhoXLRY9c)dJW9~ZBTN81U} z43ELYlj6+AXgej&qVAp+D>Xyg8L@L!a5*cg=@>jG-k{jnd9lAGCgg&cOxpCKc$4Bp zmqe*N2ri4mpQ47Jg1Lg)Rq?MZ5L^?Jt^#;nygeM*4bin4$Zz6j1|jyAIEWsd-WCJN z@4q8gLr=wq<<8LoJ96XyxtL*pT#8yF`_SGy*|JQ`ABI8`Qf7^p9RQ9OAqM^evC9$LT#+H z-4}w!NrUd8HePB@fxQWmJ6+99l-}$|Hc1+M64ED2&2$*%6zKrj1ydzWE7S_4IuyH@ zCUvQg+H^@pe}*wbI@Sd!Go@x<(TQ1-Lu>GyEv=!qV{@dlbZtIYvd#zHJZVla=;lkO zXQOR_G`Kg~7D_L_0=P)Zr5(3eI`9N-OC*oCV762mQh>fJlX_PK=5py28Br^w-}(Y& zrBs&8*VWP(YtXHc3QmAvt)we}^mWqBHK1EBH6-1$K{8tlf{jwr1Z10}&u`F|%~A(? z1h7TgGZ3|{(q*!fw@FpV_1Z4Yr!egfsf`6B?3CIBLF_JR5k=B=OEzTb?2*!I0%fl> zV;LmulhUq(Zojl2n42<*Fp#hP-Q1;V}?gm)hTeK!#NaY@a%S%bs5?o$MuO}gUEe)q=(;F#25Cm@}tG6I{CoQ`T z3GXFKQdS?N7PpaolxnU3`6p@jSP*=c5}%`1D4C81-B-!w;nC$*UHFXFu72;-UTJYV?#~fP8!&xD1qC_n|gOzBLB5!Ez6>q4VXOAP@|Z zE&l|;PSPz$PP$+qXJh~y|jg;?p1HmY{wL7xW@(cR2l`(QJy0056UnQqvoSc3e ztjEizyg@KQR?bJ;M7euY04JdhtS8HB==ODr+`BqZrpo=vtuBx+(S_SIdFXwhOqVMZ zplyb{^ANI`ayi&Xw0(Lv6l%?Kx@-iE$ki0Jv5)R9e{=hsU2hh24RCaiW?3mnw?v#$p=eGm%q&zMLTu#YBbiSXK z3mkxQMvk%s&$F_QZhX$k#x$SjWs4Ye;)1-|2yGYTF0=|R$z8|`xhz*qM%xv6X9obU z${QYo%QacMAGPapg#)PFkOyu;?WX*)CopfxeVc>lZMhrm{yVa99b|XqCEjSeCs!kN zcwe?U58wm&&r#^^BY9IL03XW&ZNn#WpN+tLDmQ9@+B3Q9AIP4|_8!1|A z97R`IU*sVLs1?e}tH{2}_h<^f$)D>Z%TYvZ$I{4EjJb}P=&QI+J10+Z<|+jBQ&gwt zIQ$PyT!xUe0-feLpGPzEU;{{gXs6)(wI&R5i`2l63`7D@nzDtgj+J4~^d?Car* zX0_3?5ejv0V2)Hg*$!r-6yFk%jaDR(i8DqKWR1RzRUCf{3F8!(=-?Z#Xt)J*6BOm> zo@AoJ9=8VKB*m&1$R;b+HAgl@(Ju>_Qx#uxfmxssO;DSrNTGc@U2%zCX3bE{PX_r+ zMavP$W+{SWfihdMG7JQB6cuQv&Q(mpEtxn^5#bJk`HD#LG!`iGUxCX)MI8Mt?IJ}8 zg}4_hltHL1Q4FKVmJSnpE3e_Tr-Js~O6u^y&qx9@ylR{S>z|D#{y6D}a=+_jrt%|bS zAaA?EaU*~`6v=eMyHnAIo}KSfl%EgaZbdr%$jKf>gcE>!6@T^w!9GQ<2RgW4QH%W4 z0}5?4=ng85&j8OuiYF8nIjpE)34$YvR%GcMRkW#t?jBQ2%>mEjiUkxdI-$6~0Kk)q z6=XAws3@0&+9So%EM$)rOX=C;6UB?gVEt4vaW_z&DNeNl-E;hU z8EP*S*XfUMUn(k-&-F@ioeZVdiYgWm^hU9TF0$V$X7~d5PT@;kdapP|mqQ;Er#GYR zqhc7nc=)6kQXaL>il(uU_eHV$6)+1G~OsCSMus674}(Qo&6VOoH4WQ1rv|R2|TU+;}e=_+Dm>;2}siS&w{R-euNg z6ux0cC^q?loh1wU8#8SUV1G_~Q8Ex!xkOL4O#R8uY8pxIgIR+&5N+9u7K_zN(q-04 zQqIlJd;#3%7TL+Rk6NN^H?t~O+nfG^ZnyruEIQ+KuO2u!_e=pq{f&G)O$P>u>sD)| zZpP8T@u>D1WiRDKlywy-!qDe4c`teF0@+LbSQp6APgJePdx2A1N8y}0>3tz8ZRV*4%|^J$krVS(m*=qkI#*FVsimL9~;;ZHKJTfd}Z$m!8Rl${-}f}7V4 zH$Z$pv;&8Tn{>q#`DO`PlioXme}_{_bUQVko`0mxCYLIGPbjD|yOIv+JYXSOd-c}= zzjxh{fcNpFt&5v#3uO6hJv}%W&f1dWGlC^dK{lGzqmaQk7CsO7=`Bb@F`X|u!<>u^w zy#Cy+6#(XQe^CHv23L6(fb+Rl6!u@iJ*QZ~I&l>m<9Bk9I+6#qOEtS9m>=ndo~{yHh*8GI#d0bByqe1cwY z;`25Gc$weyJF+YMATzYx=Ihgy&K>?T*^u}7p8AU#etvs&=@q}~41izwx^6%jEv%$q z)L6lE2WsPm1iE{eAcSgxIZ5bEcH3;hmmGryLV0>vw^Z199&Iax#*UbtHNv!NKv^fG z^XTF9lP2_VHFQaX_!X2y1)* zEEM+9P`(OL3r6XpK=o@tXUVD(nzd7csSCAJejMFyKV#A)PFNlvu!jW zi!Uu-+Y+O7c(vcKX{BCu$^v^N+kjx0G@2!lyFZOx zfl|U3KMXc+gcweN4VY;ntmhLl8VX~ZBwX6;$nJZcK}gvWCumY5uI4I z7Elh07rvo(M7%v1m`BC#^-wz|wmhx>N(=e`%w77aE+RliRDPDb6 z{PGbz&xyZK4ClP)N7)5YqEOC7F_XN9OX3l-t}lxl=q1|~F>gI;SH-tvfn5_P+5mW6 z#N{Ro9I&twkMOR%tz9j6$j z(bc5$vlHlnXTXi3-Znahf@pSUTG4j->!JxpQ>QU$l*YYG&?~beM?r0I@;&(3SEDBq zEoz>k5hv0WltbSbKpevm?$FPMCWKBR*YsIvYjc(%I49_fYB_NEb$4fA6?{3W&7zb zmX)2#9cx+-#R{&)@n@wiH9_C29`5+TIg5VenB4(%AYd=W1z+N7J zgo|v&MPOcI)#f6*&OE8NcUb#{#aiU>Gw}O$1P2C{4;2>KCv89LKZ~$gzY#H#$dPIw$^9Chk^_Wr@+V;*A@*BMf8drYHpfK8UVK&QSC17KM)~|LMQh%! zK_?Km9Cr&09c(_L+{&l}Qgt5LpL1Elr=rE|nsO9`-gPRWG1QCvhN!e!NaJpj+1l|& zJcMOEX^g4r$Mp8VSoZN6T9&c;)Yb&k*T|oe@|D5tNCG7Fk4&r+3(S|m(OU58Xg2YL&|ynx-GJs{K`+@`I)ci4wU&q zD>6AR2(HtR-4KMAVD>;TvOwDlq1trRa>P~?j~^@s+5k94>`Xr0RIx8b0OyKBQqbk? z;yk(#IV{d3hxDAdZZj}%il510c_NlyhMpCQJ6%!hC-tT4-u}{+XXx2LDS@2hK~jCX zqZurXrF+nP>CeIF%TOtf49a0rHHO-7X;mJ0j*upkt{f%Zb3<*k6gC*l#z^=0s^9g)b*bx;)fYO5>NJZHx5i3^4ag)#$H)&}axa7zMtuZ0F@|hL@ z4wv^{0J9nLn@qILl@AqyV5xj)3$k@`)k9#tLEcS!W~01}%&1NBL+FcLkQwD|Dvt&Rih zL5lWD)CMb7)7?kD!p0dWLlj2aA$_OD%iYy9TO;Bvo zfncIST7WK1QkWWHCZ;OZQ|PEbv4i5((-a%X5ud53PZs?w#Z%hQvlVPCQ06MavLSt* zVhMDfUWL^!I0unKxsO$gj+chv6dGx~i`0LUcl0M?rlZLvZCb}2-8b7>31#ym6!5YP zCcn(;-Fk4ewk0#i_S0QZ*`1^dSo_`NBQ_t@5#<(X!_lLbHB*7(aF{}$t$q80n^XBW zNS*UrB2`bNt09+*FTg>gdj%=3S})MLouz{Lsx6Q z^T>bo&!_v6042S?3Dl9%7g9h=KiuUw(stwHz&YYFZT-mS9*`KNhA%8?U0(pd{h^MK z7#&30Htu~PFm;1R05jgx7-_SLrG_XL7}a_iMdQ=0cinl`T)c&0ac z4cbmi%OTDBogS-p-rWqe-JM87_IkV;w7qxJtoEt#3m`e{r4h(-8LNa|^kZX4!}Mo2 z76CYb<*PL1@dOFjdqYQ zlbziMv9nlr3Ny`S4yVyJhgGKg)cGu{C9(y~lzyXWA!|l&tQRpq4%uS%@;Q38jCIKY z>*Xx>Ip|ifY2JT4=tvo0gkJhNM7mM+CSHaRV}qaoFzJ0ZB04KYmmHCql^vIq7GY~<5s{UamK>WHos=38 zosmNSZ<>&r-Sh`&)6}$7T~l3jOj1NrYHV_LoGv0IIyNyWRrmi`3TERUgU!xLO8yt9 zd2C8%66R1pVdh0${W*i5qMFC1rKDr1sagNOjVn1RCL$p=RzI)bXVJ#Y%1l)}m;Xx# zGt;s&V*ek{cIkd#B2v;)&GmDQiOkYvWMrpj{ToJUxPCPo=+ZNESb?#+%*?cme?dvq zMaStfwE6{F8YL?+DI+dDIwPx_x!OCxBf#9luVGtwrzfSRWOw~fth6q=4D)nKX}4?Rv>DpO|02rJW$Lob z^=T<0BfaJ@izOwaFKXj;(OKCUIfqp&Q8wKW_3%~Wda5*rp?TX z!^cJi$_ta1m7S@LO^eev!w5I*s}3r=Xkg%wPVJl$o0XA_3Xbsf6hn?J`yEJH%)qTg zjGl8GgaeK!MTQQ$&46N1eMRaHAe?vT4>l0WP@yHOP(Rw#&PlO=mn|A4iWn1ZU#Pka z)X-g8J~S{W;gWGdVo4c1i{^%~&@XMOt_#FzNf9Tdr2+^PE#ziqryDRzuV_GiH8RRd zkA}iFlryt3l2Q}88!9PS{FwQYN^Ev9Q&1(P0sfo5FELQRs8GlBY71pdZERYGP8*wI zk)E7Qoht_jskTyGD*=@nbO{U^$3PWLIlfMGTu~ve7!n5!ZfJ|k%r+Es`Y8prt~0)c z0amnN08C7Y#`wC@*FY`FvIrq1s|b=_%r8AHgN6;HjI1INnYs*g(*P`nW$F6}tnADp z4qf7k==7ZMtkTeH6b!wlf}z(`()St#L$9e&)N2%rdW}+MjG^0*jhdm?C>VN;!uK_d z3Wi`|KtxGZQnD;6(Etd`Fg}zF<3qtPz9L#frHI^6DdJ$LSQ*$DD%Pbd&59}|TL`SP zVvF#zVvBIIVvF#yVr>irS+Taoh2jBVc8hv|QjvJWM1!YcqN(5dC0DegE1-`3PfL^5 zeROJOlA&nW7KXAxE22#1jZUYFr=0=S5sMv7E5fk7qcajv#+okK_CP6CG(gd%#wA75 z1|y|Yx{|3&*2U5)pzWBMlmHc1RLM$8(RGfdt%4@1WTxwAbrIs-g0+2cvq9oOQ(?1mELW9fuaRtQ@_X z)@aC=X{oZP6=&_urz$D`LF5FUS=V(6Gp}pjw5b!{O=;4!Rs)r}XN&5-HQW8=#dlZo zzbZp4_!uQuzLfOqJmx|fU!RXs)~;p52~0AREc5sp@w`r1zZO5Gml^+v3*~6d*x5rh4H_)L1*e2s7-$8OJ9*yPUe+n ze4<(HhIQ&W@VYD;zLqi@)A56l&mvO^9G@q&5g31=M<`#RWo-`tb{MsE0OWy@Sv_+n z)U(a_dS-R&3Oql!yRx>mV{J69m6V;i%II;RTCH{Q4^{{Idue_AHP%|cv`nS7k%x=c z&q-~mw6Qkw*SKi|H2!K|Z)GWz)EkMOSM}3k#K< z$|TCs5<>ALJLPbypf`f&;?zAXhIZH?1bvMWxb9va~gF@pe*c)$Y^- zXSDcglqMEkRoThPmMxY4Xd|LVMt&YX=$?hWlUC!Q)@ninA5K2_=VWQ?u60v;d1^g;EtDoj zlW%F;NdNJJ0-Uw(z5&4V@Y5i{clA+w`2(?N0oW<^3jp)eOl4t*1wda#?d#*}K`X~6 zK%>(7dj#OS{v2LB8~<$8&x5zW#!urEsIs&%!7-kZW*Qfr72QZ#0&Z3WRvO@X921pg zGgAY=D3JORf!14Xsb9h>JEH(6Z!b#~2B<^{(}_9t056TJhmXd^q^MP8=hR5qv*-Vb z(#-ikQEdJj#rD5Z?10k92y>_o@(=Lv^|33S#gfS_Zm>2{8m3+8qETy2^zDrdAbrJD zX=hz(C%Aff(FXK&b;TTEel6{2w|WPKVp^;$T#7UaHoudLC6=jSC;y`X%T{HBsZjo$ zP~US}6qeT3KU)-*)>c1T6aW`5itkFzLhE6v_SU*MyU`9V`Se9=8sFZ+&dQ?nx5v`g zs(k`WX{nNBQPgBmQUoRC`os3suNaFWb*OfC^6}AlX*Dix0mz(v1Kp{tf$}iu%YQ{E z*)n>y`Zu&6v`5K@u(qJjO_OG8`Lj8*Rh4|`(i=%>t16x|pI~pjwsQ>%&;)4xeSN*P zff{c=Eq!lV8<4BCGooEY#|0fI&?q!8WGa1+^?JQX=Qvx~muMRM?+eJ;*Tu?8>qlfR z9>FRb&muw>Y*NE1MBmGBxSdE)C#~93<6}5stn|A!#3@+gtW|rc z)fyjXEIbvRF7$RwkhMBZC zg6h=x1ZqRnfxh0(dNV*{lAe_TT7A~kk$hn@%pQ5?+AgtKX(=&?4n$|^8bNqgiZ&%F zwRjb(?0>cjRdzpLg(|z^RS0bwY>TtUkhdw)r~vtVFSh+&Y~%dB>{F`T))^noV3fGP ztf6z(V25Zem6kLEDgyw9khfav72vE?*_WL6z5(hWFFz|w&+mFpr8c4y4{P05?WrY$ zKCKMyUnI%~ZHaN6oufy%Jz4Tc(YZD62taH&N_3;&0=ot!-IsEU3P3TovtHy=_=z8X7vHb`S6f*XV5#*mAZ8TkhZS^a{&hi9p{w zdjwk0+36JI6-c@TW}D%drlZ=lR9V@}$e@bA*#kPrC&=3w-%+J?a&hrjniQ)mr=U

    =3k8(%SPk`1vq;<1^Ro0Dy{7QVb$8`t=dutTZuUjBgi`l=Uzad#;@2C z|LzZzZvBb9{!=dcS)c{%>J$)Yrz}>YmX`mp+w^9UWwBZGgHdd0XwRI)M65@hm6-pi_xF*D4KxkDqN|T?%^%H>8^k=R5ovlqsPK$|7)+VK-BciOu zfl+M#kc1-fDmWcR^f4?gNYm0{a1HP-Qc^`Fjn>zvXu2(dO*S04j=tW0)YqSw?bg;R zGTR9e1Gdn*>eW+;jaOpcTUw~d#G?@uxh>>)*;p3|vw|>fq87IqscFTBMv)Ulu58IU zU+m-R-6MUAVevWz`r)f-nwdnK#+b&M#+kx@D+Vc}O_edG%2<3DQzeec@2l6+;vWuA zkxg!C(d<9hFK`+eIk}Kk;ujRC|CZ3LIDE(?P@4Q(OYz72X}kVw(he_mHb6U+tnhy} z{bYIn1c~_SoqD~s?`&aL>?T-~n}D<4;3oXD;YT;&AMJ=l{L3gxiBoQVGRsr4T{K z;(7VIqu$K0%wdf>`8hk2=}tM!cQWDaN^PPP+#DsPCwJ4vN5eMEFfw>|7S_ez+rsd@ zt-MNY1C^D<-wnm0ZJ@HW|IcjzobSGc3pB5O-ZVZ=&R&L@QyCT833}ZC3)jiTo2K>0 zZ}~4s`mb5!R;es(|K3r3+@fR~|DcOi7BscOBoObXm9*h}LBmkrBMr7Di*>DL-j{_T_| zO$yW3HPF>ht5vE>Tn#PxVS0xpAkY^sCE{ax!?F0Fv;V2LVE-@Pf_;g%@ZHZZ4qoa% zbIA;q904jj3;j`nXc2vWl|jeR2-I+Ke_RayKS$CphT=V1G69%NI0{gcMH`i-UVn&d zy*+#o-`46sMiIm*6tmJ)sVWMg(e!>_5f=Ib%3$)AoXbDjy5z{f)z77Ux2S4;@&JHOu`mn`%jBE@GS7$f`MuxzTg_Sd`b+y*X z!$+mWw*QH%YzVSh>Q#C1ny00%HzEW5)qa5n?-cH>!8`SK4)7234MB{6tcU;0K~0It z%*aaX5}R42VgDFncT};m{9XyLE>$i?5m1^Uz{+4wk`FeiT-LVGeEPrS>#9XSO9Dywu9|4aPB7 z5=$@snm-~KSi_7mm|E&m>&>ZTcRCkuPiL)5fZE?9&_fNsOdowZ!w})OCBG-qHzaL3IYbh4=Q%b!kQe_@RHqbv58Ld%+mc>$n zA}bXeDh)QwQ}Hoi*o5xBf!`xww67sei8J-*#*>GSt-h;8dM&_RWs+@UO|cV`AgqK57)^>?b%F@QC;mJt1)L0|3 zpP^;+!5sgRokVj|93Rm5|7+-gnhf)T4Qa65tW+9k-C@FKXgI}vzMqz#fVKuU6dvw@H$%GS1CLsGVS=Ij0K zd(M62tFj1trbV(cQK-tid7tOr3x}E-u_7rxA<7jb#b6{c4l0K!KVqB%mXy~yi z`oO9H4TfB_(VlBI3QSNVWfI#87KVBm(-FOoFb&$=;3xXSKT;Xdk2pIRL`m0{)ud#l zkLCI4DFtJ~P}+m`FtFk#$Gyo!9Y%C!onLI$s|)xJS4(l{Ea$37(4DjBFNXXJ?i_ts zJ*l*v#f$V3uhKE#N548OM}H4z<=JezzlL0WA`vdrae@o4H+-U`4#i|ShsBF;UBk}Y zgM8n7`tr;B+oyx?2ZR1-H0h5{28aYT!{+w8X0o<8(piT``fQXo!q`D&%l2F`@8#hzr1@77ce~9-x#Rt zo2QWM(ExQHLg_sj9^^VhEKSuIfZ#mp8u87nj0b_Ce3!<#=snJksrIP4yuhJEz-27| zGF}R=s2XJrv{rZeFDldIJ1C#2tRQmTQ&szVG1Rc(5SrAY;$s*rngulLds>lvqj+elQux|4Q4J^CdD<7WyB*Y8(zYV1LZn<#>x!+c;tlU5d(d#u3Qo57}bx` zbmP-eQQxhvu9xRKSYM*Y)rRy#R8;JnG*Yy>YCI%W9n+7wafM7R2`l6pN=0)>1R_b;(`Tt#F|k-lw}4=S#$2&t_|=8>va2 zj`-u$#^oK$S04qNt2I(FxY~1gQ*~pRt`SHaMl^SiQo+noH}%dCS&|{Bq824XM6nBZ zfXLYo&B>#P0Ztk81u?MS-{1)@V5csQUO(-i36~s8Ayt1koD{X3C=RZLTg?JDOff{pLtGf#8xrr1%gU zg2C$B?fytQv63x;+9#+sD)IPjz25$$GH`4*0nkHu+*x?uh65RY?q4UQ21_GFZiJPL zP@AUTOt6y9(9BaId+vCmKCB8+EobIuo|&IHL~ACG@DG?0b@p(6slgt+PJIIT2MJo- zS?0-rGX$6&U?GfyjPcFF1dX=$r}zb$=uW)N>eFO|QnXB}sfb%&K7*FUGjY8*j*2Gn zYGHkXl24wXJe{+YmzAqjmY)tV<3=5$`qAV- zogy3`#W4bGq9PMtCvjm{8PpD>OIboDP?O`7z%OLM^5Yj4g$OOsjH$T8CRK68sit=x z!l%ke;rSX`!i%fzaz9(2KLb3kZ5zFM|L)h1w{Kt=jc5=VgXu$PalN?rbD%ijGa&;4 zL}S0l?Qqx4azl6gIifE6-f#rzs}k|@n(jPoFpS_tCuDdGrkv)a{WmkU^!UEUpMejigTzpnBJ&u26i{k&+>?itB#}oB;7d3d5`%O*Yj7N z7at3l9uLo6J>Pzw23phSH`q@;MQDwZHV94C%^^-iUpc#2Y-bm+4n@fJzk=uEMV;&# z;nq-)=>za}YSib8aO<@3rEW4YHS7)GF5o6$n#`q=G|-fCW(_eg6rZbj^;04-XUc8k)8}>cpS-PIE@lste8Ika^7B8 zv|ZLOC4Uu)KEK37pq8UU51{1{pzG8(6#o^Zwwzaeyv#8Lh&n~j97syz`&_s7TZNGhPyMNwazkB@;IRYwpg_Ol@)7-2njXI{t$Kk+uxcii; zka}L+GME7ds3KoHFp{y*1OPPjECQgL{`$#$)lBFax&PzUXZg(!CL-yKK7u)mJH(D_ zcGZu5IVO)tMq$xEuKxa~n{zx91HHY6yDztqWI^)s?q|`abdS*_#OJ`0jsH&+QIpU! z(*hQ!&)`w2WCYAqImVaJY`p#%llQ&%pFaMo|9~PL2QU_4(+3k3KlpZa3S%v-21N%} zBN){F&UU?GPM0&dD#|&CuGnv$ttN85VKJ2$#+gKcU2t?E7;ToUwo9^E(}>vSYruzO zVmrH-%dqSNYWAE!qodMop2)^hM znQ|-Y!?Wjtj^D$b07pJGJE(&l15T6mVO=Z9I-%eT{+eObt^jwKZOjmv&@=hs{E}xY zh$0H1gt*nXh3Z8$+hDdN&defz#A~VNRwgJj)+HHPMhwm$Au#}@C9>;cu@Xt|9%U10 za#?S}*Ef!^I=!APe@Ga5Yb^ae0N>oy59oR{r=rO8og7N>H>`o^XnQ@dHR_U zwom{bP9lRxedV?r7`+G}LuH2T2EV$pNm@@-VH6l@Kk#&JcvQ!9;pi%u;?PW?Mu=U! z*`8nR0VLjG;kau-s9!*r1qt?=SoKP}s>!`|{bOFhxhjC<6z{paMJ|P%T0`UXn*)bs7fH$iQ%R1rNFK?j9Y!Pnw z3D)L^SKoekN2NEp%!@r-OkukuF z)df|Ywv{nFT`*3Vid$R0M5n2aRy3RXJicb4al^ux=Sk3XT5Q%%gN z$O;l`-egV@(4jd?%Ii5>EkCA2>;62$fk4ufavZ0j8TILy)kECM)*Ql$32TQr6>MH| zG<_WIl-UAt_86t?o@A`0RHre%oz*kjz&Kr>Uta?U ztWLY$KKnCxp`o}Fcg-xcxZ2&5bhW9th%1HvC^T0xR8_g4mtAJ8 zUXsb|udXlP#u5sS61N$3c@L<@9?C1moGkQN3DJZNNl2$Mt+F=p;dsm zdPw~&E+Iz@;_P&D!yLk8uYV(gfM?jCinYTu?GJF6Xauy9GjMvEzVPAx*BSMF9>lJWNlTq)R z_wT=9%*`^5F|kqO9C0hN>m*PoDqmNiC=A;3O2Gt}#9gQalv9ANaHwFy56!`92tPEB zs}uS~qE0|_y#df~+>89v6Gnbo=L)c|YRD*&3Hojlw;qfE1V(scd-ZH3e3IZ4^RxGn zXvhC>yb~_8gfc)>+p5GZnMNGP^=o)wi8_?p76MMIoLNMnSdSw)*vMx7kr7j}YPd9_ zH9rs_zgGunq8idn6~mf=Qo;I-bRmS(L&n(xyL(0!DVrxmZjm5Ol_%5In9m+?ENould4dP( z8up$BgT$tkG|Sp6b(B%)sG=~xy1E9Dz!GB4vfEXHXDrUUg>>~121pDiJYqWRHB)tQ zWH1btTQTNN@3Ws%^S@N`n`$#;i4vH8$T=bH0Ko%;+fpz0#Q4q_zkpY;cG+oo*_p$a>)rnl+PyN2bY6=ZdAf(0rGRQN67)lmvu;RF#$z00;sZ3eoDp zIh}Hsfbt^Jw`0JGp*k#YXs$1_UY|>jSz19vXdfJQ z+yCfdktzx1(i{s*Jcpvc1IQlagK7!*7n}$G4gjym@|d3*nL#V~R02M<7*T@QAs{sw zd6LQ(1ZrSoJv>FeO8A{qhJ)mS&i;@8=)vU0%E2-{F!w20G$+g9+^tuu&Hj7|qil8t zR{{=Je#zG+ACP4UGP&?t1XEpd3cc79nTG|jEqVoPP$habW%SCig1yO@XT3wA!|^kL z;xJ^O51`HC>A2u{#%qanWY_xu|1LS?N zxgk6giK8s}B+mtdMGhMr=6t69e!G=?P8|I}9Q6rGBVic@?sFmk@_iNHX_2XO02INz zM8t)%9FTh>O;z-bBXW(LZF_yQ(M7{C#$%r^fF&V>280clbQYm)56c=TL`ndC6@(pa zIFRy!QCGF->@QJ=AfuGMD%Ousfv5TYPoNmD*L8r6P?PbEMz3)=YooHrLKjGTKS#vjJjP}7%N5hRn815Qq3%*Q73@lY zAb^l9iL=^~EGcdh4a#7bwGsmyg0kWWqAB1hB%F(eAVH5HZINxgMMPnJZ3SqAYY^d4 z!bvHJ6N;CTelv0XRr7f~b|*?EZmxVO3k>4OmW)*cgVCWlm?oOuV7jiB^%(?T zk8%}!RZ{c^{+U+c!}>fWH#%R4FQDrRKN-uxW;D-b;~>Sc-=v5ntQ!K-)EiR_)_8w* z{_Lz)GJ)5f655~AGXyOj)=qEG*9>RbcUU2Ez;EUo@N3L7w{ZHc$4b#tVFgTZ<({hP zmVuilrwdisf-?CdL~O#{1L4p298p3s`I5304PquA?3|;w;C+R@g=Yge$M*nQasypM zjs>1}IC}D8c79DriY&LmGM?jD$?@hr!Zp3E@PZ}d$BbS1FM&4d!xiG_n5fi2{c->e zb}($RsNV(jHwXv%YV)XHGT<9d_P`|~g~_n&x63-LjJQPTK-ezQ*jmR7efyG%CWgbW zU-w68kIJ(!T(ty&@g%KwaS5}_wWZ4^=#s%-G(&*vVtN8U!!BHyh~tuCYk3y?iWY_-j?BoG+Y$s=-QGcsEM|?+Il7t ze+?_sIis>j-E(!l+L~hS=L<&%I;0fW&}a=&LBZqGffAnzN?gskp{LbVc<^8$iOdO~ zL+#uJlfYkqK~yjMR#XP*G>qQrE?@Qdpr?9lnI9wCcjANzUWVM<)hI1FwMNX>=RX2j z25N>za&?KwKQwh{ykzas@`Ie(v ziJMZ*(E?=V1Vuu3xm8jjXyTBr9J@6+b|)xg0*mx`Y7dA^Y$PP2_fbqlq@;kU(n8T9 zZ~Ja_Qj!ZnDXtN?z|!dKoZ$qV$ou3@Lb|GHQI?HR3TAON19oWtn8{}aDYVGFl%+r! z2EdW`4|lI#{{sa{Q|$}tI}UN+!U^xukqY;1&rz-${T#yTl$FX0qEaz9i95&|jIivF znsMf=j|X%l``%qL7=Brv(NZW0K4Ce7Qr8N5r*bKsrlLvL$-4Qd#K!ZDS<^LPD^dYU zw2JM^-L;y~;<6O0XCA}$o(N*7f!06)8z{%sx)R-aX4!y3kx4pWcHIexo05G>Xvg)P zSVR5vd<_*VLM(#cCRDFw?}v}SCV6lyX&WvI?F2AAbN!voN5^V5EYFc@7by5i*fkW9 zTtSJ~{hivMlADQk=~-X^S}_j{1JL8$c}=T2G4uUCdXkvkn3h%!v>()^`n<|Xc>Kmf zUI}JMqky#O;UBt$W8KaMr7VpMbyNXLdI5*g^TZfv4=UxBdu~o_8Un^oG$-BU=KSU~ zFIv<+N$(#IECXyU1p$u@iLxFH5LD}uZyW`1<}vvsQ;rW$p@d0}eYEfKa8*q-pP{c* zYU{`K)E~L*WZ3?UkRd~K+pG2dBX>(r0aF{EKGCYStX$a@rI)I<&18uJMSyE{gz2^P z?ch&LnvDL$B!td6%W*~nNj^Ik7v=yEBRJ;VY$A6KhoZP%IRxblE{@9a;Os->%JPCT zXCK>HIEQjM?{c^(jBC9hDzp43xI00-@4N`iqj{v7-~jQRVJopbR@aI$X=0sJm5 ztc+(dD9_BNQWxFgM=5GByFts5zpqorO6MG1e^@Fgl7J!(F9=(f0!3Q#(PDn77c!Bo zu#rpmB*b-KvpOu#Xfz}_*T!B=pXpDQbWGz3))uqsGY44Gaa za1tcO3qz9{^qPXhDTxmT)dbI3c|BJ?%3(&@Zceu>wi*jHAyTnHL8hCng@EM?@eh!F z2qA@BlZ{?-o>>maqV4B92!0kXXGA$}VZMM%<|@#_e+B@tcnkcO$dqcMHP%#}$7d0; zR83nFCaAK6+k+^Jp~}50lk~l8k@Sq#_ku26~rC{vo3H;c(=je-*<=c+RxnGQ`sZFiaCAOn3@dybCx z^`{ilbKjR7Kx1$KA0l1He5Y`hf{T}hxksL6ctT|-&12iX+`zfbe`L#o9J72WX9oS_ z)8=@w!l$EwBc&R!Wl~7L1Ohhw0fB%n78B$cfJKO;#dIFt&?QgFs);HlTvc2df~G+^ z!@`jvmxu1($gJbR@WicSFh4X5U@{F%BQ$G30r8PWHFqyqc3I?JOEskX2=9!A#v>=C zSov2h!RV8^^tzi)GZY`@)R;JeD`*egwaFkj`chz5 zZ!+**Bm4BkKKxWn5^}_xen$9;D8jr>woH?-M3Lx}JF|u2VPl9B-rEtdCyX8?1Oi#z z_bA^P-=(PH?+PSS;AJ36C&iYp~_=bCh1c#;A9!Z5}b!oxLjQzk5ChcNf|;iR8L!v zYzGYX*LKjLKtz}Q#nA)ymrQxw{*ozbe`QS2PhM~V1BoHD0&)-6$chvpu|OK$^^Z~- zL}=*brkappB+)qFqlF+u*`ip7$^CYyE{m2iWX9T_1&_cgFp}p>xIh97b*^bytxC^N zKHPqI{o%7T*B!7!xBeY&9FgqofMj_E)(ic3aYIgm` z!I@YVs~;jbd5BVgGgqi0z6Ca3bqyhJ(8LBFbQEQvE+Gdib;y{!%bSL1VZTSahNM$? z>wqdClo*xfuAtJDmhynsbt1AXE~6XDSmo+!(PPDCs5{eXZ>rV#v%HAQ(=Bjjn`gv1EQ2huMw{~B!s`HJ#&$E8=Nh(n>Bg)j(# z(5kzvGDB`19uu5&wz&N zx+!{!{F_!!jT*i5GE}u5>`N@k7bWsxG=_R2ay8(ifR4iNC=#mWJuPHZpCijeW+RdY zO8o2s2-mq{6_ORMO_9$g{8uVCu%94XBB68P(U|3BOo$?*8zL0{`cnzIoK{;oL2i3` za|!Z@(4)k8hw_KO9{eN+)6n5iWZ3}+d8+drjDt)Yl$;~YYm7dLfZ+lQL~aZpYbGe| zXNU^}SKV#a>vllABB=JaqtSdBl? zd|G41JJ3jY|Mm-tWP@ET8+Z`(=fWVPxU}3Qzh5N3gKPw!u(;Zw4H6Pvc_2~TClWnPX$rc~7{L2LnAT_5$Rc*m%|NAzVOhwtM2x(8!S*eLY3 zR+X5b8}aRH6Dmxob1GL}{FNpOGbaa_id7Tn7uWNpniaz;eVH0$$rj<%#iD}<-ZO~| zBsoI*5Y9`NdWB(-9=)m=5qLiumNByW+TMdV9de4RepbjXuKi%pYAk7y=)oXnMNp8| zJc*c-Mzx!kSz-5TqGi!_D{{ueW z94q)Wa#jbu8EloHE%+_wU^|_D3yiXXfcodFehbWi>*CN9oR&jVC~ZJOrdoTf7?NK) z{e=bT7_Tf$*Gyd?lZfC(CNzU&Q=OzeF0|;@y0;G?xXK!@m`7k<7MGuP6_?jKp*W~Q z`sL>e((fYH!?X%grA|`ob#{6xr%x<&Tlt|w=R!>y<2%QxbM?4qT4b>2FH+B8V&}tK zLY3jKU{t@qlD^V-;Y=!EhHeS3M*r_~)zJ~Z2nnjgu09oL;B@Ge4N=i8Sd)~|nutYT zB(<2@ka2#gV$zI*3f(Y#;(oOlCFMC}>@mlnhw6pWGRLXMO-94!@rw|sQFk$FEV{8n zPa>O>S#}YSG^uL_`(ov-J)M^>7}71m|Fq7w*O%xv5EQmZ*V(wxS+~Muj|w4KiGxfS z2cZXdcD{vOOBe2stDoano}OBnv(}XGt$Iq$8|WsQd|3pU66S(JKm4wW4(RR)*f}_A zwpI-mi!bH-M58c5olMAq368)EabKT?MFTtte{Ez>)NqWceT5yp;DWmvGi$Qi_f0JH z(;6sbb2%>CY#JubnHADEvQqz`f_zw36X!&*K5ev?UUnIkIXRnYXk_JUfNrLJp<<#Z zHQk7-q;WrOxeilc37Zy--}nbzX=ZCq+(7`=O6o-$i+J$p#<2`Y(maf(tRez3S^?ON z7F5vIOWzN^E-@N;oB?9=y7-k5J<22qJ0D_=VzI+w(l z&ZH2ndT0ru57gS9hIkBG0|hNIyIRm`_7RO85g$4=j8oyB!hUiW+IRXD(uGX`k_iLp0cMSNNSBGWU$z=?2>*9EI)ZGRas=Cv_ViaZjY$sV-pa5s>f)l`#vfUTWknu@x3>|?E zJv6othj-W;A(L3N)rwmmhmf16`-`TGEY@gm8(3RJqX0`P8z(7DgP}qrSh2JD@$BzC z@NtWz67Zb#-}HD2mxDT-c^QJs4&Z+%bGl?K>XHz~wAEbL31d1ssM0l4q-vYuCiJY_ zuyKRFHk8r3FNb<{5dgk|sT9IhDfI(WxKez!m%P(|GmEhZzw5Df(`Wwp9T>nHp7 zpI-kX=uRCs6n)rr3s;9z8fZ7(bQ(ySZ+05uCs6c6amK;0*r6irrTPVBLA3%7aB1CR z&OGWf==JA_hz7)iA@}I*L;LO&Xu#2gn93m~p;9794Q@Lr1c0<$Qpi0=D<9!7CsLgG z;nV*8E%Kw0XNv#T>;rAaoP>1EZou{Mp6$^Ji_|>9lt&sfLOJ(<7|Xi6l6PvQ#A`MW zIl0(@KMbSiynR3&mu03<6YFLsWwnMOpg(TO6{@=9C{wb?@EF4`t_DHI$dmAKm#uOJ%nwJR`me_SKIjnl<^-<=UZyvsb>>wMd+`K(U zS*=}yR|QML|C5psah_caXT;#*7x4(}(QpXm3J~R4 zQYXitB%G+pF&L(LbB9Xdx^f=@-L7mR@pnB}R+8N=$@l6F0Khv%AQ{V$ z0bbjK%G6Vte!8Qsw9|q}ssYhwV5a&YlvK`;ErXG3MVYCN%g(=`B?f9|#|Uor2!i+= zA_o9|7=|o@4?fnDKP1AQbyOD4TwpDn=avS%FINK~F5it@hpOiFORswY6n~zqnhiPG z);}xjoTS&yZ;+b-S&mwQ(29Zcqecm)BshlHbkXQrNxq`^3Z4ZYZGxDFn-Nu8q^OXr zoy6&wg2TnbNh!hA&g2sz-Z@7%o==(t26bD&qFnjms==mvp@|wutXT|aI7{8m_t|0B zIs!X~T<-+YRUw#!H4qp+| zxeyt;2yB)7IJsV7s^tt)Q<5G5DJJHRq`7Ce6^W1ycig=)QNM(O9DWzUdBLG7Y7HSa zh4xu4B`Tsh=%u2sqH+prB;qy=@j{BVgdX6stSkVYDV;Y z58cOW;XDHg2EOy|( zh##eje&-y$p)lDOtZa9B{%n8tg5rS;2+8cERJ>!QN^atO6o3LU;T50`P$>WpN8k0o zAFsFiZ{oldzjdM2!j%pWCc(8_&cFzB5U^Ni8Mh{%+T#OCGl!G9Ku_mu_6}_ zQj!lD6F=?GwZMTkh18FbFRGd%&D|NYBJWEE|so03GAIa7cW=XdNG0Ts+x`Tg$qItCK^|O%iOMJgGZ#x395A^EH-6W%(&+$IYeVP z>Ik8+G>6tPqR9!`6kmBWp&8Jnw~rPL)HGC%b0t^44ga7gMVXL-OTNvj;>EdhPc>Blqd-N~)`0)MykJon( zpFaGuN4ojLZLM2e2svvo#|tzefOVow!j4hX_q2rU95n%Kl35419L^CDv5d{>i8=ZH zmBVYaQ~u44RC2xJOrsWV}JeI-R-M4bju>69o=H(&%nhh;TsKumf@QbqX4<4(#5NM^)0I)+b~6DF2TX~ zD1k~13(_9!y|@=1Jms-$^HrI`6WL4=20cDuMh&n?R$MRsk#=k{k9_Km>_K^e|JjTm zV|c1BmWjVEX)Qk!k8b>4i#CwBD}e|96fFP)Hf#Dq5}eQzE;)dJ$1cx-z+oDoyxjoi z!~lSQe8{t5@N>uLL^z4H!OC&0PUB-|Rv;B9N&NFpNiY<9N5z(w6I_;bPLtJfrACRL zxk5LHt<+?kGReI&q<>T@Uu66q%p!N;_w|0lOI?>|>o^Zv>xL&PQHsGsm0{Nz|ABQUh z+%b`lqZSTXMr3GnJlbl@uPPa*#-rKod%d2$2-3oRAWMXiaZ~mTig#2!cfY)TmUh#v zj_W4*0_BN{a+*4aoJcDZWYJkd6wr!TK~(?HzPGu{Qd;5uT^}WlDj>O}MXwrfVA_d% zi|C_(jtiDNsJ?wP0SUIQCdO&PpGj}yi}gAB^oiCCZ4gB$89lv5q~Sb3((Eo7^Dn2$ z&Gt~cP=k{C3>+@LU3?Nou4-jc!lv}Jpz-sx&$JT~qW1ymQeKF+k}mL;9iQ)Y?-;?$KW)Co{DT?>|v!5Ij zfv7LbC@&UJMAObHVH1$%#?2LMaWwP0$GqlXoL4k6U^3V=OUk8ZDWwZ_*1$G9Pj32t(jb;BZ8;_a)v2Dn68g5hO#@0usqy3RU#K=1KA% zhcJOq&|_rvWs9bggoD82;Yn1hNXs!`fVT??Us3r-lm(w_r6I%E3c9~@ ze6SS2{>g6S(F6>-xmwRXP*GgfskZRMc3O3ZiUrM2>O+f3$1g1QuQo#-h0AZEOoE2) z^wia-%p3_kAIswWh`4nHkoKQ^{PY=}eHv8#G?7WT`_6z8Ous`4*BDh6Ebp^ z-rG)irV2Pw>zGV8VI;OUhH+GaCR7f^jpPm?6IzYHVuw!Y*X1?_r{nH)tL5nF{|b!c z7+tk6Q#5U`X*>NbO@u_R8!GO+S(Eh=a(qmBvGjB&W0Kgy%}23qhK4p2(U95JBBB44 zm3QRXg_bSCCe#v0R$lvm;F zEG-|GD0)hsp^Z6I?PM_42E~%W=*UgQMnaK7Mv|2l`$!6s4x=-&Jx5mFq79Q) zJ#8tnGY)!P7POlbP$V%nfkX-djq15y)bojuw^WMU3321AdyRF5A=76g^)x|S-qSc| z|Flouspo9a4*|7F4*@lv3MDQn*dOR1(9KwDNof}r_*^BN50CVhkI24fDKS)U$gr53 zStqyQkiHEX!lEtM_8P8R^~d)k%Ck8=jd-FWQk}3dH_D0`cK`c_H=n*d?C%8Gi+{fR z4@8uBiHdht(NB`uN9rfXfUbdWZF1D{X~D3l3@+mq6Ka4tne#w^XZ{>2^s-?NzqD%_mqhLn z6f!~{RDnYbh=txzjvfC>9+UinP#bfa%rQBr*M{SeZnxNpX_!`(JziAB5yRdi+XLH%{$6W!|`6)I;f^ zh0E>pgzZHr53X0)UOt1*rv|$h&|b29_Qh&>j`kudR+-EcjSIDBVL1>`6u!A*yz&HH zGxbT#8`wm^RdgY<(uDa$#r55aU{ZV-(s@1@1}8M_Mdfq@_>IvTezf#Hdq+16D*sjf zeEPqJ{||=2;OIMEMj?i#K7lOA1+>Vfz=@xwrO;{C5pbMcbRPB^eOl|;61yg z!U<0T`Gfi)zx=H$8eBd>NkY%`tT_%R5;}{W$Of4QCE`xfv?>>U8_OZtM$k^TzXvL+ z;3P~I5Kt$&E{WJ%Ub&w?yB3AKi8qPVUV@_p6FiZ9HfV3{CRRTy_iOf5cCj$7(lN;Q zfIc1vbw4erkX+2~gDMPhEOE*T6`+|9pG*?(=WAcdy>>e|`P_AHq5`dpl@mG+pLMmU6p0rG^o@EW+LY z{J8&9H_(rxhkD5NrH5?LdJ?$!?gbK>lWi--5aM>6p?gQz;fg`6PS7Dnku9X@`yioq z4;@Z;yonBGtcY6N&)&oOdUbE>%|CA6;19)qDLPt^FPiL#cyt1*g&5vElK?gywWM9a zd(OOw8C_K6H9tq?h@2z5`VeNj{OEgug5hQSt+BTrUJk-pGS68-=)V6*Tv-=SOi!Fn3!Ep#X<~@r7e)vauL1*#Q=pP z0l}jcF*yMkZi*vwxq3nHHh4)CvPf$bH4}XuLe_aK1CrZ9FabT4)zAC9iRL{VpYE4Q zFXrbx`+!o9`|G_ZFW2EXOoO=1C$;#CG|M_)oJp{ z`UL&3*gcu6+oJ%L5&a06tttFKG2$yd1y~x8ArW6O9iZ%#MHgODS!o9l7@Q%j1zJN= zaN-UF(J1(QA~K^7m0{JX)C{WzlQeM`267@$g9YvEkUrCXpX^Y5Ip`8#L;?kb#bncb z!@77n!zOa@py)7)$uUL{NrL8OGPGvD%9Z;7BXgXpd=F=<+7D%2CeObPj`(Jig5Xz zx$D9{#`8R(F9w-~u=(2lJr4|NMYT}VCig7su_>ltX$ln!zEel?CP~AhF^jjP<;MV( znx8|nru66$AgN9(^?~GLdS3T?>8ADWOCti6HGYyR5&2c6p%<P|^qwJ0L}%kyLn-#LZhSX>P;JrU4OHQ8O%bA)|c2MR{$Q`|gos6y$g=8$1I> z`@*7;)9mZc00~YQcX~$->3#;`ltQ*$Hl^2cQce-xSOQdd9e_XU&mT;Sz@=yL*Ojhk za1W^)58(}{>6P%tDs64hulnx?eUCh3mNG7TvH;ncNlXT=6*&hVA?r15SoKqX1EdLl zH8X1ZsQ0OSUidb>{;KT&V!DT4{qybXcW>X_8W8;!^^xCx5=&P5w_18r=vS|Hnmxq% zPGO}{SZUG)}XM7NG>kT5&n{|!7`|u zFj|t(m<6Ge^PtXY@&(+_O7%tf(pS41)Wxtk4($--fz6bu0Yhm^HG+|#50U8gd0HFx z=)eqLfR1_cl%##}mAauTvM-u^?eXw(WsWXBlr&{ZN97|}L6|kD-PC1haP*+j^TR;W zpVTLe{B!$d%&;76(p6{`lLSsBkIk?t;YbC}3ztB0q7a!YVs=tnWEcc#77!Z`{Xiwk zpY*EIhE-a>@7NOqs*_}KNTU{Uc($6We%z#50{wk^%U*C5b+tu~+_snhxkqlYRC4MU zCFbDpjc$@r;wFjtnsH6E7c6u4{d8Qvbhxe5<`jqANlWw4%g&TC?$ex1e6jep?$Z_2 zJCyzaiOC0)7YjGK*a3C-Xm%WDSbkjMst|(`cs;3CP`~acr9CRUL*;2Q=uOn>G+S!} z70J~CzEFBupE~ziVOI=`=*{h|au$G5D7%7Wd!=P5-0_&`M^B4ToVQc*4+or-N<|Te zY)Es1=EBa%EHn|BVKz%%-V}er6oT6T8f>N|MU!Hh0P01HB$6ZyBe~6z?2w)ZcJAM6 zSzIycBsZr!r^y3G!KuQ8d5N3T?P`T)TbO_Va}p)-^tk@lxAxx+RRhaWGLwbTLX&z{ z1>|N8BzmGUs7Oo)b<26el2e{{mJ_WpVoyw`mQ;lqW0;XoE2_!DQ+$U(%X6sP;sw@d zUD?MyxYZH1D26MhPW?Xeeo)PE6b2&{i5Uf>FOg6#M3R+?FXiY!pQJ11K7Z#KdDi2& z&Uc%j$iR`ZLJxp7^kHDgP9I73dp{Yyg7g2ahLoJG-JAj*Ox=PV9gq+B>+PqvM-M2Y z@+~qs?;gHI^H+qf_WMt7-`?Lo_*hRaf7feWmk+^NXlf0L%k5`t)&l$)jP(5a9Et6J zUoAD7Yo(u2#UFH(tXOK==%B9De!hAJFAGYf>=$TyqH(=o0b@s8XBdQH&S)XGBBWTF zRX~|bJE@73$Vx8}SP_(^cBfqcv`vx8&YG6>6!ewWx#b@NyCRFBo(NT(z z6q`-a<>09m1RIk%z-55uNBat*`SFyLT#lPT9UcO!P>m1Hp1gEqHsnuR`K&xK-0p#+ zWp%I9%a!w#e@-4gg$cETWtJX-a9K}vkzSYeeu5gAF8;;l;o37`W2yh{nqeq+yz%?WvsHO zwOPD1^}t{5|9JHo)$#Tyj~C;8$<<()#>jX0dN*3*Q03bzll04FVV&^cKUFGclNwZC zF^!)k{`>}^4BZ~(k6;u`F90f&zSKlun~YU}jQ9Xq>RP}v>W4^2^i-(sHyE23+R!ay zu}0*pKol#4o|7R|f{oh@-L^xL0$VM)q1zfLtle@vmtr2K!q46HmF6rdz^JIfYKbU( zs!>*M3J@_?$ALTTylgo~>XEbny1jXOc;6-$TZquozvtoQcEDp?}~AxhJbVp)9?(7auND;)V}5~UySG%f(U89 z4j>QVmT?r5B7fw)5+UI=WKpk*P*yz@AerDfUW|w9YNqTwEzFinf^@{+ zqy0&FmBjVhnHkgkiPRX(F+b|8nS^-pfPDt``_B(|zrO;4rf1gNAZ_M_8S53^8-5Y+ zUIx<`Lvx-YJP5ppCgW%ddQ!UrGQoaHP*n?rvs?oU@Qhpq3x(x#Yi=6aWG3q|afC;> zg{L}>PYw#RW_AKJPz#dUGqMfCbCoJwi$P3cg(>$6@NX+HwXw?We!lxbSz=MW9B&pR49`3*c zz8?&e)MP7;I=BnUEYJwyM2{-Ex)UZxlLa1L$7R!Jv#Y0@H->=?0$tQ@$c_?N0=V| z{`X}1mvX*bNI$>}|K5A|79ufxiA?&xdT>P*U;W*8t(*Vb{|)0p{`>ddzwm#5{Dw-g zQ1@FPQ3OT&eh6Ch}HOoB=Lo&)oHVo#|%SJ)Nen`DEA@5dTiqo{B+TO*Z|*`A{Z z)3o!52kKMWr+S83so~4oLzQo7UMBMHer$>x*~~RSYu-rUB__(VndLZf#%fPPPU{C82Bb3i*zC& z1*rP<9ER#VZn~_8QMWdEhaM0BeEf=hP*<5kA7gz(R27d%A+DuyIFDHhte*pKTbLIU zCr49B!l$ZH6c=cuv<(ZVo31j*J10e;Zp+8vAfq%k@FW0Ok50=@SfD0Dx z(6noyOH=DDRBx9L#-!H}!p1hQt{koD^0gQ(c2J^7m5mvorC(ITVDnu_efdyip2rFB zF09*rPgexoY5Oxs{P?XD)Np7ZMR9p{c5wlnT$*xLX*$4N!<7Qhb1$go(!EFiaf_6Sd7c>q0)NFGa84bkv*1 zwlp9lg`QA`vKCWvww>Zzb0UERp!a4w+Y!@}!K3k}$9+K;(8r7-DYE~zvV%~6Fi2%C zEov-Uyfm{8aXDRD(Hy+far;|GQ-Ksod9)Xcvl){0_HLC9+UO?vUO=17H=1tgU=U)A zK?g7(^!;z2?jG{=zo5O*u!5^}e_;)`O+clWooHL15%MFuGt{(18^=L_w?-=RyFG_D z1%^IxIKu-CsIgsHh9ns9sJ^z!G+7Nt%J`wf4SJewGzI8coSGlwr@CiK4xB z^bfji2?57E67yFXUqoHw8%dUXq|EucF95Lr-CYQM-5;WYIe^EAhQixXVw~g~*r(LI zDD$LK8EWdS1FRynB%re8#{`lCs67e~7R}Fmj+AcFMs48XHLjK$#dr*KRVYFZl;RS2 zC~XlQR5)Q;m3Z~KQ&ssXA*w`gmvO{;@vTx!vCP4?KnMb#_zk^De{x#M^s)A=$Qr;^ zvBH1?6~~jZe>!2A11b)*`qDa>qBAghjSVj!7kbwXD{y;lC$%^DK$6*sPm%sYXcz!Z zKlAA1Qo&##Dae)o^vL%{!4ZY6Wu6q1v8~)A0~Kf#$uDB_c43Y1f`@mEutwnTmXXp? zlhFyB#k`pDou+%ED0nPmL@`ETi9KVZ0b>y02LuSXRuW402y5{{*=DL0crbD0Z(m+* z1M4UUDx9M=MHxpz<49rAV%r{3cQIOp-_DN>{KpmnMP5rmflBB*3oN&bV1$f^G zziC>Bw)*zp5det;V7zc2%DzmoE!Y=R-}@(NT?CdlR^=H^-1Mo##)Xp(xHz9qjX-cT zYY7nOzBX8){pvKO|GYxn^5@Ih1qAeLyG7YYhT)BUh9@Y3$JD!;@erm8iV{omg7oQ; zf9x1}C%1A~Hq92ON&BYx>NN2C`O%Z5AzDRjT6PnQmRjc=C0(Hye4ef#^!*8IS6r_w zTRB5l%)c)9W`bAll!YducV_H*S5O1Z!;fMY&YruX)#Gs>4IaplDA19w)SgouTVa_< zt#=ewIL~1~Kj0>e)E|>El%NVrRvoP@Tz#G`pJz~-8U+6jXe{{tnykYTI~AJ$uQgD( z8p?6e2@T#1jc|1p-U3QtdcE@e642 zNt-{4LK1+uUR`IBk@eLx;|#J9jOn64v@a_>ZHO!y*_k7ligDl{V0-x8-AD%MLZAB` zt3sPQI)b{^&Ze4^kQo>~41k$t8XxY>gVgG16t6q0ro<(CBXYq;<;+7MBC2@`ljsJK z{xzDdynNzim}D|Q0S4a`7E*S+;Qithxn(?bgMKTa+D;wRvxCPDb9568I2{==Le19n z)1&`V9RLlJtYtyjou2Z{42Y24I2$$*=K$=$5XxYJBSLAW*+v$HKQCrmR2LxYwudPP zeVr>)WKpQfsd(up5Y~#;+Mh~2BuKpNaP$c3n4=Aym)!}DGUag>s7#q0zRUE{JW2hc z!&4@h(mI#!?x*irRR!P&wN?nFRXDIx9wey|61b-7PvED*JQ9yfWrpm9sx4{#Hc>Nr zaJ`~C&W)5t8Z=$r{6TZrn~yo3ymrP@gk+lMDDy_^3lRFEiE}#SWF(Kc$UduN1T|gZ z2y!@sVMSOIYW=HYi(PBgtXA!Og~YPpO3epU&^Wu}J!eus4e&TgQ0N-*=WLJ5W12A` z$epYln>`^^F0spq1t8Z2v|_bH$0Ek=9)sF*6ifRE&C87O!5K{9`rloEe*Kn5$yvYV z@Dx(~fiF5fIAfXuqAf}pm?2u-2isIh4TgzW`P|zc8iGJaf@>5dRj}FU0r`MV7B$;@psG+-Y7@W%_1rRA1{@|`A|nHOqli~%13yg- z?`dzu%{F;f!(L?VJvt`19O}G}P_cO+h-#msvMBwe@C?8%rU&c%8vSL@rQ!}A#_4U3 z$%)h~Ms#uF!5_3de|GSj==|$C_|)k`O`^MZpYGm0{PWq}r!Sx1p#n-$uBaGNI{{mR zwhxg0@`EcMqj6S(KI#Y@#%5@}ZK_ywE+#KojOa_cg&qCQX*z84B;U9%C z9+jm%04Y+1#yzhorTV2gc#_1$=KD(o#!TfDP*X%Rpg?qs@~yy>RE#X7ziY-o0_#&v zAqx@1y#U5}&5af1*S~Lr3 zzetNXQNcvxD`zvuOepsI=?x4^rm*zPQ9%(&Nd z!1#C>#%$o%ygoxy^CCE^*InYYM@7^`nb1sHDY-5bCWqC*W&(ZCd9PHo^SYNpe<*{W z$SPJ-^Mld4u7?Amf!SumG$X93D-jl65Q5n4(rPlMWfT+qR<^|cc&ct{;t88YUR?$q zEEHsH eNd zUNSz(@}r3#&va@#G+OjjeR;mrwIN#~2Oqn9&%D2`Ugg z?BCzMx_>}KBTan^i8=k=1jStuk~DkB6dZPx;jb&SdOmt|*s1ujNkY$z5=IB@1w4&c z3E!-Y>H;`F0~$0{`Vtp%Yar)qtq*zx&i9@EbAA`HfpjTDXimW>K4?KOmOR_P{_WMr z{jZ39{r2DXA*`r`M<6@;$h1TJ=olOD+pFJi(U$PzulyfM+BZ*F)ie6V`vlBkVGyuI z0W$N6XBUf?NyikbjxU6M#u7*wS7O*2;016cHlsXW?6#;rvbeSutRaY_h49Hi6P>NN z6&W1x!?+b)K6?IMm>c}K74<%@M!oe@UOtOinGxQKd8?mT{5yqtSlOB!I6$*(v6m(UlDN1!Ttx}IhUy3ZL4TC zA6mmg_G+7IwG>bWyI!LHo$^*;mu;3CFY6H~jQbCsT+5=;P>;K&u1L8wt0;$s3=8r5M^qX3i2S+=4>5W2sbZ2`?j z1V8L~Aqm%WmfnO4rHn&42kNw^ISIX4^ z+h&6WR7pkwam=XrTv4GJ4)>;6LO1U626GtR;0tPAiF077@aC`*IKM42V|I*Y&CckVT&5 zfYzxrJ8|V=-p}IGAw1o>o=f9$IGB2oOLFn?STSmn?yVCKW@H;5Tym-_0?@-@=qK)J zRo28EbrFR47C|rV2|~BY`8FP)U7b#MHSNyF{5W)dT5fFsEQcOlZRJr}aU>R#{ru(b z7H%qz_T9YA~CKQ{F`6)J-P;|cGC^pdT z7)0(G^PQ{AkWC|RAlN|LrU>jn3pEvOQ}Q|TQS9se{q5buGsH>4zVWkZ2{ZyOcn2=gUfr1rYgksNMq>_gp-Vdj=^%+}Pbn0C!P>G+|xi$JmFz>zD zd~k^b*Z^2%1AP&vd!57Y~XO;=*>dMwHa?r?A`FV*9_t7CM!Wb#nkdW=^co6ph%@1q$#$9w*yWU@ML*n zNySlSo%GO^@0?6fkkoRMz@)h`Z6}5@xBju?;Nd@5y9Mmq376|~O{Aedqay2UpnTb1T5g|Rlf&?R1 zGhyhX`km@=ZACJJ%e8^al^-^4%~CzSC%PfUpzHuyE!4iN#Wm6Fz;~s%w6tc5R=MDU zb1Bjhmy(rF%2%W!nLde^4(>^{ER-c)uU4B4uy3g0Lkz*PcXVKae{sh&`SO%C%Y)!5 zD#Ju<6I90S6<__Ud9KSLU3`V*k>;;XS^Mss4FFa=JPi&H2&;rMJ(-c|3BN5?_*HAsM+g3`3 zabXAq{0O_y9;)m@Ws>d!&vR5Qy;T`s20`b-KoVzIszUI?49g|eK~R;S%j?HU@{@`s zW)h>ufB1P@0a(TIrAgCURZ2+qqLidrL_$#IxbA>5YIBNN93eTGwPE|9tMF-INtOD8 zp@T|AUjwK#rf$*ab#Vi{ER!h$vo!JLMtwesEANQlL1yhTyH@!uJ0`(o2nZ6>T|8fT z-i%mVWi0i1{WHWTX3|V;(=AGU%Gx3!$gS*If08OPslz+Jo?F@F#}Jzo!_5FItDS4Q zWnC>*b6EBxjnF32J%~V=qdQ`x5qiSTE@y;ogLA>U*gjaja;X1OH5c{D6>9hh3=RlC zz~Cf&jk&EPKKp!g2x^E9A_wm=(qQ|eUvqfML8##hjeH&lBd!|6^i4^|Doc_E|49yo z2(@&~)?ZBs@t_FzccmTRw3XBm=87*^KfKdPz|m&>C>B|!JPucuDUXAmWs25)AOq5K zFowtWu?9>Ffn^I8%kc0TRYp0{f8Jled;Jd(ngi#VnTaY1J^y+XLb%SFyEa@EW=|3(S^`b5w>GFDU+@Tym;~Oser%(>Kg1~*JAZo6) z`}|LLFV2_O(y%tsmb@SUHH)N1l04{Wgo3QYNC9WNt5fLs2<-*+KC!oC_tPn|qzSKH zvE4B{NdSJ_4|+(~go-xOIhEf8s`gmSv)RTIM&YL1;RaQRb5d+wdw}KH{xjci zU!Xi;=I?2b^Fdux)S#7kD-pQ41Ug@tdNQujQY+!@H@8@IG!gPy=~qM<%sdpJ?Gn|a zqOZyn<(TX@eS3FTwxGtPLZLY&4470A0MiFd9jz*|O7}@3% zU}(%J7cC-TEu_>>sU8(lCLlK`E6nNK-OQ~!CY)r9ynL@8?Ebe|eK%=F!zIk}BJ80e zE*#m|9WG@`X%hfAvP&sShmN|Xtn4qt@PY@STP0y;x;=47SA{Crc+?xCa+0%z-I^$8 zFpb?A6cUm>pPNq6Q0|5Jh>ihy7>I@Hu$&hhiV@Pv!T0<(FcwW&lk2Rapso$e)FB#5 z&b);wsl`9HI1G{xKr)oTi*v-P$jGt)KD_l#FDCb-AM3UnC!Db{4%(j|2o$E%l){sW zE|Ne+@0n&Xp;%H^^BI3|V8j%ZS3LNN;Uif_M{P(owcO?19ygxEjg``57pv>Dt0gEk z!UD7~&t~`caKK#*hmp$pZqLz|&mIA!Vmp(CQ}o0IN@qk84D9+G%Ov81K4vNM-AnNR z`y)hyKUx`ZL2}p$f?l8!9kodp>&$J_F+-_6C}kdZ3A(c?Wu8=%hhv)1b~|WDCVxl%n8_*l`{_GBEH!esdi1i&XZcudX!D33^M^QDhx* z)*}xBc(p!XG8P1m#z;sHT~Qw1y~ZJU_whZ-2krOtq6}}qAG(|<7<{znFvg{!FgMbD z>2MU&roh@jokQBc_1(iyZ?=bD(QFT6XES`a{`4_i!kG9T=KWE?B{v8jBgX4`Nzhek zH(gz2&?eIm%PG1{q!2tTQ5SOntTN41!s^GYu@zGX=gSwHg!u9SyCnn%*$jGi21F_> z$4IemF69&S!;$ShTWO$~nZxBPh-=Or%)=)iZa=*K{7+OodUHFtd-b8Ge^ZNq@L+?6 zJtOVLj!^NvQpIcB5h)snP*F;3uI3}7=z4)vlImg1C+X`sQ=rY!<#dQ_FFkI7=cN}* zhL?=NceFcyc8R#>y>zn{sA(C*8t|!l%x|KrNV}E>v2lt-5~VvRAfu_b0rPVGAXTUl z)*CD--9H=7lL4s0kqISLjwK2Z=q=Vevo@~LZZV!`jkA)a?wXdH4H2Ufq4%-`&2wy+d=<+xw&Ye}4G(!~L&BMZUWKfClW}d~c1~d*A>1@yqv| zkF3t`zv=x2Q=k5OGVj&>>v#X%`_FH_>EQ;wZ}3Yt{Q2(o_jjMZ+kzwF-SlVxbW-(I11t1_$i!we!X76(1J%xgr1lBpm@THwu^+s0ard+ zpA(`~L6{UCTitUWYt2rJoq2V*y-k{p1BokZO@EllH@h=gH*NIDrNc>(+P1@vv`)S51VRHo|CCS%7=!!s z+wEq21RZA%m6B_w$o7xyNM46K1ir|Az(G&wnxac3M?a)qS*Ue-s{aSqaY8X-qM&EI z*uWaN?9tXkz0vgAUNj~$D)do?X9_k{4}a9c-ZEjnmv*-DAP%SWpYBr5texsiS=xfe z$OcFqzQ3COoe3%)&WQbil-R#O*X1q#m900-B!jLZq1tdr|4K_H$pB4wdA&EwgTqUc z*IUQoLq1@&d7PDBg38tUl)PuEAJQ1HE}80FvLq$@YWlrMh#nAU-EDh74TR&B90zJ1z^e{Q~;(N zLIq%oq5|BWps^&bHqX6IPH5*GJ)+qjiM1@-b-Gd0IXNS0*&moM3s0udhG7fq(7elW zu1siQF#?7K!;vWr*_boG$_zcCVg!!VUIV+T=;gz{)FDQaDEOGV0rOK9K4Z{n=swoL zdcSvvy*KAUh#>oK^W9{K>d=rk=Jr)*Z*=8~*@Q|2U|R-?V!cv4uf`P8@Ob2`s*95Q z7CJ0WA9%`UcD~(#Y}HeXhHlbDV2j8B?BKwtE2BmL6(%d*NOfxN&(5En!T!8}%J|G2 zo#I|}y+#|mokE#H|K3z>Nd|o1+QYJKG}_>Y9mZtC(^CMnweu_s-|RQn3}k{y{10tn zR0U=##@*9zd+@O_$sesNz&vo}DXo$GgZsa)wpS~baJ|Fezd}I*E~sS38Z)MO=VmST zC-Wc>-=|S{1dW>)M_*Vtok3&$l?sMuS6FZfRk4#}umpqW7Mqh3RT0YMAY!lWuzBs< z>Ks`xvb(HQRjRV&1G>p-{&+w{kW)u8?u$Mefa^do!2?!|91a3Bm9 z!cCk475r$NGxHU3_BTh0>Ih@%j!JOZ}y}SLd zFEH%?DISXJ1+*yUmOw#(KP(V(%mf)~2PR;0*U%BP_hq8ILX{Ay51N5nSPut^IAGmF z61!ypm)DpGOwu$Z$TO?_7RvlkNG$;#IU5{;4dM&U@H$a2GkP`Q`MqpGda>{q^^-9~ zfp%wuqc3b+z&aZ6`TXtaU*+$o|EobEjhJF~`}OtvFZb_$zpbZ;T9#RuRZBE0+%uY9 z>7ag6&5whB(7mJro$0Fu7q+dxsAo+pHYZe%ejw>K*7z1&2J`hLZw;F|F zcruIns^nY1Ef9nWH@O(qw^A>x5g>|YrUa<%mD( zAYRM=z~H|QPk|xBe_-9h#^AO{qbMlVLDxkfPc2r=1oh$)sWV~8=Hbz7)V258Va7|4 zyhM|U_-peT-C8kPUMBpEAzZCaiBfd?*tnj%dW$jwik2v65@fuWz{E=y|wI;}8$Mr@Kp}ySX;~Q2hmX~Jg8y=fs;a;WmPmILB~vnHHp<$_UrQt zbaOz;XJm?gUvw!u-@*F85jyekisfyJ+#aD0O-AHYj=)IaFJVzA`-@D!;I0B#t+1wx zi=rE#vwRf05>Q=lw5p7pHc@FF^< zDH*}=GT=eDF8700(;5{5cK93pWhwj(>?wy^B^l_jc#{l$QQN|F<`akhE;+f(%X z6{8rqI%FxC#2ZAv2KCOUA|$}cK*QD13K`euDJ84EIW|5Wo;|8tXgkHMcZiMU{OSrF z7g_i|b5A^U{thK~Ko$!i#Lu7#K_M=?pmpm%o2_{cxA)qAt0F>n1u>P*$@Ey1`+LCmKOcB4_D|hFnq7tQN7KwO4sc6Ke>?N9tu7IUGbaohrB-#J zE%d46Xu1D1$UT!ZkfXyXyni4a!f)(sD3eG{=;)Vor*VKF2DePe;7Gc} zgljIC%h|F#yqH8_;139m(9+BHE-h3zuS2q$34iR_$KtL4^#H35htxH6#GC6=loSEf zsg731=_L!x!6U(yMiN_~{@~U6XZ}QKlB4c1f8FW~d1uNO9c7PUnhqsbqY#{qR+KTB z+9{QytaTmEuXE?T8b&@~E641-d4G`lIDnBGc{v>|{v4SQ_z+q$BWr-)p&mMQfe>Z> z_4uv+hfkY#ZQhr*X>>yd=UR3xcQ>U&;HU;*lPD}Ieo6}(d`*Ld$?SNQvm>eV_E-mD>D#nfdP zSft4l_b7!M5C^N<0qG6E{q9ikoimX8BYE1Aop_B-^+vW5G=uyE*(OwjXH-uUQ|kF$ zz?qhI)le#VwQFAMbwAMUPswTCEH|DB14YPxPpM|qm0F8pw}+`_1dyO=t2|k|+q>7F zJ_N5PYM+RzobUA66$C7pp63ogQc$Nzi|r`|r4!|4h}1`+wjO|nPcE-;IAEw}fS;oy z!}I~&_wf^;!S5cvL43^PgiP3x&b)|SX^Br8lKZ*t$+l2!S%bgi8CtUmc zs`78WH^OP}qv(ef`#`^>jBN;vL7HUNF8dzVJ|i#AOioyE=WvHWJ%LEks0%vbir|Lm z^g2e^h3+x`p85>f$(cUG)UMg`hy51O(9|BL8E0q2JrFdLBeTuwz=PLmG-KW`u9r+V zAJ)n;!v7ex)bs%dqm$UnAv>v>I3%{6J>>f81$&z&8c8&-yc9m*5Hd+`5_~vTfBG0) z`ldG+Ji%E7hDok&W)+aLXTG9qiS{DUor1T=oId>FnwcolqY;bEKxlTR86 zW~$KKWmusi&8^{sD3)FXm46_V;kTBZqaMkaJ37uQz#g`Ve8_|Bj4{Y&!iKy;|3g(u z8+tauj-Ma*FM*WrGN(A)oIGkVamt{NDJXmSfQG|gKJTT#WvqCV@VeU{Lp%FM4H$EV`)epk1jK6qN3T)Ga{EE_Cej zQ#TvL5FX>;10r04bNKE*m{E)i z;OwsA4F(=*jw)VyEr0uYGL(6R3-iVi88bvm&M#;so*sRE5abqr;6Xm#{_#DWthXN@ zz6Y=zHFZDS?tl3L#I5Pj`tIsk#Ce7?-7(+`RvDHh3!d*x4;EvbW+A9eG>Pp?N9XmQ zm@cl1CgKvxnjlJGB>h!>|9-!}e~V@d`QJ_P_W*z6Bj0=@KLkjW{&x7n|1iY)ZO;L~R8Gw@sAKD|`cR4~X>1wsy^9JePn3DZHz$e2eh&@j~A%7>IkiYo}zXdF; z9&dne`Jev3I)3Am+bTRhu|3-R7jK{J1;ERzm(*a0%i@#kPrfC8!}KBoAuKbAKsY}o z8&xt}15K97Ia4N0Or|-0%7Tatyg96F?XygC}p^{r>L$-KUTHx36A5e7ZBe*2=zh zVU5HhW(ozof=bN1B~}@W46?>cHlflR>MYKXG)%aJq*BmX5}#7-OFJc$eSv5q60$#) zQZ$jic+^lSl5J_$h+aakD&nqgMN@AL-v#_9-}?mC%ZcM{8G=APdd<6;ZRhK&U0qEr zC+S;L^%7l*Uv#kuhInzkVtSV|C}0vXD1rn{T%btg1vkQgK7bq<^i9kdNT1boMTlj2 zq=NsXf>|5V+a-3fv$Kn{C7_*nJlK4+%KC~s0e}IEtU4yD$v~Yxsgt+B2<);Kn>RCG;q1cVw0bQgk3>FV~Fxu?H}{ zQI;e3`7pP2I+Eg^c_kS39V&N2nT2;GQme8|rBsZGQdmJMJhWsC2aM1>XT&WRF5y^I%>vLks)%vZGxUs`4(I@wy~g}%ip>>);e5X)?D-nm=?Kl zoSeeSM7>#LhT-`Fqw7x`j35H~*IRAtq`D|d7rcG-<^98bAls3fdDsNjPDTC< zZ&5aMc6|dlvzELPH($`OnxG*FC<;+()390tg@#p*E3_>!KQ|f|2%gug>&-}O*eF++ zH=_#hlm$lj$j5@v;92W6-#5qO(aC5yM%O(k49DZ!?-1t`foUriUW}1%?BNNFuurKO4SiNKnY`1@4i@2;I=O~AL+0qF27LN3h`NDP`sEX%vm^n2v+ z+`dUN)WX7|7{zR1WeSefg_qVr;hCb~mEd7Epd^e2 zQ+I7NP;t?i8KRLdXZ1Y^aZvVy_mjqDX(cEE-x}r=L}L<+Nqq&^FL&2agn|G|Zko=} z_cr@z%4Zo#ByCjF^CSTut&G(>NzbIUe`CMCp8OMK(ZhTGN2Wg6e6-u%2(7mzv^XO7nB=-GXhk;(o1RIh$Fr zSJlJvg0JQXef$2^{cn;#_{Xbv4=lTKYtkF>59r?dq}0x%F%p%5Wg8I{2BF2v+o2xH zpox+1K%J=6`-Evw%kxv{AX)kP(*lChOcFH6INXo;{z-cYqqb8AvfIdt_$&gvdoF;EzCodKlwpB@*~oSb zZ-TpdHt5h=+5@@P(i*2K1_DEM^ycX6xBqo7mkd(Owpm&xb9z7q&wxKI(mrxLlM+Qy- zcs49h!~o@Nhs&^IjVXp!vDC{DszYihTP-LnAU>X%oJrt~@!kX(mCqB3EFUzDMHL|5 zTBHgKQQkpr>snz{6kgn%Ds=@F3*}WeaDlmFf#-z}=$GY0%H*KCz!|l=#+kfX!Qc^3 z9{#~v`nRdcpdmeYK+pwRz7iofGofNW3d_F8Ah@%C+&MCx5S<08#35oc^bsMKpN*lA zbOq@!_>5KU)yD~rfgL*sBzMK|>5{dyWX8#X8IZUKXB@f*f`tqOrV<4D4qDr>?rN&F zqw0zTW$6TtzZS|ojMYQBJo5j82e~dzf^&Gu>Xuz2?ntYS>shey zt{fB|B5-ziN9~bP>Z?|`RyqfjyToGlC$m7^Sk*%=C&g=2%r@6Ih$TbI5}y-~R&~f9 zzA`}`-3=Qv`>D01<&bN`dM02I1W;C2#?fGSIOPa)qLm80k$W9|($49WjNF)QO_Nt~ zrAIQ-lhV7wK+mt>#3j3T1pLIf3lZ@9{ljlxKKugQBKJy5MOn9Y9AfF(E~JNgFV>MZ z%juh6q53_N|5l!eNNki@#f!5Q%)`~iYD;kH&w85L6hU?a06|)yF5y{9H9kkzKQnQ5 z7r@=~Ux~Axtm!no@L~^`XN*2+fM4M5b zF9$fxaJuZ6CmY&n9zrJt=V0wr(|pA?>`%ZtME?TrF%{Qnk$_xzYUs`Aq}QO_QZQ#* z-WBooh7`KOoDC5u6cQL}9RdDkbp-I$8b=s}+(FOh4Jd|;KMv^3kk&1|`UlHMMy+(X zNGlHL&T!43C_?jOwVHy%!ad0UM5_)(;TH)jVRPufDIlh^A>Xpf1lcZUegYN`93FMur9Z3Ge#?pHs9NUT(7SzzXe_r zMtP0qT|G|tb7fRl!qV5Y>54S&Hq>#7!bs0jFdGGd16`E>;nCy8xiG=t!LA^JTw-l-)KHjtzN!*t)ReN?|Z?w zM^9f6*a9nihUS)TgPGUZg>@VkB99_rnhGSKKV2de*Gqwr4=0vve;DB%0qKIK zX8B;nfISCjpfvT!jtoy(4#^ANeth-I`&*=Z{NuO(-UG+>`OAZ7@6xxF{{-&K^B%5N z_-;PVo97^20Q9zqghAdCsh8#tr6c~Zm2r7q6Z~zcIOTo<6VIZ&qY&lAJLu5?i&M@p zrLO0@50U%h|IgT)Ft>4JYohuoc%FzG)U@nIVIjb|(>;NWBHLVGfRxxhF%LmWl+9^v zmy7MbZ{B~O?>kFwl||a!qNvJ5p(^v_S-*46b|ctBKu(w}9bM9$b4+f7S$TN7FkKn} zB}-0DS|AF}i{S5W7l;B}ge#T#9G~1lQM-wI`_x$b<^jb=^x=&$P)| zGdPkiPp4}*^Pow(*OF~k6Yz}H=0kF=DLSkzAZj<2@T8hgz$6S74Pjh@=dp}8Xf@VO z%xWKK<5(!|yWO|PyPH9;$P?J1;`EpM2Pu{BZ(}BN;KfMwOO&>w83Lx(kXFtMJS}+Q zWCrp}fQg0wGS>$Esvok}C-jI(8TGz|S7Ps$V4b_ZDwq;fAK2}FN}9S{E{1@X@uw7X z<}dKI1;H%Ab31u7iuoVU-|7PI<6&O4mR4`Mhgi4L>5T;K%L^nNrW^nzSnzuw|j?xm|pGeeRABM@VPr*-HQbr~r-*g_atI09jbsbm731(Q&!JDd=owO^1)D)BfHfi7r)aTDP z4*BMAgS%6AtS}>fc_9kvPVJDAwrn`5wFz<%#7W?}lJpc4n%H&~FU3`?72q4!$JE0i zQXweceSIl%b$E_U^Uf~jnlicyOZZMc08>qP)9b4%)K2b-0DyU+t?bED?t7WC|NN8* zrl_}E9GNGx#GOUHvjMyDG~Sw(oPmntGFcWQIF{vu=gjaUD7c_SIPaPlU9y{_(>=3?#lh7lcA$x3!{e~Y#Sr);&P0(m<*7 z2*fi0%;f9Md68KFlc564L?Po(iM^6Yv5^=odU#}+@#B2wIgK=Ypo>PV5Z?efg);wq zxuM^rtQM&ZTdPI-?{TYDtB!sHz6B~gsfm$vOT_+m9JeJXURzx}Gr@PUW18-vOC@cX zcXYadzZ35Zi>iV1l6rVh?4o{)_Ks@pHnD6AtoMZ37&q#hy9Xuy!Z4J8lybxZ%E{MO z4Qp@K`vA)Bo8vA0U3vVhJuYvhuqxEDrTUc>;E**DOQ#|#=$NjFir}z@RP7KIu7har zxpbuvibLYz-R^+^YqZ1q(1V5O4IpCgPkJez@liryl>!EO63BX(b|4AOfNlE9pjn{u z{Elg|K<+gv?I<$AVc^4=&nU1!l2hnkuI5mVanLiP$|ub7iz5&IlGc@`%(b3>PPZMO z_A^c2BQu?@(B>gWmnV5aae^{gl7zdo;y$pGtaCF%4omn>P<@dbwubx+)jlgLY3*J{ z-tNU)y^QvfRxhTE&Kt>u4G_)D%EkGhwU=FsVuKHB=0wKHSyINItv+79L2H)0#)2K) zsMy4$I!~rcZZ8l>Dfkq;pw-bQ{ZeH~DA*7eySL0XLHkx?D+$nIXg~{*lmqFvNA6kZ z&jehT6x_1JT4W(W>%pB%%4HC{#CPX#y|SsxtG^VHJq#t zVTp+$jW;1*N=JN?YU8p$tZV>7bh*u%))#igY}J7%qx z4qak=Df4o@QJBNj@EBs%AZ&w1Sfd2sNduEs2b>*`H*j<)i%*NOP(0=}Y}<2`ii0uP zNKyBqu0xeWkUsbl+rokql5H~J5)v#0ut6<22QH-}P9!OC zDU1u9gzwAU?284&ij$TccGcjLix%kpsh_IP=56jr%1R^b@6S-R48@=zPPl4Xbj zkDgMUi`$TCu-l!lVQ!+RHxq&a@y?nim;=p0@B-vl%e_lK64Wq4SVRj`XS8}fU5hYP zEuSMx?F^+|+e#S9u-bfQ*}`4xw@bTzJVJ-Gi} zAlAAhjf@G=KI1pS??}xpswo3$oSv9_iycgicrK+?sa-4fHW|v2l6w7ITwGml9O2r!uG2;Eh(tzv8)iypA~}J)OhqPhy6fbe$)wU!Oj*%1bYS}W z{+cot#BsKQ#(%gLGhj&xfY0&)U@;}WOQf5#V_(GONE30#F7>DG=LL(EG)DKAl>_C1 z7UMWCrgmCXltAIMMov3siB$~iUK!Q3R0b{eIx_&jTRNFK-<~Ffk0)!@h(U)}k|=cz zEE+7V1uZ%KO~YW-A?LBx&(g2z15#BSsP^O?%OQQiXzeLDFTvJ<1rzq9{yXyF(!6TK zf0rB^euY{Oi{r%t6;yFJf+~;?=(p=(YWf<4*s_TS9Q`f}s?w3TD;F^>%qp@omjepn zkGD6UKD=^Kytns=oGIwg4`>&r;`!ftGKEP4WMD6QfBkE6MfNTC(j-`EvyR5P8X{FTFsx1QE0)$d&0;vIOKcoWl`RrviBz zr-@FV5%f@~U(WYqJcib6IiC^CenZc^-x>UdFBc}Jk0@14f-o^@BQhm2`Tk0{*Xpe- z(dw;^#Ezqt0eL_0Cvv@VSP04Zw4t#Py;Oa2BoFO;%k1g!;I<;PyQhsSq7C3m!@vy#Pxq{&f)|#e=7IvR>O&e)JZQEt(8Plg|3p}69suvKy`VGOuZRH3VFTG@`f}Wvg@`aU}fpS zE)Oo89$-yGKL=y3KL|!aqBW)$OD!iE1B=5#s;kK!2WNM6?&`PO1Rb+v-?>`i9Xvu< z8#gmh89^;4+oQgA!a`3hpv}<}D=HI={vR)|$Q0mXbT%e7v{Url&rMM6fi>Ud3 zbb7i)#He$~pQJKD-%eQsEiz@Kw#JjgA$nOIaHZvW+(0^{0cGeYGp%bw{kk<-d15^$@#VxM|@ha?UNI?f}Wb9Pi&6MzBqXI)t6%75CD$&d0+Dd zw}XAW@_tU?vhPFdGx9ot;b=&0UqfoG&Y?id;P_`I7KD7G!LAbb%5PE)oLXV_t}48E zzS`OVqZqTDMKGFuQQfV4PY`MFUdbuN;W1E?R1_AFn|r%4g8$3gh0uRemBJ*V#?1l+ z3S&ql?Gv-~*3^uL$n*D81@jz>q(4YrA=5%48k%T9Z>q{HEoz$$>23LTfx@*j9S3^I z-z-g;Nsv6Pk&4u5&*2I=s%0<@se*l`3OZKGxmVh$#@~1lA2jSwf1*kqIj-{E-H)rk z8#T;mN5jkG0s2tZUmaD+$msbN-h&Y`CXwoKzTRW;h}rhE_v_bB*AIer`0mTsZ{JZE zxVB_0?O@6$V6yu0647HXvwFB((8_?%>v(VMd{17hw>(k_xdf1`cYUpJ#4>aEz zsnC3Tj?%my?qR4Z$D+e5yFoFrgqM7ItckSfc_>V2u|UVQL%b9mrq)LaXVesNsmkR^ zg|YFDDG3RXUm}66rSYom%n|(vc~Y|v2=@3OSSA+o zgj?`9WF4`i0=cE1?re^%yROhE6u&`}aP@2Y{A=lzea`_UkJS(W^feQ65(t!Pnb3)8rj4@z&A2xLyG*?h`07NC6eGG%k<;ya zS)h^y?l-}W&t0t*ui8gu9TCuKk_X(yA!|8iuKG>FBYqr%$_x2G7Oiw4^%AXNaIvOL6h+@wtZC|mVA9Lk z+hqIOgLYEsO~aR`JOqePQ4VC2$}# zzb3ew=L@S5nJe5^JTpPiBF)0jd|(RcpvkZ{rcWY35zv=Kwgw>rq`Rihj`{3zx#JH& ziG$GSnoyA)om07vqFRu_FQO8GVuo+ed|57y@h3FM7@K7al2h+6m5R?I?IRdsdVphll6p4><$ zRK&RkdR^N=MPH|CDseZP*x3)Z7hUUN8+b#y*6n&_^~)K2@|spe+;i>)3JR0gWX;b3 zzOy_txKuwgq6A2#5L+4w?bUI34IJTYpzY z*>1ACfUZ)70eg7@Z*%Y}c1U$yt3}q@;mFl`ex6CC}4;}-?OZ2dA72ZtK+x z5FD1c{N< zN7GV&aLznk>Cc(GDVD$)tsoe^Oc&FK7sJ>cTtoql8Ip>+pRB~3h^$6r(m|a; zOsAlQwK`+3ti#h3M37xqqBI&uWRw;e0`*C-GJ`B)n`0O=A93zj8yD`V65FA#)|Mm# zzt*s?$vnBs4mB^(ESiX7)(UJKeq99od&rD+K0UC6TeGrp8+eSwkp})pr(zJKQ~3;g zexDzTdkKLn>(zp+s2;>D?4;G3^OM=d@yTlM0i_fXM3%lK{QV^qb4HTU!$d;K?jzwr zhed=Pzk_5|Z714$C}9cv?CNy&8cddTy=HGVsGm}l?V4Tu{`uzT>*;DNXXU9mNSk_o z(r~~@5Gl073C-Y-K$F?k3PtAQN{=a(B0iE=T64nJ0i`;os4W&STv)<3sX3?a(XqHk z#}gMYqgGuf9@9~*>69s|qe(cX7Bw*bxH<#qIN{R;`;=jQyeLc>FO&myY)03Bp-`G~ zpcE#>xUROI$-*ZK69+Ko%pw{lmU0a;i;|QuiNrveH$$k6hUvj0qOxU_&_L^o{*$#q%RgFgI=W-jsmtX8Rh9AGL7 z-`==Yf@XHMJ%-M_L@o!LGAA2KggpvDqoWwlJk0FvZ`a=d+It)hAbu0jNAf$TH2cW~ zoelU0Y9rz3rJt+MeMxr@Cu{6L*&bYVr{Q#wbH~e-<5f)1^F_uwd-Ga+T?O@kZX}ow zE6Eedqb5>}C~?#9Mr9@>6;>)UF`o_pfx0-24`adw>~eh$6$0UF6&diBNvxt(8d`{<|oYM@oe+l$#xqGC1VciSWR*boOiG zr-MFs3N25~v+}ZTI61apM1!!y4r_!b+(S_ix+c;JL^qkMFVN@fnG#Y2dZ7$@+9 zE}hVgsAm44L6Kd~v`Acb@gyWclB47K_<)oXzU zOufNa2o)EQ0&;c+56tOyF104rbTbEm^yiK4gW8zBneR|7?-B4k)oleKO4cRePO-Pc zlW$NMf+uK*!y(EsezNFmim380K{5@2>tS8gXN^;VP^9dG%X#9r?oNRB(2XvJ)fS$45dtOUeUuJTMfpJN%APg zVaEx%jDC;W;FEGgqqV$;?016Q8l)Iyw-2(51p%jH>3tekA&~h+mP83grz{@#pw(cWjO(yL7q2kyRWfGfg&{9di|jY zE{XJF!6KSuEXGnzjs>#-PA+acw+0p&Afz#tR9vr|hjNCV3GTLwO9ZtnRYKuQDkCg} zp=ugBi}4d~7;ZgS zommJ_O2iE%l;Z4_~C0!^t;pt(fGjsuH zZdQhPzhUKIZioB)0{#GXk<6xg0)HSIM7S9$@fi*X>S)r>YX@XIOr*gO5aiv*FZX6E zYXm7JV?Kjs6>Z-F8BflGjxUk6X28p>)^eHlf*K5BhZ$m-9{^G*$;K(xK)XS>Dr03h zfLnn#)9eqq9f8qoj+tog#vaAv5b`&(utw*M%CYO7%S|pMVZ~FRs+}R56noPTzDrX? z*dmLIMAMiMv9Uy9q%nq)8VCYrKQ#_v>tJ34?%Ix9A->84Aw$|(87FAOSrNUJjPYv= zzAn!Q%pi$P)VwB*c0$29sDD}vd6sdRN0%;2+R)dFw+@crtRSOv-0Wh(anmsp>$k7> zyN6(JOL^WW>tk5;j3_gOC(d^BliAsiP?daj9o@(MDW}N#I%CRk433Gb59*hKZ6nMg z8(*Rbaw8xem9IqjGA*^x|Dk{R;|sV4_LrJRu(!8&NmsgrT^09Q{nT_6_nWASivoRRKHutD1^2P$W+HHBZ+E?|7I03Zux zlhkL?EcJA_&IWnstFQMHk;1FG>{Qd$Jt58nsT94N|9uTWy|>5GTE{>>tXw@r{S(c0@6nX3;o%10 zy$lMNR1eT@tVM4Utu~w-OHC{oXChiea=Cs3k7V=_4y9lSaYeyCjOlu4IxZFWf~F)Z z*#4S(`18?RoCz!Dx@Wq>%N-Wu_S3`dm)$#?A>jZSQ-TyQioPGj2!BDFEQIHe5o@(g z#akpU?vM;PwQ-6_VWzXeFu_j_$Wjz-Bn%P(4{}k|?oPA}i?&D#kHDF+tmIuF5#g@* zTRcMi;Rz8G*irp&LOrw)*LFxSK=Zz~ndsp_d&dbs zZ>Z+=diQ3vVaX@F*q|@xEyx9JF{eosprFciSw8aW)@XLF>7|slSRgY*ErIEYTD{z?Rzziovb_eYRVG+S#lJ~A~AQ{gUV(S-eYUkFp>N7 z&FA-@zwPd>KM(Myr~mYY$O1NR;c!jAC$$T?%CI0fMZXQSviHaiBMIwgoJ?3h*U<$L zY+m{;!l{qBu5it=aH-m@V9H;j52BpqUYvlJXxGvS#HN-PRr`PLP63(`gJ zu51t;OK9s$Ytgcs)L6`}<(f-6wqN~y?i=rnS1f&^M1H)g2eL`B=$ zwgiQgj4&SCSR7*+TPP<-mU|y6==T+yP3@iack_(F@bdNH$~tsR)Fp9p52X~vq+Fec zuj!gl`J&FL_En&D<>sPDySp{|p8F%oem9QqQag(XoFNlugMeIA2H9Q(mf7hVOwNrh z`L|wlKAsQpfhhl66*9)t7wM(xLcz3%Hms@zZfT*>%$Atmw%2&c$W5XKOL_UTv`U2+ zEJOUmbx5TEI_5>DB5Pu`L6Gryd%-Rge`J=wYR6o8=iTlkRfx6r*swX#;$!>;CynZi z;gfw~5LPj&Oo%pcob4q9s=@vGiRQ&1(3;4>Ku!E~3=qj!g^+5ql!mBN-rf9sdkzgkhZom9|y!mMl)zdaVec4?Q zBxN6Y`R_Uvb->s7;N9)RziQK7^}?;~11r&dJMa30M8=v#qA^txv4%1JfNCgS2ZKp( zfcC)Kf8Af)zW=A#9gxIuf}#DL$Ad|gDDUn_F40nh2CTG%6@w1|-ld}%zES^vZ5T*g zT19!C^YsuiB;x>IqMZUHm4CNc(Z!%qm)E7tlcz%94ISswO1na7*`Nw(Sq1p1QESe5 zsO9;pf_)|Fp89eqBE5R95cTT$Lewktq$A_EU zZjZP-xi0xI=JYGmhWAY^XIzn+8P#@E_hm>&Y_{=meaqm-(rHQRM6And4SbCLi<1boB#7 z2T38HmS=3Ql;$do<-821y;mme}?D(GICG~*?g$NKr55DkP#_TFXGtLi#X!4uaYpeI4&^Hn^vsug_-wDu2^uz4B9&!pup*a%2$r<{PM1HtCShCs!1(8;3 zBThf9aiJdLGC^7e&31$~8)tx$p@T5Wn8Ub+qzxC)N(9Np?}fr9O>Y8S8A-%hew(Ys zQLlzIh=N|qo!~Hr2L*>hy3qtVzlQ#9&=4wjIPwbh2_n+fwJ=iI-}W5cUMj?R%4YXB zR3ca|Vxh-!pmNXF6uTw00X7y!`cBxT!^49^v1b?T=@hKm#sQk*GJx$Q@Df)Gi&3S5 zh~z+==WGi_F1`OM2@P)dAx?ztIjkv>r02ofDRGv&y#%& z`oFWN0GB}T1)$~Js;@$F+pZUKADA91gtTal_zqS+DVs=F>ciLFyBi>a(ej9%LitS| zAqg$%?hGd3G+N4b7Q02ghG>*p%K%QmOACF<>zf-X7)MX_myu08#SGm%+hDa_}Bl7V!Y*-)dr^7YU6@H4<~f)?Q~d;g=?@BKIYi?esv@BicR_U;A-%KOK= z``ce{_V{6Zilcb?RK*~WK^kqlqn6$v5|xEcN`;I17I}j&&Y>LI=;3UdM)pofT~#^U z;_@{0z>&0D#~2vOdUwfSr7bn9v-*%Kis#qrf|`8@K~?FqPM2B(e-Z1Hy0ZKXai*hGA<@m=&N(8Qd!D1jF|@&qnTM&S2GBmp7z-RPZvv8ia)|* z71t|IQ_j$b(5sGJhPXartt6}j99?H9+Kj-{vr}A#R3`FEL~|j%vkZ=CEF=SUF+*Y5 zM?D-!J_?{Cc(;)I5?4WcCUK!?7||IzTmEgwV)Ul4&}Ehi!_##TM5S&whH`yYX^^4U z!#flRK{53ZK$Mf#+ueR<(?{B3ffov!p-A9Ca`$Q~6SSlQc-Lv(1tJKIbb=jhoaxzy zEe+tlg6Fs0Hk7=}D}JLYEaf`>A|s8FIh^pQZ5@FhE>13&M9O=uF=X4FF}R)ZnQ};< zM|U>7sr%)ih4Vk`fgyVaO{>C)WRf=ZOwuM~lDd{|S_7ApM2O`~o~?V5K4qd9it5^@ zj&!a<<(c$g^VGl$ zu!^dChKO&o5ZQv`f%u|dZ4Q^h0mXl?Uk6N$>wu|o08D`%Bs*@_A9(^FI9^spx*RCX zl=6cL&er-q^J5gt!LNY{F+7_ORrpY4lCmPxwEO8AtQaJMLk>qFZS;P?;Je1XgSJsk zR^pd=Yy^Kp3?}r{9#lF?Lt?w_Mh)tz=F9q-VyS1boXLqe3=UcT2LUb%XFAX*qr~UQGgQKjoA zDqSV7wm+3<0O><5y!H9X+|2L1E*FrBcnc3gWkG5$$Q-or930J?r$b77ZH-*Pst3_H z0q_+KUfFX&8ojc<2k+%j*?i1bsxm_ss*r0?+=CNbfdLASFU%pxJ2@q=0ci&YJ|M!- zfoRzb`7#RUH8`=BxYf>ZBLHDkaP)`iabhN;o;k$wwVMF#H5j^YsTu4p2z=EFVWR-7 z=}QyX@WnxtApfZb(xF?Re%+U?HY%^8srs`a?13(fy5#Bu&5ub^x*`-}+;6$a4d}5y zein4KKi1HuRe3fG*^BHy>`_KkSGb*t_{1{(^|? z!ar}`KkUinS3PJiI0%E?^5U3I3-)Y7Z4UU-?YCXu;&XBxB|F>#m$YFDTI+p)f202s zN-BjgQ0EMP(`f8ig)=GCY$8VvMw3b$1iLba1wJmU(L}CA0m&}hIPe)MePYv|B+vcP z40TCRm!%_#LTDHwgtMUd+~42aJ#a0h+=bMx=|Qt2b8(<|pe*GDfh5hqLb@5VLlb8S z4za3(Pgt3vF3NaC@CUszzmu%&%NIZ2NZWE?BKg}M8kFCDF-I1fvi4mm6o4~*7Rqz* zQV2GHwI8pK6a~_odKzP3+H|V&TL8%(0F;(92FZ*sH^2P=ciYXE2mA#z!0yY<@4RIbzb2b9n*M<1x;G#2AefZ_ z`q4bspRE3oruC6$zh%(+$l!@@`TY3lA%C#b%ae=UQx7H|$sSBT^3;RLN3sW#k398Y z*B?G4s|DQ+eTI!|51UkG!caq1E}jQ-LakvJv+vaW?-x`O5%0npYwNKmXLX#i&b!)K zBRo{Z1I`YzMEgBZYdD>1rodC38rzl8><9=~6{w{mE}twe7^YMnKc2V@!O2V)@=Ha2 z91MF0D0k+??FFL<7ih$%_kmA`OqExm+a9`4a;l3FeGv^Sl>Han>&&p0YB69PLj^vY zqX^8%K%It(1o{6g)dDMtE11Ctq!^FRGq-xcWIlv((yWi zC)+QK(F$GF=P7wPr3Zv&d?{HUQJ?2cKNum2A0WM0Sjp)J)TNXqEfKDa#ZS9lZWr_2 z@nQ==%4%^zyx;Me;-D z{Y~RKgFjG!3-z~LV06W>o`~D~F+mMyuO+e&{CILmi~b$&)xAa~w>f-Rj&LDZX#;#MbYBy&NGGv`5>k>Fv@t3Jd+&`~sJNRu zm0hl*0m?Ux5CIxJMJv z!H6-z3zRpzJUc!-hW)Yw6L_=Z!ct-*XkbD8dQ2Z7eNU0j%Ff1^eiFDNyatPZ1)QgV zol$dwo*DA+j~{z~?jfA}?gqjTNJ9V)9cYL;rN1j~EinEKQC@yF6EAQvL5>qCM~Nx~ z+_<$3+NTpjmDe({5j@Z!t>ckCFJF# zDD+5R+S&T;sWCG0F>LK1R3280qJ~5b4)NX-1^mp{ODa=vLW@1+R%ol?uno z2((`wl{%cZy$@d>-+j7y+59j$nCu@6Q4D&zKNvRS$<3dJ{V8(uC@Q>mJ056#vSO17 z=eM-NjBg%PA*2T#>gGX!kVZdX)3`gdM2k1g^M!pdCoIRo5t7f)>K&Chnggh2{0Gbw z+Rld*?o@(MnhEB-HsgiB9a-Qf#(=;?UW53Lkz73bLlB^6u7WxKXi;& zw7#yHJbAetKC0O-J^}46d9;ONQRY)gXH8$MFdMS ziMv}{@i|DQO2L?YD;Pr|I&|P`*kHLDJH=%t$#Cikq&s3Mx0h>b^ynOj{bTkeV0f-- zX{9Uzf8baooqH){sNI&HFvQVElXMi?!G<=YAp%9nb6)@og{0KE@GEG*J^n5Us%-?| z)JlCg`ugMbr~8|bqKOsNo!D&7Rp>gnc-9Ou^*vg<4H6uJfGrSm$AG+cj|uTYCRAn! z+vZMsaH`ly9NFk@X|YagovcQ^6d*T!gWsfPS*%O00cccQLdE7rBWRv!EB(K@6S4Vm z(vVT=g&4JpnHKuLVqY!)3OQ*XcJC}PT8(o_mPTTg4&3kc1Q(z=(l2}HbP>~`?exwr z&Jn#s6*5Gf=xAmZGzkZ?)KZRF*`a0Zq?Ne4tetF*o*!CqdQzcGp?VNbrMzU5gQ8B( zFA$q18BnZeXeb-f+XYyhkucnR04NMl#uf)cA)@$ui_otZf7)Jxo;ldQuIlxAuF+Ce zR-qMV+C?#{Phom(h?{CgguEB@rp-zsSyiBWQ~ToO~;pdBuZ<6k89eoL{g86=WMA!TYe&m}JkT3HH1aDY?Aq z&WH4=_#0Sd53yf!HK=2Tz9D&z@e>tCXb)+AY86)IZPl)FkQRaV_{n@w@8~>7s<^Ti z>1IW6$7_O@yT_auS~G+gOpVOY;L;F6)qT+uxWiUSHAgOHx3n2@SJ&vLlvHh67MU|a zAFc@-6iD9Z-3=T>ndCGaMqaBS!Y9i6iU>M9#YEgC^zMe`uG&*)G6+nV6Fhm$n* z+cz-K5)Ri|1CQuORj@twlMsr!#L7tW6@SoX$U}sAo5!d?wp}z?7Av#c_5Jbu1#nLynrzYw94mzijXbmSP zM4;8P73J_-nxZ)x9Wb3^c7f`v7puYE^{aO;d;X8!^{d{yOvx2*zZ8}hO$nN``d2g! z1sW6IxWe4L2`Kl2R+2Yq`TFtW{msMIk9!onuTEE|^A#{@5#{dV;N_gqvl$Z8^p^)8 z;QoeVr>H`@9;Z_F?c(Q8)DiE~YC6ok|0J5>%ijO{m!8$fk$n{IPO)da8;m}YB>8~z zjKK4gVc{FXOklUmvJh~H4Zl2DbIqdjB1j z82$%H^8Pne7~b9Ofx3~Ik8(@U4PSyGzUpEAU;ffV1`jGWz`T{ueFLyr2JN4J`qL{U z6lloHGYKuZ_jK_i7encR-jbAQN$mqSFWLjTwAKYWl#!D4^1KCfV|6e;<0oO?+#||3 zs5_tx#kTmd>Fq1tS}pkK^;!~4h9x5;1d$Wxo~cJW9K=|F(JGrp?%zyW4KI!TL;ZQ) z*Ed17Vrp}Q6v$AJ70*X?LLNUT3I!#LtD-`%jU~hldAZiXrW%8_bZwk(R zL#1D`5Sxl~-^|SN4Ov3n7;=_43JVmX&}4vmmNr-Cn?g7w1G+PXnp7%h)RTk}CVbAb zYs4jD)-5Q9o~W)_LUmli7_|2XE3WEOC5JH71`Hlww*K$zOyUMHvS+#lN+qIP^X2wvwG0G;z!s9G&1*4<5nDAf-fSdh z1o@NDqpA70@#X@y@WnC8Ct+V$!lM{!(8w2bVf#N0*n2Fd=isLou_LTG9w6_@Sm4E( z!7mPhWOa-kx98|0v5PLh`TD)C5|_wUNvXGKLNNij%TZB2Y6W9W$;ZZI>Uq-TV9}5j zBqWt|-ldZi*sh;+HAlG_&qx^0IO1M%)Lo+r*PTDMMukpQ>fzOo0S85_3P#7SU-V>| zU0w}QffCpkWAja>mI6I9B2F~Xfw+d5{jW<#^M54fe-;%vgl(zb%634ckHiOy>$`XJ z?PiW&cc-i6F=A_~D@p*+>Z98#dv{Jazk=tiWB}H{QvwjM{2YTf3wOUnvDrO#Z14S9G?HiQGO#zJoO@LOD9E0?`h%RD`cU zn@h_IfJIw3m2}I%l|3#ei#;BqAUCfy#2WrszVdw*(Lu_)cEEfA!(j&8v^Eetz}K ztJ_!q`(^JM+TT0;p%(Z7e{S&SBmVr1KfmD5E&lv($QJa2Ihqk+te!o!mdor)Ab-8y zV`a!+z4BRkcbsGf<`MH|#4R~C!nx+sd_5>^37hT@nf(wI_mKX*&Dc!P{F8B&BBig( z^>xCvs8qDZ<#9~|?1#KWh=SRYgrc7s!+nVZ1XBQRfzT?N`Vk+MdbU>;gVuHgVc$9A z3s;*Uj{;L}c*dT2U6ve2wIL&>;xSvh2Izb1vm&Wum69_WdzY9+KE&e@S~RS zp>l?9o6$Rb=J>yZlyvR0Az32lH3H9)VfuL&H%gP1{Om1nD0w%hJ2|NMo$1f zH4}e+ae_>$&h7KzwheAa-#H z1@c8pmxZBTQuQHJJU;Hn=TI4*R0S0FC8^LO4pFCJp#A=%eyw;Y!;odYE8oPi7$D^C z0za%~WV8K6llEKp)(IL!*47F8&V-XFA2pWcCM`W+jJiF?RyQQA<|e5d7_)wHJ-0E+ zVa3N6UEXo3L*iXIq)!pqMXKUB*0p0)KkE9dSx#R6RLEpMnqyku2`L@g_8sv>8lp+u zQ8yNu9?{;C3V&n4$OUsNxt6a4Qlkl}lj&9}MrG*kaJigY(zxg@D&H(ZXNHFRQHa|> z4|@S0DD>18P~z=IJGSW0`AZAj1uyeg~} z*Q=7MXatHq5#WjZ;5pTF_+rn=<-6#iG5CbA8U}fA3xHbtJ1?9F9T4Iab-R5rOlh#1 zf^pb68&AL3LH4R10tsv31Vlq9o1-hiRhDzgqveV@yVNYCGQ9&Q?UPo~&R_5iZqEL_ zS|2AVcc&BrQ7<8kae&DN1(aXg9+sER+fQ{&K3=JS1bxBpukv;I!8!SL*oPg5W5_OE~+NY;N)VEaK@y%HD8&!GiXMwhS zjc&)n46Gfl2WDFk7(JFp=NG#)^~%-f7QbP zxD3`$8ZcnZgD`+$ocM!e*--pXM06Zqi7@Rc8ss9JvX&QL_top|&KC+|5?<8A{j) z(LH?q<$iA`7z=63i9!wd7YK_G;v<5|mTza9L%i_e@eWCR*kW>R*gTrGd~6#JNNTo& zh!!u0L-h4Z*nP(geU?NwbcfpxF4uWl$g6XX-lA3ejRQ|PZMuVKs&A4r-5OKE$xbTPJsPaC{Yf0$dX$J^ zR5|Wts9#uuen)~KN<`YI!yGB72|K9WyiSe*1)N`^9}EQao7rZzc@2jsb6AFF&=9+Q zD}!fTD}yKFgEs$DZFQD%Sk)wup!ma%D&X+DN%aVPLV!`+r9+t()B^_ z6Vud-tGblo=7;np^GEyn!q8I>7boeHC2dofioO9U%9bSP%CH4PIqbg|BQD_tc&bVFZl(j5tV`Lg%_{6)ZYL1*HfG@n4BTgge9^JzACwM20BhY1jQzYB@B|2z8o z-`{S~eH(3f@MX*(X8PF$YOw)RtIkR(l{NYJ@niDEgHb2^X!Hm0M-=1G+y*kw9CPtwOq{L>Ay_B3Bn;Ctl9c;zEvV{{{LR zB3~3mtFPbx6CHB>NQ(bczwZ=#b#ZRzdjNYz*{vR0JtUB9ay$5417QirIMtu(7fV1X zLRZNQ9tt&gy{|G(2OUuv`64VZL3J;zj;qf6dg%(KK{o?#|3E=Ehg{0g?-8m}whGSGT+A3v#yh#CBRT+%H9CO@B^Ap?No-%s@a5Ar%fk%k=N)&lXXxlTuyN2fQFJt&&@v4C z##@FB14FNjRk(R=ma=jU;CjtcL!;J`Ct2k;5-8=hoF1~nu2iVjm@i4|(_t|(BRRYz z=Vv38S8UJG7j$vjvb|umLXbW=?9badNuGP>1s$}@QkKvshr$WWAwzvzNE)2vIL6>4 z5lMdFws1{z)4=KmFqz*RZ;?tI)}u92S&#M{U5|){YdU)9_sz)@i<0Dj8!bt&+X%QBoHdtAQ>NH)7(nKDLVQrg3#1p+q4w!Yl zZ_=O7C;P0Q0zcc=FJB&Es3Wnd*K!52C9kSt;QpcAt0<+D- z@v`I)EuRwMScaB4Xk~8_EORibGtLxc#!T>;Dba5@Ve=QK=Kr z#r=SFj~3^ctX*ZnVtq6d*~3->?Blk3K$7C^ zhu(h`w~B=UR$k_ZyeVAMWigJ-!wn~iw8s|Dnw%P0Y6mc7dEs900c z1rX$p(ekK;jrsxtWa|>IUW(s=)F2(|8>k*8?_d?gW;L&!YiHp(=pfrHwuyZ0nqu2; zE;K8ps3KwQ)GrF1Xk^w@$+IhjNMfi!fQ=0s{HaF;X~0vLM^LL_;Jro47dN zP%Zujov_y6Uaw1od+v*6Djm(xreNzLPEg^&ue={{rwAHb$>k=!m@7B4bj+}oi_Kzj z^dr2D(KJ9BqrASkih_z9&WEX6q==~)^L*UE6^PGKIl;?xgUAocnB`WJx;h4g!Qqix z!{mx`#EY1!!Xn@mQYeS_&l1ZS5aVWlIMoDIQznpiReOn1e~t|_wuPE5HC$#hcDQB+ zbHrr_`6U8V)&eToQo>z%{JL|Ryrk2tFZz53M)b=qDB&2$11KO-{!>Y~lSc zU+*459fHn=cYN-AP>*^rk@-F<9b&?L5X0akA|y5lF)r%3fnti@J(I5ufBfj00Ps&c~;)*22kJZjY2@L7T)p^;E3xN<7 zyn}&9xh2`8-$N#b$OJy!BE{GwS9K+rvH#`goz_Z%;E}eT`Y%^BbcK1ADjRH(J^MWb^u)yY(1kL5wbpuQt5CpjS22uGA z-l9}02Dfg2sOlWOg-B*S2&kcgr+_=S5(f&d0!G;Q$Dxt1E*7FI9aEo1!boerX9OE7zUO`Vo}Kj^Fr+sE#DdGJPmM}@>3IgUpWC0)qnuNS z`{}7xZwnkGi7gR(lq`DJ6kYS(?h#If=?-qG)Cm;0&DB;m0uDQ-QO(Pq$$D;^eUA_m z4TKW9$jnHW$R3V*&iu!IUasWHdHRgHW+>t3--45p0+F$BARW4OnJy&8qLD;n1W^tP zL6Bur6O;fEp}&xri`fMdkpD$^iTI@VBW6+RNCYC`JXweAJ)9U3^G8LbZXQWVvvk|QK0K3gph}CR< z4A-#KnnF^%_Q-~8Nsf=IZ(&3i%Xg)5R)`SC>f9}xj#2$+5@rcJ95H!Sd*uiYtd_|W zYJXqUerI#a6wcFFbvdNpO^U~pdZkGDHEywQa&4BZA0-931f?ZlW7CuR_Hczheb{rLdVc-->H6XJ(@k&h zyWc+EeCM`^;kcx`H4O?R0K^lPqas$l!p zJx@0f)lSs&PA@#Rtxn3=f~P25)qQX>`tAQAX9noqJfG5hHj6{4K}^weXaQ37l`3TA z?ELH$*vh>xuO7buZuQ}1@AhMF?@RBm2*x25rQ>6m-n02^?^gu^Sk-+d$6XwsND3b` zw@U<2xy||;!fJv27m+J@sYYMPHrkn&459W_VbDWK1`LH@+|}U>%@At_W4c^A1onEYbX*R51hi?D}qGcV~-AEHhE0f ziJnF-Og{p`Nlk73;R5ry^-fIH)<{BT7_q&@3We#1aHp{0$G)sjRQw7OM+_VVAW7op z2Aq0MZ3rX7@LI z#c}~fP>}#-Qiu=BF_C?zx0fsif3tiC5i$AJS0;4rer>7}Kq5NnlHJWN!DGd2z{ths z{6s6IG0v@LNE*uWVLT_-c`x7!V#jZ?dTo zbUVs*#4#ldJjZ#K=bMB~N3Cd^*OD5=h#T3`o)Gj)ZEhp~KfY{R+mG+ z;uKW3!$9)CLU)(Sq6Q1AnTZeI)#Z@Bwtdkk^Gl+kF8muf=87;v3AHpG zQcy>FjroxJ63r-JLHa#3Utt$?_x{xc&a3tJlcOI4SZ(tbx#%l2q(L?&BZP#Q zlYg^&ke0ORhY-x5H)fHxz(DoI^CWxyD)QWZ~{K$85+*K~J89!ey5_1%o z$}R=`<4i-)H|qUT&ZEP^G%I3)68@FtqVO3%-eNE;RNSr z%hl=ZEX{wdj_bN$y^JE52bh>X`G8M`=)-HV0Rzt9VjdWqzf~BiaJ2RuWo5Bi1EmnG zGy>FvT0uq3;tA?FhQN1AQ*{|4P!{VAz?JpuF4Wtjvi^XPJv`n6v-TktP&ckd|C2JR zVOZlJ_2`_;Y0sCroT7_|9Td56Dy0dzRp7+tCU58^#jDdzD#dNE`g9lMeisk7yAz-o z)vuw764XP<(ZqManT~aY256u(i!MSUBQyR061lxLIF_r`+qJ334Rr+L)$3U^aotq> zpt!FGM$3W1!(4t)9GdcYLhnUOMjmr@;03+I-_Buyu~43F8Eyl`wBnSWQt!wV2X6!kA z;%{Cw7hHP@-_EW#4*dswHJ@xhM;sGg0U&YaOE4nJzZxqYI7F#2!#@Y@m$ zP}AkvdnTz$2eS%6Ka9~w;@uONo3VsUy1Kf;k*Bm~U8DKsVaemf4#k6<3>wUZtEel7 z0~1!y^drZV=USFy%HQNJ#?2wH#Uo~5oTKxTrJV~8|EA}eQiNbeuj90#;Yo>mWpE|=-lR})Q$Y~SRG36;!@)S3e zkRN&pm*8@qde+*5O8k{L7;?VuiS!LDIKJ-N%>{XMBDUHuVsrHN9%9*dMMpv`)Q?m; z1M5sN6}K~k@uZjae*SeKTl1$A6o(cHI1n3x&GxDgzI33nAvD;sDY_H#`~lCpMzzPR z=w)pDhd|Iu4HAa+dbPzojNdRxn1{m7H(Uw07 zE@grg{x(aWB|Qy?+qcKd3-%&Idkd|)(5TFamB-^vGB$?NV$0RZOuPO}Qh6%TNd5@SC%J7HtPpy28dpo| z;i(1CpJc}s=Bnu%t${+{D92G>MTA*l-u zmX>J5^OLas5Hm#7j^RTdfRUIYbhkj8vhwIGj%x})S{pF}%7`aA`4No&5bVOwcXilF zqH~h0fr+hVKgHoNLtLd6N^>fHh#tORHKKS0oX@Z%9Iw?j9HS*c>?5N-Tv7-XpPS&P=Y_lUZykhzf!8S_`+)i`{SU?;gH>ez&7Rd}G`# z?e>|+|7f0sMxY-1tDIpRbky0fRb@hpcR)gzZFc%*(g4G(zl2WjxEMOU<9Bv?7mf;V zs2$ukCI9flpYQM9|9Q4O{PX?w=l-8R+})Ck{#o_OKTCeS{@h{w1CZi(`19d<_seyo z*ME4g-x8U6jMpaH?xrn(Y5C&;mMA8)mUkMh3k^n ztfYy>YE^7a|}J#2ypWH%D8;3PylkE>(!XQeN`xjZ54qBbszt*&b+ z7!_C|VAs?FDey#UYl|}l@MJ|=wKl6{?as2S-E6>_w{xX|)pXTtU#h^^A+~!f?e&xu zsat3UDDw&()VYXOPsnKf(wcp1<#0o+vx8E?jJR~kh*C_&YSUY)%~qz2Pgz>W&zUSw z62WjXg}ocFE?vP1&pUT_19}U~YoXrO=>kpwgp9?}iz5S9z5MqWx}R&9$s7hjqRouOq#Tsx)LKji49%Ty0N`U--AiWtbr37!4t-*wG<}%6X8$F|E-F~ z=6LHcUkV77H2nb_dElBTOLC`Rl`*<&jZxCw&vL=8_{wt;Fq-DE+!3(Ml!nvA6%^Bb zxO=T6%_O+K3A!?Ak7Qu(ZHS()H?!?Qq24p$w7+0F?sm08$-wO-aCr}4@JIc5@uolelrQY{XM0B}%lV|bz@mtiy{mAUZ`?t`TX5o*w7*N8tw zZCoBh7R1393hfKuuLciSUE5=k1zZjWgAv^AEa5e0H6XFgj?X8(5kd?W_R@`!sEI^U zus0}@p4fsLO@vh(5LU69Z(;0^zq8sb(TPiNdgm||moH2- zt?C3GaEwylY)FPOHmLr7zC(r(R`(*%Rqt7*oAc`yh%@X(2B7HH*$w!oNh1D14O}8NU*5;yzh{b1!;2X8rulr1%1a4*ZV&2I{cJfqyMU%AN^uiH(HB?H6y(Ut08o)uP+g3>a^Wc@ zrc(JWene`pyD1tz5Rn)t6*nXE`|bK0>YqKbc>!_@0Rac_5ABrF-(?UDTVZYgpKOv0 zK*fNiogz4e_SEvwD0C~4I34u$_7j`}oRtqG-RoOlLw5y(+15)Vbau({6V$KLJ6AAf8@EQ5`G{rtT)^AjtA;f_`a?vm^hw zDB5qUu>X9Nooy6^NF3d;-psevY7G6AaHnX+iHPs-06s(lPGa39*L^SBqq37hL_(R{ z#9Zb4a)mV&6O=R6c9Izn2qP3L>b%=Lt5TDdNfmmW)f|=cMZ(3SEoTzIz;F+)A+8ql zkbO(SSu&|jG=&yw1U*O($NSs=yrGKJqK`Q29US>)_`gPl`4dm`_uwI{j&`h1>n`$o zY3P1Ws*a#7^ZUE6uTLPnJ614F8VK zJIVK0C2WCyOubk~sCj^TUw04#&0s*z7AR}PBPXbd&|`HgNdu@ z{#>=ZO2=?grS?EJ$XT|G*)r;`hNB&k%uB3ASMU&nDB#xJBMsv#`h*kgm1q^HKdXgp z9_}7*LX>>oKS;fGP|($T*1Dd1(8}SLFMhlJ=gsc%+m5XR4NgttHA>>32Sg8}X0+yE z8YiD}mh7w;epob<84LQkH4=irEIrBn3AlxVOPy7~T(O!V2QS`#d)(dL{m1V1es}YQ z(Yg;vL_pXY-9J{Fb2(x_H-SoJ z1=I(Ph?6dWRn(omk^UHjNTdG(YoBp-nEAl`^NWaa1y>e~EAJH?*V4B)ce)f~9sISN z#WjsekDa=#Zh(`Mug{L|#FNF3UP9hXShhnj!&SC^7?eOnst}#nH>Vm_9PUJ|SQ;~j z5j}BYezO`RPj~jMhT@2ZK zjVlApPPqdzx^Hsvi7AlyDm$N;GZ^nbI|q0)DWWRe126LS1m&p9WK?l3;0IgL`0q&h zks8epcen2!dMLjvznFSEfo%%FFq6LcchGU8y@)knuG#JkC4DHqqRXXp>e2;7o~zn4 z4)6I1l8Ml2iux^hboZDL45WQ^2G4}KUnzU#bYDO?q;6jI&gR3$=0uA}lP&cEhZl^o zek-;f*r9(%&V4AH;G#XeM8VfgzlZF(2fMrd`epCCAMUO{e|-G%{yT5|op>T)Z9&6o z)xPT=(%Ov06Wa+1VNV9INGPx;w~jT|PLolt_d2vuj5n#4Ai*hDC0V z$_lGgLY0|B!+Erhv_L$O!S59b>_Li=;qe4<38Ci^^A7(ZdY99>y zj#{xQAFc-tp$NH%_hSf~t`qgsnqz0)&T?pf0Ud629*j`4LfvpGX2}a@K2dgY=LL9I zLG7JGzJ{#{+3_M&IYuks!6mdn6e-qmBh}|QSbo*--ui^xT|7JD(2$;=U4>#BEfh=o z_G|~%-EUCgO;RvB2K>9*!{N(${9TZj&|_tL33&^;I*F=fIEW~jg$?wcruv?v+^i;b}rWc(U??|=1ep!JQKW(gJCP}%KU2sV2d}VEczg>n(Iq-`9$c;!oDO4X%PBddJf%a(0U!oJG zV}ft9pTO2M+3oZdLy;CSgb#YC`-USK%kCb-*Z+O36z})F#$>voCBnMk7P7)$Udl?N zH{%z#e*+ptq3@fk&eH36h%iT40#{6f?2F?C`k^a?X`0nrNyJKi29p6_qx2a1Fa-*Y zTwwsjr)y3bte|rMo-QsoXSwFE2QR>vuXi^OkLZrUdWcbmE`F3im$7_FlF`_Qri9Ek zun1v?K$QhrZ09bdL8yDBz9I_%4~Et1VYuu*By%1}HX7*)zykC)XEX+AvgQ zY)p!;1crjj{nSvP=BvVId?sG@6-=0k4LW%#WqY}*)Ad_#w@Xa9BRdeD-1P=O3N{wa^P_y@VC8TgVzNuLpx7#5=pZ~EzIPS z%`ga{O<#4X+oUIe5oP3(Emqqt;uFlM5=9WgO+cvrcW^5y(diynXUcXNarBwLp>v@s zvJ}_*e*&)g>E;?uVeW3;Ki=H~b+pIJbdQ7PukYvpdum>O?3F0!`%%n)eRgEI1ciRVessFJUk2*i8F1+L;^#Nsu{YCkK9*q#QVO7Hc~Z zd39kCK`eHUrKdz+J^8e({I_5Jb$|Wgu4jLvep5&(G)0?X$V=$mhYWnf*{qmHhTz0m|nRHyNYxbKf&-l4+z2gJzCVxvD6)RXzgsQ2nS8Gq|D;BGu9mLQ)g zh>>1T%tNUN2r!M=JTb@Dt!t(%9ZYw|+B*&V{n2vhK9Q>B51XDdfY(U$H;XLM4+b(_ z_F)_7-wX4c!Cy!@CO z7A~q9@OjZ8QzRsmn4nE1ND%=q0+lc-Pdx9*9$n^`ULxMK?l+Yubz1!%T9fl03S@z8zfs~i@c9+vCv zBplpSKxolX#MyFBVhp8pZlHFZ3fl^<1A(_iDE}EcEFQwYxAbK6Sf+Qx#PLcWMn0Z^ z5uF`{K8#UI4O@S)Ih-AvTS^Gu^TE*4WOYW}fQ}~;a6X_L8dqRwh0ly$!>h%Jdoz+w z8u24uD@_DS_&``T!mY4u{OYXKG&Ufdr&%~PP}GtteDE6Vrii7qF1e5?284nhZa?4L zU4Qtn_wehtSC9Df>#u00^5HAWGwt!$@BjMnE9&9B@BO96De>Qb>g{2A`1ijj(*Zik z=gWok1HAC>QVc47iA?(IUyyJq|FJ++9K`%T{i*dG--p>K6ovo&_KTEBgIC6MqNZt8 z0iZ(NG#{rN~bla$lu(p#XvHYKs{AZdHc@NWE%$d1==VAJH=4 z)7P)xcAr^rz?~4r3Z$Lz34oj27KC_q@2+89W!w;|W;p*AzEEa3FuoZ!B_#ioS;OgD zQ5R-(zP5$f9Dv~s>N7(Z4RZ8}SxO8E^YMm|B~A+EigEyTWN=a%%b@V#Q6qn2tUG&B zGQzoMD%Kw}o1)K1c0ZZ)Ca`Q-JwLi4w4sk~C4$0L6Rczek*Dl$HW~{d|qHef*CZ<7@ykL$f?N0cvW2RFKev%-u)&_xgL3Ax5`? zpe+Hyz|dbj%3y@PW#NII=y2PbqZB2c0hHr^N~O(~%y<#gBV|pkfzf(47#RWu`6lLM zKyD}Ab!Vv6-G`fxw_jj%YWq%61+@$=sGd1SPH1=2{$O!De`` zS8u=`E-%(Z*gikU38y+!mfT-I1)X8j!(oZydkmj$c=6d_7>w_j^)na-^)xuTGynH+ zTzA(x9p zmtt&M0_1r)qB(YWwxs@u&>Q??r^jc<+Y2bZv21b59q9Fxr~K&e?sG>k1tUzrU8}68ESyt zlsb?$a=Jyy6gH>dyu|~*-oq1q_oq+a_3(=jO_??tb8Sgd>8Kn#&+_n)PExrx9;Yz^ ziRxyRa1d3G9RwzXrA34qfh>Feg-24tiSvQyQxe#w)#3+(P}3E-S@sWbmSz~Q;5Rsf zXC=6FG~mEjb+-bC!XQkpJri13naL3CjA-PIz*mZsC{ada?R5VVu7`a(Z(SvzMgRvlO-$#N&A}1tj<6t8V3Q=fNW+6cE9~u?H;B4W=jII{ z0(LiWW5Skx|1YaGI!r4uLQ`-HF-y704ch7yp=jqKQu+?U4Fwgoyb98;#8?kq3nh;> zDT2QH`tjra&4Un^o^9!rV4Vt59WokR{Y9!nW({my%&>I0Ka`kmQMKaQL(9O5yJN1< zt3jaHt-d7vfR$7<6$)1u2z+QN1gWhCmO>ap~LQqQLwIPtBB7 zZ#$h7E?U3tT&X=8ZstM{b~Ao${5AQBa!5rVZ7^NlED_7K9!~%gNWN z!=1@lFV0`TK0(m2bI6~+GC^K|E+EwsEI8fP4iMISJ;ovHN{hlE3MMiR9M4`eY?WJZ z`7!JVmeFEb{F zzL+?$W`RA?9mzb7sQ92^qv!+xN`e`~3xvi1cQ@#J4`z3>lX5unkR}r}cAGdN_!!xk zl6KeWqmE%|OnO`QG*CM)Z<~(kZOw?J8xbsl&E5fEn#^k3428QAu;CdZhih1fiFwkQy5cb%An{wzlvV?OefMurKYqRb^my}<%)LHOiIgx?tZ=b00;tH z5VFe0O9UbFS3&uDc}MgSz+U=ifabAJkHc?Rb-RWdE43ngvTw0uvpDN*rz2sx+)hSQ zVk{L~*7d8Xu26YVKE}+3%D8M#Tr{scmX?1{M2}Vy(|SaJ#fc3i$GDN^^Fn=rtU`1I zeUj)sqS$eP1YVKj7}|sSvSiT*2fYK}QMr;{a*gn0xYvE-wW47$SYckZd&u_)X4r$6 z1Y?s`*>+3L3AAWkCG?X46iV5+ouBxkh7Je-2!CDU0t?0;va^5*s{V`8`-fBsohkdK zJ{L(#aBpEp`*w*R9ir(~SIjJ#pbMYK(rGK&?6Pz^eO{JMkxq!4QCn~6!=l26TjUzN zTczf|6m9LTR8kGRw;a+Z4`vk|*z^Px%i127#UytS0w!l-mIec15gdr~7BBmOYJEnx zMKv$oSk=lxhDv2fpE{nUvB59Q$q~*|RHd7R6h_rE19vbVdk0>ObewP^YJ-&%QJu!O zfE3_)!=2H8cy)L&TmCJPdaW^i33CD_X#%Emw+HkCySwWzA4Whoi{_1hIcn&bGpavH ziq5-*0N*;C<Sh-ko{UuY+{R_w?YWyQERYz7gaFKMe@3({=tXL_i59*~qPN*)|6Z2|>7aaXs&1M+ zqYJ|78G#jPs8b`z9*P7vf=IysSyvLy62r>+i-aQ7g*TApJV6^KDA6Bc#@y3QYA)(AQ&Eq%8wS9RQGbrxHyB)I(h{Xx7C({`hd@~>OUqGTrRp5r9(P}~+D&`a z&~fUa9#fl0bEt}sVKXC(^wtmAT>Tqfe_#>-S&W7cIk4|S0b5|@vHrqmB1OrMl zskxxoK+<OJ%SA2 zRwY&Mg!L$r1EG)ERp3A2OUfA)Ce%Hb3!y$NtP)r$Z&oryF2tx$c!{jVc#Pt9BOGvX z9)jh^y&n}m z3~kG$H!ZXM8M&2g&UA!Ad}#RKVvuWQehcMUz>`rD;vQOviek6iaDajFe~HBUnQmf8 z5}+$P(AmY}G^b+4dYVGh&CT!@u7?ls#Tu_ek%cH57u0iRy6TP4a*UtZJ!JpYSAM zKi2!vhm~H$#GavATvDq8faNq}5|Q<^s#Fw9!{?)aLq`9qkrJd_sPmr{KX@jo^C>T= z97v#@y8(Wp33s^J)9yZQE*0C4?>EnEXa=p2l|W(#9ze+ISA70|0 z1PcpPcn{vo+>I_Z61j)+wt$0yxFHfU`;{oo(UegSW-y&DwZ{xHmQaq~@(?1b&}oDC z2_9>@(QxwhQ4-iSFKYbGEK_pV>P zd-eWh?;2UD@9^inQAZOR)Zt9hZ%>3lBJ4#K!pfWaVt5J4btGD@m*Rvw+^Tc6W6&9G za@h0Fb7RTEO=eQ*ULAWRx^-O_M&%s7&aQir|3c6G}7oJp?`n+y4d~7uMdKSU)%xT@D|trOiXlX%>=d!EI0#)N@o5 zR?!~RU2?aJ^T2=(5ob&cAZL!3{eTDXV=r$-O^p?_&d;;#r8Gk+nWEn>#qESYgj>XP zeB7PRa7{$uoyiMX3hDyDSr9IiK>o6u9kM?)jX}ASE<)H!S!QI-nVoBB9zkOnmMqp5 ztPJ{ru6>@{>&}R#3B@%cMu*{b6HL=sC3(Mx$c+(&qL4RN>$Du+s?2U-rLZE2rO}#K zP+E%teJByw3(@<9wc9GPBJ<*8wqcjjlq_}45`82{O-~rfD5IIwU2@IDeR8TX*aIx! zc@8eQVnpeH^y$$=4Wkp>j3TWw0Fwb~SuHL=JG5RQMI?Hi6wj~CkyU8Fp=>)s1UST$ zN2-Ch+c-|e%Y`t zUizA*EkKbBB~pXGY%rw1Y$O;$*Io$9`YfK>3lN zE$L0j*+wX$x;URf>q7B*OV9&i5=QXb*^jHswf(g^OWnlfkWz#3o8X!gh{Aw%(tuC8 zz{`T{(bfoj6hf|I?u;B#C&*U~sRZh1>)nu9VKnj&XlZz%H3AQ4BfY*fXjR@uMXJ9^ zMP0IT=*>{OR2W9x&a`+@(_jvw)c-lqZ!*=?@+QkOVVx;UJ!nALrN=^j9S&n4WXS{| zx-1k1k_f;K?9hI|4#mW>8FI0Xvr=av=S+umcPf63NLo%@wMjx*86S|^UUA9c%cUJ5 z?oGmR(PI-a^AX&xU`Wa$G&04|X~~J8M)bA5nu~NQBBaG*)l(aSZ%(c>=rP`21vkXy6++b`Q6pl0-nr9nI2}F{5j>K}Dnmy%hIF)*AM4 zH~;z-u1=C`t7mDlz-gI`w+s>Tg*???0lBCm2ZuL*HYO;jK=bLin({f#<1qM( zwg@!4z=PNp!A@Q;u?&hy`kvUei6t+i$onN#{C|^N=KssuyD+zLWa)zXDcGLa8`KQ# zK>;8L&W*Xdcu{1FF9W0`&%})iTB2-jOQM>j-1hD1{q6gG=aH|iT8%$ttyP&Jh6r z6?SDJC7N-cm}mfa<5_rpasqQg7_b{p>Cb^BA;tzC580hy{*IQ)2|~Cp;0b6p=Yc!6 zJ?sr6F)y@8zC#O&Bl@PuxZbRe5suH)TiXeBqk8uVWcT`F7XwcAm0=e8Y|*8}PjZa+QVev#fh@V}7JL~9yOT%KP~McY<;XAQdRaDxyW7?{a2d*zt>wEc!hT8vG#QB|SB8m|ZaAVe0LC9u50gezQMo0_ zRFlMn(Br7y4t)P?H9=`L6V^sNZjdy4@zKMV%;J>?SF8da4r#W0a&@8Yu=38(-XS`a zN4VIh{qKYRw~`)igFZEkp<=%$=v%$hm+FW?1ro+Yd?^Pb)-NxfLWsxr1-+Ik$l2+! z9CZ9GEhMmf=)@zPC8|SCg4OTJizFwQ`wA41#`TEWkZwg#df1D@+sz1W?)=4EJ$^Iw z`0ak!z1jV^`}6KEF9$v_xZWN7gr*5FOm_!2yMvFrgP(T?zq}L^d;L~kaQ#+!RtxN2 zJIzvi(2YR>;2EdXFnA=;W@X=McLlX7I-Q!Cv~eMqrbZOGBOG=Sgb%KCRE6are7q*L z!2-fp(Fq$^M+2xAo z*o?;N9C<~QEY6opK;;`G7*0BvfhV%#Z{|`1cjk<7KHDg!iixy_NUv&2VDa6gn0lU-_GVk zdJ!5mul^s>lEUfISwI5$G3h3%LXXf>Bg=q4P&@1gu^bQr&Ef{)Hx)&d2L^quh>FEF zY8&`NykP`Xj0kRy_JR;Th!|mS>*<3@or*M8vr^qhmTd_#T)a|BLu7eYK ztXOE4xho+H6W~M=EP+>QhMb9m7hk_Uvh@p9Gk)CpZFhifhd%2k+hQpWF9$pTAx|?%?C@?dPwbcF`dhp-s5~=CmkY)Uq_MJu1jPbAvx>w#L$hs7a8W-& z5TzXOLsa>mZf%hjKQ9j=Iv)5v@`Gpvv*O}FbDI?~WdnaqFIE_0Hq6H;qrZJS14f3U zxO(^&*gYLZ^yFl8=41jykc12NO;HBt=Sq_mt$`E{e*L;HNp(~fHae?ElS%2!GjH{1 zGJe(#fjJhlS!8(WlYJ7y*mD}0hH5VuT`{Zki-2AZb1V{`I&G?vf9GdR2k3P*lPs|uf6Jqh`%e-2joo`T%0vCM?LQpTr%gOf# zli_r4I@y~|n$cvsM>OBjg#NwuTl?_K-Th-gdT|HqC?Jnzfus>4NogN5brS91vIml= z_hwzn4K-!_;tc3GcqlrdNS+RriIXAu?vzN|^CgnvMhC((jY@peHb#3ZkH+eK4qz zbc(BKV$zU_Ny6AbQx^FV7^4{J-JV|ox=Vn>B&e&XXwC3+E9-09D5m_v?qC?DsF*sF z3}sCb^z%c}T`Vvq&ul`))hFpGl=vVH8BDw~cA#f%3}QqjdM8&h9d%|^-a!s8mD3RE zQzwRo`b=JiaW)i?b|6tip>K=ni=uoH-b25Inq#g6+5kIGkOUVb#lYi7ZS>-JHE9oD zFQ5dl^LT9ao{h-^mQPKm#4+Id>(`%rSA^V2jf@ZhUeY~WtsrF_L;2dH6n~!GHVr!T$?@J1p|%zxdbrp*C(&H3V+QlPh#9LNny~ zc8fa(of55hj7M6+kL#74fkL+|$F(-e6g^NNe6Yu|^n84jUqcOfzjO23*ZbYa-QRB> zcE8+FDKU><`~H`^yN8>W<2%Xa$KSu+JeW#z3-@yS74OK4cOT_mFLwuz_>cD+;D#=5 zqO!%;N1lV0`SD3E6SP`@CojNPdcl)1N`O@DC{;yI#tcO4o|^*f_UEQRMP>0V3DHzR z{VVD(C7Ry#sdsRf(4|qIae3q)_%V8;mZAcChCuPn9!wFCd42$Jh+j?CH4bu zzp!5GprC(gxOp1bkE%fuZ>v2z!^0AbFBdyH_SxItWVHa zpx8A7YjH9^do9?Fs=0SAJ0I(OM|h&|rWB1s zN~(Rof`P*CNATA?rL_rRYrbW4NA%Q<=);Q%3e$6GG5i3J(izBKIvbXkh67;y4)%`x zJu$5H@w=ISnulw16a+eT(&?qdOA{400)JOwYejJ4ql8pGfwC&&Gf@-dG8rTb^|U22 z-@0?zpSm+B&m3gupVt@oc8~DXLHPoeI!H{-B8+NX^qWp5BZ8De2%>S|d~uB2rp;DB zA%{qL5=Ma+HYG=zm^!QQjvDX@qqmMWrbQDCai9f_A~yU&(4w0=lnm<`(WS)Po$bv% ztn26_F+BY(U+{qL*;Lwk3qs_4-dU{6Pk{QT9qEyiOo+NQl=2QejeIm(J z)fs&zmhqLrpj3i`^UopKHa|4}VeB6e zKdwcqEU(jTm9aMX0{NUHI}OeY$c6R(@Xw&60Y27(mmF>mo{V2|%4qoflm=5W`T>7O z?!sEI+oGisKzdVkF}~>_Awd z^3{+Gn>dDH2lY&p!(jU=%A{81L0N-LMk|mC_(Cf+xc1m|K{#nCZYdIe#VHKteY810 zgJWZ5bIE{=y`adCXeu3wM=1&@9%A(Dis=mP2_Q8k0{{@qi{q2y%OBx<=E;u0xzUgw zu7ZvL=Y+IAm)A%ED?E(ANPPJHB(6Idk-PC1MEC~wp92t7$BhbJ1D|rR^KkpWVDRbR z?V~`HKxsZ=dNOcAk+69NFp14(&i5fj>07`Hkzit@$0+3w(qLLdG4W{-AIv07Ktz`p zP3yjmM3Hxo-izE!07u&5k9e<)1qc0LG#fF7;#^Q>syn0K$VkU^4I)Z_Nx+pP^R@4` zP>d`~RlACU`yvy=X0be-rvO2k+b^xeZFUg6fxkemKI<#67vXMkw5%W%H8GaLKPs;0D?KlUiyUs`X=HSnIVELK*PHK7 zwN(BVlfCW@UmJmx_&&Jz4^+7URyA9;FTxGZ83 zze6(OQ6zcEARkSbwI0v_4AUdCmLju+X{5lmbn<5c7Ks`A!xaT=LPTE2e~fw@bf{L} zjiwdi*N+k3KD#Pc2}G)a zI*nkp;OR-fl=pXEKHmNug>nwM!8};z)YW>XIWS$pyR` zA$|sDc#<$LHDHOI6O{tE)Xz7ENd8X5&C?T=uFEBum)XwB1%{TCb-H{#WDSb!(|kM} zjH3z?w2YV;1h<9-4@{GF*!M_zdZ*#Y>L$Uoq_r4GBN9)g&Qu}F^RCcWt zr*XPF5%!dE1ePCdn(;((`Ldj}%XctqgZS(YRRm~d65kkFIc-ENJVBjGG7!}wRWp5q z7~o2HQ{NQb#IUypt%d|+7twD(HOgeE+%WZ6zoI7O$?(Fa$Yash(4c15tBkRC8RK`JzZ6JIXNl zXvhW7EN2Zvg!e}!j3jf-8^xg;Wrej3s+r^g#O)$2BV&qOWzB~(0lyBBp$?*=Muxn? zDsxDW#<2p5c>n;YPI4(HC%Gm@{32tWCMR4AOGcb~Wm+1?g|K|NBq8{Uk04fXKEN>< zhMk3WkkE0pg_xF|)@)?etIX9f6J#ILjVWJycSUSASeGkHD>40Axiro$jfi8e>3qaYIak)%6AJ98UYYWs?#1>*CNOGl8PYB0uu`C9uW$%`?wpG9{09S=w~0ur7tHBbF$r#=A3;G7LW(9#dUys8>du$z&o{eY@9!Rw!?W|x1O5|f;rurx z;@y|WYxEYnM~=>KyAQjcG3W0CTzz;9R6Gb-JUcrNBnUVrG4G$-_BVEu{S7!wNUwym z1{ocPKXS_MV5hhR)6wx&e&svN)@};;O{4;Se);mh{{tdxe!ATso;?vR;qWuz5>9z0 zTq096T$1SJOh#w76qKWETpvG0Wsak@pqGkPy{XRs<^o3~L}jDb7uR+5J937pj6_9H zgO5xYFFZl47eZV=UCi(qK`8qd7<>9pWHcrJ+)lE8W#8Q9-`w(>de)4FLUb7fiwbKh zFOokpZz&L0$6N73=!23kB%)3TS86_TqeryepeKvBt=o`Dby(?Cq>JRbr>^PdEqscg zp-x4&U3dQ5{gyD^WHY(e8I}%$4r|H196a8C16Pi^vz22iz&F6lRiPK|S#TpP1eqCN z*8sDHawbtZb0}h@C8CtewwTa{ky|$1h`dWR1my>o9@EDyn<;iM-fY}artDrQSby$T zW8|Wkx-4%=Vt_@IP;+Hew@Wx1^x2RVi*Bd z)-!D{Z?v{~2hb%=jzJ^{24@F(0|_40%T6PmL6gnLrEm@et>QUm4^t3|3{HXJqn4wJ zi=U)IT#E7{B{}g6O_JUd<8MJT0%ANP-YWW!@Km}v=$M)8Ol4Geh9}RTMM`diOzVtP z#94QaO0xLy=vl$E6j`jgi3QNEDW0ESxm->WxI|}HWO*l<;L#i#&l+MN;tjV)ElM_# z{(Uijg$CD?;lWgGFnC>8fKq|%TyMg=h?SL&RNkdKN8iP@_zNgOKw>s~!HE=re;Q4$ z))`67cnCQ6PjTYwyO+>l>TnB%$i%8pe(TVacn?O3nekfc#iQXqO}yaUGOmt*Xz+cK zDiB`fZiHz9-Gl^lz%Ia96-`Im7jX#=$GEXCm1dAD!gAPy+F)fHs?&5Qz{Vu0Z(6l9 z8uVMMu|PdM{O$&;vNo?DgKtnkD769#p4 z7*ar?+r!}1B9GRTx-E(#Qr*C>`myYe$*a0n&gyh#S|NAr z#~)8mSF59=)6=b&?6Ee|iCS};t;EZ*bUepQ3@}?cIdWhu*gHNn8cVA;qkvsUO@kT@ z&0Z*1-W%X*a(2^P!$9mk;}vr6o5>YOScx-uq6E#+IwO%i;SS{-zFHPnV{q1t8tVol zX`dPl0{0RBv{p6XR5S+zM8ixYvr;Eveh3?l2L~b!K*E#;Q~49?8U44U-p**{{p<7e z?Nw%h-5R0QIIiS|$W4UYL?=iVrx?*CF?Co3IU}X!c~<}{r#cO$grCfE?Whn)ukrTd z^}{37_~@>Y)@0^AP{>tX@dH{F$Qk?L2{Ver&zMo1@{AcJQ#x5^Zed)k*Rh(Dq+>8r z>3C(E`eqhnDtehh5w0%~qzybxJ*$CKJM)B8!FB{2e1Yz)XOghGF5tn_CcK}2y8mnH<`zx<3CDcU^V|zHwM<3CC`T>#1+CYy@f8*$?EAk7Zka0Ml6bTcC10<#{Vcbb2etL^QHTm`V8$)LUL=`9x zWSip$x_EJUr;$QeQ3Yi&78Qz#D^`+ZJ)RTvO8Z%g&ymJ3at@?ErD+%ENK91y5+V2( z-EjV2zY#;3;eB}Z{$FQ;dG|(w>xIoCERohVhJA* zcXikR`ZLz$%}0~8CKLdfuIP0J3PrCRFH(5*;F9FOwWLCXmwcb=!BXSdTZpkXTa}R8J7FWXt|4+sbD?ut`#mN!0)6zU1W%;M9%EOp<9KpA z`VY2((8iARORH?kz;dK2Lqvekx@t?JGMnE?(0-@-mIkLXOs?f8Ymp48!^_z(+IqnX zDIPxM&o&`_dbRnLQ0S6K730j>Po^lvWG9pv`DZ__FX3WMq+s8aLN zK*t2w)qn1MdHJtrhbuOf{hwO&-<*(F?qFc>e-3Pjwf{uLfPrAHo%k<$b^Lv1FW{u+ zDXXiKQSYfLo8mtw#-3MIV?Q6-=BQZ(E1!)OhRvCxadYR|^WFzvV`2u#nEu1Z=kKC#S;!uE> zLR`%SzWLqMggMIRD5XVs+$<3#0mfDNsm7V^kG7-jZ=gBU2AXaA_1pbT*FNG^v5g1` zlq8jiE)!6O-)_JrD8%rbOn7xoCq{&jr|U{jmTe)fwQfMoOz~F`iRk-9|8;v|w)lSo zV8-J;OJGuK{O6lTIAZ}laeogYb=6ONZlN`Sgc+kjn_8wr#vKx~m(c5UDaK~z+WX92 zY%~j89rv@mEg(oFp}0}g7mFi8&~w2!%!&SX6Ey-91AJn2lc9FW%(c6R_v6uD=!m#~ zL<$>3x*{x6Uj}dvq&jqfi|_W2UZ;*q=|OH_w27ABs<>sNrf{{otOAmFywaB05o``o zg6ZLka?jX;*!Lu2?rU7i|Co#&CujgNPsUx z7mf+LA^%17%tkUy2`~-z2hXg9D~9!8z_1<+7=~bgue25x*n0E1vkPE|JXG-osIBV@ zbZRn^A=RXWm5`khq)z_Iij?vZ{fe_q%jNE@2(}1&Crc@I14|Y~r7N!L-HaAgOO{UN zwHum>5kZw2pemtim|WDf$5@h)`5WTpR7B_B!Ro2{ARLts9Xr(`3HG-O2%g*kvP<^G z{nW3(P6K1DI5HoEDUw0P@}cvg9{EsA;;%tKFt~h-jNKrSNQ5Gh5^)=rKS*4NQK`Xr zy#0KW13FPjX3%EeWG@p;Iyop3xTxev%5`7b&bMTN5(QEWwFG#7FD5)F6R>mI)Pr0|{&uDiqsWR0Mbgzr{f_4VqE$$dy4tXmlm zUVxaIw>#g^O9y&iXn=r!zWmP-8X(|Un1Q<&5-ZsD!%f`mYOXvlhUs9GB$CG3If5iH zP(`+UVs9r&Xnbr{eV4~enCXl^+qo|XbW{0-aN^Krk5^%z63zqAJWu7G!BE{S=YY0j zi$42WDw;D)`n--T8}faqe^)kx$BhuJk}2D`K-F@lhr4h0?{8X@u2$EC&N6@roNvfb zH|OjzGuYo}Xyw%&Y}-DQ4uh`%&}=zeHKPwRQ1JiE4CJ`cn5(6Z$}$-^oHdVPis(BF zw}rh;VwoPtOp{wh8>92e2Yn5lHj?iLYQ{zfZlwYm#AHU_L@AeaC(Yhy9h#jPc-MZS zHKARxMfpQ$-Ls+g#?NX^&E6PFN>Kbseo~kut26SdjIiCRYb-`%H{C2FGbnVp8_C$@ z3B#ABGce43Dz_IBZ<(RCg}a0;hooYV=Sjrcf^}PBPay*gUg-%u0BB`i;c6jVSDXF zDa{A?P-=%Z2aFNVdN(5v`@_o5w+TG6yNz;l9B5=k-uJ2Uq45YB}P(qt4Vlaqp zBiV5IBSJ}5J6*TViEE68##PhYA&>E-^$GH{{t~u$7n{>$?Ye`yek5#BL68k`#3LVl z)!d6xXzOm_7w}H_QKT&Jf#@8NV_;qam#2;cU!5b*Y-cYvz>>2U-PEiqaS?(iynr>P zyiB@`z~;x^Xw!g$?+@gE>x1d)(D=?MOQe9%gf_{;ggZ)(b>_JXfz8oGqWK*?#|(7G zQ0RK@)AT~MxD zvq7Xy!ecCz#g>ACkZ!OJnFCkaLIT(nn0F}T5AW6;s=QKVlDtY#bx;%^4C}OzKDn4H zI@uSH6!Gfhd;u;hf&4-H^QXI?u0OQ^$fg$qUIQ~Pvo0;cekaM{2}5KMBJ2z_gC}Gk z43jF_ie06O_`Q)+ZSGF8eDS^`MF#moQsC)2+`JiD&jywUdk`?YSiD#|25yH33)=4$ z%=QpwPOFRMD27xj_^#gMfn;?pq1sC&5U~9JW4$pb6c<;)k0}QdeoQ!t^{~!|Gw(YQ z&nes#seT1T1X+4oMM#HBxNtq!+D<+Qb_eu5r=Y{${&J7S*=ibs|YM{j89=3F7 zA-E7LiHZxdgXdMBQJP#GJX+Q=8_8p3bXHF3I7R$GNjAHKFC`R znye_d5*rfxpi1)uL_*`~V!4HTo=0978XYpM{E!`VNEp8-YSzD^HC2Y>9BMS=_Bulr z4;)&wao&+gitbm}D0De0Um<%LAJC%%Tt@t;X3|2nusW+xcVB)UeEafn`}3EZ4^nlN zEb+Kl;yIUCa)fAi%un9Vfzg;2jCRKO&QKjy*=*oa4Ii^29*7tu3S??W)^HCoc)kcc z&IrfP0?!g-A2FEA%{j~qY3PPIkuzVD7<_(K$aJH6uj8%2NFzOP>A8JdnIz8GbTGd^ zV|#UwY+#J%uu^Fzl}c1qVpN^+QoPKM&RyLo>X{`!={!@Pt86757P>Hx%6XL~fBMF9 z60rD&I%$5^Z>XJR#xyEU9kt$ZDR;t{IA!rwMe8-S1E6m>(r7TCPB0OyIMC{y=h>h# z32_H}9zP6G(V1w{ERS_9G8ZIMpC@gcH3jU@{uP z(CkYbnhqc}<&|+b^+xcC;Il{As$Ko*GZgx%b%Fllr4Qyi;S*0w@MGUMqjS{uDP@61{M5^y1;$Pj_DtTmLyB z(y^v3>f=;SJ7B?S)?{|Aw$L`0s-k`|Zw|Z&3>N=)E!Q47YOUEUJ?daIv{eNg)qU^y z9+uJcYSE21L^N_i+fkc~hBqL0SEvEHEYL1~B^A`6>`<75O+h5r3#i@xB} ztN3xPUdA-3OH#p5h|3r0UUybjj>=1r(p67PHNMO%Pn=jYwwjSLX=%k$|5tl=J3F;;D%X2g>#-p6f-yuDwn=l>Y z@WSDc=@7j=&9GBq>rpmjvpkoZ)C@-|u+z1U)j!5aG(m_s`Dvcjl5ksY5sW;thIj7f z1{b6H;>IRi&VZOUmsc09)Q5|dww#tevx>VTR0Vp#L4qqbE24&lI+=)t+NVPcB9Y5* z(ZNs7U#0rJ>(gDl+{6edCh5D?wWH%R$BiHydR#j4nOHU}zFwf?+XCNuP;qF6r|IpD@nQUP?-#uU#h|*u-u@}Jpw`kfMLCs}57AE&ddUGW8YvwiVcw5)HXfHn ze@r4%Dr2Fn^ljl@!dZa>wSoU0IPRC15*l0`&zYnL{1CLSbq^)lJ}_EEsI?d`$SxMn zk#x)xzQ;{KM?;6S6S%>F4A@>=9x?ZhF0QR$>WlL2Xs|#?K`Z#;T#&&k47#%9JijDZ zsC&^IkD#+Iz!&@gY3x4);Bqb@oKCpT^^gh9XM3j!|6@4BqVmN_2jL6NF0`Z$8m-sk zS;{Yel1IUkz>d&PM`gV{Oflk5!&{c)@*o_rL@uWCvi+Nv#C0yGH%kWPKH(hlS72ja zAo&HM6m-CGwNQ1Z{&{j6%KW$U*K4pu{cC;ZO?9|rbmA_q4x@g*e4(MT7QO^5_cssUK0UU7(OMa|5VCcR_qf>G7GM9mC*tbybNjas*T1U@?MQ{&L$%g6v6~7Q z@O~rZ6DmGam@XE+n@}C+*k11RW?U9(cUo)7!pr7WJOtZ z9hsP8%>tn5ZQhO{aKjKmNY197ad>-CQx{%ne!3hrPlTR0+U(7riY&>L>GMR6|Dmz4ctp{}&l4VYfGh)B2!Sn*}?+)rO7*+0vfb@8PcDadWHSTMy z+Kl&}*R00ipybBpXhKRE)RX9x!|50wuB7-H@6SMMP?Ds!0T{$4JfogqY|_W|HDKuh zVFywfZSvMck5o{$->6tFmpBPU?s&8JS_)ARiAV}j^j~ypQ0{pd9n3ASVunJ}m)Qa( zQk4~mvHG`Vlc+c}!#$(}Gkjzo|Kj1s&B-Q@j+~#rQL;|35gDiICb0y4@B%wq)dt60 zZi&5kt?b*)rGOIR0og%>r#OdrMY;els__U?T==dOS9LE$ZCzTu$NJ5=7UECj7I`MK zi2{pu^Je+DtXNS9EeXq7)vx;JP}*l09}i+ay4Z#@dE=Aq(P-xz`(~bQj~e+DTvxG> zlddOMhSRGq>bhh0jEOi!s4loC`A$L3dJL~pTH`{TQ+UUhFW#N5U!j6_uwx&jS(AMp zn;v+9RKK9BjBqvhM3gWPOH}s^vS-o&^WqhADwLwIEt3%EL7_S7y$?v4Y$DC>(V=18 z&UfP>JoYIgVUaazND&}@bp%bQcgR<-HbK^pr{Z8TIMCh-PM1KQ?=rD`P$@2>X5;}W zVZaadM8jVl^(QOuAWs!m)BQ+cTRS77)#UryoueBIMrSSqH<}VQ-5sVaxx`XF$6h;iF-GB5YQDoDa)2kphH zHGh&-ec3gALh?KX91Y2{Qf(>aFY-kAGk8bo08=)FU z<|#z2vdJLODhb=POw@16AfHE%)_gQVwX^$0wEhPI*xb^K&1>GAxK~2&Xy+Xi`dBB} zfJ2KiL@<3#YVYbp6BSoMjw9F&ZM-VUrA1+;x6^$|SCZ@PF_+HDpR!!GVjBcrh0Bri zvDz%%C!v3fq~I99eJ_iE^lByl!Z)f;g;_T+aytL>IAIGVFV>p@OuA>_NghsGa6lhw zOtN9v+!F!;$$@xch7wG&0D=o3S&}ufkE2i1d@@fLl2)#0bKF0xg_ETmJHcgbN|6SY zh>XMw7w&->`s~`V-F&OtBNC^mdgwT{R&0cbrs;8);r<~)z#e^j^%wi1 z2lyd9TgWjDYmy#d8>_5HZI%&MqO4?$x8doh@UhH}=%O(CAu5Rqg{0n?Ni{s3IKLDN zD`*HH3FbGtFT(N+icvmH;Clfytwp=NWci&svTLl1$>W&n|$eqD-;t-6s{Ygb4vxp8a+QV3I_QagZzC1Ns_vf$Qu0IU;NBfwVipVxE zU%{O4BlH@6&Iyk>!fHiTm^WF=)dXg!Gf;@fay-+CdAy(#yF)ezX-(7Nh;AIyir84G zeT!OcIIT9X18H}MDl1r-qzgx`E;&o6i0rcd>EW8WWFPNu{@UJOfBE@F%uuDC#qpf- zR)}VtlQ`<42oCCrO*|qkx+qA7pZ*n8Kj(y<;0AX((4xR4t?w49SR>YIm@6Z;RjW`E?M>*Wd z2?A@o_VFM1pcnZ`M)856w}1RY7dODJZZ@ntJHsmkUy3l8&IEnts^pu=w!xjwV?Ws& zOe&7`3shi2K;d^fK6fAT)v8Y*v+7cG5-TG=sRO+N=hcsA#$_VVYr<3K(c6j=E7bvz zrz6=pOxTeRfw9s#=K+l~Pc8T?r@Gz&$w3a)#WnjuoL& z>cFTvSYZ9zva(7Os6GV<;E}ZN4a}@NRAFY7Ny^OHpRYfEz7|e{Pid+;qwf=>rWOJD z$!n+JVnCiVwJF0BrWY&EmJRDunK*664p$Juc!I?|cH+t*jmM!cJa4IvF8&E#1V{Jb z=7%(WA{+2ms(epgi!5K+rvoiTvp@5ND$o);^NJc$q^HX@JenzKdaN|3fJnQGM$m|e z<$%B#Jh<|+9+$Y-%(s!lE6ZkJVz?l_MSMbG@G*_OlnI(bN$_(jtnR9N2gU!=`VS)` zzkT>{i`KrkkIEW6zT(OyO-YX!=b%1v2yMWF zpp{n(YN<$sT%hzxLgGSh3L7RAw|*zud8Q?M_6T0MtIG*!MZ%tyE%wZl)z6oe9?C6p z?}M9EAKfndY3P2Zx{&};s%<1yBoGXPs7PNV;-?5u1gRvZ1M8-D>C8ijF>w002|C0AvDetJGD{J4YYD4}S0*PEg=<5_Nhd`8O*hrisdqeWF#~lKMts8(@jb%5Neskz{D)^x#M=J$?lQGR*^J?iZI-ty=|&2wGn` zP|^H4Qz&1G)T`B@#khd^`3fgN&~`7unq{XWyfUhrQ2nabiDL6EU@FP%#gCVeHoZem z95S=$5d0!Y)cyO>6@F^PzA6) zH6`Jsz;KJVxI8zlY?L{vRlQ77p4Fc>oN3lD3f=i&ngxL}6+pH`%|K5UHB?ffnFc!Qtz{TQ<__sf;_QN+Rzc;!BK!`9Uy_xnkgLg=%15WZg%MQZ=Hf z?^jHMCi85Te%Z>>RcZ~mx1e(J`WJV@#*Mf+^a!e9;1`1JJj^mz*ssZmrvi8MD zJPO7Jxefpm-CiPhEBM@a5IW=fRhAPd{zt@D46i6}h=;9D5;_sNi^jXrSu{zcuKI=% z!DSqeu9hHn<4ETf3gEa@0dW^>RR>9oUy4qRn1v7l!1zlCI}KEr<#stUP;uD}R1r@} zpdDIoY%Eh7tT6TJG_Ie!+ZIiV4DDKMgVcKRcrP&s+UT%(As7^0{pOhhd29t{=+4cF z1rOY^OVGyQoY|fzAWiBgUbJuF^CBD~#?cvZ1(@$-|AZmGS%J@#JL@C*JBilKdPrV` z1%z74RltZCBPGd9w4~`NT|FL)rH&0Qp%wW6Ku#<2r7RzHoT(EHKSaC-2;|I>7B4#k zOq^*pD2qcY#9d96z#*!jo2kn21=lAny$woOFz|aOR%qmzTgzgatSr1o(D`)>x{f8$ zu)BFj@UZxoQs<|IDeX&ci7|J@8(&^t(6cbzx!(P0_xz#0su}Mz-2DVy;!i4Ipg<2U$}&VEuz(bWI|}m&x|JODDFCm?M(YyF$E;X9XZl zP8w!+!->RxG%A|BJWJ{}l>0|E0ek^Bk{fl29Bv_(O!*rjXvj@={A#4KSv_~iPqx@@ z->h&}c#A$anu&;gPb~vuW~XELS#?CcuVeAPHpuFxw^o(?Ib`s$hwXwkcOlTym*=mc zLzyhL1eEF&dB!keRs{Ort@&}?cZbeAxeXk&@2|gn{B--5o1KrJSq8fM@%H}V@z?8n ze119THWa$M|9t%jwFb?FF*+So*$B52jg{EMKHMeoUY*f2GgxZon}kS2h9NamZO3qx3a zDtc!W4^Q7ApuNVy!eLOO==S044fm@i+0S8XphSIf(R z@ugx%zh1xp%gu+~!SyEz5$`laUIQ7cqTWm(HN-wf=IETF(S0%HK%oJaUyOy}hseJ; zM_VLl$Ey?q+cjA9gXRCEj)1yscA#OU`+*LUDLu-`cts%f&E!ci#KkEg`{7wu?~fQc zL29P{cwuN@k04P9@0hXOtiNmaR;z-%#n+-t%#Ww>q5K%6^8zPw+4u$vhm3@avSX?~ zs{&C8y9~q}?2Be8p1?#kU`);}KQ;M^LHT)QXJxM@l&z;V0Ra2$Igq2#^CuE>9PAPX z;K5#~JW)MEi$RWnKIxC!e52a!0;V%do*NpPvO72|pmQy*wpzHdpV=WG2hCqXn=(ZC zkg&$gCj3pXv)Ea+GFG9j+AO6jL@AEu%@a`yj-n&VQ}%SneucX!+z*Vzy$lH z=jT}K6Cws$q^U32ou=z5T>0$=;S>OY_}@;`nKz?4QwT+! zB~4N9Lf-1hY?^g05aSwouMD{AUHP8;O4kxULW=xgr+(e<)*THu1Bt4aSE8-KU{TLf zI-;^7uycgN;E324#l$&zdaYpM$xzn%0i`~535*iYkUB!)U8{-397Hm3C{`n!KD0Pb z;0pazv%1ps6{$5Z95JVMx&xz&I5hCX5Sc=4t3#;vP|_7g6{Tq(^?#aoGa5_){42Tf zi2o&xmWFgmX=w$Nx?V5qZ*;ScN2{@%+a5owOhVtv=O?juUao#)1Y-NH_G)p&C z&xc!pij2Z(@6@mR@#u`oy(q=jZ-tSs-&*^izRX+U)}>Fu;K`2(F+CV(&{L=?)^ zzo8s`u#KNZ6%OWO=GhFY!*C*w&2TbNTPdsS2z|**_Rg_)6&%2e*)y2a7c+yL7Sq&m z+}WM zoMG&ZG7H8$^g5ob!3wLaZ=;BeLMikl(?xP}b)o$P1^HdF zdiJZ%muBB{m@{80F1s%^ur8-GkNA604NK;k#wq?RK1DvDTba5;pt7Ez?<;|`RIg$K zhdDfjO7=8apeG*@rpf)G ziR|9(m|zS~2Nt7}Ts)<*?dq6Qj9@gQ1QAUI97OaP?y&{jmm<~&6a4~pgU9~?2Nr_2 zYVC8;!6!U7u%2t*Og;ZA-}{(rpT401v?b{k!)fqT4MPk+7U|LKAvwex5I^w6RizNp znMcpHrSJUDj=I5EjvQ84=j&h5H7jA>!{OBlPHf65BqnE70sLGNPPcYIqj;TCpeOGt zk4;67kQ_LlTVOUE4dj(YoFeEzRzO>hFC4Dv>!L5&Xyx^}i#`2F-W_>l2bRgVv(!y_ zzM~zQIZ;DMgpc4(!_EUAkr{MlbC?N?i z;wxAO)YsGSqV!aU4bUXikRL%Xj8Hh991lUCI7Itf_PL`67;#=>5lIWXr+I@q;Xp_Z zumw`^AY64!DJkro#p2(r8b4^YA^lSF=*~R=8gqG!(+ZCo)MN4!_tZkSP!Gx<`j+f0 zU!H~Rc!f;sifX}lGF*cpa-syMk105_1Yx-6Q6Zu(%Ak2l!!VSSZDM%W01DH2hT~8_xVVnGTQczi#cRhgP&gWTk_{=$Dz%CuAHdqfj`7 z&uIOZ!QI#A$U`EJ7FcH}J0~U!0aaX&p=w(QG637*2l=utACNDR=oiUVUGMi_zqOBd z?WY^q#Q=!GfBLvoRT2pkgoQk3XHf4YZt`xQtv!VPQW>r`Ziy_Lz642g-7SIs@?Zb;2N3rK4o+OukW4c+p-SgV>pzBDh5>^q|Ko>KBfVAv-!|6VL$;K^B4Y;(;t2dASLJ z=FyAxa*YuaYu-V}xYgq1Q^- znA%`vTdLD^Q^@(v=Vu*jTmDVRB9%u11)z17llJiSBEgnPuU3AQ*&C?Z)NjcvGrk}d zlqd5A6$ZGiQ9+7iG7q#Yh6NU%1zS4T^MFe^%-{LeG8?S@aPtu`H6N5E=sLiBpl^{< z1@%{1eGK`(hJ4#kKYe7EXccadJjbYrVF`1IyeMoY8<&$#4iry=WFdy%GVugv4m@y* zCu~B~NtQ?(mmsXhroIkWD z-QqXF3e@5e0Xd2&_PIqZm5RSrFKE}cEqGvmMGSQnj1=kbB_U!E5HKY*C?X_BNCw%NmR zg7&4b6sYTltv8(vrejd#m%A^7PEz)L{rGr)`_ngcrf+wU5oBZd$8XFdq3H_w&&s`{ z`N`#G{X^ny?+)s_iLGkL@#5PrC_>#0*kTpGW$=zgGM&%?EgNbF3OtYo6>P+%UFVx} z3S4L#slj~HO6-u2T%Vxt!y}|rZf3Qy-EfYg)sJ8R&k;Fll8&HAJU~N) zfj-tV;E!GzmM15*nvwm+eK*-PUFRfTE|9y{m&@xB_JWg3aNy8qGj2>;>D&`Wmd;I+M(}(+y_t)>uuv?$4*e6>4V%Ubh zTsa5^Qf?!g5h$_;-vfrm3)@wgZG|g+ z?d3Tu6UaF6pDrRjK}WB*fFzBd+(7Q;u*5m>ldOe4HqxB1AGw@fh~O-+qWPN z83}o`!wg%8J_vzMC+0D;rZ;0 zDRJ1Q0R^@69ZGO6!1-tP1W^8c*AjIczaW}!UNpx8DT$uFOiT50*+BfUZsD)*TylCW z2jUiFl<$?urs8CQ+79n@d4`U!F-K=aoJJfCN&x1uNS!Xgx6;Nk?mGxCj+FE?kF+|j z%Pv%7Iv+S02}b=9@`CVDV1vPMGu4vLh>4V5li$Ro!7$CF8MQ+EAABR>%hD-wzpO*T z?mIG6x&7`sem1KJR+9zFb@$P6QFXoGG)jUQN$^YbebyBPFMhULWvoosjc-nz)L2#> zS1G0 z#(&GUU*JBEBJhIRoI-v==1EkxW3o<(Kn0FRdF}$PJ!E>;c=Q_h+}UEO(C=2P0Te%t zKlV-Yx9giM??^uC43sXs+xx$^U+-^zZSjui{Qm9hWBc%U{TP~i+M)Bbq~L*W01acL;hoo} zuaqo%y?PZQ?!(`#E+F?f+Y}=LFM2pWd&N{21iH8G5?L z=Oi}nXK&m|jR+&LHl;7yuNgy*ID4OUz{7>T&pe$lXYaE;XYcThYcI^uAlGPw-UNAi znueQqYOScBJY4R$JTwr9%kk8>cBw)Wp7st6Vo!yzxo{1wAXbnYkRqZ3Xzy^oeBBz3 zB6V<5Ilkl?aBy{0#wtFC+AMA_)>6yAQHlhf)91U?3h<}An>s^tj(c(!IR#mX&)o%6 z1j{~h@#dhj&rUH1d(U(7gFW|>!QrYkK1jl)-%1q|_Az&slm{Oq(XV$X@E2oe$#>=C zBrh=8?-N9m>GLlzK@GYQP|W@!g(5&E^aJw2d9ln?ctYViI?#%k(&`I7+L~EsgZ^H% zDe_`00ycM{r_g~ftT!lTWA`f9G$#YoYSpP&j%HS$h3ZT>Md&+sKa2Q{Y<9Sxm|2R= z?(C-27$mYBlHokE(IVgu^boFF06K)O5`JNP7>FU@(!8A?3xs{*Y;daR%uyzwLR$U% z(v(JoG#PqYeK7pv)>j8Dre&+L5_zPe+Hhfcyj%(lT^2^=-qJdTZ`H=-=*P

    c`r&MC{1*DOYKdbEKGQ-+3&s!+qmqsBPD(uLkRcx2#YNZcrCbLNJx z@_9e9*%WyzteqV?TKr~-Bk3cBO|m+*^jhw0Pp8M{iG7`r?t^GtGdy);>zNkOqCjuT zhHz81h|b=GjV*&V&>5-d0^K=W1aFqCQjA8NsddP$GM&>+bd<<9%0Wlq#vp%cGFFyO zvBZpBZfZz6AQ_0ZpF3=gvXen21ymGT@t{QX3YV~rxl6d?bYnEAA_@Q?OIK(V%KIH( zw<#6V=*LZ?6hB^CRb#0#5KqPVIr6ot13EM8eBj=@1D*^9H9oSAqFFIH*O6Adq% z?L%VL6U{coI0^QCfVl+)SH34_A}&+|T-17bPP6}fy#VG&-gU?}g+P#i9_a(!Vt;>l zy!lM1nfHHbufP6;CesWo8|Mh>E*-vb3HA)Q#!?xUcX5gbZ-AMJK;Fr*X--pU6L08s zKz%y&g}iYM*n*O%f{hJ-V-2xwM9hXhUYx`G9X$8daV3MqtF)F9?ezs6t09BM8rAep zlXnScQ5B;%8z9%KJ4_8jb5|j$RNO@f=2MoTRj8LPvCz7_Mgix95MtdhEZ65MXnGL7 z#2Js?#8|^6@F=M;cJkq}IlfWVnYvY|;~E|yH=z%Lk)u-FsE%h{ZYzt>;}Z^lbe$j$ zLe~ioXNed}bbu(33fU7vLu3TA>0qYqi?E`y`km&BepUC|_*;?&*3PMh9&OIg&aYey z&<8ATFKOqxls{ju;c=^6^z|!;GAdUNo>xcu2}s7CkPPW8-a1&^qe;hhr^Owi*)xk9 zm)+tv3C{My?hZC+1+1lmNGN6*6C=fcBB`ZmRHc#H4ACjV9)~o=A?myn(Wp_=Y&&QB zOa`2By)Dw$ggknHX2Yo-aTNjvMMHzcS1%FfaLjU(p=*iu^#gv7a%_bU?7eJxndN2Q zzwUQr2jK@d^8j{RY))2QR_el4rFST5{D5K>Pjpg!r!ql)#SeOjWXnN!0oF6Bzp2{@ z8{rUk^w~P)d*zUR!MI`JHA}T&Eyxv|7;*)~z$_2k%^+_Yi)>94dg_@%z;(TWw`8+z z7sqHPzu%3}DgngA!?1^l-0~1679Y@XB}@-gGbF0Xi!dz!{{_7&y;wOo*|44%;We8# zLKSD5H>wb!FdXk_dz=xcB$txQfD*+37BcTP#iejahjf{v6V^xqTA10@D>AqiZ6#fD zsZrpL!r1&3+8S@8`=N-5#ZH`GUQBcspd9er?{)k#a&<4bG_s)BUhuzVR1sX_Xeq(B zp;hBVh^!=@DwIOt2W1cf8|*>j7m5bCnrpsW1CK{oTRA`S1^DY(8axEZTCYgKDB50H z!5K^ov@tm*jG$mTu^&3Wk7V%YW}q4p`G1(-dymPJb@2K#&rg{?KV|>fM?v$2=bl!5 zbx*wyRBB{1itE|RR;YeUIRkqc_6#^1tPTs0A|*;df#n4nLsFtK;5_%{h#ZJPX}Wxt zlBcTYpq0S-Is9W$<3S>&7jRqscK8cj9OPZRB;PBOiTWhZtjO54)3&;Nl|udYP0{1( zBy7%sOv~MCJmdKQ2iH{yd!2%13=fB>M8&l5b0SS?gK5@%qL=nf(I+y`Jx|*L{0Zh? zyIiQ*-aF*GSeYPun1ywVC%0!-P9~0UE$tiZ@Hsn|{iljS`5Dm`EK3z05ONUZpi(>F zs+u5Q%3zN!3lRWB&AMWWA%BAU1V+nnOvdk+B;0deFt~i`#9@5R!{o$KOQlC-=*eM! z%HB!3VggaN7hQ!FfU=v(wjRgdaun;f(m9zD3c1A;G(=LjwIMLLFhb5g^pzu z4~47#I^A!`dzctWy?E<%*z29PALt z@@MC04SxQ1=galyo87PXcaL{32mCyc&jTNSkvPot6|c}hi@HXl4bVkvj#B&v1h3XADD5 z5A_K=ge~#{+hgd22!Wtuy@rWHRIid`h6M{=1J4FJLqiVvDP{L4JCF)$WI?0Ly)e*yG&)CT<$z~K9lC^hV+MC~Q zA6vl5JtWafu*RpNm(BFxLb&f!!AZ<{Hi9`GJ%1z4kr-xhC8q$z4wRE#D_qIRQ10e% zw^JoS8(oH&T#+0|nyW3cZZ1$9gh=uaSK!4z0`y(I8jI!A^q%fWS6$_+C=@ zwuYH#RN?|(xO{hI6}iaW5{Fb#4-`5X(Pjp|pT0a<5xV-q4_mMjl^EkK_t zfDGrLGib~pP5MY9EHM?t;73it65+c(g)dQlRpFt@A|XdlE`z5edc#osC3&0JXQH7Zu_U3*EisZxR!LN6pt{-nd-R%77PlJE^w|^V_>GRF! zyZhh&1o8a&r~BA(q$ZxBy`3uu*@rMc1mrSgBJe@hmq+I-2z5Znnoe=Lyo&ipYv}yU zyAnFnP$=_vQ4U$VUpdy(%%mWnsdwfNG7=(pCdUJ{L^a-~h^NlaRL`iMitaudq6l6Y=wp_r=Nl>~*_< zip{uB$bXNQT}$Z<$`6-0jZIs}$kXe7ukN?xWXC@x?zrN=I;eLKZ?kI z(1tu_oL(#>efovmkz!EOy8J9`62uxpE-+E6$H*jF(ii|qsA%_uaOqS#cLpW3Oj}0@ z1Ie+LW_dciIJw$_sP#pWteCx?pAxIU5Vj=@EIB9MMubo2pXpz+&+zs~3_Yfl#Ry0 zd)XKLZAN2xoAnx{Z^xIHunJJK00UDqWlU^fCrBg?NRwRE6KdQ~tXB_86}F}bjA|WX z@>Zg%j8V_8sS9k@w?CL1yr3Co`zcxz{y@1vnT3u^VNE5=BF>d1O`kGx%TNzh;t9#P z@*>qK{sTStlHUo=A}St1zLBYih+Vh}L$*ApV__7wDzup(18~d147mA_X!^@i<9;gT zqBVv*lmvm)CyB3#Zwj@qk&0BrDq$pG69Wh-E#zUxQ$tlOScNyTIE@XOu(qZ|f! zDv>^Ad8t7Cx8w7iar3-s^9Kx@=Wa3{J$sYslO^!~|0jvkLXFZ2VYd*6FGh6n>y85e z8v#eFf6VE(K7(!tIfDl<7Y>l>3qRoYDL>%$X=q-2`APRa#^Faqx{hEbNy69gw+Xd| zs}x1K8>ux8hc?>Yjkk}%O^{=q9#*WdMz&#gh6S!q&~;7^Q3wbSNllLtLsDg7q2wk&Hr2M}_TFwL@py^+A0@Q$n*`vdQpZO2%W{ zW-yidUo}}rW514!3c(ms<)Dl5kt+zDaeGZIw%udZEnWnQr)h5JB!Qo2X$Z{cB?<$e zIq=QH7B2nmimUosylA?n_@WSUM}y3iufp2r`$@3dLp?y))Qx2oqS1vazu{~y(eNy7 zS^C5*eG=0|km`{@=^{ewY8L`_!S3fSFtG=Ty=k7I8~5q3-aKhTfktF3anoKDaGro; zgKc{kH!X=5OYdmQzu30jy~svb_9`O(ikU)VnFXr?fmQ@Q5i-c*?$6B9=Qb}{y^}0X zydUf=?ixGEwq9YdUH{N7(Q{)@QXZhZP=Vo3Kn0|{q-jBq5mI|LrKkj>X(mHD5Q5g* zgaSnrsbr}Bo{^YV)k)gQ5D5Gt|YX2U7|AnIV$-vW$gslM$uVGa72+dfs%t21=T z!xo_53LGF8>^_1vxYD^&j!R6lo?4xso9SwrxmMSmCtJ=$5;-EL3$`|iOfw&_O;yb3 zw7n5Je>m}~Tf+z(nQOf2sCBCbu5SIG{la@Q3* zEs$8o0gFLTyAH$PjSwl7uX9{}K4t>b`)w2-%%m*QgWRYnIIRB-@*1 z2sp_-`n*ksAeas7PHlgGxV%N4q9zb-U$5WVGF%BV0YE**QFlFDFXb$8%h$j<{Sh`5 zo-+ok@t9*uZSXN<7&Jq$$3C-;qm5}_J(izL8LNW!)D$WoI7)P}p3IL=Gj;oLjzWT% zpaKq6z)p7ubzxjmM!+*!7$9u%8}m1>hhO=2*mlB$|acpH+cNRB~v zToS%mZa7PLzzAT~^|aIx%59tz_HhBs5e7zcX?kR(h_LF3_Q2=SV+VhWs~wV#7p_+5 zH~W?>ivlln-yXmhqHWejWLdx^>+2>b($+>aGFcjR5zJm-!C+D>SKpooyI5LFkscb?e1fVIALLD?cDh1h?ZhFcxf^9Y$8eZ0XytwMOS_Cl*RKQuJ z#}H$yS-{GH(Uc8}P4KfuO#^}#I*DSeK|F^d2e?ekyB{ct)jI5&E5wA3Y1?DeLSAMJ zf&?>a#y}h$1x&$MiGv(u_aG-1ebc%_%0lfiL|K&h0ffFkTXNBF(;brAkR;?ycxK&M zNH-ybHFp+&QtkC9Tya(R%$O|AcR^K*`zBD1xV?~7xD4!8NuB93(bQ|Nq$s{-JSlYu z{5UP=JjE~^rTTE?O!Z9Ua)4|8{_y_s9?=)>*klCUP~eb$ltl8!7tnMF_t$D*!gAXx z&rDP^^Ki-;AdM-EjW-5zNjXmJh{`P4BJm90{zSsg;K?Dhf#qx^-V1{svY}#s-TqJyKDlFkJ9(cz|q>c%K?rW|CQG!I@HP z(cCp+_j@cHwV{Q8lk(qK!I{uB_F!G>Fv@Ab$NM zAftD;I9Q^>1T_L7+Xz3#a4=5%3M3g;8!CSBbT45OBk!CDxn^#SCb?EJi8!Rr3{U0+ z3kn>OgppjY@WXOOX+r0vSA?tVXf#0A|lcM#z-Q`YN?vguhi{9 zs90_z?(Wqy;dF-r1&Ao+Fvh9o0%4ZDutmNIZPxR`=?s10x`bxqZAvHQoQZX@9+W|9E%*fcWi)yTRWF{}}x37shW9+3JwK_BoA3fr@M`VN7Wr zh4>E=xGi8dq<2GC9#*$3vtc21VX9Gpg=LI3elzQh(afT!qfftYW{A<~zP@FNwWz*Z z`N%`WP?z;2Tr_)bG9xUUy5>3qB_S7IOg)e>z7M8{WP6Qaiu6$s2MMC-nCpEc&T@v( zr=~AkeLrI0zj?+oJih!A)DfgnNKLqW3=S&MI|4^7X34G710leL8A19{QAoqCBewHx zVNeW?y?XafVtmJEhvzL)kC6TXiH?L6NOvqgzZj0U)*vLjuQF;fK)UIX<1!WCDlk!I zI-wo}jNC!UWxuKJGkZWTnm#;lGXy$tVluVu(VU5>3U3l5x^ZqvL4eD+t*?5`zBPlQtwp$l9|}^c#_0H2(MIxvi6iV=51QZahmXNK|6WQE>k6Xl&Wnq zftC?dIL^+`*2bHu{Nel@{op}0IK;UzVUyWQBHY;agdQYq*D8z*AO~<2vLGZh0slKr z;c7-_;NjseJ}-yMF+UIf5s1>=&hH?BgJXj_?33D%X|c;A35Y|U#K@y_ta&pM@F(Jl z#w>&EV$P|uFPO|VOmd_PBuba7D!8f#jy67tR{tRF2bW(G@7=EEN9QN%6NCf6RTnRp z!;wpo^k<@vn}wK{jTh3|Wm_>xXjpi=HktE2T5>i4bdQu8O|!=71E$=t2Lns5`+@0x zOMZr|C=ZAP$PQ)cJ%fJR?)T-kzC=OIHWn3h1F>SldE{M8^s9MOXT{kR{*KGpFFTLFg~_#+F5ww`b`YRg&de8U7Ns9;*P7O_E|oxdj&jNeYzc073Td-q&-5 zhLS_%TigAXY^}K7;4jexmj~&BJb3@h^}VTx`$kVC&w>3nUJeD}O{?oh0j4lSIi%Qj z=xdtUf1_b2woOVAoY_*F8ji#{hAyHuDrr&~!S z>wr|ODb0dHOqC?OY@!ZN8AD1Af;{MAJl?vu7qS^$J8nPR+;=u`2A8^k&40Z9^l&5U zgum+PGUaH=RV9z;rnNGYCY-YFP(|KVCh1Azs#6Fd)e4?3>0HvOW|GhX89MlLF<)pW zWWk782DLFy@~;i+TP4e=W*=1_U&l$he8{n~5)Hb(SctM(U#^#z16@gk2sful#cJU( zXphei7l$}L+)7fr7nNDKMfZ~NKn1g9W+tH3U{J&TfZkk60b_UHN~|mpLIf!uEu}Gf z*X8eiZGSoZ9g0binJxd504A5cl~9|U_W)6^OHl!AIvVbct6sRT7`S;V4W!3A+_FOXaO{_F4U{q^S&{u}5&(~SH@OZ$%%jZ)OiBy<9HB>F>jhI9Gq zJUOg1D2OM{Fbh7WzKeXILOJDkWwp}?cdzmL2W(%OzM6H?BnU50a{i_%pY&HWHt@GcI=&ZrdKB zl%9-udGa5^Gj%1cBM*I2;Z1_{WcMN-l`FXE(grWek>*2cO6qq(n6qu)9v;KDgJ0X8 zhV1;o&Y%AF@fJz>u1$H*9Bly-*kFCQLeo7H`J!rbC`6c5*&$$&tvNTgm>^5k@4uCm z@nL2?Rh(6Q4qWkKrRQz04-E>0&jztDY?KTMY-E`w?*6p0D9%vc`Qhs)>?`s} zRygh%<}QK!LyD;rtNazaYj&ZXRVIU_6*^%(Eun~3$OciI$XXr|hi@OI4?UF>Q;qRn^2S!pd z;OD0DJ$Yn!?lPoibKdEBng||LkAR$sfYcpmLh`M6_@!)B6{H9$X=%AAXl>_@cb{+H z?_9ndnCs*3gUcv3+ZG;<{|-N&FcGhrz`@I`BA%MbLIOT;Leb0(ri_ z*_>`of|DJvm7X$iN!eB zzT$I^@?2fyZ~7@muCAShqB#V*KXB_~u-D5zi>vnR@;k^f2zPD}R_cVP0@8$yq&d+* z`$JB&0)$vPh-kb#YHQ$0X?0(UKxC}R6DI)dkEQ1+i%@r()AO#ZA+XC%*&R{r3-Vqh5@uWGRLWP!> z$V+A{fPZ^++{ghx7-nh-n#MHaw*C3bxA$OA?WfxZq(HsDyZg)SO*ox<8uVCQgwr$H zlK_pe!Wn}KCY~*dKTNLWTmW5M!gN{~@EYMibc;a~$oBH+>U05NqUTRY1LOo};#dw2 zB@2MYHtRL`mdG8N_Ir5{&MldYr!YZ8%^GkNu8p<>)no`PE)v?)tVGLoCcB`=tu~~L zMFXrbmvRvpeXOJcif21qhJ zg5ca7zk|c&5UmE%_=q~T1ZRe%Vtz4tM}v%sp+khJ(v}(ljvP%K*Qaw!>fg_p?0Vtq zRnCz>2A&w|y7Ce)Mth>!hvu?x@TlJHtRi$|tIKg+Vv~T9?uer*_{=y$MxBe{nB=6b zP<@Z-#t5xknrR1m(n~g{&sQ`xQz)9n4r;LQBCw45aD`j+OjJgZvJw*doK^#KQZux~ zCuZq#oxOopETufh0HZNe4cbrCM9oMjNCz9H{AK?c{<0MQLQ!B*BaJwkGHF-=onc{M zf`;R4r5PW!%&SufWXtuy&XtzXe!Dr|dQg@JCiooZ0l#v-I7c_hsNleg@j%4}j1+2Q z&_c^0T{XUXs^wN%sS3006u*yH{O-O4-8RIL(bN)1toA^2=E&*3jYIa;`!{lQMf78Zyurj*3BT8O^YGVovkEE zxdg$%6~m#izO?Pe2-!uQ6>ky1*ZrU5QDW1=&fgra&$-vgAb`~Q+xuUBQeQ84GJ9pQ zQ*CY!iD{dR3I)ekgduc%g0ur>)inN&2xtn&bepbw#^9s2C6ZK>o$rS4nv4CT*Zy~K z$(MyO{&aT0Z2da3 zcLg^oyVeWS0JRVnjA7XT?J1ryS+cCp8Vwif3zZRLGx#k=K}PPkK#0@Ga`@uz+vC@7 zkL}0%o4ZrdtouYTP`!JHd>!i(pswnX@J#c!n*~0?cVz9k<+bXAe&^a0 zS>)9Hh$cD^YiL_3lrT;JXzXn*RJ>ZJ?t>t}I+Jv56Lkk2m=MDBRs!5#YRqtULTCl& zWg|5~5*Y7-I_PCr95ORwGz#7RM4tn}xkw{&sS(_WdZt>p&CCTIxu^*`VB2@hPp4TL zaU`Se1X)V(ppz5x1Zh6o_o(2;Q+OY-i#gJKlSX1r{KB+_)spXfkQewYnN1qCIhZNE zL%!kl2_z+|03lOP?DR|umxJX2F)z~gHTdiBa7nv170{58P>j@{#&~p4#-5ra3!E1Z zJ~^GstvbxXs{-sl}_O3^n} zy>@i%;f=A?s~;Se5WUc};B)F4FS@s>PJp!re&TXbDg;Ok83DT-3PGYY@%;2+@BoHP zhK15xRq%u~42k;9x1ObzE!bGtfW&yk<;s#56LgVjEbPw)`}>7x562Zf7Pvkt_a@Xd z2)3+QDnVdHGZ>i#o=c)%Q4DxLv6XM2P?83btns`Vhg6pR0a6a#KA|1m(G-e7Svr{1 z->&aJ+yFQ8cde__MipPa+_5X*PBscV7)xy;=8*ONmxFF38u{hIFlQhO_!mEZzWegO z-`EIWexk3D8lFvrA41|KN{fZNTORiM%{dhS!TL3Fr*f{<5`xN(wQk-BF1ssM#@DKI zWYuz3ZD)Z>B>#uI%SyYWiFE}^iRLcqHcKNLPa36VIb)OHEo$4lj~^dy9`8QxJpTUm zX7}Um&8H792l>T;UKKZi>iH>YCTv7VOdRlNUM>%agqS7plG-=t$LLQX>2XP^==!bR zC_6w_bYeq2hp3pO2dU1GkI$X}D$!~L2%P$N$;_iUjj(3nl5=>F=Uci=AoVoK<%g$} zTz+^y$>oRVlU#lnX_Cu3V&M#S4|$Zd^%&QS%BYtxcPkjEK_HJhlD zDOZ*^`|XQm&JrO`_ib~`M@G-Y&B+^NAHhls-k|yfrI>i2<|p)0vtNY06_Z}6q1UF! zd&L1+tt{^+Bwf%i6d5Zb;XRyn)I6U`f;kn7LYpwbdv2zL)?CqDYQ=cK3Dcb6X6P}M zEN8eG)@w$Gp=Ok{$9GI2{wSt3a$6e_s>0&czA1~xJ$4L!OG&1y$M(xaNR zQS~``3K&OVN>2++Mg3@$XuJ>ELW2Ae79``0K3Z9m`aE40H-pJ@5-XVG7Q@AmO_hpa z2>^rLGjef&aPRCu%vcxfUPj=zYA;@`Eg>w`TEqvXCqv1yLjE?bpTJ!(_5wbfUO^l-h?>n6ZFf4m_ZR5^bvGOD~x)2 zd*9CC>~*SCF=3aTO1guxxh}9~9TXp0d(F&K1~R7|E_-eOSCF1w`GYp3q(5Aqqn59C z$RDXQL7vE0MD~T2pZQDjwSQukE?(jnv8X>R?+{W|y2JtrPaDA+Uj+l%Chf@^tjFAdSZwfg{AXj4in~WMKiciycT~bgzJV4vT zdM}=j9hvY_(`w}(yGRp6h&`A!86=aNG}*<%oJq$Z@91H2jN^;Vd`rus46*GGrA#T) zLr6!w;PG!7WX8xC;^IQ~#_C(-TYUa{ecyik(mujS`FQ)~=7Z)$vH}X)&E*jkIet_| z!yr`Y|2^8&T`#hQev$;<{^b0XtAk$S^=2bgo9Ju)+ug(a@#rs!;5Q8eKOX`W8HWzL zyLs1}mYU8{S~mw%9^wS^L-f=2iCP;YFKT6okCIaKPO%HTphC|J$7O%8FXpo2(nWkB zLuIIZmG%;kdAam%&!{Jg%71N^oU7cZfX#8SIrf4=G&x@ba*{&9!iUZJyXopMymqZi zkFx<%6@QiQy&$8>>mZC-=;D}|5JyxA-Rb2`VpD6QR|ZGaPf3%?{+0XNplfq)bcGY- z3U@dZCxyP}o~U6nL_`hBcrg7fnkd(u*LFr_ZJj!5uo{TIJUQ%l?wusRKp%n-ESC++H|~9Hl6)IyQ{%hVO9+`4u8-=m*-Ld&1Yn!h&NqS|pKcdZ{TSj#6U0a)yBqr? zd6phLVpgP@ZMn(#qP>(3T@qpULvKPnQx};j)A#!LY?z$zM7o&v zba9Tt1#r2M6zv6`%lkM1oAL}JHy;+#rSS8kA;I)4bId|3ARK_W3h0FAkpMzN7?L@T z_z03}&}Lee^G|i0)D@{zQIWucWV@>)$sWBrMIK*7%3`<|bLPi`F@hF+EuvN;9~53r z2=fd`b9%UPJ;mb+2v19D!}2w6X?_Kq7O}(mroEEf9w8<;4kpM`ww;o6Tr9h|2v>z} zs6`x|DJm>YiIOi;hYECE4peBmGlgrVu56R+0@uv_HmI4-WoMh*vwYCk-8{o-nTC6l zlWPUhCsiF5Du2sdE%x!dKseiiJBZRdwUQr`n8WLr1C`hBOwrdTJsc8|xx*EWGde4f zW5OS%d-UWoHInp9l^s+BM)9QZF{_O)h+$Vu^ zIbe9BL4jCFv(a0<2}?LgBhBG?EQp;vQDPsKv-FvQf#+c1#92UM{KVs?lK_c29N_Tr zad9UhUDVgh!=MJo8UI|4D{51Kq#w9tdaa0Bj1s@G{QW;djAMCI26hC`8Xd^2wtV zBl-x?>*Fz18+}h+OML@U03WmnkACpAvvD~`K?Am4B+!6^7l52pkcZREdM9@q(FYP? z3y9@m7L802sE`Eeo*+-t%61=41uwtNsJ+S1PGXpWJG)B445^PpRp>%_Anc~X*-Ogx ztDDF5munnnq+Mg1I@hx775W5{oBnNc^K;D1N9u}!xtJSdG|AQc=-(fW|3GADjL4Ad zbzZ7{gf6Qs5bUG548wGRsGVk|?tHlWhF5tx`hGOp8}5&1do%nsg6enk-FP^I(woU4 z+R6!qb;fKB(zx` z0hDMdWrU`99+s_pvq?g^Bva*k%h&6(pl0NkD@sN&f%nR?#u89XWqVu?#Ez9q4yVvq zMFfrpq2{A0ZNFwhVX9FD1+>PZ%Y6c_mRVd2g)Br0vUK3!Pesha`7k?B(L^b)7duc4 za}!Q{7glR9qf^-e>Td=TBkmWV+G`il40OjWQ{|RY6mYRQ4eMPWuB>~{L|uPz<%wE7 zlxol3<2Kae=4H+8dy4nMLk8qlm~>c4gz8IKwLRVZ2zL&+m@~B}X6Q-q2Uq5aCk3zw zsy$$L=#N00gCx>KdgcT2e=PTXt+9e;26x%M1bws!FofRuptIm|SGe&KChY%X>`j>C zII=WB{*+vmZ5!A{)nw#>1h!{;EiVv|aHKK;lB%|`FpvZ#T9F_d087$L&;0iNzIXT) z9)MIu0u1+zjBtPc&hNeFU^0-#S&IUu(B~pFcI>GM&AuC^P~GM$)J-|{mGMwAfrs$% zquw`HJbm>_{6oB{o%qLoh<{9Gz@y_%pmeGpDYpvbQ5&ofM|GN#2KiH3@eD43aQDhs z<>s|nMt1h-@K4e1PK|HwUk)2cC-LL1Zb*V+kjIJ$JzxwV5;b(c(eliNc12SJoKdWF zwJa%cl(nrcx=l9n(aQTKYEZn3&~gAw4&7M=PbZyKEdOO|ks$NCx|77cX2^S)O>^Lf z7GT$8XVi~?Zgjq6sS_L%39vlNsuJ9P`F8j2rltQH?)2LaAAbo(1~Lv)B?74CkffMj z&v{ zrHa*(j%FxFrKylw*$UV@1puB4;LgE-S(7H)Nxyr?V8Bae|58nZ)yGJB~R z1)wa#l2UzQ0L#RGXMGm`Mr$_odV49S6yHgKNoE;=7bS)Qj=7hw7KWmT8!fC&;}jV^ z$TGL>8H&*nR0T_$Q5}Zu5?L=61r+)~>(PLQw70a^SXMzGX3!Xc1zi5TMDGX7cyYQT zdyKVA0|d;Nxjl0}NtPR0oo%ww>u}tVi??|6`O7m_H$^@i9Mz<{^ZD5tN-Sy7A0GzM z)m8&X1`!EfLQcBkb`e031h-BxcG%Lv_3w}E_Optrb`YbaOx zFU7PppgrsOUp$~ai$0h>>Cns&-jNp|0{~6|k^5Q*syjutGFe|eK2{hrVdZ^OjGe#2 zILkPJjb81b(QUCk9v}9P<^&e2SFB%H)M-owF|&lP7ujL1;YhQJ$1^Ui@6$J zggnCNWiBhRsa^^01kvtXL$?FNo(zSh=r6Q=v)E?c4^?Fh;A1(aGD!&}x*xg_9HVo9 zaGp|v4p3i?Zg`-`1i2fsDUOzCe^yFKW1t6GM1|QhWZzI9RwMM`#=%~mUaf#X8(=-4 z7BA0sCqOT+w>v4>2ysIs|6}#`lE=mRSO(i_FQtJ`X}j< zMID8Bg!ws=XH`RKO64SuD`RE~A(FjOX~}=MKZB|b{!^+EcUeA9K0p5iw{ZLIEA5*X z;#1^r^d#=>;h*0Rn&;2aBlZ8pf2lByEI5arhsv$!uE?}9b2;uos(k1Jm$uHgiC0e=zjD!(^aR6rWWi$^g~HDHHm=Z;o8$L zlne?GC&ZRw*u*p3*a%cUriFe~m%~AcM!o|_Gsch%>xP4VDWWBd871X+#}`^32tI4c z;TvY@7qfwtGs-F|fIlVWujXXQK=zYsQ7?u3*Q+1(9Aw1 zODV2wkdeH5X!Gu6Y^Y8-5-k&0z=DW%R3Fq$ij1t8cEE%#v8PY7vStcih9`H1dX`mm zjaTdMn~Zb+6f@@%jB0Hx&L@TnQg;Q-sw4U)uD_u#Di0!Xmsx@T^kS~JWgm!&d}d&X z{$1*pW}L{F(_^k2*bJdb)??D`L7ITePgIi^-_&jo;J53~EIssm5Pp@ig^1aY+8^Lt zTP=?R4OwxgFi=_6&JYjp{kTDDy<5&rN3$2uaVR92Th2|0G|q7(b6rwZiEtRk z9lx?p(13v|e<^4d(Wf2{RCD-rObBz#Hzcw#$&Ie>1)PcOFGqsI6AL001+{@o#m9dR z2eUq0Ii5Wexf7aBNTUwkG5bNvrQ$1vWiN3;@gNiHyt-nD+0;R$XxZSX5)OhR9A{W9 zBJqVgvy!XSD5wJT8lt)xHbr?ZJ_vaU&)s0}duiRke@SIdYE_cpTcQvECca1mypL%~Qhf$xQS_iP!Z*|NietQH2WsU(o0O z+(!f|z|TNC2ZI!H;V;r_=i(G~S*({5TD&t2YC3_HIhM6#sl8Bp5Q?HXlH($x_8?;p z9=o5W?)8QRI!)i4(*1p995*7NrI6BibOnBkC1mJCSa_$)S9eg?9dy`85d~KK7a;6t z@A}2t=Ywm=^0&a@9&d=4-a~|*rVy6Q78>Udi;mUTUu2wS5i5@X;fVrPr_TpiYCIZM zTe$8QJ5*HOyAOq4iPd7%5U!8&Gf6k)PjP<$iFN4(K|F@Tm2|4EuH%p`PEz{Kg1eQE zG-wHqk0=taDGIGJY{#3?-ae6L&fyLL&ANXi1|4`V(MwF%o1kC`7{?>%rMt-iAWYvr zJ%rR#j|xsL!E}1~O#Nn7jt}lEl$#XIaR+!`d6Y&idq+ZAiQ5Ixe`!E5(SN$z za{cN=!vQG97Hm_89!MTtxdP(V(o?E=c60*dg=~86Bu`(sv7)u=&Un6i#nv^iJo&(g zV6M^32y7Jl`$~C~?DHyQso4~(8@?gV@PiwzW%cQ@^Ttb-e@YGMY{-R2IYDHH!4zHK zqyD#$j0A*~2UTXsC(TYC4FismmZW}$h3AsbQ6k~anq!Unp(|vPU7<`Lc^eKlIC)wW z)H^ToC*Z9K-_4T4rD_62vF>&`7T>x%qmY`yJFA}`{!TY7xv28}6z3R9r|U_k5Ju@d zWCn$@qnqtwN6l<~iMaU{dNTg5;V+5III=-bxcrs4t8^8+Q;G*SB?JVtzR;)vDj)3@ z!`FM?Ah*c1uPAc<0j7wA4fDgkLV#32ir{TLMXAQb%YN~1*ccsd!yNf{Yct=A`vS3g{?d3Nq0 z-;l_Os3I2UfnF(3BZ}Tir-9m#ZYwuraxj?8QftusS}ffm+GJP_Jx|H=bY{u}D`xcc z(u1-+h0}|{4TgP7Co6J9Ip+_8TU;|meUv{vO&4yrRPjJd-e*njUk`~h8a+!Dr z767i!)wPQBl+9wnK!xw2ic>yGP#!fv(o9#tO-@O28JQ%-e)(q$ehf=}F&!`&$9DAY(Xnl?ig^X6^Sh}xvo zDRGeW92LqmY?>c~$WFEKDLu|8-u0u|)F3?6I9abW?Nm05RA8>_M2@>?lJvvGvmF^m zl$Q+ynV{$c)U6hrnJ~jMDN0VS6ta!M9-IhVA1-AJ&JrMMf)b1fwV_&f3GG)_RMUM3 zt~C=yR&*{aDnU+Pdf2@{ETsl;EfInFHZ+FRKjh#h2{wjEfCRG8{gen}l zcW9D}AkhT9u$}vVMHa<`C3t;+4i?)0?3NmAf~`uqZi2bygG%PLyK|g@djOd1ALMMO#s5LRzpGlaWIxBdC`1gbmn|&l9iI=-F z;)kp{E{9BH77(Y5me~((5!8oR4ZJ_s{K=3dGtEhH?#fuv$3bzQIXL{PKW^s}@~9e? z-7e+*S*ijKfp5eOgRba#=vQoGyY|2*cKL1D3+dH=rX9i^ei( zVJ5y3H5N_PhnY_@oQN$%!?IJEwJeZnvv_Zbjo9M^NHTnx41~=QWf%XEgbtxjK`RA~ z$f^)wgs9K3U96d^MGu*_dasx|2nSwX^b|@DmX%G?XX3UL28nd;QCDjd*pfZC`V7@t z%WUz;lgjuyUt-=K5gW}F9tA7VZW$uplOs1Pyk0l_7`qENUl_AjFn~s6juH1RsxnZz zA)E_V8%z`NNYz+c7|riTP%cC z@~jDEdcw21DFbDcw-qq#%byKv6G&q?a;!2J8Rj=Jw|9`r*snv(v@T z@a*rjdow#c!NY|`WsK+gB$Sua;0RpPJz`Rh=hvrMzv_%1&Ut zwLp(O_Hf9su>`0~!Wox9NC&XF-j-CWGe6{TKegJ3JET=c;~K?ZFUlXXKqkzMq(C)*l5W zD-ts;y_kPF@@ciu3O^7b+T0&#+j94a&~{ARe2(IhIyiM4+3+yj2Re-rWs+xVt}{Oq zN74+sMbaihXGpAQ(P##3HGW1Ndgz^auXfPdZ>J}hmpIK348TdYZIDIc=PTT7>Uak2 zP`s}-pK{Rvq^2iSAnd?@Puxp8Zl8hdcE{wZSj+j#wF!mjd-|qZ;`*oMaZoGNZkGwG z&Ga#4eQ_SwGL7K5VUKMV)vj{zVA=EF@r?4;9T!02#6W2!%v6QnOKDoqM@q|+bJS;B zy`;y=z}!-0)7wI(Q=HpXCx&;BcIw_kgFnOLs~!uUF&;M3v=YZ(-jOtQ<*i)3MZ$bI z(ef;>pq_35;lOywU^#***oTT&qIu$gKX>`Lgh68!31Spxgl1_h|5}#bC}-%dAq4~y zvg)xWlM1gVT}I^409mbQdBL`AFD@^Z7aDR6)#Mmis96uqgzg;EC=WYnWz;ASdo;?! zpi#O~qq&}&-QU{?$=}EM(DPsmk~}|v-}3y^7!5kzfY&A~6~aU^pbG>8g{J!~l-ilG z)`v?m=Ns$uG0SnpH8)&ZHm*0M2N@P#(yx*28s%%ivRuf>c^(ZWNG}if!O;Tb)iSgn6k?ISfr?&7U?#LkZ_lV1uma zka_1(279^r8J>CHR{aiQh_QFtQz6(fp@wE~zdRrK<-zrf!P^&ucaYUD1~)GTA6^W8sRObQzccOqUIGIz(j@ z+^jI;ic7TPgj7&*!TA@A70aOqV(;}8Sp_vk9kjvzHKq&p-$cys4#U^HmdvFb+7(Jqeo zd*-}X$K^dyTR#}*nq!jIV?{Fn_!2=71%&n z^bmmQ?joS$?`EgA9F<#$%$<*6i?U+cyZbxzWPf{)7SFIwORb$;7bmq|RGjMY+(@bwq@vY15F{ix6#k8^tv0cX@nLgPi38A4n1clXM=x!`2=n9)6D?YLeTTv zGyn~|Jfb3`6Uq%M-p@UwAP(s~iFg-_KFNu2X}WG*P|-}|_Bge>dOT z=JtR%%~7OSo#qbnB!E1uBeyOcsH|XTimsiOc|`0Qcs-s5kpRPB7059Y8D12nbt533 zpfAw2|GfV6?IxvXr$Ts#SWY$(+X*Qblk{fV=W_4%#aCi_zoIhghxhmG+m8?T|AEx> z|8{<~f~R=*KL-DW8kfx}7gmzg|L=i~(7}Qj$7nvL@ix|!5g153^XS&LGD)$iPi>3fWg}U=MMZL@Z1uy&o zs{jO*AOSr99f+O7S(xlrRJ8k!g$zGRtTJOsLQHKIm7>hUz>}gRtv!~MET;3M_Dlyu z)={BqlSNd+)DS^H@iRsc+V<7arp5nR(=$`cGJkvFOfDq*0g(i#)co-uH=q94yZN0w zhJQmUs9KLD6hp{}O1xAF$68B6w>7MT4Iq}Lw?h*l8|s}VyC-{_HQNcyygO83=aosy z&}|9?Ef ze;`xw!_6I9(%b-u&{IO>19Z*S-t^0Zi-l_=+lcN7?ETeqAmjg$fNOW zFhYgOns-Gyk@O7sd94l0W?S5XiNAtbNW5I662Ud-nR$hp4Hs!Nq&luIYX;FUQ8+Xz zd9*t-WyOArg*AA)?r?>^dL}B31s6}^sU06crPB5HP-9SfhZEO>V!9B+)F|gD3ESJ( z;^f3XY^Bh6vVWzb->EpwILnE9S+XjpB?O-Dl{>Jg-DHqXl0pOOKsJx?qx2))5C&F1 zPjD6V_=F*hlihaj!?)Xa&tXM=d_Ul?-q)GhnCv*e-5Dj4!{|>mO!MU%Fz9uq8E`U? zQeN>nTg@v;E>F&&5vXJCFcHtawJQHasY+I=3;rpR3EG7I9k<8%#={ zvYWi`hqWi2pMpA}$he1sVnTS1=Vo%ttS^EIed;>x2h{6lffDl|diDs`8`}XyhFE+j zD`@lvM}px}`2K2B0ux#Cv)!XnN-L3BL7r4cD@VRQPftA8CkPW}`bCFcIiy=_MhuHq zW6hBci?gl~-k_Yn8?6m@zqU3a4&N_G)% zB4%7@_lT2jiu7wh>>=xryGR!LSWkw+X&m8@ah;BUt(AfcOG%<%WJ;1db`3Lw?z^e{ z*e!pAxq5OAw_#xjZlsM0+(<0zGdX<*+ljrzVKos!$SQ{DdW;U&h^$F_`Mv)& zpbd<`Oxu1$0Ymhy82oG7K79U)%7uIS&*xwNZSdjC9dGavSBI3_9|!+kKKSJWncvsv z&;M=k*T3TObNlpP|Ee?pH*7Du2uh@WS>^!X_vQL@b&>HuR&x=J89{=SFUIRN?iWUd zBuIN&^}0iDpaoNv%u8YhI_+FJt%M$>HS?Aio9)Fi^K^p9i5rAKf)v1uhFS#4fInny z7~YHE7zNcxT+vQ9&cpaX@quEHq=kZxn7CnVhuBCFnisnhsmYLYhb2iKUma*Nb!Gcm zaK{#%YJxvSh#>dZ+D(^upy7DQ@|o_CX`F$JV+Zkk(a(BDl~#?z1#L)=zNpq3l^Hee zP!S}=crXI%cyqRX2`3@^RD=1eEv&Vo^4R?H_s=)KT<=d7W3ka6n}m2iXB8MOynXaK zt0M$CjoLu1TdGrXh9|+3oME_U0sof?4Q8uDX@#c~I5f8bk5%!@#++uVpANjPsEvta zvkN#@$OUU7e$UFd+)=*^#^&*lsFd0w*GPX0Vx(_EMUwPRm=E;LM zp^=1ib#J=|#r(&~*KsR~QT;Aj!5C~Ft2;W8x}$_O$!a5RdnTDcBUds|W5VSR%3*3y zM_7p-cTrpE&@sONPvLUdh-KX}TCb9!{dFKpFb^$inS@V;#1s@jr87a~{bE>z1&}?O zIST12b_Pdj9P`tqu9C+yKU{yhSNCCnd|N<8+5sg?NZq_TM;Fp#MrENB_s}+oEt=pr z-x(zibFdPnUk|8N0^xnFZyf>wSdze00jlmvJ(?qA zf16e^uBi2aBXl+X3NNTkQZCT8Umx0EzFptF2R`KOAKF!vm6Y4-+uJXU!^4T0PY6ba z$?BSwkqz=xBiQCLz=K zWCaj1U=xGA&LwBK`G7o7zRfE3cNbczt5G=Mypf{6qRXM-Ei3at?bU7Hzw`m8!rCy;2AP8A0va2W5TV*{O)TIu9srISX-wD?7excl zvM3-OPlCj)SO$Wz>~SPCfD-2$>9zz7h%i6(mlIUUrLwmL96TZGd_ean@f+YX+spNd zeSZt^)9n|znd(40^pB^`C~{i1Lkf!PWxZJnc91ZFK1Oisbg&M|6G_QDjG#&sG>Gxlo2_uB!;3S0T6mT z+Gr|BvLT-!GoA-xNnp&-r=S;g#a~n#J8m&o8FN~%66EGIcQP1`iKJb`ak>=6| zO(@w{B$c4dfOWS>Z~@u%&VK5s?4<)7u-8Ov;Xtt`j{+P-ZxOiC8UGGagKJrgRYXQ@ z7QZsYpET~Vm|v}0{uOR-Lai9Cd_&Jf{gTKl5Jd9CIOAyhO$bkk62k@hMqaX1FeP)SilMywhc_>+EpjO?wHV^ zJ1__^rWWImDj`39Mj@JtpwvT+w6y@-k4Y=g_yTf2yPDgid1%R%&LF~<$8SrFBxmdF_l;j%s&~p3+2p3*s(ExQcm(lBFY*EDZul z8JI(AtCxLwia{YbldhslN(kEB1Kk1LXnA~iZNw35x>s_LvbTG^U9gIbSIX@l^Czs$ z$j?RS$4>PR9ee2;fD+)i{MxYJymyj(Lp($PUXhU4wi%1z=`Y#U@(`tiijXHmp}}Ki z005Sg#}KEDQMtj;FVM*Y-N@uH0~9VqjzTC@LL1K+lK#NR6K`*%3oG0ckiuxny1D!K z1sOztz`I>u3S4169LE>(_sK8juak`>NxTb^C5@&QVde095eN#Ru0A`VIYl&r%u0a1 zYO=H}@EiIk{S=N@azxz1Nv2iK+7ln((-4z!dCWF6rxIP`2B_~OgUMJGYe}jRUlrU+ z(N)FDRi%*w?i3!puw%%$xNbRGurkRX@csk9ytiK@BqC_CmKTEhAV#k+4KBx-_6C7B zKvgxBRq6?FuS((@5JKBeoj3x{6pkPfX4TNqh%6|Ufj@~EC9e|Qk-gJo?}_L_405?d zmzdIF0se$Ip*T6lv!JS)qilmB2+O0FIFXgWwJ5Fei}kUj`u_$Mx_btkFVu%AHG~~` z@Rap#@yAhO(m0P;B)D}S!y;k$2`m!*!gTAa@j8yhS*6K5+IKyCdH-P`KR?v=)J=lQ zc3WKzQWmXs9;hv_|JVtvJ+{-s*h~pf%n%jl%4J`xa!~d(C0vjOVf6e4C?*(Dq-`!J z*062pnne=HOV}p)pag&DNTBMmJhmT)a?3RG4~0{DyuSzmbypRqPmA%Xg!lp-2cI+2 z-z;|0jJ!%hxW5#@8F}f^EMVV^#$G%j<2m>SIY{9PnxRs5@Hw#JES0qk0uMCCY9vi#S* zPCMKKw!O%#75!4Al%ri};z8-1qmPz3=!NT3n>B;A8&KHdD=y!?3-&(>T}XLazaOnNJ)Nkl3sBeL8QRW?bBb8u zXi^>aA*509;VGN_5cufAKcOWJQKKniiF`QCcaGE1XMpwE_-@_V&2q%-XaGDA7W{C1 z|3KG6Ypsx=T082`Hdk9gq9#Yp)U`o99PQop?Rz8$|Nh{N=CCR%4#(l`vpD-<5EXnA z+F4xW=#Pnz=c#(*%oKB<10!Ijb%WUvSX!ANG@X=RKm{6!t6m)8)jhx%RSoJ8dN1jh zrj-MuT1l6=9SUjHtv6US`@w0P+yLIYaFpR4L(X?N9>w*_@hE5TJwV~W6wZba4}F+# zj-n@@d3-xC3;R53+&?v=p(aK#>XN&F;DGSCYvB}k^ifurnvA4fMXbx(p$}J8B8kwr z-xE4{u4hL6@d80KJs?;Zc2uvHrx{JgUR=juDhM9n^hAjOg#z`(CG&yo0nIr>3jjD- z;qQ0nr}2z5!Ry_po9jD-C}@4O?S1mkbf15^GQ6O$7Cb6~voK*tc_^J?2q41M&xxe( zh;o@=XAL{YE|~gQlhiFMY``NoqDARTvm|ne^UsEBzI3LO1r5dROI3(b!4$iy{O$zh zR)vWI)M?BWMSaj?M3oGb$|APX)++JNGR*_%{y^`&Gb)tdKUdEHBC_*iO?k2vOV+SE zsOu-u5#~(VFNhz#t{^Ppr-M@@nJ!#gYPgCJB#8mR8qpwZ24NPV#I$GxPy@ z-i-rGSSm^{CySVr4xM8|dCOFNf5M}0WPnf1l?OHNZw-mct=03jT*TroQ7%qqJYHPm z%|a^+Uo!PDAaIHieKWqc>t~>OuuxGI1T$VG9-$+ohCC4gNT>ZNGRi)nv}9`$Fd&Ho z588%EOc#_1Yy&K@3pKNbj9QeQn@@AiDF_;%5tFIECk}pZ6zT%#jIMKF1}4%yl@* zVeTGLe`;sb)k{7K$>5TD38WwxFc^m?n*#k}v0-HY3cDT(tU~()u)vZR11f3+Nh>I{ zgL;QQ@A2mbe?H*PFVbQ})2?E(5fNmR7kd75lM-wdWCnzp)MA4pDM8w3=O@+)HFc1o zaARMhGX%1Cw{$oukId3jgFFuu?q^SMKwCoHeszsVeKm2+4hhR97nqF)GbzsrRd)T+ zR9R?E4LkUrDo{YHdRC4g4T1p4Hr6hHPSP$H235PNf>dNm`YH@$SH6HzoYUox%V+k> z5qCM@ih*fKct|m#tKlZg$Y}$IX?@`t3O%Fx(dfqvT0at6_-15G(Ww^vw76@L(0CM~A(yraP#f|HCZeP# z{$S^&=s-L^Je9;jboezrT*?y(3@2UA1{Pm#C86*nB% zjHU2TcgL~W5S*8>+t3i*hNRS!RN_E~G-a&CCGuCHuK6kYim-Fbe0zdQBY>>iYsv$c z;E_kLBOG%2sD4&RM3-SJ4d{mLcFPpHb8t|sEXv_HYgPJQZG@>N^b!h=1m&zYSP`hz zX?!3(0anXPf2_|_XKXp7n`ZDW$Muap+i&a;*r1_+vhbg9B<{4!oiodKZ)VPPRRhJi zn}`*7aCeSAPV#!;23MQy4u12%wR`92EqFkb8;&J4(;l?sClDr9*F$!a+9CnlX)L>w zmmM|>Dpp=ryc<91Owmo^!}Ghqpz~f}wx$Zb?83KXHE6F`q0bZnK8z7H1jnt4NRwSH zVrtnSy%MZ{ZTYFvEC)P7;5raUEDj}01VD?hIr|wFqc&Kf-0HNrD3(xYyM>+%3gsN7 zTsGF}aG#jcqYC6vs75P_%y57*m98a0W{k&xMqi?@lQbXlBig|9gs_7%%@Cpq^(c{U z-)vA5Jr_noJd$jkI5n1+$a>kWkx`4bp2yJVSR59MXX=6$FAjM{kv{xg=-&%yN%9pJDb%xrV2w+ zOLPIvJ-$SqrwYR!TM2uPocVMyN2vuOaR+?-uuW=RWi8Rgo#qx5Yl(`PpN5JW=sMQK z&@@2i5sIhS>%Sp7N?|v&@e$qf$x`$Eu%M8}iMuVFIF9IRgPQ1R_NuB7tYV&OBVdQJ zhrHVa%AT++4;9mig&Q!U%$p-!2xJ((6Ka`1O?f%)05C0-a+l~Jx{DRBEW?jqnNQ$Z z^S2J&Po((?j!JCTrK=y*w6V+Y0%?O{4<2k+S6$)3RIrYggfOS7yGKxe;xL@3=p1Rp zZR965x_cEKEsGTKcV(qVR|TOV#i-!fa(!VjqO||#nJZ5*WRB)9QEp7FU{~MCU&_fB zepczWVKgRAX||a_360?0ny`3pMht=9krV<;?VnO%tdC4q_Dc0gjstQ}V&|ObPbK*q z6B2(a-EAk#-bEXhmuK_6>pN6@Z8vw)#;UlOb==gb1z$~fu_Ve{8Bs!#v=}Va>d!S4mYO>%EnO#{i zjK~_OF;E4-PqHPWHVS2f;?i?m!E?^VrR)Q)HkO~$qX*5mP{P#Lmp08B4g10uND>Mj z{66#5;@Y97iB4@`mE^TyV3xEGC?RD@ZZAA5o#U@=(r-+0-MTdKRNmAOvi* zp_HP9*xN%Rz((%m0 z=YejNe%1+7R(kU5%9YLD%erw-2V)$1au?wkJ$4)18TGcHREjIHRA5r+S{UYE#5cjA5Itw_ z0w_T3=5o6_IYJBwC~397h6fT6YLLr48XV+l1nXB94h?BiE+RUnDn{9nuqce_Wu6t7 z!d(RtK9lZhegd@RnqDgoKr*D_k!MbwLqRsi*c&Rc(LrhF87FLabNBAc=in4Z+M#%u za8yKxpmx#q^2(k;7h0hyb}qcr5!tE)yWtpOH~?x_o#%DOljBGEn4E3L}r}3 zdU#$E9cbq#9JCno@2kv^FXTx}*c*{rdQ=tGlDibA&3r#Qa~&UHJa_R>q1aatkyK={ z+Iy(T^ULk$o7;!ygE*-2^DFw*C*!`2re!$WLK>||9VDM>;u4SY0@~fl%Pf`Pu~A=} z>Ks{}L|G6*q3l?JB*h1`$f zlYOB)>2?E!bvPNIf)iqN<2n2ZeL-#v3Q({l7P6l81za|A&neXLS(;uwgg7+sV&Oc~ z#JtArC|aZoi@;I)x2(>6YSpjMq6xMV~LnLI?914_(aonFHI zoE(G7sD6w)xet3*kJ)xfl?9QJU^BtBZBFXZB(}|P{g)*zL!_xn+ReUa1>~5p{Xp6j z7M*exd~au`RuJ5}P!agFC~p{kf^Kj&u-)77^oKWZ5P9j_a!zOt9tDuf zl-bi$wDMw%aa$JJT>6GpF9+UcS`JIhvhSj8iSW!==Z`GYM4WeL@ZFL#XMJGh%&Mjf z*?IzN8ZdzcOdp6T)sb?Yrao2fVmhq)I6};+5W?z2#pc*-$2ra+lQ*HDya8$IbL7k9 zT|mxKf(JNwAdZ6frZc81M7ijZR?#prJjVXfiYO7)n??u%YEp+Cj@>CzK9jFVPKFHW zH-M-ONiy|1Qi0w3z|(nCQydT8-_xHFl#tkzxMsQo;j0A?+Xa8=We@1)=jjlIi{%Le zKLOtt9T)*0^sEt2VRs?;mGIZdI%lxp#+(g6q=Lhnrq7?AQNc=Wd3yfu&rut~#6br! z=p?90dhax$2sTC4x}J!WKp`H!amw9%KOSA3ZWD;yD8Pox{TYp-Ozt%@M+mo}apLLU z2YbW<9smj0wr{_E{Pge<0ryY;6N4a4Z`#4Sj(DC=Uv7WVzwnW~o(>O-N3Jz9o@@*5 ztC#DAx7bKt51baS_c!RfTal^F3<22v!z`SIrfV5~SF|__b;+2Ljh!&KLM*G7>JU!T zMS7n4C+STR8}T*I3#wR38)eBS*8>E@KOJ#aR{3O?m8cpBgf_jU@s!(BnM+kvMV+G$ zMTK6!TTAr^{h}PGC>Nb6d?@qbiJ?AHq@#(`in)S{_AF>Z3ThCiZJL1c8y-j#>Y?QX>rBy|bFLonkto0cg_Mt>)Wt9l zcvM1OoiRHw6|8lUM!A&0k@?8Q?}4?(su819VrbD5vcM+xZhrG8HXm~mv}ZtehZPK) z9)>DwSeaz27$I+{mTuZ=Lb%h8jpIV^X`VU-OXZR~93zbh@%sGLOHo^(rEL&rMsX=< z<~;*}yHr2NcTq8)JEqyxjj?$gYV~pWBS_F!;yQ0{2wMKqzWe%(m1gdLyZ(x_m*3sy zkW4+QZh6N+AV%BQbI5~}3&a%BEr@@?Uz6GC{;h#TtYQS*VpHWM5IE4)uQpVycP%9h zU6bPZ;hmHnM#dx_oN%}3NC9Uu^c>$m~&0NK3hAE1=18NwsP|syUL5R9ksEq`@luahZ zg2hR;VCN94Ar@S1<{Z8{yF&?}T5)V*z5VwAYW|LC5Gl&z^k1N#8V#wqV z_3@2cc)>DiP!%un^-4Th{vz{(5n^WD27$XB>M;Saba4TLBn$tE3qMTQL^JF2@HfC6K9!+>Il+1y zq2znTF*2ICQJHDGxa`_)q@sy8NGPeO94M)%7!NEZE!;8zHc;(gp;`P@x*f|=Sw`w= z!Vjp7X@3|}#{tyJR}8T;6Bdzt9ImJ|GzY!;cL&p_7xiFTMP*yHqJ)wXXNL!JlafXq z9cd>U&Qy!z2XF6OF`8^Z)r4U{x8#dS8JK6qp3s)2v ztyn!s!A1fUY#IGUMx}@dY%M8^i6_Z0Yw{22RjB1KdrB}zYSm4a1HOj9{h_edj_ zu#STfsObw5p?-BlzS@}^qj&R0l=89a z6rn?23JxBKGX&}6e$!_tKXd=>(?k1@XI>-W28~p|+|?bP<}IL$R1{?SED)2(CCGBkLkYT|2#4eb6YG*-(J0u~r#V}gLSK^2b~CTwwWfpl|J zTqQ!8YK_zZ2Zci|*=W^40K0$3AG8rq1-$ALKSc*^reZ1%w9!y*BkSNsnS|SqUz@5$ zwX8i~zh>+b1uAwxSPi}#{cZZU;dh0ExoDB>k&6{va+SCoknQw{cH{z7tf3MHlB+cS zwJ8%E<_1%)c2Y5E+Jawn8; zWC=_=vhG0Q;)^#2sXKCHf^-gg-EisEOOq(*1c_X}YsaFg)3bn<%iENnVjl*q!yRiaFS!2#jyQ@wVGL5teCuwTMf*M}?H+%u88(rvM>M}n?{ zEqpkcl@4~Ej{0_&b7Z%(i?6qrJdw#!t_|y9j-?O6j!j&vIPADvxR8g@)so4f!=MTj zYT6YrM9M$Sd)*zEcjy>vVqygqDMYkk`H4eX(wyd`1H#^ux5aS*Oh1h1i!unkel0vO zys1J+D;+!VJg_-(o&bn^$v<$(ccq*wZ$zCiv+#J|5lDY|JO^I)oK#B~A5pXxuWSs1)ZH zOoGouw_B9%<7MWR1q_S<8~lK7n0M&ShJ3k6LJr}y39{n+q9A}R3pEI|?x4Pw+0Elr z&zKERUHKG26lZIiDfOl|sW}-Bd!M&LNw^l9Ey#_zif|-AzuKb#>>KfO zR2vuC*t~?#1d>oFlX~30(w*lTjBsY@th2+bTAf+qTGMkGb%j-PC~iXd#Hbk_!B>WM zZk_PYH}uc=<@V;ib-*$gTmDM+WnwajBPmsS9jqX4C7s1;6~t*bbJZ(+-{h3{Lg|?$ z3q*Cbz-)RRRBb3BH|JYHzN2ahq`$pcL$H555lAIZnSdP77@lReffi74woS(vQ;(My zbClgyev+OrE675trgGSa2jYB?s$Ibi>s%~$XEFoslqm?6+Bx%(W(*Qf>O{b%`C8TQ2^LNq_FMKpsYW%kB=v?GqASS8VJH-Ed~-^2)Ba+ zmtuZ(!V8BDJ5PnRwz?y8#Vi`r*0@{HQ@g@2IRaAE_xmg;sxjK{2XN-mlBhvB5KPu1 zw*aa5!+7dJ2c}k^p!CRv_k;;q_Vq=&?&XkvD0|N!YyUQ;3FF+46OK%ftd}w&L z9#lO%U2I+$vNA-{BK0Ell=L@LGmR%;0^P<=0P`SR{nRAe}A~Se)x8G^T;7}3XH)u zBVWmPy4}!@N0)}^2Y6(b?xfH!Ft*Oo8OBE(#ynt2DVr)mFQ9+EoNqK} z)ggBq6@sUOy?P`dC}knQ_YosW)R`I5q!y!}@ER4|sa;Yi8~gV-?+r4^htIar26Z5%g6l|1M@hrpBsPb9(Xm5(#p>s%$aK z_9p;!uy@L!90)!{cmi?|?Vk{nq|UR0BMOTuO+C?w^X->UIMde;4|gBmetWnI)MWx zkIBK)N{A6h$8RMD`vT*MU5PW zm*_&WwaPGN^wh@X5fC(gHEYfv0XA~DIeZkg$SI@Y(^DEuflzmMGf|2tFyvVro$E?i zzNu!{xYrA`<2mld55<(J+Li z+Vd~Bx8FW};yDpi+`CU-?r-+^D{DL5-8>-I?B<~}_H2pdYvi@APLTR>2~@#aJ>|4r zu-CiU@P~d3D@Px%&BYmy*XEKLQkzSw;V}f1dfBA_1&RVx3sC5F7j@Ll(y|p2{f`me zk*{Ik>$0>X_t|A$&e163Estv;Ms9j#uz?$LalQ@b8p*N^l)aDFCBsA>9>Aq4fC4G&Yl*&+RAQMK1X3vMLH z!{*lOMB3cro~WLN&gFgha*j6B`D11AWXk^2Q)Yi=%GHzKbnx_T4(3naX8Ney(06)z z$`pZRt(OMp5EAK65WHNonc0flrFVIH%53%IU1k!&Ci}O;6t_0GSu3N)4_G5=-poQo z3c*~s?mU%{#Fy6dqpq*YR?M$LfRPN$zFadicyp8=G zD{2e*SbIU&ID$t4FT0MlS;>D`&?>S3&zX;ul2v@93u`G%z~gu04q8&;caY@zewk!z z#vvyxV3&sbE^r_-=PP+cA-&E(0beM`-RU4+Vz}#0;Q^loC#WjD+lvcqw1$NvJFS)b z%Vw(jnFtsKf&B?pmFG<6l`@W>R@{C;W*${ zvFJmCsV8YzV=JfZx13+S84v!7;8`U~u|}~CIV}|(aWW8z;yFd<+gCQ%qJlx1Na-NN z)lQw^Hsg-QDvWC6Mo-SDMj=IyY#we4f$%~`;Wsmw1y&J(ZuuNpKtIVWv5O+39iJf> zhPWEDs53koscpvm8SiK9C7s-2H(-Z8gXDyjGqZgJtC%C3bNBZad_#TG>^DJn=(zM$ zBxZqs>Eh$oZ)HSTlPd>=JSQ~+bk zjR2^H6`F*?T@P|)ks1^J<0B={T-;LUUCCai3a^``j z;iA4NO2%|If#1oS!8aR3UU9u5@5&i`o)}bT2{nEG22>@SQ`_jw5w^@9usdkXgq57; z!+j{|Qt}l!ZH7ibubLCYCt3dS4c1q(ROK$&fZioL$$ZT@Qb$lM4v$N(Ipe5VKB*te z0F16ok)=n?6ZhVu_6h5wUaYoANk{N0=uP}SMDg(j7ur39ZHi6W8w|*u*#L?=3t;a_ zGkY#x9VJ#weS*Gc95Wx3iH8GJedhrXza`L7=jRL=%yD3OTu>tU{Nl=+I(XKJAanc9 zNxB|=bF7WA1SR%Si9vAB_|~QgC5xug888xel7CR#6;JPnJ{L-|hgMV&uoX8=EUjWX z$;y6tu#q*Q1C=LdBOR|$d*4i@dRY^sx63iY&paq%{c?N9og4PvMd;1fKs5vW%RKSJ z->F*YS*y%ZS`Bd$^WYL1D!eL?s+6h?_O{k-VIloAv^l5ui#nE`qfS7)98$_8?=A2g zVFM~-mBp{k(uLfptWK5YVV=*#X1OC)^2`dvW*BTB8-U{M_)I-(y*930#qyy{@zO*>@qN?m|%TIX1*6{Vg9i$h4V zs36IuiHq4Cwe3fHHK+r5-AxH_m$ z#c-IqHp#=4fDshUl`7VVY+RSE4e5$S?njNIQ2h1Q-6?W3j04dRZ@w?o>B!k`-xU37 zBQi0rfIsMxpO5HtXTw}$~!#T=Y&@2xY`2kq^#VLwiL-3kO8EOi!>X^NGTEgM7+6}Lq5f3}K3wW~p1;$*0*)Lka|Mc+5%Hc0u z3a=t{^y7fM2hV_t6hJ;gp+FPq#b9`G`3lV)i7Y!YF%BpV_+j_INKF^Tak34(!77j+ zK~pb-f^ttDANSYkoh6T1GK;C7eLI8QarxNk?)Bcc{RvA@?Oh@LMXJ-|-_QSp)#=4d zTQt%RV1iiw2ldeVb4ZD`r$W@rfFEU<3T-_nr^)9%jUI|sT93E^EcDV|y7KQ=?@5~)+D z!;d=K7Mx0Y*0X0SER_osXR+4`I-kiHQTxIY z>($Ps)&RF0sq!WmXg$T83K(am#tDly(`t^P+7(-~fL3cAH-wFq0SB=JUPh&ygn2SuX~AAHIIMZKgAF7nET1C8D%aiP7Z3DG^k5~5ku)zLAS@`s%263g8%i@la#TAu8f4VHBJfZ- z3*5Ds5?G5uB9i^;O`87`IrB`J2bGKXSmc_WmH*8y=JGiEK$d#1guB}id~p=^pNHS# zu-SwP9rR9BdXSaRjDl)Ecrh1r#7}Yeld_fE1g3Q1Pk7wqTIC7TQGF;qwbmz8fF{=N@6An+aZv|#_By}oGWgk3N8(S?Z7TBAW=F$)&XXbH;dRcY`r z(4dK`)X2dqfy#OYk`wh3N824$P(`?Q7AiNP*5TJoU%(vGXdG=qjEo2zDJ^0 z;IlD#zB^P|zse+CIkIzS*Gu|va2Z-M)fjq_=5TxMc9F3hEnovF04Z%v&_FGZUlBAs zC^&MzS&(G&X6kz+xFRgF*TX0Wa*5XYVC1UI+0jPGsqe0BzurOT`0(lDKW_Fud?wWO z#fOh~_YdfztPK}(UJjMy%iZVe2RJjJ&FE*__Qk+HI!HeYisR11kVeGJegxsv8L4bX zcaH7`m;H!==K0m-MG>a#jB#nxaOu=RtKpowb{KQbd!e5IBJ#YNx&vYR3R@FOf~J@C zYa=qrz==rRyg~+s`4g?YnDp^!0N^V`;D-DN{L9PfGTg1k-taJ^k7h7IAP8LyN1>nL z2o~cQ_=JjeU+;CFTKAlxF8~gH`Y2eEqs`;^M47@$yZxv^neuqOUi~86MY8}H>>OK^ z$?8Suk3eCGI{=HQ6(!*C${{M1NlIg)XK8$Hfgm+(SUICHB-L>fkR=>E!>LB{-;&OA zNma6cBu6{#!xD~lda*px$(WKK>&~DoX-0eDn(iCykTi(d&KcS=$qdIbb#wQwIr|x! zm(FwA2Y+@72c;#64&9uWU-D-wSaHAnJ>J)k!vj=aP zHGmpNSlavo^|-Yh&CItG9*&1L8>u{2caA<#vQ&A=xqLv^J>d=-dy&;EjA(#X6Q8)L zCSqO_&dP5MI8_Rs1d1Z@22UYpap@zfwFZ0WTzC1~`ILNxZ$rRfKywIU3QxC;TXV1q=rkMNOBMi9vIPzfjI{|7tL_eDBptMTUrV;0GAx>=V%>Vj7=4-pI>1ho_5f+|fb9lvPrm z$GMm5lZ^C}>pg^@&aiVx7tQJXYZz0N$ zsRRLsgS7>!m%ExCfJ(MIK;e~a_a(F48KOm`c47HOEP$F+=Hhn?4&>Zb6BT9gvaW(Z zgY{oxgA%w!VM|tGos!Q=Z7duzz7Wfk@O{R~V#v3baF)1MaabQBWb<|oM@|a@LWU;f zz&{mXN-k)T3vkuhDx-LQkq`8cd^SaC-g$}b8ik6yp_dD7ynz|Yx1o_Xig!RiI-Wn4 zOv2G-@+cfvraYc+B2ymEH?c2zRL!z)dV0?OTof(RsL+S36-L#P=JXX|F}arVFE(*HxgDv2BDVk%l7C3#cWSk7xMkg!SE`y!%iDM z55|=P`H7yFA5?<{d#dO!{sI?HAS}L8IandC>NF*=u%O`}Meh!j1ha=JIkuPQ3-mme zRcPULTmlr|LYSVQZZRXt>+i?o)v5d76)+L*1x%HBL}y8{ZCIbGvS|JYx;-pCi8Y_4)Vz-hTLW{mVU6brkqpUmQIj{9pg?Uwt)$kD$O?=a%-g4R_AbQ=k(`PSYPPFMD1li07?V0g6H+Cfc z1B5}E#B*>oiQAE%x+BM=F~ZW)oHv-++9AJAC8*esQUyXH z%Yj^mhZa{6X^YQ6t`q4u(N*mOQE<8|A^F(FBJ^V-5`^qkSbKc$QIyBhXRwr;N4j zSE~O9atA@Ea7ysYW*NAC!IP3IXx6)pl5U04lvqAl9!EONhqGX=0t#ZtEvWCg785jD z*2?Nvbs?lG!=#8{GGvhKCNUWRW2!uN3l|r7uQDAyzl#K@LPb%75{SYiDSBdhK_+Mg zahBY>g6Q}ec`xdyy`pLJ_RF{1_o$;K%xrVA8YRqZeemq~Yz{W{2JnzAdL^11)gF#QcaEx(4GIK8$EcUgwf zQ`FeA&khgmx9!;P2d&Po9nbyRc03955F#}%pkOJax<{(k)CMd3sX9&hRE6YdHG=7g z$uEQlT@MTEG5vt?1_i;3)E;e~wV&VK-#vW!?cIHQ|8V`F<%aZ2t&kXV0m4~NxV1E; zG_uC3I5Cf5rnxOhr&dy`EJWm#?0aU(raN!UA?Wf-T2>~5zIuvYij9!s@q8@$J-P$D z6{RC`!QdByVg*EvKaknC);(RmvEkVm=}^fr zNh;K$FxRt$GRj~MuvzKXbUB&? zPnN7%91gfA*Ac?d{{3_#Upm&7os|5cYGjrfZ7CvAkuU<*Nr67mf>Eq47+s4Ul8s9W zoed?Bkm^LnFm^c6tVo#f{zqmh-^L(D;!(4cFXV4;CQ9Iwi-EUq!X6!J`4R<&TS zQFUPXMXQFLhTu-q6&w%H9W-}5&~w@zyeZM!c3Ef|4^#P!JPb}^ESVF`^yQYmOi`~@ z?;fVMwF3^ai#b1;r(>zEb=au_XQ~!@H$3GDJ+zXf&2%5fLwl^yK?V&R?NwdvOG%BQ z7|HfH3YN)&H)PfiOzi-Xbl87)makpUTwOmuB_TtAEWaW&&gNTu?IVN!ZIvm3Y*PDT zD%MFivrMo1-AbEA8q}C7e}H7+gGm)+ZtqSGGk2((9R;rs9L&kqbN+ztNwU^w{yV8-1`QAeML3z+!-3^WSyuRi_jU;m25 zppEAZldnJhasTli)AI((nDFrH-Ocs;_Wt_A&BGt<^{viSMi%0~odjWWuwqnMm&z2O zM#vUvF+Ru7PyrvpB=se1-&|~IBb7b|xvqCinOCW}k#fUhK($Qxe>tQ#vxCJXQOS*$ zzpz;h+J*C}5N4$1tmelTr!hj?JEKCP{d1L4y=V*9iv$mw7ETJdBSSt&({yU*7~^VC zGxRCWg*iBLW--iLn1Lt)4+Ahk!gL0+C5pg0uruV)!16+5ZM;UG0_bRQ66!(BJjHxRL$W>d1~{ZPxUVHxVn5U#7Sj2 zULy(Ec^p|^v*ZPqU0qap0S`&jt|83I&j}Ancr!z1u=|pQ?hfh`xK~D%O-T)i8gp&1 zLcrB&O1r5p&80^$V|4;}Xdr<41bw!E+5T&%yav2ys689*5|mCgZ#k;B@K6A)Wz)RK z?V^Y4^Yth2IoRHQsFS4dj>!&cHlN-wWLTpoI%;%60Y~9tagyWb59XO>E#vs>Px03u z7V&q~OIFV}X8*LHU>dUu4{XpC0XKt@V>3)k0GVkp{PK;a&87W0D?u#x79o!H6emDX zE0|aQE%0WHxRwa!x{xv`88!ci2%^=fCuCv|nmMy$;Ho(gZ#G2E&CPpOMt=C?>&-pz zfH3EvLacTuvI1uwpqJ~F7Tyl2&_UCDBk&ZLj0_h?CVJ-JWq1~;=*z4cR9e({k?k_*W4fd4wm#Ir=SY5bbt-d9^)9#^m`5r5AaOEwGK;hZxY1*c|XFFHRlzfnmC2eKH-$>gN@Pys@=8}7RFjQ`%KC#Q~{CpSPVipP@ zzsbh=a%2J%Wa!I}13T1{bJq6d^(%B*M#Mapv>WcFf`fBtmZC*2(T@TX8bYpw-}^oY+zU_Ku_Vf(GUg7 zkSIshm`smC6`RoR(7gN<;otgo|Aul@zJO=K+$@&>)~c-vuojiG^RpB21>k2QZ;KH< zgG^{TS)!01<`O|d_n+84NlH^Wt}hrmn*)ruNt>n6d#)=iBl9 zms_1PTBoGTXR^=hd$QD8LMuHp^yYkaTH!4!Uq-z%yIK)0`8Z!UY%T2%4*?|)VH=;0 z>I0aukLG!0lua-}Q#Y(KV;^ny+}L+M>mjDn?fXc?5~tmro3QHECvMz!uIrNmBe`I* z)Jq16rout74v1MV7bW+kxSPz#Jrf&o-*HT3T3E9mt6G!J_hiCzRTkwRFMyUqxo^HJ z9_|sLFqsUe_*QZmF;SqfA=apMtdtH=cXWhs7w$o}QjsetUoG|aafAUfkzUnFdI)!( zR3<43=oZc_1sD|ccFS>q{3h>ZMD^86P82vR*e{^B0Y^zUwNa(uMN0EN@O^h-vwoZ( z@3KrrHb+lhC9R{`AH$g?!ZIsU;C?}_Sdiulr{Jz5-HLB)tA&(YtXMF5iV>v}mxQXF zAoShs$u9cVH@Z=tm@IF@O(z?M&tc2gV1-#=SrtIH z#Tu|=zJ+iAMm5z3H$vY{8bC?$JZ1vvddNuZf@cl!yhaAsQMS__ArZ)Fz`~PT0!*!& z@`B|lKcTye($tJN^!X()_^4L`lH4g2^`{}vxEmKyD_dqpffO{*+ z{5s%5qV_p`A5TL*U9UGQ{OQPSNka~!@}c%t0#GjSQN0&A@+fr52IZ1 z9+a@uv)=U7Y}4z$-Q7>gzLB$K^*s=9I$l9y&e`Dlu~ENq@0@UdM>Q%dC^QDa0JssS zWiUJk*paDe7L;ddTK7SQ9;eS>?a%=Va5xGawWnx*x(7!%0rva>7x?YXZ+`=1{O0!I zZvff>arck*)Ajv>@)}dv#kg-+P%Y!9#i)`YS(Lb`T_wZ2!t3Lz;t<@xoJ**!!<7%5 zT%FJ$M)6wLhV&bf03$&3Xtx+jP7JVEjDhK8Dy#sBct0vjb9jX~oP9%gM8AM_c|Lzd z>zcdv^UdeC*LP?{1vl9JXZ-D5ZT7w!e1`x5|MwjxeYyMNJN50G4T+4g6oXyEm{ zmb@ski^kkxs7HrHZR7(cdUlABBO8JX=}D^M3*N%JqA0x4K@kttcZ;8fJ>vc+1WBZ_ zrS=gHZi)JEMSbjPl!asSuw0jEL)c76()!%jlv^Fts@z&JYjvF zK4AUy9C!)@(Ze3WY9=+MwrRZfNT$@{vMaSwYaI2JUPmLur@e`AiAXtsmZ*G$6|Od1 zKA1}2LGZX-ouaG+6tg1fW<0t&{ZUBB8@_WoLVg4_ zLIi*0QS_%HAQEooX}l?{*G|&6AD|^e!?PAbj_?PN)HZQ5oK+ zGmy*X&IkF%*kY~YCotW>8^DS)*6QkK#4WfIs|(iY)eJQjCR2k|RlO74B@J_U3EYWw z|nKi=;AQ9S2l#(&phvPGz-|;u7$_>Zp?d*;Mk0WMx3xLw$S&L8NYfo|7PUJlwInR5GsxM8pgFM$6WWLACF$-@m_W zA40oabEOXVQ?Jp!z*k^0c(fh@j3U-NIbI`*T3#_jcn+5~I4|S~jGX7t^GTX?_?@+b z4uYUERuKcWS^Q=d%UwcqsVr1n0W9J?LvM>4&MDiwy zlH#>EHnB`cPxA0W)NtvlMkFbgx?c|J34k6X3)hf7xcF6lYij(1vexHrTL_O*XS7__ zRiTsN5SfOD{^SO3P&pdBAK6v6^z2=2Odb{O%FrerR(skK@$2>71B@@=l93|v9xpNY z%U=e($e$1)biv0#+@hS&Z9t>33{&4qhh8{5!;!e5Vnu-)~FAekee zAC8gIx>}!KAc2wTDQMYf1=#qTq4Ev_4!}*Pe;GyutNM zg}`-xx}NR44MXU8!q7A8F|^-qiEaeDQeJB{8vOi5KpR_fAGkS=7oZ|= zm!1H`z=i_?xy~;+dFFG4F5NA@A2J(az>$ankrp_mDkoSS5+7sSN3Dw>N0!IbpmGhn z9Mr={8^}d;OwkcqOn?YH1_x7yj;J?j6d^QP<|BmoXI!KzW-wy~dIB9;=*+;hXKkIL zkr0%ZOE@)%iEo|c|hay5;%bzLv+_J#N5DbER9F}nWd_$5pw)VMeR@zL_&nuY>E+!@V3 z)}@X@(j|YG8j@u-R%X^}%%)-Q4X_Z9S}h;x<2^j9*YE#u9}~2wnvZET@e<{kj|rFE z$CQHbtl}nowI4ozxoz9M>lbgI51vW-PITQYV%|OKc|;!->c*6h;A@Tk?N6@t2*|H< zlz9=#b2AUsk1j<=$ne*7F>`W0HdTdI9~4pJJJ9Gg2zr4auxB}?7YmOEO#uIZ`5`w8 zIC~@ps(dx_2$%-xK?pic}A1kO^;>1$=P1w=P?Zwq*2iJh9s-h&2 zsfrgQ5%bk?J=F-?ZUI&y0s}vPi0={F)=R4{T6${afYM3L;k&$Ok9K!{4ZN7>Y?mj{ zb%h5ii|~y?vw_w6bpCUD`h#r(^+ndzSjC1CL@oV`{PX#L?4zHAutG;4$+?fcuR@aB zYyj!{pM>E4@&f7~0ICR`fyB=q-iFoNha4fA zk4L5(iqGs&PJy{C`^=wEdlFi!j4Gw2xvipuWvl!anr7Jx`g@pgXz14}EOrJD?xd(oDwb||v4}^SI zU#{I8I$l!yJNZ@_sBoUn6h0am&LlYI1Z^%gx?xI>rlK~pT)=oRg5Hcob&1v-f#uQ| z=%(8;<+kIYauQ@HS0-SRtV()*FW-Pmt=qq^w-*T)Psn?Pnl3Qh@t1wUEJ5O0L_5xr z`%4)pRzyjX-`o^r&Yi^)Vs@2zmtd>01*BMY?b= zHH$!_Y?!8w^b9x;>kiAR`-3oi)G}Uw5H+HaG;pG`B!+>~YlS|P4AC6LiGaiAyHDQ* zFJz7%XqY&eHP~=uL8Gi5x37%LQ@dDJgq+z3$7}<6ahb>GOs~1p19W_O4G@cKw?e!p_&ntDfa|bgCGWZFv;pq8%(*p7c zmSz$L^?Hxm#Y;d&e9@)>&ZB#h z^-px`n~tX7mFFpi?$MqfZGTE)L+NGT{?2D)^Zh}GRiDsdOhwig5DM5E90s3|JSdhF z1t?izgFg~|GDbZs^W^(Y&Mtx9e0c$=8cfNvi}Rp>pmcqDhH#9nigI~9KSAZkECzS_ zqgbp*yVoZxbXLa$zynA6^wc2jnG+aWG>y?b2YgK=G$_*_nxGRR2_>v62-jjviQ(kF z{pHh_x7VMTI(c(<_wDOLdn0Wl-UHM3?(4Vq@m3nXB;oO$zrO2z!?DO!8=bnHF=d`L&Xza&>b~M1+W39p>kNZ zkV?3$t83u&VadGerY$*_r@OQ6IW1@C<`m8f z$uk0@z&Y<5@#m?G%L7re!u<=_E}TLLt9T3A+!#dNrz@28aob2eYy7BNi#9=SsRC`z z1+GOmXj!@YBzeoe%%RQ{T?s~>XNQB?K{s2qb@QV{`pUjzub10w!Hwh6fJ|oS*Cdp& zmZqn{@eNFub2f2(8$C&lBInX*=OA_00b#=~akpr(j3Th6)O^9N&F<{gdfj=-M@8?f zSBf43XE31~`*5VC0ASp!gAoLH0-F`q(*j1qIM^Z8(Te&~pT~WN4Mnya9>hx_Yu2^X z4#T75K>oPJEFqwFv0JsTm&Yif%sLc2O6%9MH6cV3NE5+s zI!4ATXc+d;QW2Z8XIINH%OMYdBS0Xu#3IP({sajYP-Y*Q0u%VjIe3L9=S-WCBy*Ij zbqq9Ri*shq1-?|t?Ti`XUHV3xHI(DB2T>7HTpj}eg{Tooo_P1;;|2CvScG^$Nwp68 zjoQ|y>sLG!!0>?boizOPq*LUXh+lFHuBJq?xTmfGmq0N($X}htEtUr&5Mg!hel8vL zggSgkQCgSVBh)nu52Iw7o&RE{JQJCq`vs*Nw$vB`I4C{>zafDbCcHinVlhQ|kG{@m z68vOng)_-3JL_$OD3j98!C*NcsEDx3{0dQ*ARUA=CHpgU!F1>r;mq89yjkO~*4_D$ zmgwW_yMlpUZhUZ7z6ZOr5ZQiTddmLr$A?K@adVZ0uE6o6 z+|ec0BJ~Nz>wXYwqq4~+wUwU)v|Qkw_2CNh?3u{#KqLbg^u75zRpW5Bkn1QB4)woK zd&@kB1R!YfMiy=qH=9_&OmovE8flR*p+1SSZBciT`vWB7&wwj2=@1Bv!8659SS)yX zyj~*1bpXvxfZ6jm2F#{IQWw@?hD&e%jnW+;7=63RlQ3RQ>^JBf0U#&m=#+MH`LjSk zOvfKJ9m0`>*-(inGe*#&AX;#*SPB82@Mc)W609z^DQqs=6BQnup+}If1+D{~k0ap} z#3}{YB$o7~c4baZ%!N&`)*dT>Q&8VT3uNH`tT6K8;_|p#xNI29EkinXKP7b70#}0Q z_`6@(#Z5A793_xl?|doRgDQ))kKzO9cj#lLi`6Y>Y_Xj zn4$bvl*gg`;n58FwXFNi^ak}AR)?J3cRnj;tc)SfSg;HDr(`i|CJSQH$`R@G;eV>`)u+l`EC6>1eMh2gh^R8%2+4>HEzXAU zX!W_bIr=yPf-^>F-`(#bml+K`*JtZ3c60f&Xe9^-3r1F12w343Wd^VbgsV``h{&474sM_>pzeS& zS>p<*;?}n<2$THqba3d0=E>mD56zRop&y>;@T<@ARB#B-^7IsjV>FIJSf9Fe+(M8# zy8^&aZVSEUD0C~EP^EMh4PA40th=#4oub5sa2m0#LH}!X0Of{6w}a=*t^k#?qvh#M ze$LY=a->Z4iWr*J#m0Km?U$@6>(lDo5I#R?&{aME7?f`jo^&umwb#@p<9l}s^eZAo zhxEf~<=oc2Va#1F#joCQ_=yG<2J{*WSVZJ?B@(kF^ zL(hV?WEnb_sI6!IUw{gbXr#t zfr8BS`UZNUH|W8%4IDGcKX;StU)d*j`6qY$WVJB%`U2j8L^dUMe^5U;#h)H{PDQIw zuWSdyH!4Nq-<$85W{f=J_SY}JeZIc^WAFDDf3SuCu)}}d+xz4B_s#R?{|!H5xGX7q zXlYuhqpTR!2cg}eGV2t*rsrE4Q9-o%`KwP75>?p0MK|qLVtZYHlf(%Z2NWhD;ZQ*A z;&p4tYd?T>RU#W@;8GN3o1i=g9ywOHFWf|``BFm(BDwmP!SrjGnu59ID<%n zCl(ONF=cjB==$Lx!hTb*6tX~LEbe7QMUIz=_Znuj>bdwv7U)e#$haH)*X1PLJInSM z)qLc`L)sLgXNcNQ4l)NuOzqOa7!a?R>q^@m%^>7m4*1Yk&w<%*a&)gYZG(@Ln(aYd zvyCQ4l97w=2!b|RzsSg?O=l+iK?f#HyYV1Gq%*tmVCEJ(eBz@XFzpmzS}4t7bBG{| zAES*E>zlMO*^|Rml_dEW$(17H0l6FN%NsXd&AQ-Z!K5EpWkLuuIba2Aatvx+*8 z&eIhU2?trV+Sae|Gon7O`%2-@ASP_Kyz7 zh0+Xx=XhinFX8Brxbwo^eN*(^PS2((S^vxuO0*Rg*IyG6Cl z0QOFXU>>+_e<3jiO`}M$WCN3G4;7eHVUjYbuwF)Sk~T~vbH&QGWV$W0^gU%QgU<&* zR^m)ZWK?-V@?kWj5;?H18Qb>7#E9n_N-9cbAGmdeqvxl;FA?FWoLAE@WQ<|+dc8q_ zA>_gNC(~hlrkqm*?=&6v=VzL1m591jMa(HY$j?MkRvj^ToN3i7x@g$b!dPKbOS5!W zk!EpujL!D{7OZTqPtK1R$V>CB?r*<8mGZE7-IKA**V(|bL9qeEw2F4m*~?9@)-WH< z*?<~C9y{EU?gOncpJgON6cs3@Jv2W;`Wd306thozx8J44+9P3pz5XgAO}`cIg`6_3 zBe;d|QGx19QzhGj$rH>Xu#^a+s&@bvu(2qsgJLKN3@V|z@&vstD&Y}r0zs(2K;N_w zJ+DTsOh+$09mZ@&dbo<9x3CU!%Y`D;6w_>O&M`XFW9Nq7|jG zaaJQsZmpC9KI%wy6*@v1SlzIX@@`U$c}wRfv$Iz*mXE9^EEg0j7Atq_RUECh5_Lh_ocFa>%c|35Ge zXBzpiybC!4k;%9`j8F#(j+()6+NTSxy%?`A+-#OFHzw|KcL~ts}gh z9KTTf0KdLn&a6IMc1IkDfURcW)-|v``->T4>0tqi9kj*nKy(-nTcoKw4hyP&!0@!r z`t!%C2L4!ai>raZLb!#CLtr%QMq)@*eA?Plym@?h7}#%MOUYn?^A@Km)73)*{Ry&s z*h*qh_jVr{GjNyKe-Kn$TRDK9OQ2#J0UckXws@2kg>2M~&X;aj`O@J@=?rE!o75XT z98AVjv#p1X@*UQcW+s`QZLqM5bzG>_vP&kE7OQKjE?7_*gJ*;We42|$?PlEX?H@$> zX+9wuU-MUeFB%_H4q+Wd4!~&;fNo4Q&tJ5d$=r zo^)cNC_~6*2shx1pnWU4w~9RIZ>d{c5Bn>L7-zvM%|K@ZSF|J$p)?WN5kCYx-0L@p zgpGv@lv=jA|=$djeA=@ zTw#Ljn4JR#s6B4vE@>8Szh2E=^-`P}px5sGaeMQdc(Eoml9LhbMZQ1JU!-0>hlYbg zhE~77XxgpWc_5P9Hth^?O^N|X)y`S+LJ2FKI`3$)(&_FrF!Wes$@JCb-=o!Hp54K` zrpAT7ynQCeFxAkL=M1FOQ{Upl4BQ{te3rp*Cs!Y!!c6VefrMV@Oc1O*esfT3G`tS1 zyF9v1aLcoYsH=L9dNUoZ^a24GA`Z#PT0X$|Djlv#5;F$FSKRyd#CAQ|H2 zb@6x5a`c9g(at4ACt93Ya5Oz3^Cfs1$OUGyDlkY(oJ+HllKuiXFPwNMJ1^%z3M^{Y zTt`xlSe_$v$?wVBMUvL@I%@DyP%hmTrQ zKP4Ezza(fc*`IB_hR_Ind&15rpkhHn#&?laOXmu-jZ9`wTIwi8HXQP%ADicFp<}izej*0Kd9g;}vJh(F#wwg96+n?8 zz%}+JXg^qCY11mHM*O5EDZEA^pJuT7^FYsE_x{oY{T*U;SYu`?i{_-$K7<2nj_hd- zaP&q)nD8W3cq^2-hU2Nu#GyJ9JrzzIjC!qcD3j@)6f+n^v`xuXFb+b!jFl&%ke zodGT)H<<(CVLGl1lC@bZgC}ewvi8|RlV`zvAF{iC>^+{{8V^UFhtGX|LRCEQF$a9V zFQ}Cz`jmVfp-%Mc0Lj#X#zJ_>`Xx%n$WKhDt6mfC9(9$`baV)s4az)7P5EDt%A-VR z&$(V~C($$xapD~qnlGC4k_vhgJ}Nq?lprwYwI7evK$ zq(IK@0|naBLnw((g|y^or~dD4 zjMB8ppQIyF%4h|j+LL$M1G+H4s#_f$!+8MbCrX#mz=IvEy;9m(CCY47%rB&75ZsTd zN}j05+ktNVqYa?AWPdtl=;Jg~*M`_i_T=k*xcT%b*-i)z^{Zfd9Q20T2~2kt(Qro8 z{iVs=Bx}#F>zBl{WnQ*dV^m)&cZJw-%0ccaelm=UH_HA9fL?eRlc%^XFLF*weK=R5+rANqR)pd69LImbMKcbw@n3BCCz(O=;_eEtZk6pvXet3HAC5 zW=e}W)Q|NSa-Ocv3`z#V6RE>pC+ncUn4RIwY&%ynXE@k71$|ZxyP$MB5Be9cPf=y0 z=mwoL20#$sIY&cP9n3<$X!rxS8ScK#bjJgO8nJjgo>(VMw!ao9jd!du=8Q+Xzid3( z{aw?oUqyC{_u9IjGH3e}>y**Owl~)~hNIW9qc-P9?sK6~dRuQa{ec@D{J?#-Q?oww z)$Vm3U+r9H;CTQ+V&|=fgWYRA9PIDf4ovC)$ef)^#2f8gYnk*TxB8JeKk{w6Nn|)s zOzACDgegC;MhE>Lcxdpe-K&jBKXR)dn6sN|QC;){q_&%CN4pQ&c(j{h$D^GTizz#I zgL8H+4=3&14NUrx8~wn2c9Pk6w42O0=LeqW2gnR}`jH#`z>DoBvhnCgINDadgdBFd zkDSge`hw0G?w+%oRtaeD z-^FzhLbkzukfuAsj%LVT{pV;K!`3k~s?@Ek^WxRTvjwJJcxP3_9Z&;!cC;#$t0DxP zy0X-lp>8e-^1-``!cJzN@rSerlHb^XCU-kYMWE`>vaHCK4vy~ux*qCU!lgB1Wve;9 zTLTTpcXK@7bwl&%jBHgSv3zrP4AgqU6|me^)6{SQwO-mqB9Kl9(5R2#|C;-?#}EI{H()6rEUeLx6? z7N-zQm$jzms!maJ4R^bqR9o`bIJzC-fHSru9LNkoIB>5llDk`_9cd)IJnlY{&bx@C zM3ON^2Z-`%cuO``bNC9|s3y0WUa7x%S=Y?J9+g$@0hpc4Pz+@Ty=A+1 zPLrqPt?_ZSI|Crir@P;7KmAh^pEb$3Mo;qF_w^ru?5wrQ5D^2qR*qJh7}0N>H~1{F z9%pc3v+oa#m#i&=^<+rgbR9GFowcNc zgpeS5RL;|cq1Kp@Iu;lk!lVcgU0Bi>$Qz8tg8gJ3;sE#p2g&N(x71HUV^qHaLTrJ! zPQ&2>I{6AGg$C>6=i6L^KD!taDwd34hOcSoon&1-7BEcnVwqW@Aui^lxG%fWKwxZ) zohiX2N^!V>!yzd*r$W?%0)>(~haGJ8kLqb2)8E1V$rWF(=lB)=;td_)cIU*+r{axM z4Goi7Oz=a|rLQTYe2k32xRVNAszo0WI}!P*AGRMH;!OlG1s%x!;q5tGznJT4=NITM zB=`_Ub01wgtVEDLtQKv^-W%`*Mm5$)IM%|74yOHmtf+1uQgWmMmF-6ukkh^MIs&d5 z_-2R(g7V;L=?TbgdCW;C>WJ~vLNzf7f?mNqF(x0A>7D*%Jj7PlGk9ZRg4~nK=c!oQ ziNnCxItKim+QV`?jo7x*!)fc+5kw{*%9k^|NK4V9c8WUrRr6}f%q0X902q>16Ufq z9$AJT+lbw%&)?U*9R_Mf*T#kD+6TTt;VecLMB^{hvj6eaX_w* zLcSWcZs8epqj;OnN~;mLe$+7*uQVLq3XpT7s?jro`}3b8-|0yzODajh4!IWBDC`5- z+KR{>kZwW19Eddi33Ehseq1BKO|(2AAOPH}|2Wg}T#O{P5hn>H%PuRfi6+-3``8-O z4XkVFVenL2D`rHC_5OO8oL(_Z$Gt z+NFf&Xq;arC}D0o!tWn?v!*=~lZ&~@n0-L&dQ^f&ZVp-C_~I0x{#CQA!MbN=B~91! zFG{CFFD6JR*+ctmx+-BA{2KO_1Fw~A#uzUbtK%S?xkbtKLL96)gS)PeVV{d^FT&t3 z8Be7j*1$#Bq?Ou}%?jisrWLYQ3@9GZ7)-)c4#;4sh-Fy=kn{zzFpk3Ow1*0BRG6eM zWn@V0ConFl36@1nYt1TH2?V-QT5ZxKbh7@Unn8mg=~BjG!y+Vh^cD$jFJ={$UAK2S zM)fSoxtL$-YOEKit4_{edr&`Q;&>Q(d`^0kB!fz!&fE0m4_O$DNKZe*<3;`xn^~#)?GsM9-p$?9&&-v9S|KNp+{lS zQA$+-8pG83LCAH515#4--7JGx^z?7bFVkEZ_BT)EWq7SL&hHr}X!u;Htg9-|zRxpE zs*qs_(s z4gL1)0UDuQe&w2EuejiI1Rr*A5PfzP}Bv}J{Mi2Vr*(W&n>!&X@+UYoC zkIzqh20UvpZr^?Suf1=C4#YqIPp?LwDD4bx*Z(K5uT@n^jikP^Cwm~q8Bji()OwR< zl0T#P43ZyNPIjoOQ1=3soF1wQhYCkk=mBLF@-s3a!qTMBEo2AX$Oz%w*%V!9Bf`G` z#)U158qSZ{{y~hW2l%?;QAP}e5*q1cNSKC0An>QIDf*4}&i#EvPNa+}x(D`knO&EK zJJQ8Dd1rcAZ}scl$6xC|e!abiyZ@1p?eFn;iqfGJ#vfu@by7)K^FMDf9- zcQ6*Qq3k*HvI<7N@$GEDj~~TN<8!j5BrsjQzL{|@P?~f`LvAWzS!WT6$OQ}V+H(;p z;KXm>saw81o1H>pqDlJs0<1FwnuqU7*%j!&W5A!jIV_J}L#U#LVhPcH^Yrv^_sh4Z zTOcv*eMN8h+xIVe61us4zX!nJG%QuMD-2zCN~#Pyb*BlWS)%8x8lB)>vTd89w1piB zd}js6pVSkHss|zx-D@AN!_h&ZQQH_EEU^l-K?;gLmZ+Hqs3FxT5L0_h7EDwDaeWH6 z+gxo_p$1-p!UZ-VOW>2%9Wsqn+OQ!>S7igpCTWQlV;sfN!l5tE(_=5BwOn21Ov~46 z7Zjeno=noE_AoDX^7=_&vl%tt3fRZM{8rQ$jm+fDIb_P&CD+I^0F(5Fl^m8loX&dW38G^aN=L*c$G4D+cv< z#QdFlI`1K51R%Ai+s}(H54Q`lpK$6u5ASSwagKFD#i6Vg&VEN)32XmM!&9|1tS$<$ zVFk8K)Y2?n0^wkY$%P;`qjO+kA*SQjZy}4Ldy?MU*wen_GKH)c#?i}N}Z(CJAuRy^=fr?~CEoA+hHFTuN+LsopbPZQQZuzAttp9p+{mv)$iUPhuT9Fe&R6LA`T`c zhv8scUc|xJOVY@oa2k}TJD|e`qYOGMlnMu%#eKtJq@cXC=aA+_&lHHMR#a53#)xQ! zVlfDTRXNGAtr=W}c`+p~z}~)m32n72m^ZP6r}aT?akA-hg z42j$03td1-V^T`k@6ur5If~QtIht%;c6S$7kCSu_&#tLv-acL5zI*!e04+DaAnJxM zGUB~XQamZ?WxW}}8m{46tS{l9K0K7yfq+r(|J8}O$Qo1lDjJJU8deUPwYT7GZfEve zK!Y}Nup6BA0NAv)YTf_}DOU`JWv#=yrr1lSL`nbhd^p_XAZNa5Lfgp{yFpuE<~FRP z=~LII@KA1pb45k48X$)UN^sgw^p}q!CPaRqd{V-2f5X!w>6=fEZdQS$ zLH39+$Z9G4aVXNK83EJ?w`wpXBUoqPC z71o=(`zx$MWXptIU!m43b_F|eM1}*6-LU`zOGz&lSV=a_4bW}Q;@|MLaib9}`f~rV z$2jGi%Ncr=KLfPY(>EYs-#p!Z{PJ*j`#wpRJTN~kh;^M=DAF~+WE=EnS}CaX%CYl00nOVhw%!IE6u){SLwyvHJOcx z39L><*OtRJVr%n}!d|xL=!OOzK(z?e|C_|s2H8}kkX2gJ538Uy<^kow#Pvh28dfr@ zP~nMNBZVh!&(SBQ%VP-GzJtJ97`PdMyAbM0yk-a3T1-Rua&t95J6|&-4{$ZO7y3U7 zudG%-9qca;N2p7+(i_fGv}$Ap*_L@XaB@ZjQ$$h-~UWU8B$om}{Hl zdlo#nS$`K4p+OmK_HscL4!XB|*VZl16lSxwra~!tHbiB^AsnK8)7MIaeG8tC>14njs+|bBr89G?k^bAx8v$ z*W{=}n-bmo66LMOiYfPlXG-j`p2rS;Fjc3_U4$$fNyDWSdThR2Yn34z7udEv_(H= zUkRR?_I1BsdsJ>Fl(TuwzUaz|Q?H0$`_E;7^FQF`ftrLt{gRm2o$m0exO5Yl5kx-RJ6vBwUoSdD|QVGTY zszT)T1_N_zfRjM?M#*~MZ%c%?BAR>yS>E&kw=wh1V>}O{gIt`S1hBwpntB{v&qcvr zKop(!Li7i;m05EKK%MoN7OAwU8tMyXBE5zL&&Q(1x%kKPQVGNJXq=GGZ;^qO zKL0TC_`u06XOcuhJY#9mLbe+{CXw^xmkWHSF@ePVJWmD)aT4qpql~k`B)kqZYouiJ zTq~!wG$A}{bq!`)yzVEdH7W-w?v^DCcW;)u3Att=4PGo*T8aHM6F{GBbFmbc;>o7B zmqd%2gZ_A(Q^I3XEkrO54hrN5Wx&pWi)3WPJ<*Eob+P#eJS?s^P!2Zu=+$NoM^6wM z#nHkJmgnh4>W1~R!p@=e8nm1z*Xi03pN`ZgzL$Ta*7~+21dS%VU8F1ps9&$h9gm>C z#FSExR8UZ)kqU4*Gz{3%|CFQFP5=#J50Pz`Ml>6bgojCXvw`sD_RE$T%F3=5!xMz? z2x$gev6&}uwHS|1P!epQAjKKj{asU#w=Ywm0_Dj}X6mt(vo0T(IQMO`Cpb-E1lpdC)OS@x}ghH2j%k7vYmFpp1koo*lB zeZIp?J>j)9M{XD=)%aDGhD3t}*POLAX3O4?{5=GbCKC!o&~+~%;8bI& zCS4JXYP>syfjOGls%n;qV6;eHE)h4gMA%?z^%s)*!}rH)(=?+GwFg&VU7z=U|MKbP z>F(2Q)L%#-5m=KC4o`DSo!e}dX<=1yTu-e#ytJOfS)o!?GksdDrQ#H!Tw0H0-9#TV-HN zMQ%1j?y1*dPtk=)+eFQLT1KcdvQa;n0z%S^+J=CzSw{UB$3u ze8#YVF#IZE0yNY$F~kB2vcN2wq;@j+jef)MNF zsr4o|^3uE{w!!QMOmd+zyLy9$M3Bdb*o%{7+6SyaKU*qRCLuLN%kvCRo>E$umPmgT z*l83)>@{P7o8zOiquJ>e=J)aD^XHqIb!_-e2@XtOyjQ1-px}}Ym33Q|!f{ty5q}_wTOw#BG6=cE>lI^U<6@j; z+>xC)4sjN0C_(~sA3~Q3#MT@rP*`hYvHvr1g<`eT3)Dn~sBk;sf>Ej*8XtP-zY)y}@yS%BfqjqSNYx-vj*y1J@%9KkG9HP>SYTY3QTbTaLYUInIxMYgo2GagF}Ll>xAkEj1J!BvrqAdibRF5p7uFy`UvE z<%{z^H<=@# z2^VaP3x7o8obVBtzh@aAm;d~F>l`ZZASOVaEMBFj&3D_Gkx$V#BEb^a(LzmuXkx}T zpdF=IqWe+%0bW5&u|Tb8Xq0WwkZuEMnb9*Pp)oEIG##o&Zr&jge8#AY`DR-Kje9o7 z!N_K(n@JxjdtIra9NiB7%Ng6je`SV%{|XgAFp)X8HG8hEM3TUSxU`J|JDOG+l9cSa zeHXErc~$MZ+LKG({g7R!duW;W02nO-ognd^pr#G?@SyEW9_(}_n^!oT9n@llqSg#t z&q|DVzSeIxmlut5+4e5WEG10S`KpP(eZDB6nmAvSNuu;c9`sK=Q4DkjvD{?jWMwl;#pOz z%)=__bKw;r;1Hix>7#8~19NL#*T;(Aq&{KvF6035|5(rf*cmU!2w!qIpXwsIBg>&> z_&FfW(=m8@A)@3Z%zC{Y;scETjsEuL4ICX^hm;c}1laASmnoC2`4BQ?j46`Z08uT^ z(y)&~2|Pe_3^k;+xnFQdt5Ki112tY~jS~C%6qIc4m~aXfXGlQ`s4Wy`>5wimz9U=& z-RO#l;7hAPH?NIK857fTZ7BOg9LxpMSJh$f!X7|^Bkf4*A$N3%d%d>R7T>|;`uwE6 zM^AIDI_h6>XF%4n82EV!2}?Y+%`v_6-D585OEa+Jsth-rEpBhCr$ZdcZLf>3O*pWG z2Xx0X7t(YsxvY~j!(Wz^r%Z!W!MxixsbK1Doz548a8bh~DCOc8Y1313r|@imDVr_G znFEPznWZmDXd2MuvR-J5SpD{qD3*TTAg~i(p~6L^8rkI=96AkoApJODUM8~oG%SgF zH9r)`Q-1)WM3+172p`ncK}4v88_m?z>|!pUXXzLZ5YRm>&3=sV;j7i#%nj_p>vs~{ zhC}|{w+9Jrdm;V9E&61C1VFe3xy$oJbx*Urh8@{odfn-o3V;47rE0NWJ#>Q%p3o@V z;DuC!%O=PNNx62ckxoZNdXd`c*pZV#_2$i+EqDl+u&VaAR(Qyi@$M;;-BY$FkKv1s zs-0hSRPFpC)WR7L;aMnils}YN8UPVqE_^@vveK}AO_7fUcbIX#FkT&)+Y#EpR%cEj^z zF~afgAmJEMLUoH)(@^L?Kz!iDFCVydf@mjE;VO7cr5CqBac^p*bi1*{U_t<^2m+-z zT972=c`8D(>d>G{LB{}dd<`Z7GeYZAk__-bPgiWbo9u8{=6r^3a_W!^F~<4Z%L4j7 zT@|>6W|_Y+$6YS%(((-X9j^mxRO~l^D}-3nzT>!>cCR`WWY%i6tPeM)+0en#)W0>u z*5!W3sJ>c|NByZ*Qf-oOWO}W6V&n8QuVOH01-3jDbP%IkUGeF`V5ET(Q&ZsFT%9MI zlxQ$Aq_2RhRe+ov(EEXQrVJUMU7Z4tE^+R)9kTv#@X78>R2|}A1U#+3tuE+f(VbJL z`XHczW(L-v@>2TDz`|v(M{(|w!0ASheQ+0EK!@2}i*?LQil#R)4l8S@zdoQe=tG}9 zWA;9Lrm%nU;qKw_>Gzul{Qf-PbSJfe$R?9lf@Y(&)RnYlNA_*nETI}cS44a`ICTZC zjCiYlAl?y)*DTM$&{rT|n4x2U<_j|nU;^P#k}1>p+FY6LRi*Jj9fj@ua*1?nG_J~G zxCHz;NVzXsqk#F!soI!?qo)?p|U5V*!{@D z^tuSyz21H}%AT^WY5@raT-(vDAQytOVoSV&K6|=q={d0lqCa$XoN&U{n63vIWZc}9 z*PQXPwJNKZ@IC~ZZ4MO3wKYX4mENz_YuS+1YZbmBce4L|4xvcj*NB@Bg>m6& zrfbEbhMCl{VT`^Nb2Y0WNguS!zxIGGmY5BLEzQl){Tu4@R=P5h1(B41Hj8{uX@q+@#<$N4qR{zqhVOGI1rvC5@H>h&pQgv{HVX zJY9nypV*9eQ_I|G<91nH)|n;lP`xiPFI5+2eSS_4Eq1Zx3`FMC1vcVH9fB%=uLfx8CfJLVw4DxD3~oic z!1#*Hz(vI+d>M(D$g~fxtS)FhMWUXlpLAvMe<7b%kzLeUB!&9~IZ`}ltd-JGVEm9y zZ;Z+(j0L4?VZ$#cL0#1l<;3Ej^BSST)}_GEMVpLs^?NLynHh+zS`QDY&sa18WuDx| z-M895V0M)B4wBpgsv6{5X^2Fvv$O^{DiGDQ6+3d8o9?b=tER{Ra1F27aUvYut_g)B z+&Psm?}qwd)o`J_;&70is5Qw*IN@xs@^4!9fh?hHm zmTyb4SDOP3o3Z&p6a^<$setex+r@iHay<3UOMrql_f8YBp;eung!SAqr?8~kX6vew zC(N$3M0K|O8^}L=RePxL4TVX1#`3Dyg;wvGQ!f*Z)fF0a>@3~ePkg&*&Hf{Jo+iXlq{6>%sISkE?~wXD7Zl4{bQ z#$r22W#I!L=*>A$TzldU2x{~ta;dH@A37|6k<-FhKm0^PnndZIXBZuV9ij6y(38 z+Qo_vgE*-AigEDb{fpZdA6|TX@#~Ab7yri*4`fsj58yC)(Yt-o`|zUo@kQ^~7rna| zz5m14K~<0y%!{e6y}32sT#{WppVD|*mKvMy>46?qSd!m0gw~<)LTOqRcwwbpv%^h1 zZdG!vaoUn0hHzFVhiqw+y}&6*7pxCvf0BMEdEF8H(#dB9$131M^FQk<2%x;9s%LAv zNNJ4fk;|Cl;t*YF(c|aBEJ?Cr)$77&?{YI=(m_o}Ue+2}G4?mL?>Rs5YM`4i(s7I4M3S;e--0wWdxT z#b#3}HcK|qoW^s&5D;qikj8~D6?yCs4hCOzbD6J`UDqcFBBsh3sCs@~da*_H0jw6{ z!vd2}5C5!hH0Zcj4oS9Va0BhZv{d@y;x&_mTNj=8wT8(1%)wKY!GkKG2WjLa^GV{e zJ8L0YWRDncvaPP@w{i+KK86C)${Z|5x3m&r0Hq?s>BTUI+}K!20xe1karO}6gFH* zMihh6ff8djRDaQDWT%n$8a#oNa0#cDZ>mF3?k&V+^d*SK>Ml{(GfEletTR6- zGM&)POz5-m!iqN8sL$+N)=Ss}VbcoB&hgUsq>@ZGNM`EyxcZydi@PVgV^j}Li%-D& z*ya-l*&A%a$^S$Uzr$mrHL0KCdF}GH&Uul8d_1RNmw-cP>a%O5bpb1 zmuHX@NFE3Ze36k@$KNxbn(~4A2>tPx720G1xE{WyJt}L9Sk-a>V$q*}vp6p<9mQW) zT48@M?j4Y$y5F}UDz%8!l!SMZ{P!KYLjCT`{fE1c!HN@oev-xg2hiSC>FDqGhUf*G zXiAW+EH5D(jiCp68{;_O?^ybrzNG0pZpukj4V(qZZu?noPSFjQJ3BnfA>mmdyX<+I zm$RqI2IW~urcB)AImRp5af{4wIO?+t@9E8Vx-JAL_2zl+-+Ft$JZG~`qMQCt`QsT9 zFyH+qS(Ys~4hdA5BE@vVJiTC-ynK0ibOM>qH)PFh6PhX4 zi-oIIJo;CdYLy)>m|7=`e~NTC*_tXyB%UiFz~10db)bI6zv< z7U9XvE+ru7r8m0KxUWLviESNEd2>kjTM;p*P3O_`-c9e%fBpskdx!tM$Nz5ezYqA| zNBr+sr*TlRTQ2+V#R3mR`_oj()trBcd?B;s6bRW&7nz-0zO}8Ru!YIJ})j2^zq)jJXa#jn(3;TchES}XkPi|DI`IjrcO>DEC|!$G_FHCJyc@kXaxsH zY{Ds$(*zQ;Si8}b0H5N8wD>f$ zWOBBki+yx9OC9LRi^g#~9}ogD9poC$eC!WEdYMx;J6yneGNPp4~KMHNI#~~ z)vWnIuQG9H<(xC@w!kjyTfq&XhbvjE*tIG4;lg7_6dZbZz`ERRS{Tt)hD29xhI$b^ z+$xZIbD%)xttm?4F6KJ?3$`58xGnZBu1}2=dm5YRUvv?KtUPc$F9%F7He5;R2SgNj z0Cdn22Vo?Ngs$wWiYUMhL(d$%jjK+)>S*KfJ_Wgvb_J!I`B8L*7=(_-bF|+#vA#@^4 zStQ})hHO42t4v`fkhUlex_}e%^kM+5t#nnE83dn?$xZ5uj5#h zAjgy;hkjPS`~B(D?Y(H+@(2ij2FxXK>duU>vF{n#wID_yAdd+ z(dUn;d{dTA`eDni0Dg+>bO9Ktzw> z$Lkny%4iLT?GQ}|h6>D;=GQWzY;-{9k$gOH{NNP!u_IvbN-!1EQD}Fmod8-`)F%Kr zfIjUqQLz@p+C)fhq&3!>aw^e2G;BuG*!!E$w}fy-vGf{wEIoj<$|*jXp*bL<5Qj)9 zsGs*_qDy_n2b8ZyRyd1vQq>~tLmGQnoX^%9pz1PM900suzJ}(KP*P!3DM^pUjCu~F z+RPFLf=i8|=JSio<5QxzzdSyAi5JQ`W_%!oWAJS;;hxeeVt zNgs+z2apdA-3Y9zasa6+2au|XTO8;i52!NDbRPaZ0_mX=U_3^%jlpy}zWvK^dYG=o*Uh48ZzLTd6_rrOhmTU$rSQ?;2D+h987NbDI z!-SXr8j$c5c#fLxJSn@TyU%4l+?*N%S_mnq*>}S!=U%*^aXv2qF{uJoKdSoEBPBlnlh}lNX1v^RB%>M7Ts%#!IQ#z{e~# zAu#~ml;O6gm-TgcRnLutTO42w&gQNW-|^D8Y3_hg6A6w5r~(Kr$_QK zqUea*30;hs0o?wS2}Ce<b4XV_TOM6{aWv_E!ki;wkV!_m0wSZZJP4$ntj_7i zN*zaerzkN8C4dM;@(n>;c?PTD7|>GtL8ykkaps>g&FGfZ#>~PzxFfQatyE9N8lY;o{@UdDJWLBYXISw>pGrj_S^^gmK7*snO&qw^A zG(Ra%VN{UFEfPUs8s*^v>*$!s?=Z7#3@k5%-&wqc?P9({m(z(G8EC>(2w9zBAQ?Xo z9uqH6Omm77j8k#R@dg+dRRo1SqsWc59$nBT7~E>RVtlLNig9V;!k6`QU%JLoc6N0t zU30odd_T+Mva3c!{R63?uHgA&I-7c-fF3S~@Bn7;0bth@jm@;cIki9|L`RC!oU46x z9|jK&Z5h4Ra^Qp^ZqzV}mp zQCQMXJ;bvpPcqOm$9qxPq^7{y`sFn_Kh2t{#Kd(TO(`i_RA*J}n5a+T4H8|T7?d6Z z+_{2Yo?vTlyvb5y)aAzpN=jJ+)F^WoVM4&8OJu}eU}vL1i4f^NABCc`3)Jyi)rE0P zyCj568rOQ6`SEN++#J)(End+cmyacqIxvzJw}DIxJ3#q2uMrsfn@~SwQ_$zVr@fTE z7Z_tUzLhiPGx?x6ii~4=1W=ng0M!Dush;j-}Eu%8%isKbGjz1SRshD3f> z7e7_|YYA)1MnU;rUxvNF3hMsJ<-!&;Cg{rR4i7v?504+hwH43J;&cOC5eCYEZ-KPB zp89H_e$l1U7F&4dq^3)%w^-B#$`fJ|EM;Y98KiK^H7tUftrk{a@| zoT$K1u1+qP6l3KUvIY|@>&5Bi9*X~w$j^WM-2w=cM#(y0*h;gM8p9Eu^Q5o2@GwmM z_dp}trxj>s#|M;#ILT_e0xmeZY#hVZUA)4QbEmUM*fqK1L3VyjA4epulc|}7{o#<{ z7^*L^0SXN4dLxtBZXIK&(Y^*h8X!a67yGz7`5)#0Y2}{gfbO9e<-T~Qs5_eJ5oE}b1D=}0OQ^R>O7Tn!pKA)h6 zB04LqAA#pK2WuzjU)7?bZ!{4br>ORq&4cU8TAMUS15>cYX2C)tJ~%xY(P!dC9?LV0 zsZkA};n=(!Crdno|ImAKcJUfAk&|1OBj;)i%2SrL$@H>0mWyt}?d=^!XU7ZGie!?uaXn z>BlTCCaGG_>JOi8K0Xe_#T0fDN=B|ga={y;daq-udI@Yx;IN{B%ResI*iV7PQJ5l| zD$F8H@=Ez^X|S;3#c8_cJU$+U@>eP8-+k4;=~hHLiUHuV12t2$I{$&$s@i1qVNb+UO`?R^`Mp7%~SF9-6QIzPRzadWt?aQ`e&1Jhzs zjcAoQ)mtXI{s~P@f2sTzq+aP2famBhz$DRM_K~{oHaDU|67WfIE!9v(-(IikmBN$6 zM1aRb+_s}3a-%?c(M)VCA*4W4NT|5+vN{HL0zJvDa*vj%KZI8;U|@92Pzu&BXud;a zwn!5lEDVhrTrMnYbSA?&%yqTbt_Cz=N3dXd6qV#Fym7pvxu>&asmQuVLVv#dcqb7* z?jcLws%uK*4QaN_M8iFWF1ri^IlCp-$Uh|%Cpu4t25Evf2ccsdYNrdyu2t_|C#F#t zE}Z1@edOIFhS^Mch0u4RU^Eld_2e!;EgsveBdU?}XwI5PmDgsfFCq##L zXd3H|jCsb}T_wpbHdK;yEYi{#GFRA)9HE4uP#Hz4HXYLBa&~$~vX6a`X0?ACf-{)72p&B92cN1 zqy~6bNh)4E;tDbqNGG8rG4k!()6?bh<;&C4j&4-x1V0po`^c$)m(9A$Ani6enAvqb zjjob9Yd~ccf-QCZ$Gcx|9#k9l<4nt4SnBK9v6QyUTOyIIu77>{a{stjOUUpS>kfjy z;Ga1sIxK-Z&?7L&t(kif+` zSTp52%K+hgvIEa*B z5_fVE7{{<1!_`AMjvK2&hQNi8%FztT8}RaC3p)m!mJ7o|%cLA}A5f8}Q{n0YxwPU| zC=U4jTA!1=N>O7(AJlaial>15z;zhNLhiQtAz@ISYx?hCBFo`9 zIH((C+<~ka32%Y5N9F8n7f$MuGxT}T`x%NH|LrrSkiQ5xA%CGx1=e-anFySp(Dx&1!`%et$WSr=WX6|?Bi<(=nrY7j)7``$DKjwI7ZCDrc z^w7g@2>7W977o#ixAcad9U`*vlFpbm8BlwB*7X8DpPx?aPSM0_)>X3f#k7;r&WuB z-#;DFJSp5liqIR2sXz#^JRO{}@JU%wQ!cA%3sX&6rc6DzJF6e5rU8t#hWd)`?G{o) zFl#s+E|;`>1Glx@5XoG&j zazRK-xb3=P=#uR+n4NCT0cS?c@CW9MI48HA-QNOmrOd&BOjOHW<~q=!h(asG-u2(X zOmmb^=v0)@)$I_d!CpO%3wdmxJ7eGv+CJ)drL;dnvGGr|^Q*g`|EvF5} z2A|v*xSLdX=E>n9fmmNEfEKF%4^c_sHle>}!Aa~eXr>m;&o1VA7zj1u!?z25 zs%F7Yjo#3=?;-BclP?n{clUQsruPH3UHf`_NON)s9h%V-Ujnp01KZExAB1V^$+$d* ziAgoa-R+htq${Ot6|$YhV!+$qTqG)%o~mSwpW%kcW1H>A{lVuUmL!f-D?x@P>ww(O zlsE=Sa2IyhrJ+KWs7+NQsz0)`e(qnb!wS=R#Rp~O<016KaISm{niC2KtaEBSX=X(n zFW)37oAR?&)#w)9)YvYh|MJ(~{|E0C zE~Y%NzrETL{Vy5(iT*}ycL*Azk{P&W^j}u}ihy_GqkzQ|99?~YAfLDkX|V6&Jg*Yn zclTN*S%@@RlPm?t-7KrZ+`%DJo#gu{zaul4+hj`rrU=|0B=a8!dg$Z(GeRE40X6zTdecbQfIZM7H@h|9M@fz5d8%>io zd!ae#ckleX+#M7+2x30QV|5!?*3p~6je|u**#QzI0I`mq!pptc<@e9GA8*E^Ia=yr zr?yS122;)o5eAL#2e#>QgeobV7+@_V(O@869K!<>;{EUrm9lSHNzStbQc&md<@!k3 zVZ5xNNP~QtJc~B7Iq@Yzfbf=lPJL$KcF5yMj&$}-7}B#$l`(iBkxxfc9ZSqKPY3ZE z4AUwa*7oS(YF=-Mzs7J_NUA*H5!coOq)`=p3Z;`-3gBjWLr@5zFyf>l`W<`{6doKG z3Nhb>uIu{Ec~m=FBL)84p2M5!ZVAP5*}p)m&N+GuKg5YwS^dfK#8MZmS~8wSwB>5SgQLGLH_7j($?wYR zXbc5jr+<*w)gQjy6IbKI-6wS3_$t^C`0>-fY48>a|FGvF%!m`w2TFt?;yv7cy1jY4 zOmBVaDGqnp;6Es*`EkWZ5WRPo^c- znemnatqn%4)G||aQT`p`6kUog=C}h4H4Q(lg>l)2s1H=8Kj08_XatC8QX>%k)v73&hWbY02Z%G|R^bXOshQG`L0!)+p22T~#^sl$??mpbXc^~kB6!>%#mbqj573 zNjJ%8KM;2ogc}8z4P9)LcSI=2(a}o7x@358=(VWdSuI}G=qvN}=>bi8tdms<30s_y zsHNH34K_}S8^8J*=uOcv5r~MN$whCz zeR|r%?=S8?fBp30_uGfN_bl=QVr*US{pn|n;9Boby+3oj_oo;96&HJd`rrQ>zv=t{ z=1+Ss1@mtN=&N8$HAD9R!)w)owdbgiu50Tw4%6*VGmN5GU4u|YNz>`-efF~!JkO0)vmh68%pu6rC ztnoVy#JOH!xMb8)=>*^p%R%JnC)1JG%_s(4N{*+gl+8mo0$sn}El~v*h=` z&(%6}1_VF-36@sG3D!GfYmidaNRs)CvLzYC4#(pBBrOW=n4ybcgYG?>K?wuq9M>IP zApA+pcFjC~A_QKqQA@mBBmGx?2BIqs7U-@xO-WB(l<0U1WN0S}x=Z4v!oG??Ki#l(Q1}%gVO7&cNEL@S2H`H(To@dJa!vZkffcAk z;C2kyHd_}#11Mg0F;7!*Psx+Hc{A50ZcK54#^fPZY3!!ga2(I`#T z<3TnRENDCS7(u10oDFqTLP*V*5^sG@DF1UrC&)miZAR(O z9MrFv;HDc(`nUKaqa4-PeU5Z6lka-Z?8t7T#|lMK734A--B>3c+VN^9QcN$8Q_oyu zME9_b8lKomJMqJtb44Jf@m!4|V8gaH72&hWoC0B_Iu-WP0mlT#z-eQGH@$p`pf7^e zT8bMvc`$L2e&?Df%*4e4SiWr!uv!fob>l8`UjYl?4vi+>j5{=%*K-PL!qm9euA06? zJ~h%zF5qGW4s$BF#IOolpOF?fT8Tfu~QDLuUfGt}G@ z=9?%?kQWb6afpf%$$xcXVPJ@7=n(jIths0DXNpDL8q;ky3Qj7TNJr~8^K}ZWw`G>z z21TZ#%LuIbP*Gl+3OntgzU#UmgiP z^ruoVtnG=wN@Cvcq|2W)+3il6z?)?Bxxkhjor5CJU%{?nq)t0-W;g^q()WBEP{Olo>Hej=$g<_Cn{7^FsG$3jaMP?j z9&N;V)IC3xrMTgRn*)WXX-&~rHGKjn?*dX;7F}C1@+~DEyIN~hV0j8sNS*DN5k{Oj z7srJ?(?dd_-XIRWj@@4f<`{mJ%zops%zgJ0<2Y|>Uak~jydAJ?O%u{;D9}u*!2(0y z?-=m6DGl>wRA-jvIETv=MjZ+^-VD^^^eCErN`1_!N$o8RWW<=>EpF&D=Wp3JoASUM(vPcp! ziM@4Ax;c=G$<_xQEE1|T>)>#V5&d5u^+pC~+}PhX=Cp0R8+-UVS>MLzWFvzf4Zd|q zKstG2pj(2B6(vC|EZD-#`p}RHg_lXhA@y(5_cQ6TGt$zGzG(Y7b8>Nn1VLy2nPj!M7A94>~drTq-1Q)0>6I4~U|F}YH5B0_&p zSDfeleke_aie}`JU2Kp_I+kf@A*hjRXUWC9^KmYu*)Pqe2y=kR5 zCjAOC3j?aHR z@iy((6Tbr-jW%mT6-IbM3)Lhmyl9W=y2wYHO^fI|GImWllg>dZHJ}{;D)`2M7wH(I z9q*X;Y!cG+^Dr2rh@)~Z=1`$NM1N$DnU5ro*m{0df=2ER*y`SW_w`$S_wb+fyNA1{ zJHYB9@%Wv<>c0E(?H+A4qf`*i2eS}oPv|O$i!h+iWCZJ8)&QPndYx&|U9;p+MV>n7 zw-ReTB-$T_s8MG~v_FhGlhu>cnj{coV!paY*O+0i<5p-$;Igh%C(@P5Xn_&G?t|tV zVo|U`0C~*wh$@ec<#|Na*datHcmtO)Llx?XZbxZMUx6g(ha9>@pum_Oz34O6nY@5} z3q_#SR+C$WZt&{ZX)puv0$ZVAieNO-LP61Kctgv7czJ%J{W&ySAldOYFsAP~md%Ux zI(MA-@5y@v3!4r|uUppcf{73op@9sda?Zg#a`-{#|_o9E8}E-(z>0(}J+aBX(hpFo=hH$bhmE3?_P zh7OucZy122KAM0iwL04{!vvU6N{M2?f@iztEo9r^+E5LVy2Hr4omUBps^z#rE^l(m z$`f?alEd!dil&2#0X|{wqMx{RqL5yi%a7-!tEN3J$55m9utmuIuMlZs@&>;h>Tmla zAuq0T$Paycf_$CRP~csV_wHS#O5}-1(W3y6r=~w|l=>4!I2_n zeLVOmkf(uFOcGCk#bBu?$>XUQr9J{IJXw%wYcr89b-}Kmraak7H+R%$$pSdlAdQuI zT$_K?`%Ew?UiP(Y56Z1NoDqx}cMkb2OB3X#<~mBXQgyXuY}ho31MLL8L0WV0$0yes zhpmc3c@Vj!s~Abg`ATl8xb98K(%<3O8-XG;p^V)3O9SwVxo#nd%azB{;@x6JU=;M`4I! zXwpm&Z1esoxFM2+D=7}ztgiQ%p2W7+zkm6Jz9OG)dw_qx{ryk=!kV3NfifF&cY1FW zpz6<$_;BIb;w!+gqh1ZB6S2lU?|dYYo*=N~{0|Jl6qf%1Vm<-CqZ&IZd@vY%+s5PF z+cpML1{j#rV#=l>CxMQ}>=q{4hkA~}sEQ44N-##i*TcI9lcKT;4AWp7%RPKI+_=sm zLK3MY#G8$TjGv}6O)4sp2wQ3;Jqj?3bn_UKg|7!GT)E;CG?xZT0G$Xk@bt=y^=t!g zRhEdv{7N)X#EzQc#T2d8n+s@NZ1kwY#bN3eh}V)2NFkX;ZllcUOCtc=MC3n~;aNtz z%iBgEU_B!6pNdDT@zBzpVoWmRG^#rn$K`ji54F?xC7jEBO59%O}PUpJ9rxZ$-I&Dm343!fdDVMCAa1Xd) zHi_hMCp+BeDuXETT_oyjplQzf9H<*~@9^P9N-bi$ITW3QxWnp44h1ASBCT8GjBtdW z)(!&w(D;vae927r>?bp?9FAuQokSi$V&+&Aev(Oa-ZON$xsbIr=#y)ACvm;QH9gR! zR4ml8loPlx;8MQyIc{(oFmS+^Ai@y~h}Uuvo(^Hjj`*aP>*X(EuukUp~di0CY4l1Ue@6a1W{C2Z4f=d)x(-L&{ z{$Q%osvjmCkd!T2GC|>RlxFF{AR12ZFZ~wi7j2F+2tdW^I(a2(1%fAf-S?w8DtqDk zjdOK$Jr8V&%~AMnSk})spOEiUf4ckawwD>Zv~_KemTp$zV(T)*e>g9y$!spg+|HP; zuw3zrTm2~t3i$ylQo!mShVr^mOUjYu!-g%fd|Y<7m><=s@lr!AUG-&ZkJ&;+_nN;Q zV?VUqS2zrvM*C_C%yUhh#L3Fb$MnOCt)S_+`zkm16-^T+{S~lvUHdmB%jp_COfWZX zmYzEXOheC{Aa0RFWcEF3&eH&1wC^kUN=cTMKeHiq4>}Oj^t!KR`&05LB5zn!Z`c)q zH3pe8nhF}9=Ak{-x8L7=`u2GD`|TdWA=Jm1gI3?%-+y_!dAi*T!+ISBLE@kPckJY5H5#KiWY$_aNB9_BT= zK!Jp!m0{WE`n1^$dCtHSc*F?=lr45R{2b|6=zNYYGPwgPnjq*8p3PUR3_nM%!4-Q2 z5%mj*b^!etSNkGE4$0q8t%7SVkps3m0o+wKt)w0eq*brlzriD$2r{Q6chH& z8sZ@4GDzVFCpkRP%~lKOGH02J-@Y9UYzp<@f|xx>S& z6Z+^vSC@1WPn_j9BsejaXRgiK``?+I!j8-eabPGK-vg`tQNGo_eXV%c(<4v#(T~a|I zFVvDFvu+W{$;Ii*C0pG}B6;+=lfo!2046fiq$qH{$XthAq3GdoH#>)N6&&GYL+0#D zoGqncrEvIA+`Z`D1+L2E+3fO^Fmq5ikpQRmPDt8K{nUIhIU8JSjeX6Qe1b;#c{(Hu z2#(SEtWY{*YvO>11%iXr8!A{EiBsi7gHYgag-1xj6|HzWD)!M_@^_+tAPLqT>vE}My_7*W| z=a-CxO19Ke(xs3!n;cgXZ`bme?`|+`=^FQVpO9sL$1__#?PzW`lkA zB*8ZzGQdh7S_8SWru9MGGr`)_WmcdcBz4lEKahIbFg z6H1#9GEbFo?8Wh7k*f;nWu5FQK-u*d0c^_Sey}?4A`cWE750_1;X@S%esjTY2wed? z^6BQ`>DyQFFVNfE>0j7Px4REE^gpP)maGSsL!cuEe*{uV!V(J++2#-!1PEkoRf>!^ zFxRr~<3Ma(4-(5=qyHGXFY;GuQ3=w~8rfC$%S8%~ARCSS+BLJ#?q{k6!=+cK;sm zccRAt>fBF+)g!^T%1$$Ioulog>rscxJUvrNZC`HqgCa-R1&j=EoD>7tII}2`CQHoM z)SpNqMv`O$Jtj@E;o5AMZZtq{b&>!Tt~p2I`=zt>^+M_pi3=j{gmjxSx%9f6!|M168|Mv7dvsHV4 z?a5{N`;yr%r7K;)KP_op)$pJcM8IX&x+u95t($K!N%dYTfCv%+9**w@ zrL1_s5-~62P|afiBn0vQll4QGUDg4^hxd>iKJgYa{xhT4%>1u{*1 zUu6lIUI)7#$^4F9H1>GNAOHh5)gLes@@rRPCBe?6Z$Yajh?_mz~J-x1NS9h^S;}gGJExY3ylN94#=A@;nmY^AI1m zBZO&)!HB&NompLk5tz8CBKfAe%!XiPNw&*xy2^ zfk0fmb*srav}WLNk!a)zt_Fj_P|$&@+Rg*QHiF!{S{_k?9|B52a1NG79sr1++OEESbRx#NEt{wd6p&(rnmXCof>3N9)PK$dF! zD`?Jr_4A^dc^+qv1G-hnWi=N_aN*Ny5xgKiFCWDh`#5ThM=@Z!Xvs|Xm{7Vtj{1WtdkW& zc?bFTUMFw*rXQ-G|;@LiaMM z_vb&~l4k1}6{rJPTdtgX1ICKC0 zmw#^gG_#(Op^p=Kww%9BZ}H{Z)7NiL_3ix)0NA(O0Uja5XKa<*OBOp(Xp%@Y(YQY^ zdfD6OAqjL1H3hT?O3&s^gbeupGI6Z%Z zE?T_ocWn)Z!`$XT$a@fd8V77n4X)w26h|lP^N?RkXGy1^&}O2^+mhGYOPJup_YCUn zg&@>eGj5F33g6PFw+Z3-RsRfR7S6Z7g5^w0z8dfPFA$LCH%~nE=`20xg?N%>0Syx+ zoq#dz_3?Mc+G^NrvzVT`7C$Vsy-DPK>SvBUao{DyB7`&pJff)kXV(ZG9Nj)$JluUj zG2%au9=?40dUyX3nYnw+xin6mdbAhlyC$nYI7saDLEG_aVer8qI$yi&bj+dNYPLX> zSP>!4+#H(Eoy7+uqZd^|X2|A7Ddd4e8O!9FkheHA=b{_*^AXYus?Lj|tq`>U+@>*yq zj_LbDX^83}wq{ByLo1Ue1W>gV%&N z9zHaF39TvdC0?B&(|&P$cnrvTbv3?ugHWrK{>lXTGx{Fo&jC}k?Bj-MLeo+6NE%IK z^?XoGF-q{YIkkqph0AfV8iTS}!8IHJcfv33l`ti1%gk^mHzx%pz&>x)A^dnYEFYpG zX6ueajspxZ3=AN=fD_IDim12F(j=cb$+C!4h}xE*3SEJ&H4F&72VemK9PMy+dVFH& z;zM!$<5=|u;Kt$I@J*LjfT(!IcCzPdDxV4G*fx!iEl1Q3f*@&#cMH4KyqIiCHY7Kp zu}1ZLOA7x~!YT~T@=Pb{YW3_4G?74Z{YKJSq>YQH*ZnJ#^aJ~pJb8gR zCmarEIMduy9^RhjU)6O_`9fARAakb|G!wKiIjrs7R3^BEUuBpU(j8ijnF9>@^1p#zAWeS6H1ljT|^=eB|HFtG;8c zG1)5G9-^6vhfw1p{byz{gAq9cQU#s$aJ4aGi4eX*?tOm7n5MusGYHH!j#)C|&y<}s zj>|JqGa-G^@Irn7TGVaa{3{LF{y9L7OEr(Aw8Wi6mO_4Fn#bdw?t)4tzAz|9%q_e? zN?oYDW#m8{B=c(IvK>O7u{yYlT3`Nk8aBT$R@nd2EF}S_KKM^$FM=)tj~%H-nK--; zi-jyKoW6EyncU?p{_4cM+B;Dny z13SB}Tjz&Tgj}%D7tH&BcVdYsr8Fv-Re!TIZd`Jd5&XIed#M~jbuZo;LQmDM{=O#` zVDo3os)ug&F(@$UD)E`@F7cTl4jWFEMSnt>Y{!68e{)!fAK~|xpfLlO{ysqo$}_Z4 z*GgxY6nj^wfdAL$|00#o&;Nb+AIe^G)Kk}4l$DYg@^Sg5OMpwUn*-7}l3IUEPWWX$ zpxYG}&K;fV|DSK=W8XN!W0f&(S-dO;S zU+hCLk(~za&z340bw?s&lba#|LXk{b14e@xD>TL4LA0UP2@y8T1sn zv-3d^YK5_aP%F*i5)>jdat<1r6*F24mI;p{tfk=_VYptB0-GxFSp4*DMOv@>9<@Iu z8)T6oEkT2Lbp>Wfmk>U(%S#3{|?R`sX5Q)Bw*5Tt6amf1H>8YxVa;@KbrndD|DYaeK0 zSyp8a$Jf45W;N=KaDZ5g=6G%v;tLd58Y~cDahlR0C2AWZYLtjn3vwQ$1$aY(IDdHf z^7Zx}Eb!fzFTdU0zNqUD_s@GMaLX_Ec>P6hk0lp-|N4CI`E$r1^t1Z%Sf>|~VR{3^ zPOLrg~%9x5GRuqMQaZHIDcE{!UDbD(Og{T_9riM{B308{NP6w?ej>L2C3K`p} zhC^>Dx)c^uDLQ+6dVhBhmeM3Q6yh1@7=*8J| zILVhNi4J~=aMhshwAyLnG67&Quh*JD3w^|Ir5vC3F>4=G4WxDC9x@4!Y)L7dYD%f3 z|4LPH^cW(yJyG2p^vVc6@12Kt__8 zcYvQ0`06Z+0u`Cm?h_PX0(PZam-r?7ARFBVeu_oj%!lWvyBeoy6$B1R;d645g)Fs- z7rg6?wM@w(6h`&+;WULcxx9H75-!lR0{cd;WJhkc`1{o{k-2f{5Sfm|Fsu3os(iwZYw@ywS$j}+LXYE6qm?+03y`tZ zw#NMKfwrzgwceNekJ3w-Cq<>tU>JJaq87{Z{L_mK^Edr~C8{u0=H)8@R~K>}bkXIz z@Xx9~G+x5;K~*u(Mhc$WQvd;u!^%YN!zvel_w;IWUE-LmOT)^9SJBURVMM9Z)+6uk zFrYYg!kMIkI`PL1a*Ro;cxcsvf0Qs&9U)k4W{O%6xHv^#B-7xm-zv~$!b+(DTPj!) zzoplQVX0S$hN+TU7||s#oiDoM9jrBoAnJ3IgPEN`3K}mZyiT&IPnu z)Ukm)7AIFr1ooau;_uxf5*vz%zli4LnZIN#xb@21#f6Nn`CFV$m2NBzghD91oeDk; zt=wVI9F+~?X|Dk2SRv-jppe)0Z;+UkvBmbFE;_yt2bYEH(#~0bx4MG@{5E2&tlg(` zriRYl4Ed<|!cKP#__=8g%ezo~9d1tD%Fy3XvPuRBSbA-_yk&ylyyHId9h7n&coP$9 zb)Fhg%^@R96MtAM5swyuNraB8T8$a{@xZAixP-@md}+)1=y*nf6iTMVKK1*lhu2R~ zTU48pAV({SBM@7j81qJBtfUh@(?B2d%+tLJ3>Z zpTzPu0}nw{#)c!woO|usUFOjyg}Z9EivMq~HynEQut^UgLOL&%W$l|&2&;k9lwFx@sK)De}k~)QMYVsdFj^G3x1OArHVR=>x$!0bRG{RJ>&Z#L9 zUR9g@bq@LW6eq}+!M6lOI^`eY4!T|{YBch03OfOH+flOm-@UrnigXm#821LmnR?Eu zNoZ8C-x~pk#W*tS9KedtPp$+VHiZ&U9cA7hL?Kd8UMqqd~r@z*Dy->?g-vA_ZDKLO%IeUM)A%0vOSc? zQv}ORandLYTx3}gr7E`!AN17vX|cv{8wc@^7Xi`9bX^OYWRQxV*~ewo#f zkAkIeO7R1Oz^XLj+$iiGL+cM;7T4hw@`!4ttkIi;XEJ2Zt5Nf_V-de9aEnNSH9|>n zN+r>Oj%ArqltbYQK>56L4t926gp(vNJP18mbvA3j2@SdlXmC6PS86qYgtUt+< ztSZGE$A)?+FIG2#r&kDtXaY$PFjj@ibs-bg@1909$y8aXrfX^lb}@xS&~%F-q>1nX zF9d;_s9~Bm;cAyV2GPZ{Vhb?e1?ue^MC}0nEF!rO#pX$*o%V)=#>;EfbU{!mOzkq* zT0ekPDbzzNfnXv$SgK#qhdF+Oz*F(TsA}R&3IW@%)cF)5ZWp@?J?05!M*9aR_VN3BPiVoSI(6Cf(bbrBZeXe#@938O(qr)7DS;FB4z1b$0QL ztJkdQm4}l4NiC~D_G%8}Xw2+%~5wH-mJsbkew!wmZ#c+-fIjb9}P3 z#KJ#NwcA5FA)-YgMS`th=_N?(UNb4gRQ{#T%$MmH(l98fyz`WytMy>^NTS9@O z`=%e5)SGk;J1=QOib5096Ib#GX68u(M@E3Tyv&hB4RWLy5U3q(K>LtDMa#3{=u*S>3F2EmX~xU6>*e3epB7=3EF6keP9- zJ|-HANvoN0loF;ngQJzn^|ma!CnW54)LlS%iftj*?^JigkJ$11EY+|6n&-r^BDb^9 z1IJjA+fO1peVek=gF)Wtra_(D6wWE~>4=&(-hl!6qV#>R(Bac{k%Wjdmn^ZZaI0`< zK!_H*t=C?z`)>ISf3{>d<%q8^(J3i3r~{OA4Q!XikGVKAK8DP0fdZRqdSQo;DU~0( zX)R1rrqFVK?Zom(D-Tj!!yjhTWMY`04Doi<7G#?;vsKg0)5T&d@WE+q@OO6c$h0Y}9l!-NdsRr9WuK7LamgS-( z&fDbkMx&t^7{+gZ==4<3k>XBj(vb@$jI}N_ynfIVaCS5nF8@dUqNNV6|JtYz1 z4decjXP6?E*zZ&qN|knY51O1T<)p{rq>bEqjAMw43f|i3k+=4Vwbrnr!s-U{9UK8geLhUmqM9E0R6}A+ zArr8#v*aG-w1nGfVB|Z3H!6^>> zrbL*;6f+^3fK0p?5-`aLXj{pWwT<(0$Q`t<9ZB2bKw2Epi<`^q3mVAD=eX;+jDwcw zr`TkzV%k`~5K3p~Ml_pFKpic*f0R{*$XpNw5~9(C`V<@sVoFOwSko(OZv7Be?xoF) zL~e+dR16UZU0MUmn`dvBIIjYukk=FanZ;FY3fIiPNb9$LMG3O2w{iM{dLoz zUszl^W<4($$RenWY#*0&PE&$3e4gbqyY98%Ax@VZ7lzLkcQa?cXhRqY81)qLwW2$bFJ#A|@jx}UanWiRDO>zfl;0pr4B-iOnjngs zquruHwW|gXY6b}sxLk>BkFEKYEfmqiW7C%P!BIYU1%ZhhD7T}rSZ+C6y^-r!v+a}! zFv!+Tr9wHp{3!*V@y2p5`bg&eV4Cz*h#I)rBn`NsVe8u)U?zy2L&hPnz03`PdJ!|t zDec8@CKX!di4JqxBB=efeySj+ck0?%uj#9q_PS9r@8&40O(0qF|FzwQ51M)pdW3PW zX|tVGrW=+D!{4bbr$!rs8k?!A1T4wo<#*^ANdkVS&@)IGWvH4HdO^QOCeaPVC{U^; zQ5}Nvy4a^kKcyNQVpo|3rWE)5c?aVQm-PSwFHPz=m^4X zUs_4K&TpMfd28#sQEoDu;vT$+m)eP`* zr)V0a20?K2ne{a`ffEYlJA61{_F$8dinSZw?)Q48?0tU>O^y1K&bg%AykV6{n>T!H ze|r~of$%0Ulmp#Yos6%Swg>F25ug}u^Gk$D}Wqu~>ri-yWn zyLgF(wDHO9sH8*V3kgC{MX&JLnXhc=$(gUrV|DYjOq%b7Od(E5+#+e*D7TZudLCP*s_R`0wx8Q)d4j;JP5B9Rt5pgbjNuik@Ykfh zK1B%xruCR5ukE+1UiCny6sOMVP)?YT2BL*!9#m4aQ^`Kg99OK8wW*?RTVIlBHZg)4 zJrWmSR@Ot;h-~Y!ZMJz05fcoh3|&y-T?lJpX*1Q}RKexf9e< zLon%8LX3z0DVgV#o|3t^U3w}f`1IV}^t8=u z58J#9WAwPB+#a^{&1Q2-R;I;;WyDB`d`DU^dIkPq`o}JwceQ58{C-&|qVDm=3i2lj zl{aN^s0aok%W$=(1GKo_!HDX7PVF-Lxn=XMkHcgn`k@m;LkjR~&&(gGs zLo(6zG_8WE=0J%ocORTG$q?^qX3({K)pYZF%CSsmGG_?2-zV=B_Uf8|4;RM<==^+{ z8_c}Zr^ET0WAKDth$L+*lawbarQCCNW97s(Dfx`ZRY|Xm_`J}MlQ0}STJ2?neqkrS zIC6;|U^k9?sC44i_hF|>KK6Q-CKHrGF(ikoy-`gS%051zI)X($)8j~t!4>4iKps!$ zYE3)jw$mp$_o{=G7qMOF=xa{pv0R1KY;Q*6B@0i=NmLwxJq5LGABr8h7)i zp+@Xzgnxt~lx!ka^+2MHvo$@ngor()2`QPG1k5~DUNJYY_AMK(8T)BZMUz+I){IQ# zb_;bT?YK>=3dzQvd0|J*u~!*FGY>I`gV2z1;=8>ohTN)?>H-wzs5KsqjuPo_k ztz*{5s<*P>ZYSAEW}5v`jE{^K-6S;%d*lm&atkx)YxfRer1TQAz*-^~oDZdjVfjS7VIOY~`%$49Fc^xes&s5&Ny2UC~5auW%)lrn@ zjg`6kH=raihqO_YA=O535HUH7joQyAhjGi0Lppy=IXFs&$E-1U!usoGZEJg#JR$`} z5A|C155Qm_A_47eZ9;~l_-wM92I7%+3MC1uv60mlkAhNOspVDxt{Hqi(NxAwf!stadqfqt3 zMKgBapx-GXyu-KaD>`@ziV$PFm`4Xm#E4+I*nTIjI*|AP7H(>PoLb z8mqr<$XK;k7aLnv47;cRf1&|7p8jdlKL!2MqWrYX(5RmjC&v#}hfsULS&H0d={(>s zqFDqvfwdSJY`j%6G;e!zeq+0K9sLn98HAREnakU!oBS_k+*)3YlUe;B{!+s@mFoOV zHw?@+rG7g0(mj;PF~aMCmOAKG%LC=b#`^-@7Rxdn^p14y*q)kgUmZi=P_Yk~J*`{1 z&IVvSRjha28I4{}c&Y46mr*JmBk_vsf!Kv?Rz|THd0nzT#>A{@&6V}8n@q5#SAK@P zM__^n9N@9QIz($Fpv`Jmftp0pdoK2 zPm;kGjX85Eq3{=_6lVOEjCK!qlnL5Cx3g&woHmBvp?D1*ZhJAG>7N*!7@k0Bl|Jfp z4Di1p{x`C3>9Bp~Q9r~E>M`DK~LGbw;ppwebvbMxdTau?VZ|XS3Ce;3#Z>#f4J@aREvLRP!qY-L; zXE%>LKUF0PX^`x`DIJ7iS)&SL>uXXJjD>PKcKN+3roNSgJdLr(@env-Qq>Eb-cUh! zh$nQGm{Tv^)g1EbATVmVyVgLq81r{+NF^EbBp^AyN~l+55jd=+_Oc-^)y`mMpf4f) znxTAla!R=%ZgbEiQ6ia4HLo#WZ^yghFezC?*%61^6Zu6A%Zxy|<*ns1|F3gMN;Wy| z<`>2iONIvmDiw%5qqmyl5hdDA#g~nJ8U4)1-DCfig%i+ zjI(v`@b`U3%Sp2-O^PxKIZJat2?lF2b+s8N2Sf$;Iy9ZE+ z46p3%?>;h~A*}U$7-qm(e?#Y#%8}!$Qf#vmdkR zpkL4<_j|Pe@c7DQUdHm=(%kc*J;?@0ajjyW2-?!5KAE=pVIm}-1GAgw;->3#+oU9H z=3`6eL^TdFafDV@)tg8bc!sA7ob}?m)I!RmrFHl&kxB#kGA$=%k2}^>L-WM9LQYb= zEz^!_kx0B{ibT+OQNu6kdALMoBohFw^d5to_$~}~cF7@oV@7ubJzI#nV+*G#5`7kF z9>*6Ccpc1y_7;}F1lm|0+NW-pEMhrD%jsDX*JzpWZzYkKAGUvP&pkV?KyUNu%bvP3&T8+j5)f78N@v+>!)0n zk1>(`MYpEdncWeBiS(J0JfHrB#tZ2ywkYqDeh%edB6h`Mxk$FA%nqNIBrh^kmgBUs z=P|r!p2uJ3Xed4UIg;Kxv222>zze`$HyB;{6@Q13Dm)ENIb8|zb=vvDmZO{z_@C7;)b#N* z`msfIqv+|bNi|n#V0t~VL}L^U@l3*Z)*yiJdO$*hZdfsa+y>{sh`CMs?aG+4vnLp< z?Ci`H7(hu<-XW$O^a+FFonc-+I_JRoJxihFa5CW}XXmpOdue#_^NM}2sck#>gZkI{!6HiR~) z*iVRpvjyI-u8~c%mnPY@4W<|aou*hnS&s$VlB;0h~b9M70R}*FX8cPr(m)s zr9o-9L?L&J3M8`;y(V&4=dBFGbYW#sWcaaaC|3BwQ&A7C(*4oc_HZaEdr!`@${D8k z#BiP2g*u?FwN-<}pblx33H{NXDzQ{Up3fk)_unXwwEgmXat{LYU%2j&pcQ z5A-Q;FJ~ra2d712+OVyixrQu=YE?i4bp@=X1FZ@vN*s(5@nm~z`yQGLq|hj5%QL^z zW(47Y;K2=$ifhc-W;5E;)k6Q`_L+hO+??{QxcA_C=(5b|NfqtePA>-vcQ zF_qTY&QeGXw|b|M+0-VFynOEvINtHlbLDf&l;G z18Ogu8-<)|WfU&+Hv zZAqcfIEJi$L$ya%sw6{d#;1*KR{&|OO}%-9gdHx2T$5SP(rXTRGQtef-`pQ0FjsnPwNY}r39o8M3x6e2M!Lq85*Y&} zN3e2RE6|Se!o^pEbR!(&Ckhd^?pv19C5wRvKn?eH*l1cE;yHFCUcx4oH1uDN|IM?| z=I=mRTt>}_e1f`cUNI}HjG!+uYg8$+OuC4)tg!6a-BS3wiQSD{O_Kt3!U{e1oorw=SHq-`KASlKfGbg!t4L8|u_+$g`skdVKn;3M9V)nK zFp&~w5z|hoQjq_HgBgW9i18em5!l!Q+)`t_=Cg7-3`*4ej#0vW6=Cd%_TEbxE-*1B z&ju3oY~sM1zh5fG%`ia4!10AKtn3$ukAX3S63-GkEU6!SUeoJSHCx=+N*Rn%9fN2- zMs+#{qpa~S$&HNyQa4qkw|ddABUFwC{o*)Dj0ob?S48uR%7MTP!(DMH0YVd}_8UH( z$<_i~_$Awk^+m&xLqVb7_282S$#D@_Yn2{~sns#&6p?I19!j{vitCrWuzhL;DHfc& zdvs8imzV|}D34&wFvSG|!Vv7nxl=?Odl*cN5APHkOJE(jWExOPukw+VA@Txrx~S`g zfYRfxu1kK@gROO*Dx0i!Va;2B&8*izwpW+(v1{8@AAx!9<~>mQhg%aNQDUE$pE8JV zKnw<$V#R?P#yQVfzawmf#sZ+cAk~HE=|{O>@(Q7~p`3-z)YWy%3|L9b$?*b=T-ln7 z7iO*$P};(@(vm-2jL}_A0sQo*a3n=U3U6cpjqoDy=H4PFMu)!IOC^mZ+)^Ef2IvRK z!`h`@@8Ts8(DS<&#}L!|2M2q*gDVJ>?aj9g8q>0oO#!Uosl_?NTiC@!rBGz% z6E~FVLBV@RSPZC7x3L4Xgi015r=0ADyun{QZRKQPhIbpM>U3ln+#yU%wlF7gFI1Rh zKAz|5GcZ$%eloR&dAvNaRVfRLb>?j?oD^TBj1Hm9QPjyCF5Q6%*DHSoK4xX5J3o*3 z{vh%*OVM*L(`CvhGrx|sW%duDUWTfOX+f zT(YLJ3$Od6IsBR6Nx4%tA!1rWO331NDQ&3;Q}wDq7Ntl}SaVuC%zrtI_PsguoZ=Id z%mrT!W?oLbnl5u9*AF1kfj&jjdXiG4X%FO>mSvH&7!Hdhh+iia35M0`AjC`}C0ISMPay0l_xj+{)H911%?hd~`Zj5$)HG6fs!TReTb z$vM0d2k+A6;(d(2R@#N7mMNpH`h-A9{4JCNQ8l7qfC+$fS~&*-8Ra-swBfE(J$>QE z<*7mkroU1Gpt{)P$<6=rXJV-kU&Zmxs+TEUZc_xLU^bYkPcqmqtg60Jl-|5slG)4- zm-dJ43%$|qBPHjlPCC804V2DNb8+cZrs1K$obKk7JK3X z7-GT`O2JV(>qz&cSe112ZPg!NWC}NXh`2P-2UUjfdw^Y7-wfQH=GKvAaTD=w z%ED+?Dnsstm9c~PHfHtJHG`C=#xIfUEr7H#W5*7BG@B*jG1VnX5v+q?2fj}?qm$r- zNR9exL0aP_Zgs#7A;eD!w)IRWGZB$HW;{*09J?!tLXcEz-(d$1?nwIVve>o#LeT;` z3S}=7QlDv@GKiEfxajJ} z)@Dy+iY(c&hjP1C$&j>-TZb<(wXKo?^wiGQDj8r|j}=^%>2Wq1D`qsLNa8N^hlh@l z+gRkCL*=9H+P!2!K>Ao$kKtT5`>ygUp70(Q&RyTcDmQv7%iG%s*q2~~XlFi~huBA| zPvA%BlF7YA4wobqhB7UXLaIu) zO}!g4;xN)(8B24f1_uZ{lI|9HzwE(SLY>QeTaH5~Mts?!^Z=gship-sI>3i$U(i8l>^M1y5XBi=?e^ zIHi9|Cpt^*$mzVt|InJE-aJ%>q$wAA1|M%E2Z9_)E^L~Xh8#)|)PJtEq&aD*Qq^4P zSjtM9gtgIDyOemC~W=$Fm*jG zF@b<}_*0$^94eK@EN{8)8hV0?{-+#KbI52XDX1tF6%h=4)T6_Pcpg-z4FX072zR&8 zy`uu~lGKMnh6$QUGBjCvp@sA*q+D;qj|dTv6~2rF54LGSe}||o{9IR!Y8+a$cM|j1 zJ14Og&qYdX5;ml0R+^WABbu*=mKako#r#N@niNw}^wsFq`+WERyC z)u#`VuZIjlF9y0oWF<-9F*R+gQ*T1vkSaBkXF2m=IKwCgm(CeoN;=1gveFWWOBnmLnIcpL0$QsZ@T28|Vt>mR+&Z|<|=&qp%qXv_(cNhF(c&dy~ z`4axHR8weXwZEKU$GK4caTHso013jN!UlS0hJ8N(QB*Ab!)3T zlSb(0RxuBxn>Zbdc}Zr|WxC|cxftM_`vYsqEY0SgYO_pq?nzO&Z0t@V(1po7$y*jm zY@ix>g@N@s3#HT<2Tf~wWfti~g34)!6XxSz-OR!flA}R5fKknCR}HG~o!#7KS6FmX z(#(>P%&rj~o^y1#ETtR@3&_>fQPI7dw2W~L#G<=E2%+LTB3kGv%-BNnj-JrLF3&r( zaKHL~$)4MYB6JwKx82cr57H6va%+6BvA26^Z};G_Mz0rMB0DKql2iC+4gx8y!SdQE@GdyY zD1l9@BP*&+CTGk`v$taq*;?qHUSF}1$R-%8!eX0oj8$?< zV(T)iilfI)ob{DSB}=y}Fxbdt|CFm8!jDmZZ}q1ziLk7Bgo5QoOmWmP$35Y9$8MZwz!)qSQI(dZB}q4;73^ir_Uy?VEY^*aJwjxXI@ni-bKQ448O0@1^7x)JN@ZxbE}s%w#~S3I%Gp9V}7wiw;8)UKQ{MxgL= zxgBUOHA!Pg?ZG@Z!6E{6#M!K36@{}I?+~UxO+AysBfrE$@b;B$!Ze9wsm*h6!MEGj zLwt9PytsBVWvs;fiGI2pwJ1ZMVy8|r2 z+?g;+%2{S39&Np52&srQ23`?2LuwjSTPtVA#u%u!2PfR-IjSA4p~7zNJ@c|oJoZNS z)MD=}Jlq^a$a*%e#S$ZX(jaRpu@8igG(om5O}rGxt)+svd1r;vX&H;sw9I-znt-|# z*cjum%gm_4EDFsOrUE8KlO)y?Bv{XgoM4Nnde+^7vksEx%VwaVtkcu^V%7 zeRB(GE9~~2I>y}zjEwkay@w1(@_NoC7W2UjXLew03<_aF@$GvQlFpEjg*4*y7MSO{-3J_OyRQFeKokm$VvC(5j?`ymD7A9-MGvytg^gUpk{B zPQZ}?LtxWzL!=qgty%zDRG~nrdM_+2!|_(^|N5GAh?L~n;vo*DGPxHi-@0VS)XYu^ zYGaX5E0_4AXod{`P6%sQzo!UTwfA=2;ruDOOs z2#3h_VCq7#E>a7DEY+L~%Po+@jEleS*C@|qXoyjQSE@!RA!)fZ8#}0xwXAjV3=)X+ zwB8K6Vfb{9RnR1p+PNwBDk?D07L*Yd;Dmi4C#yP1K=X%za z!yW{*NtC^}oi(RH?J$}UIfT?3&eJ`n0JUyV>q}sp%c73b~uP_$qYM6dXF(= zWve@^BtRvNQp1teB}iP2&?;v~mv!9c;Hb{j@ht53&S6Gc{%&&5AzFU)vX)=M5$bGy zbc3XMXu=m6B7sd)EQe3_F2%L)Qs7<0)65?JLZyu&SnN^odTF-}uZ3@tMlg&q0wwJW0$uYITe$cT-v+PKR{WK2WKW1C9HKXhsDpmAq{ z!>i6umu>n}y9@=Z8Nj&T>n)KM0^B!>C1aZL&Bkaq&Avf;A)IlBCTem$4&5d?Lt7f| z;+nL<3jNdtQoJAMocHx|8(}oCblhg7v+{UaMJW!XP3tci%C5J0e3S-pq-8e;_ z0PwMAH~>L&2YDB9+$XeP4Us9`K9FLFWX-J6O!))Bi-GBYLSq%$ROLTdd58DmZ=1ij zbWRh?&&s__<^n3l6RMhXEC;x9{7Vzb-fLvXH=1)CubbOD8@;00%nGv~vxGyUamca_ zLrA6%lM|U6OMuyF_-gRV zq-^mAd7Qhqw6h_pA7s!+E}icm?4BPtW^NrkeEFbp>urzp&tDni`%QUQ($;J*lSW_F zipsp!4&X2M-y+n+wBf41#&!b2M9IAiqY8Ni>aK3J7Ko{?3VBbmES24rS!`|mU~P0) zdKZIT>GoBU=q;Y2T(-oLTT5tZpYrvez_fBK&k2j=XGuA^gkT+dLkJO;HLC5c;f?CY z(F2Z4H8*8bi6|gCkeo-1i`xCjEZ%Df(Z6z0J=)+dLzFEo9b8z424Opl^E7_oHH`g}$dR2;lI$Kdwp-Am$(zsYA8#NZ{gvSX&h3Z#!-owMciJhWVW&+QJh!iA z(&|RZl({N=c*h}gr651T7f1_b^4wBS&3&t5s5@O-8R=+o$vboR2XOm+UH> z%ix1Yj@CFH#ft2!$7(&eGji-UXLPMfje<88HtFmS8S1zzsu)M1>~Ov?Pm7QiWi~$Vu9!yLHvYbZa>`GVxrbL%Ec| zLZvR<%bG1QuuD+H61Eg2#`xf0UWZOx37dB@AZ%`*J(>(J4KbRSX%r{2l_&Tp z6NX*%A2^y=7oO%ei%r^qc9Z6rQd!a|L6H2guztjPj{;2&e-Bz@xb5cG5T<9Ey#Cz%$Y=&0cB?~E2vr46MlNr5~e4PsBz{|Q{@srDM zbp&(e3EC8?SSqzNJSpKBgC1Q&JZc8;of#oAP&6n|Wc_9LEc7yR$?o;ej4zHZ?e&zW zndk5oaLH^%fLXxkGh3?AT#-`~?*>N~j03L1owrOb0T&>06DeTubC6Xrt_(c|Q*vmx zS**hb@%Mp{@NOZ)AW11kJjhsX;oaFqDAES!Zw3d$p{-WY5_Xx#)(qgMxd$H}OYHvQ zI<(XE*UjB(diidi07HxbYg9P@9%7RY>os={$M9{57L#I|`8B@|q*YWRV9%nlngCek zit2;CwRQaKTLJt&^K?e%@YnnbL~$sVSP+QnLHk#Fz0#ozW+U_I6j4V$Mn;MZI|9-k z{*V=~Brc>T9fc=Ez(i;Yoq9q<+A_XfwCom}DiWoN>2ew*Eo+-?(P>bgj(h`>+sWsb zPXt%-2GfqQMOaoRnYV!$>RskA(Uxu=!e^7H>1W6~WH2od&pswQk<4r)=QbM&K7(dJ zH!Fu_pWzRHZ!z#`i8w15%+^hoeQ?=yv>7f5sx_*L06nqwSSIbiOWW+Xhen#|gX9F# zesv@_Gf4Db0&7g2Xi)5#t?}OQ(uI=cXiEYOnOfXv8S)n1at@AqV$4%2)~#sal6=Ke zv6|A9c1>LUtLdyc%4&znI?#kGAIheW7`y~!0zX9_%7=8CJy;#W8<4lA@nBtG57w|2 zykV*460h_@0)}1oU$w*Ef0cgAUa7JMg1<>-C`S*rhOk%YkacC_T*Zf{tT0VNSHN5H z2}!rHhqJ5SXTK3=QsBnA42q(mZg#Huvb+KvF-u#kiuL-}B9?)U6tO_%q@EM=G8OVQ z-VM-dspUIO%W&fqSv%>Kc|4S2h-koR7xEE#o{+AE_K)NJX%Q>9UV~HN2tg-zA6eBs zF%pJdDC0ir)b~7+5eV5$kzxlLFXsd{asm@KO5`_ zOgpUx*GuV|Qh5%u9u@30jxtSyk7V&9ZNS=^HITUTBveGXEfD$Ee2dkG!mX)Y67D(V zxdT+Hy==B)rIROf2=~(6z1Q}}7yE9ls3L?ka zfSZ=PjdP}H`J{T&H(awRdu^|`A}O^@98Mzqu^*+du)M{(FWFM8@U}vuK5BZnn-+h&B_XfM01gg70?*Xt2>TlExfG$bp2jdIBzVIQ#>@lYjb5be!7R-QM3ChLuC8) zSR9hV!{B{fp$JT%JQ_JoZ1xqg8`@J5Hg`FZQp}*dMBck+al=WD>0h4yX8JqcdY<`^ zPZ>@oQaxYF93!Cg4iVMgFfO}i*%FX%8=f8x9jNP;{qNjwdmcZsv@YzZ`KZbenQod4 zmH{m*MLb%xh?M#`MRzvWdfTT-&CuJ}Vkuhv78WRVJEIcZN$K~-wKTKuRNGtgV)f(X z?bO`il$xPLq7FSZ3M2&QZ7%~0=kFyUhEz(p0rDO=dy%y&k}R>t<_}jnMs2nEYxpg6 z?CsT39-@`8yy-U8+%(j;rbm{Hqp;!yxLZyS!-^M@v@0gNX|~k_W3nePCZ{o$iBIzS zIQQIG2)uxSB)4(yeE4>u+C2-;B?vO!(F-bo|7f-xU2bAx5Ujjlbh$}vWd@R@>zoG@ zsyfqCI}S$~>wGe+LuEJ_Zy>5WKFNj?;%?qodYr|XYYB!?R!_4G&mbQ|xE)a7>PmNW zae<<25x0QYY#a4yJn|h+f#~=Q*^9OspGcCN}~Y;RNC_ ztaN9wqU0U3uts>2RuIsjg_F^7Dq5s4-5YxlZ$)IY>8`;E)~)?(2Jlf16~GcD5rkaj zOt)5zF11^(790gfq4hG{NA*{NTI`*fbbQQ8IxF`5%G8BgoCm-ZZ!;{o=o&yR!?~jk zHP61fh{)ZNlvFmBdgt`LEE{)$v8$5qg3+FmSFH?D>614x${7u8qD6O`i^#$T0U-7M6e)NXbe|ZCPwh2sriVaQbOp za12}=k4LtAkUTpntfd4=l;FLumu=ZnJeAUACMYZHibKjEle;H<3(Rm=23IB?FXHAl z4ygeSSY&J>v4A)o4nd(1qSMQ#PD6tve^^YIKBNXG4jHW2A**3xNLG$0^BVpLJgTe;ZD66dJs7E+^20z*(G5wsv;MTY-DQJGq_UGU z-p(Nt4y153S$C?c(QpKPLU~f-j5yUZftrq7W9HWDFF)UpVI!Y)=eHJd?Xf}wK-K8=fD>J+*@fqsg! zsmPGQ& z$hl6AbTkYJX~4Wdw1kgYrDC+<;#l7jTJS3-4)8F&?%G1*g!%Z?ruwv^5gS-y!|HoN z7)q)bQwj^l^Qt^Ex;3!a8FGx`Da5JMdXw>-6z5P58B$Z%C3~eFLE!K0rS|r14yzng zEC0}@@jSKh{gRN(h9ePiP>xDF< zWNzVMaQ2!T@@4SZD8rhoJIz!BtroOIkHUkC%fFuDFP*hVLGh1OCn@5m9A9JV}9FMGx#_y0HxHj}Hq*y?*1=`NAKw6s)dEhKAi^MM_b%bD)dhBUdBgt)} z<3&VrG^VT+RGX8r{;(`eL zk7`1=AOa~trVL}O@=p;Q8=9sV8lS0M22tw=&}rOnb2MgqbwFpaOP970a%tWK1>vh`qL~zDPTU zxvA@tbt%vMG&fHFmgiEAHib&uxUft+_c5mA)#=3D!C^{O29S^tYi06q`j~}^Tito+ zmT3U|p!IlmD-0UpmXzJoRtqQf)Gil^rmvQ@gkeLPml5Sh-{L_Ro)d7Y4oIl|59iygDQ6NgJByAm9hEL#oqU>v^3Y=6THlAf=RTf^WKbs$Uhw zq3M*hLI%hV3Ev}hfvooP=bL$9?Jp-Kx4c!gtA@L(8ASJm+5?-abZ{$Mh)~lwSEvhw4}&va+$dc0aV1gh}nG`>$Z!jIUAxB{@iAWT1+WKX?v)iuWO#ESVPE zbA=Bbu3Y0B9rXj`<@i{E-z#khY|Qd6dNbn<8W#=JG(`N-coc2)4lZ0C?d~;heHs3^ z6{<=EIb6E>t9;e;POeryK6vam0WeIzk(H}AXCL4gAosDJ0 zm0+4#Z3Tv^<}@hiG++{-gxJtGXSVCQWv+#eNX{y}8oekOcb5I(szwe+R0m#4b_$V9 zN`(NzOQ8`!8TrP1r_(5AvsrLaang#56lc-i`24uPKR(`g09KiojV}zwqtSSDvN$=| z>t7r`)Yuz8vb(=~=^`}QojhxORpaD^gNLqM7yzEf;++HyIlqqv99!-lBA9Y~_2h;4 z^7$*c_F&dHiJ-ZY`v;@DAG~-44?j7%cnQtD-QmGWUaZ}V58~qF%EjRUo^f)2%n-kw z(1GW)PhRft^)KMWyLwV8#sKVJ+&{UGJDeZijg&)%)g&DNGgKfnU8M1W50=>ht_lX* zL7cN>ut`KDt7Dh2TzBLlq9hZ%MpOoi4~gY7CeRuY*n~K8vzS$0iVyZ)rF~H|Xc|_j zs2uXlDiucJ_gST)X;>xYkWpE-2?ht}-wtJa0jHzfAzN?A8(dFDI!P1wtW_?VgDmI( zni=sJs0Oc-^-Li{8XN1%`gQPU+0xl+)`1g!+OoP zgk$)&uIQ-zBL}R4t7Z53sCO35VPaTcf?W}Ibw_{C7Q!sH}xkgbXmk zLMH7kF2KAitR-7bu|95;i)`U$)T6V43fH-&d~?i{rr5JPt`fPnUkQcmekWHG*>)W% zji&|4Z#k)kIE*!LKMI3&ul1O@AT^?-(u1oqrGv4`F~38eUE)6yenNTV5m4)c8_#pz z1>8XQ2Q)iyK=JSGb?KK0Cz_ECNTe_d!nN8MQ&zxguSFFn5;*F+(%!%cjbx;DKiXHy zVs^k3e;Zl|UHXy_Pm+o>W9uEK5>eBB1O?ms_4YCYFQ}0LD*Zv zMb(d^M~QYnI97!sWACw;RS8Jrj5{6%lg+Dl-wvX6Iqt!5*VeI~P#?sMlT<8jK?%vl z70DY2Qwz)^G?^J5Zwwo^-PXY2!HCyQGl`fZb4_yZ>94eCNRk765`HPhSWZ3&*DSkP zYI+5y%|>Rmg%=MT?pQc?)G1ntVB6=`u-f*k!BZWKBEy&IINx$r6-LXDxL6y)A|o4#@@t-0_yjGtP7S1 zTqGL7YKri>OuK}ja1C%TIht7xLw!^g=@l<7iPbZHupNu@8cedjPE{z8p#gCZ zgbE@+*otrg2C?fi&A5q5I0p~U?ZtItc;(lIR(%;|GAU=_IpbmlgvSve`hTN+0?~km z3jeC8T1JgmMljVODxfMt;~eRzWu(d@F*Kya$@yO}efQhDdy2Yn5I=x+rP_Dk=Z2G; zNNnkm42!|?8j0Ts0zBPYUt75!f`u}fUDS0^mITazGMW6*;Jhdpj$+PDb(HnBt({dW ziGl%M{yA(1q-R!?(o7#7*{0H#@gB*-<+lut1cTP zQ#_-LATE-|dk3Z}Q7IxGeUX>yAxptcp+r#vjbhO*&5DsV$0g()hU?2ts zjF4|-`iO#&X*3VBtrj!tZLjxM7LoSjDzdX(Ezd@yIIrj-OG@tQAybk(kw;(`avcfN zj?hdpGf8)Y-Sfz4je4B7%BWjOc7}AJ0%qvBWPPuhLUwoKpPs8hZXQ zd7$hX*6MDnn%l#N#iNcrdE~n00V&h7tJFtM*J2r`;5=Q1()9&0p__=q6B{V!8C`&1 zS^agh87jTJ2?$qMxyr&KD+#P*W=-El$nj#vfHsbYaAgSJo#+ACl~6AA34$$1iHBg|KL7lY4m`)F6} z1mP;kJ;b?j%CK$Jf3~NJu1W0>UX!Y@Xtn)@6%!5snkYUjk4HnOU-l6C)4Ot!oB+n7 z87X3AuA>=|qNQ=C}H?QX6~ntHQJv^$AE zs4xRykV%v7+@J6o)ipLqZMXz$+_v$8z(hIfkkabPWX}p1eiEtcnzmv+h6dgP^T(+p|CP^g|O znJ^u0%cjvH5>FpM$rwnBfj5sgR$!5VYv$q_LQ&^!6(wf&R86>fZVi53{L3K!mbkpp zEujhw72YkYftL!f&Z2R-OuOx3&2$1@1Nq6y%!(|Ml$jM14MDLh5Q+j8(| z<_XR(0)CCMPgG1pCaX!4mn^07V#zEhtAUL*Kd+@zKq)AcqS->Qv?Q{opaR|zkX6G8 zfpiIvC(4~dcXJ=FVGL}>C9^i^6`@Pl6}N#N4V$irh=IEaD^(c{53JP6S^DZw-U#KQ zD$;FG5kHMR%Gn2pkXG>(rLn-w67~zTYfGH)E1T3w^Tbgjg%YKew)~Z0|NxOivW7B9y9L2uX%DHrmXVc<3e6dTBHihU~AEJV~7M8W3HLi>F!5HgRqu#1@ z)sv0ft;Lh+cs3Zqlxt@LN-`)kr*s$sR_W$tQ~HJCW8sG|e-zgTN5wWc%G!4643&~) zMzuz!U3VVISP>ow*e~>68suOT0kl2bJhx37^h_6#_EZ!_Wk1vw7x~P^&0r{hBH1)s9j9`9lxD?s2|a4g63=cd)0d#=Pp>u08C_~ZfP z*$&avuo+M}@b-3WhDIvqVywOPCi$ z9hxLM%Xm+h+1D+a%S+UakOe1y6N>cet}d_KZ>c1^q1ekc6BZ1RIWkO+YBy;opbQ6c zKugLCLI>d(=3%VdB(GKc4klrTSHUbLXRK}r)G|C=A!c|Hl#~Ps$b?gE0{g5ouKK6! z57b}u6*Tf#PQ59_nVlFk9M0s|BWD~zKH-+jDw%qw1)V&b^s*%?u|-z!1)GwYf{N-m z<@1>NeLZzW6m+PFu=-xFAc<;)6VJ|S&@KVm?LW4EFoqki>)Cr1={Vh7oUnZFUpdd& z2bIGJi7fHlF&U7QHE9~X6B{?-MXpB7QX9jlUc-UVeRB3mVs{mjH^nX7C?9(os2A}5 zcz%h*hHYwP#4?5IiYzti3T3yz%xdBQ(ush8S5zY52%TV~eu&#F<56N7>|B!%$h{cK zcut?>fDg)O+MPz~^PsP0yVZ@7JtvU0;A09VP*PC9m$8qxhSoP9ObSTXP zYt80@vZp2jm(FJBy5J;1|KPkb2exz3yoKLo){mL~W*jaaU(P$VbSJl^EO`Pmc#>pO zazh%IQDmB@1Cw$EAgoV-2~k68Wn?QO|L^&gEh%wkP9t#d8riD#B#|wIJ-!9t8$dEx zdk8ER2rZ(d`p@Uvh_sBh$NR`>e;!rniGH8B2&MRow{dB(d$2##pi7MzTs+xG8XT6X z=Lf`jo1U$tE#Ad|w77lZ!D~z?YX9P-{G@KJv5h4lb0lgQGOAUXtqh7ty^?z1EO-A>!lUvtWGp)75WJ1BZ6XWle z#0F)DJM5H>lIT@_$1_00&`ErNYoH|lw9Kz}H<1}*IwMsMsQH#@_u_qSWK&=V8H<#I zMncsrGde2u;Gv^~*aXT5U{GErf)oc(j0*mc=)+9MoRh5>6+ZG6{U|4^y=qfb)|K~k zx_Nqt*qFzWXlRdF;!P z|NR^OI}#mz!%a6nJ-UT1>VNMC?A~<4TaUiyNc0?Y5%A@F{TXk)?rq23PJIoh?+x5{ z%UhrFw(H)0>>cQxzv=n!eCoTdf4(#3=fW{)-|qB(H0)oz>GpR%?Oiv#`>9`dz59NT z^Ei1w_x|6@*PrvX*OzbMeb;-P-_iG*|9${}xNZ6tx4n(FI}aZ~yL;1(Z$0)ld}sHj zMmNEQ{3xZSSCcShIW_|(;>9l80sTaJ9mk!K%!&XMOHdESv19>JjC zKljpg(P#h7?LHP=cAL?$=(Rz6FKF*aPxG$qd#z*974Q2ZZPRzlf8qE?gZn+ozt7F^ z=u!I@{c$Y18jQcn{PoH}m+%?Wi}~zhwMdg7I$&`rpdG zFE2{FoR4t)+k^YPz5FTuIu^Y%xSzDk^$5qmJGkGwgZurv;C|9xv7^HA?+Nbr9{znf z|L?KCq(6>D?+xz%-sp>T1nt8k=y(4+7QHVR|Gwx}9dI3wf1f)X_y5LdUa!!;JA$^m z-y^i$|Bglfi`&HSzbR<{`=Ct}qWtez^sQwBe;td;m86bi(Hq?DkM`Fbq4#+Pb@G3Y z2mRk+Iv5^{zAI>dchDxvSpIh``o6M(zm7%a&Y_ND(GLdwKOD3_610CTX#aT7{>h;I zM9}_d(EjP5{js3^vqAgkg7(h`?OzDmzZkTCIcWcC(Edcw{*9phTS5D`gZ3wb_Wu*K ze>Z6VUeNx7p#6tI`;UV5p9Jkc3)+7kwErS#e>!OYRnY!S(EgjC{kK8;b3ywbg7!ZK z?f((9KOeOJHE3fCJO3Svjt1@Pg7)=6`-Y(X1ws49pnX%&en!x~IcVP!w4W8UpA)p7 z7qp)rw0#cZSkwsmZw=bF2kkq8_Ds-zY0y3qv}c2M9JJG*od@kAXt#s*T|xWhLHjF$ z_A7(-T+m(!+Dk$EbkM#hXs-nAwV=Hbv^RtHcF;Z(w9f_YuMFC+3fiv@+Fu>C2SIxj zv?oFPp`iVmpnX1QUkuupgZ5s~J_y>61nsLq`*lJ4@graD>~A`;-{G~7M&SwKQ?%=% z7khVnO60G|_0iXR{ZEbl53hYg^jWX{wCL8O?hAZDbiddB!sy*z`^M;FUi<0MXT0`J z(QU`v`+ZTgK;MUQ#yFO5FzwVxmTuGfA+^o7^E_j_T~@!Btn9`xFc=v%z@ zi=$ui+P6lZ^V+vXw>{Op|LxIzUi&4{TfFui(NB8qFN^-zYtKYqbc1`p5v*I(pg7?)`gF&uf2m^o?G-AAQ_w52AnY+QaCMXS(+rMLS-59KFqJPogKh z_Jh%%d+mp!XW!!9Z#TNfYriIXhu3~M`j1}weDrr-`$Ba5OWgZijK0!qUvfJkkG;t| zeU3$M3EEy0wE5ks^+;)S+He9-oY1=`+bN88)1XnUIr zZEtg+?IAbX9&)1XArsmjGNA1(CfeR&pzTdQ+RqB+|JgzNIYIlmLHl_@`%8oN^Mm#a zg7yo8_KSjcBWS-kXx|#NZwuPD2kn;x?K^_@mj&&apnW`Ozcgsy8MIFX?UO-!HfX;r zXvaZ23EFAU&VqIxw3|V@2->Zn-45EFpnX@+{_>#x@}PZp(Ef^`{feOd%Anm1+H*mB zK4>ol?Zu$I6tqtT?bAVfIcVP#wC@etD?xiTXs-qB^`N~GwC@Yrn?ZXkXm1DYouGXt zXrB$*=YsbALHjF%_5(rtRYCi!g7&L}b}wjub$!QA=z{q{EYU~&bk@)h(FQx8@PQn zx&b7ee*bgaek?knZIT;rhCN|R+mCbmjnSiMoB!zkZ`1zo#osr>KJZ~}zn9x@j($_y zKfvv`M4#5SJpb*{^*BQ4`Gk2lNAHeq)Ak3r{hp|aw)u~qcVEyR1noBlZGso=xDgNd$5c20q}d|+%8CLdWC8o*#Sr|@Q73XXrKHSqDL>} zv+-=!%+k>?8w@{9~rlTOh_4cemU!%L|%8u2h>ml=&Gvk%Q?o!L%j(2Ub$oMLD) z91W5p8MfP98-)!l(4%63Qu$=!Z#jHqBe(=5U0R zJ>y|N%ky+Nm^4x5C5iEpovbN8L}sx4E0^gP@$7IoPFs`lsGYa-VchO#*`$f^`z#-3 z@hBbWFEDZVk^Ta2)6e>N!679EDvES4Xf``pzZ18{?L6UU*dL_X?5LT=d8=QvCwaf! zME<@>J09d|I%=k^b`#m2XaZ<0uk2&RM?4A5d=>*nMSq9{&7G`0&PQ>(9rxSg<|xaH z&Y&Oj&kip4;X6yS)}EbohW-AeKN)7(a5U;q@XOW&%T-{u#~JEI$aD6P2IzJ2i%xUg z&x@pu@9hkmt#PY87T10ANNP;s6QHYiV3DTY2g#nVRMvD3Nrzt!KF** z@tCwT8@EQoanS)jx7uyYX`T;Slh$CAbn-SnU+1`gVU#AXN!oq-n&#|yl*CyIWJ!me zR^Du7qpUONG>84X)s829`TpUB{oQ>BKeKc;A2r)p@)+x%^xJ8t4=hiMc-+PeG$(D@ zVaV4s?hPef6CPI-vjh6xq%#-}5-jJiIZ4uCPK(=|r2T$V-ccU+;BZLaRm_gZ&A|lN zHku?OtkbwZj)Ci~QPxiWJC1n zA()3`kY==}^J3I)WyN6J9*=-8qvm*k{g%rb^+!57>&L@Uvor3e?QyGU#qG3Jv#2SX5yPLjo)p$y-@BBQZS@Q%%5QVj9Z**KAz?8He164Gz+=<%-JJCBFMWHLf$ zA1jb%sC+d2y(aw;HUtRWAZa%HKouZcKSxO(Lfr?4 z=O32g7(9;0d4gPCqr4cS;52ANf#2klq(4Ctej5JJg+4NLT^-0z`q`l0?*PwR`7r5^ zI_*BFCAI}<-C)ql3;xM&s_!%C1HZFj+8V)=5SuT}`}p#rlZ=|kb=#DQzi^=U?i9E| zr-LtOr_FH+)XawXU%TH=l2NW3@1o?ymA4rV`^6|96~j226qs0~?iqEG0x#0XrIz5@ zWxGXVt%{HEh0|SR32+$C` zLxux~4yvKd-^fyd0>m6AT^nZwxQ;$(_Dp#lYXk>Lan9~PY=2RZGxI>ZHK*&vJig%Bi%3QSsA4r-g^X`X|2 z;U!vQuo?+`go=DLkvS}>Kxdq{u`9;RCE)gh4v-uO(1|BBrQ~Wx#Paz@Z{v>r(+dJ}q+WIiwkG76UA1t2qWw)NhUBKFXUD83PrF z<>iw>o(yA5(g5@#E1IKD3akUZp*aRFnBj>GZ)G@^v%C*{FZeiWwTS1$E4I^QR0vJQ z-BTG3+-u?^hogRbG6ZXrBuO@z(7^;^0IZa|E0LLuo0EJnE!gQWo*Ll|8& zQG-5DF5r*B#^9fEtd`c61WnK(Z!&>rNWiq2pEMl`?v~bGj zIbxQIb|(Xh0I6{ZHkB)vXW+CV?qHA%CIe9H6w?@IBck%dac2VTZ-I^?D1Z<`e$kX) zfHr~dV$)!u!55CPUI`wV4&oeCtEKFlOJAG7<>4r!^Kt^VwMdK3s5u!;=(qw3%Um4{ z^&7CTxHnFwQD=zKsI6p#Wy`5B38pnm&1ijpEL#p{sg2tYu@lFG!7vA#k%Dlx!0yO8 z9}M-~W2{f7jR&`iCZwP~7@Zb~ClM|PN)sVH2g5?YbOLIE8=<2}ak5PY`Jm`$R0*uk(Rqj4&xgJe9&h{wj1W+SB5oZzT$gQn$*{5TjCfgr$)jD(^fp*d!A02Cd_@r~h%IxS+Z;=G>%+54T& z1hQS$f=mYqWsoJYERsEU`fWP++I?({ejImlyvk?*HX?5hfqjV(aC`3H_yQvgLed7l zv<74Fk@O`KP?H>dtRAo)H=aNoDUiYp{AOp8XCn{;h$mwlpg{g+C&jTSi01tYqC%?$ zDvp(p5v!d+v~0He`27$EzzC9^P>6l^N6kE)ZGlOf#4WHe!)6YC4W~ZVuLF6tKLLpm z3=+{4OV^$SUkSc$h;0JybXW0$^0vWw z1BD>Q#Us#098&y?UjO3$F8v^z?My)7CRmN6lL1fgcUE9Og9yjqWmK{vA@LI7QZm~b z#vmp`(CIvHLO_BzHb@d2t2o-gkPBhQcoE;RuCw5|z=>p81}3Ko92()U>EK)*;A8^n zmN&wrO^DshTeCyVBT%n7>63ihpR_xWyl`wF^LbhfVx8H8QGf42dL_v1*pxUZ!9NaD z9H|)&>wY?J(@x9V5X$+JS+#*Lo=rd)v2QSk=?D@|doTe{hGmW+f?~;4JwVxs>3wj{ z%{K9E{k#LYY0?^FdRhCpR+?1n~Ow+2c0GgOXvBHo*yxp)e2u zuT%%Lv)N&qf|wWi5bA&g0v34O{un253_R(BTj6im8;?b{Z(-#IaT6R_ft`RAZ1y27 zfNciX1s)VzjK`ag$m7{DZFLaaA;c^o$RxqB4YmLbBbKwNY!hlxk9PZ{48cMJk?;Xf z6@XjKu$)+9@Oz*)Bb-|}8~F+L2c20Ub_N;%4mcU$%xL!!sN5cn@yWOkIPkz&7h`iAo`+sao-r8U)M!DdChbHY@^uFc=&(sDCz1*TU(G}n9mwV+>}Ft? zft%nxGVlrXe;}>>L94G1(ER|B99jl!YN(j7X8i*EHrUb*J{trGva>~2-ahSQ7Ntnq zkesmGEl9G%Rv!t^pbTloBv9o_EP+eVP2v5MY!;_j)-EQP)qWdWIRTfO;AxmKoY><@ z%F~K;&B*(XAGBv7t;bNsVA^T1F-efdAc)cQcE(sd9&aDeEM`ZbLr}wH5WvUyOq_qj zQ{%*h1OstMj@jX*3zt!rd=HOk#k0hNf}iSOdInIa;rH0!1w3}!c*rX&$D;E*Xyq`=8O~8Ks?8BNcpUE#K?iLV zQh_=LIttn05|yp`Ia+*ZBcK2TB4Rgzi5~aCs32e|RlOtXVS$t3_foq$n`RP2eeL`xt4u<5q2)`Gezw-b1f5UBviPY2b@J|GF2N zj>vQro!Z9_weXau(cQmvWp6m{VNjMne63MolzZKsXv~wi)o5~_6vy_ZkiCxL9(q@H zN4t-h@AJN6blLw!-NPS+N*a1E#1bOMIprO%z0>$&e{g5GMEQ6yBha ztm1T?^#25JQTQB%&sW$`_>%;2-yc?Zpz!?!zx7D;UE2O2!52pn*)C{2{dW{5Wqv*& zKOc~v56I64QCR=_^H)8LCqkv>`B1qQ$qcG9Fgy1Wq2#<)4z9NcR z1kq2{TY_YDCAgvRtio3*+*Qc)h;di6{T7AqQutnl-=y#d75=osPb&N~g`ZaVHwynj z;pY_|J0kD(Ooh)?_#%ZbQFy1qL}5$e-3sRwE-PGDxTEj^g?)t&D!ia@U*Tg4$;*Z2 z>un0ZPT~6$ezQU>9goA3G5oN?A658-!jCKbRfRu$RL1|c!si^5_Hl)GDZE$V8x+1@ z;S&l!rSRVrJ_86!@7+~+zrxoj{5FL@q3{dKk z6#f^5pH+A(HZtAkE`{VfN$syy_>&4hq3~Z6e#z5h+#L#A3QsG%U*T&MzFy%EEBv^^ z|EBPt6+Zn7)?(>_w6X$ zQut1V->vX>6dr@S7LETBh4(6aT;X>r{D{JzQTPdkzpwDq3jayr4RHIS`#xLY9SWNY z7Zh$O94LIP!p9YUgTn7n_#+BGt?-`}-uMi8-U}4YDtv{)4TXJ$dkVi+;rkVSNZ}I- ze@Wr*Dty`(%X40=FjY9Oa9iP6;Ufy)q3{C=KdkVl75 zo>RE1@O28mPT>a?{)ob#Rrs3<|489y6&{6~9o_$#3U61~RJf$@QH9^2@VgZLuL^%& z;s2%Z&lLW>LJ9_Eyiho!u%nQCGpYXph36H%QQ=1venR0ND*PLTM{&^8?{86fhr+hP z3ku(%@LLrAkV5iBq2K?e!ar8{cM6{Z-w=L(g)0gt3a=`Bx55u9{D{JzQ~28o|4iX? z;S)ml%@i&we4WDgEBro%A659P3jaXiXB6HBhKlZYx59OWeTDl9-=^@J6@FOZe^mJE z3jeFZ&nmnFj1=Afl?pc%jud{2!jCKb9fdc-y^?-+hr$OGUQzfCh2Ns^hZO#d!ar8{ zcM50Vo=NwcQ@E}0T?)TP;ZG_2C54|?_$)A-^!piwcPYH2@Y@vrmcmaf{Jg>j+&}5} zslo+?U#IXx3ja5SzoPINFO=WCOyO53Tvhlgg%2xyox*o1{D8vmSNMd&k1PD7!ar5` zw+fFy2B7zShQb#qoK<+Y!WD%ND142=#}vL(;WsP%K7~J}@D~(*QsEyd{H((NPvOyq zywB4WzF6T2g&PWAt?+`vs|vqX;d>N*tHPgB_@5R2p9+t?Sf2Mq3SXe`PK6zXrxfle z94dUR!Z#^=kHT+L_+f<~RrpH^Kc(<<3Xk5Z>!9$33Re`KQ#euhdW9cR_%VgQtMJbi zeoo=h+w}elU#Rem!jZzq6~0H|2NnLH!jCHaMTP&S@aeb9{hzP!PK9?VTvm8a;e!ev zQTR56?^pOe3V&STe^U5c3jeFZ&nWyCg*U!L-$UUEg)djQqHwG*xmJrQaG#dZiTA~ze?c+g>O{&^$NdT z;g2Z%8HG=sk>@;1;kv>H6kb*M3krW*;U6jd?+QP!@cQF&zncl>;ODe`8^Kl-y;R%C zDaGH1T&@h46e3j@w>K4@Q}}9yBZaR~hy?9C{t<<5RQN81->mRM3V%Z3e^U5c3jaXi zUn=~(!lN&h``@7OCWW^se4fIF!aEe6RQMGN7Zl#3a6{o4g|AXLQ23C-iwX}EzE0ue z3g52q-zoe?g&$D(oeF@_Bk6RSpt}s#fN`>nRU#;+h!Z#@VI)&e=@WTo}s_>T-{*J=GQ1}^z zpHukH3U7Rwyw}YNpQG@F3U60S4CJC96DP$%j5t%AeNM$H<=6NndWeQ0|NSO*DBqS*+QAp7w%21L-DUy)- z?|sf|uk}3rS5LQlyT5yX_kLTeUcL7|-+lJ^?CCRa@iy)a4Zi=N_#88rOZ9GWKo) z-&5RAJX}0d{IGa>Xt14GTwwjO(4dUDl50YP?QgVxoA_PvUhx6(*Wwf6bK+k^gYBlQ zkT82_@Ov%~4a)8Xcx7nteL?rA`1*Qm8k#jY57{y__*_Tpdy5B%?-NfEKOTBZ;JPb4~Axp#lGNI)}OTgXK}KM!RLbCl|D4szr5l?q3MG1F-urq(faD* z+Tupyw&L!gsbaC->~H;W>mL+PwtgC);IpB@ey!mKzR3^yIm3pW@bej&n?+fXHF*oW zum=b79**Q#PUbYu=6uG2f5Q7-N@ieiDC5h6YLvKn7(CYFad7*Mdp&EiDQ{b;-=zwdsGm&7T?Wqwv+IC!&yhd``d8F+o6JZoOo7faNnB4geR#oWMfu9tAXckx4h%7cuzO9r1iCO#LMJr?_g7X>$x zFiyu@p}}+Ia$d=+SdJB0hc~k^o3Rz!urCL4BR4Tya8D1vKPNk~3zzeGe#akJ>Y~Ko zmt#kE;WUPG$AsS#Z{G~uDbAQWu{;YKFq|tU{QNjh%+s=oAqtH zi|yE#138TMa{?!EHs^CEKVZhRiSNt8hHS!doXB{4Xs~}<#qsvgApTW+Rq)IX_rDaI z@HUR(gIvRPJj|mkoj%xJkdG+Oc)Ms2UoWo9dThyfdui~wyTx5Ol=m^5Vo7~^07QCu?cVE=+Gc7#&I&IaRC?eIj-gw zZsReYV9rd5?dRpSti~3+i(^8AYiT^E@G&mr60T%8mreM0!DSRneyx5uK^)JI1i$Z! zaCxv#MMH!0r%Y&YJzWzT+<&Tv2KT8OLxbnrEuq14^^VX&u~?hXVzF4~&{DyFLWAuG zw~e^L=^jtV;4s7uz9Rm8momN|!NPdHDDaAKdGP(grsKx)8>=72fwzPP`+F9`!SeX`1vc=x+jv)Komi|xXx&(>YiO`j{X%bw#fFC79E&{=S}!=? zLWAv03JrF8rg&axu<<3K4P&v@mcJ6(C>Gli8vM9jmhTH~9F+6KCqkRVVrRw4k_B@03pq5@A#rw~oag4s8>Q%?NE9iv?Y}V#(VD{o+E~$71pRKtW=?8pa)C zv2CGu$6_CZc8bOJhjxy|z6$LUi=7M&67}cMZozq;Jg|H4dqR5z!bU zy@TTx+9zm73=J~V@qAWrP;Lw3{=s=2Iv_Y+p#x*F{-J|{{ATFjSnR>jA+gw$(0gLB zCqnNH%HyHIL47WCSa5#}9UhCl5jr9kdnfe1SS+4jx<3~CJd7WR#g2!LjKzKo9Tgm; z;6zM5I(YtvjtS1g(6PbuKlH)idJPRumU5xvgY!RhLM&D%^r7H951kl`#q&Q82j^cH z2Pak6&_{yvFLZJ&HY7ACEQ}7V5gZ>r7W!x`Hdh?a=LG9lgz?m%d=@$_7F!?sSn&IN z?(NX&vDkZ|Gh(rQmLCocPUhp{(~ReHg6(Eb8LSVyEc9{toLRA0kuZKDsQ(FlGI$<^ z&JKQW=$u&WrqJN;;`y7oLAg7O=LJ)ITi%uZLg&X~!^C6wQ0P;^aSVMrI4?sN1m|UF zu-z4Wfg3^>2ER9SQE)sh-yQl)EOsC?`29yMKN-3>7W*kQxOjgFT^ih9QUwOv&%#`x z&&Fc;Lze~RC(Ex6T^?L_p(}#x&hlGApNqwshpr6jRV?quKD?JBIi3OW?@!Mx%)z`Y z$YLzbpc*0m_f;7Dlz3d1!L2GD2e+8G!L1^0a0`grk-;S!kAq7wZg7dk4KAIyBN?3P z@i;hz;|8Z*+~5?68yxbu!6AtoBw^fDT*r;v%$YHGbcEzQ#AXgYR<>5AZPK<>?x+_?(^L^>D`W3rrE-4};}tnVH#`oB0_p zR|lUjDK5{-yq>jLkBu2GUk87Gr?@@4uonmLUf$2KoXB`NJJ{Y#@fm!2gxhl4nb zBRP(f7_To1{(hEt9v5*LS8*LTax=Gc7k6_X5Ai5Z@HEdeS?WYOre?gpD%iiQ;+)LK z!Yt0Rti-CU$(z`S&DfG{*@->ak3%?uqd9?-Ih{}PDK6#;uHkyd>)V3g`=)pY-)A(g z;DGorkMVn+;RU8hlStRJ4Ci(Z&)00s&HOCFk}S{4yq>jLkBxad?__&+VJ{Bgy^Plb z2KzNuJduxbCg*SgmvSZ7@)ZV`Yy9{AHlKc(<@tCeOY&+)`STmZH!-Lxh;R3H#_K79 z{qHCaiqP@(L6JCaP{fUUKObb29}kLv@%2FwE$-7?%I6v7!(SD@$#?i6Kjk5Q&F}dW zf8|BV65ku;yDt^zW&svs8Ajuz;`JIeVzJtmhi?%G&$D=YRA38nTXyE}9iP9q9F=sO z66yX|AE#c9SNJxA@VE|Ryk07Zqw#A~ET74_T*T#!%0KaT#2T^KCd=dXRYAN<{4t|) z&zItF_yf-~xqNz5-ig;^)d>1wT7EeTvjn4Z&b8tijJG2Of8R(PuipydsC?7G@^0+Q zA-s=Kx#nTYWa6O!(SMc zUoyylXJsztXS|*)*j`j_sc3ms#@i2r_4UL}7?oGrh&!<-2QV6U7?o4PcRz&Z*JRG% z94=&3K3Oe}*P{i07jHid+-mtwM&*+I;=_#U(@u%w?TEqON9B<;^3hTKT6S?>7GhKm ziMJyLe_z@1>a5F#jLIMJcEsTG?JbY$+xmzHGb(pP^==a_pUP<5WmNALl{cQVel6qm zZ^8F%7QfA?oUvE@8NcEQ{>Z3&ky3s*JuhKSM&muBaz!c2EATqjSE;#GW!ukkHL<%W;M`}hTq@g$@2LfCK=p3kY7iP@NkQ90o% zaamr&>sg0U`5+nx+tTuOjJFF1-`iUpl?#T8NAn>*%EuX%2c8kH;0uh#(Y_&$$^q|* z_wXQ(@LNXt|DVMvKFb^J~A&5B&CXm-xG!yn;noic!7mb>f=5nT>e|qx#mn z#XT4_am4rYUOvFz?pGGef4lz$EsyGj%ZMwn8f&vY|LpevUFw_v>iq2?=@I2?|7^NM z>Hb%z&p)~!75%QNti^h4!l*rRf zD|d1?_wz81^Ayi9s_##eEb;xBnVorAi1Ge#HG=j!ab;F#T{dJh#@khE1kYD-SN7px zj^G$hh}4dUqfXlZ#=F7G7n!G4VQ7p)PC z-7kKSllT~;`AUMRW#adhrF@?2_$s6Ju6M*A@>3q-*ZiJ8@mI$CEz}6w4V7;$Wz@b^ zKwOMvSc%mbwQI%uGX&dfYIzH`WoJh1Sp&txIEoV(@8=L~FKWk{YxyEB=Ng9Z&wLY%eV@X4GC4?-vnludwAMSf1B1YNxtU+=%i1 zqQT$aDUSD-2;!)Hs;}ko{u06R`@~}z^_z&=rJk_-DK6nkzR0LOYKwRWKj0_)oKZW} zcj7aQ_ZtoNE0yw121e~q@&2R1`uvs`WocGqRn}rXHeqwNVbtE#Q#^n}Ig;ZUwKK*0 zSp@qt$MS_-#?_44mtGfd&=>e|TO-{V$^Sr0kaGWg!-4G~Z8U zadp;ZLpEb8M(syk#ZkYE!Qv4d!>HY8s(2RXb1|P|)LyhfyqRzFeePw{PV|-d1b^fO zrc|zp+J`O?=j0VE!g&AQAYChnuVYQ#%*MQfQG3wc;vVeJd-(vPcA#i}r0JH=<^n#; zsQu?9@oRjG@A4xCKRpwIoY^5MVD$0X$o633-#vV?zCzF>&$MfvCWIFjHz zj_QN|?0jZXz3_V5eT`8*VTU-%Pwx>Q5m*o}P|^-H-=JeCi08lPa)A7zPnC12!5Zei39R+-@yo{^4 zp06|NSF%&QoBMf~#~Jk}IVVo$xtWHUnVnHTl0xF*jE@5f(yy|(I_t6_o3Rz!vn!*1 zBZI{wIEE8Bl~I3@`QpWVj%&GrQ9qGrzQy+~-^}Qw$875t@L8_n zON{z`yd{2@A8{YQVAS8^r1&hu31h?kNzF`*`g!CL7vxne%WD|*@2Degz+2gp@o`)AMfG)e2|m)7@y?RT*~LUj<51fzQYgsDG%{$ ze$Ss69}gF#$3^K9=@iWudZ{=!3$Pf=uoA1WHtVw~Td*xVvlj<)7~|vbg8iBxj^-zw zDW1zkT+aA7z2NU&7H{GdZacNd$Rn}rXHeqwNVJG(F01o9yj%PGK>41QmH{AS=<%QtW{-{$)avOn?bAvjIq{$6{>?|tsi_TT%x?L_Srf3!ZY z{rp=$SMYzheq*rj8^JN0$Y97>Je_B8J{L0>3Kd@;{l4JzjK^7-i}_iUQF~5OyJaH1 z{?pqnKTDSQo$>Lb!S#MZ{39Qyo5P<1&gp0EATqjSEcs=W| z0dHkXwqqCe<{%E|XgFQ=pB-59l7|Go9S^KIw1_rbGeAi8I2!&S-gqcxQib%8aMc*_#6Jf^9<)6iI;16F>~;87G?>S z=e4ZC8`+4r@lJMNH}>Ta-p8?gn9~@&FXk!n5=P?zUlecT7Vh8&jK%?eEz`FJHu@@iJ$4ZMlB@OIwCj_l5UyodMmK~Cahe3DOdDWB&$zREZG4nO3l zJjAd0J%8e_yvXw>BQIrc7GN=!VI@{$ZPsT~wqRR!W-kupFplB`PT@?>5%1s!{DhzLD8J(w{=!t+4KpwrXP--)pG8@k6&a1MuO+U>CTz|&jK)tareQR0KD#(C3$ZxM zF&ZylU0jz9*^I3ijg#*x?!&TmbD zcq?~uH{Xy)i}l!q&Dn;X*pmY|lp{HwlR1NPxRA@Zn(O&Gw{jsXUFvoY^rYu?Qs?9Y4o0LSqWPUmbc;ImxC zm-rgr;=BBa`}hTq@g&bOCZC>~nV5}vm^5!d;=YznzC8;sV?JKVlDwK#cmtz(!fp}Y z&b!!=-Pw=#@P0nXNqmgaykJj@m-2b8=X$FY2v)A$6R z;u5api`>X9+`$j{2|wpie#bNXg{ky^%)qS7#r!PF(yYj;ti^hazi%NpKbni%uoHW7 z0EcoU$8$1ga1Ix88CP>XU*}ftEMxjfre-E)V;&adRV>SEcs=W|0dHkX2HB4Ps`{aq{NC62 z7T@JZjM@#q5Fg`7o@FdqBK@Lrb|!H)=3zlz#j?DH*Ru{A@K&~DJ9c4j4&rb|<1rr+ zKg!2BkI!%gU*IczgWLHY_wXQ(@LQhd&rBMBnK)09#$P7VVV83A$2`EK@t2A8`ER=~ z|E>KxK6c+dz%Tg?e_+&~Bf0xeT3*Z?yqtwug5`NFYw$)k;%&T>9oUV1IfVCdEFb1H zKEbEBge&7304e3q;D5?|w6e3u__AHU!+p5$4^)bpigCT3$E7UWed z%WHT&>#zZDWlOeW7xv~L4(Dh-#7Fr!=kXb?;0t_(Z*V)`;~pO55q`_l{Fy1#bEab! zUdDX9k|lXHtMCTi#9Mef?_x)GXFuM<`}rUz@i9Khr@55Ra~)shn|y~K@>3q-*ZiJ8 z@mF4?o-ZRWWo{N=F_vK^R%30}XH&LdTXtqI4&*S7;sj3NOwQ#ZE@$*!gqOvexQ)B` zF%R%de#0Mlp2^korRBxU!OK~gB^bT`;97AF-pEG0jd!vGyD|R$(;C5hWW@JzEFb1H zKEbEBgwcBrUKDTS7Vh8&{DhzLD8J(w{=!u1`7$sob1^@QvNS8QDr>PG~;87G?>S=e4ZC8`+4r@lJMNH}>Ta-p8?gnA7+K zpW+g(PGo3J_CuoHW70EcoU z$8$1ga1Ix88CP>XU*}ft2znm;pz{AN04;bqLnD;b=AN%^lDf4=|nAN31OBcG9( z*_oGxSe)fpnbldB4cUyX*q&Y4hl4qSV>pphIg9hTn9p%7H*hoG=KI{s&-fKj@JC)? zO8JcRyo5P<1&gp0EATqjLg{b$YpG0$^m5ts3A9e4bm&+p+u9^to)#v3P$O}@hq`6&c=g!BY&bvRVk4i25qw_MWI47fa!Kj~JR4-N5`lRzSaa=BUo)%^a zmglvs!5i6#xA9JPU^n*V5Z=eJe3;Yt1fSv(uH=i{$Sq77ubeoZN#m6h>5w#DIgt)Y zBpWzC=z*qPNxAQ&j;XxkZw>-_CnL_(l(s<>>@k<)7 zoJfa%*YV2#s(kLh>U;lP+ZB?^dx`7hUsc{q%16tYDPa++X=Ko2g!@qj|pa0b7?lbD2R`3PB!Z#SzuO_wQC(g&De(i~LNb1*~NQXb$ zul+yue)9MB5Bj5g{Qp!v`8DdxuV)=L;H_-QcI?959K_)q&4>6XALl$i!xemiuka0S z=X>14gFM1-d73{ng?i+4%)-l1qz0TyE!R$?{QW_>ng3$|rv_ToSe<0wwx z6wc&aF5+^o;mh2_ZA_YPByk^q(YTk5+`=9FfS>Sl9_4pD!(W&xxZx+S5sPJDR_0=U z7G-HxWL4H;JvL!;wqYmsVeZR zGqW=<3$ZxMu`;W(E*r8LTd_U6vJVGy1jjJxeea3$x4(M&d-(vz@exkvY%bulT*a68 z8sFl({D}Mb1&{G0&oZW8OloFgHs)bLUd6J!hS#$W8}L@PWIJ|YZw}&cCcW=HasDK| z?>&(YN$-14q(jpC-V^EYKlQ%%;BlKYUNFdqMfF+#>~d!=<*ocI%F?XJs;tF&Y{KSj z!%pnU0UXMa9M8#|!8u&WWn9hme4Sglle@W}hk2Z*c#g@Gr_wMpvokLXu{g`IGOM#L z8?qT&u|2!84+nDu$8aL2au(-vF`wgFZs2CV&G)&NpYbc6;E%k(l*(i2c?om!3Kn50 zR^WB4$(z}jcd#|@W)Jq~y?lV<_z0(SHW%<&uHs94jc@T?e#CwJg2#B0XBktTO3h5n z#yl*@t5}xT@Osu^1K!G(Y{xF_%|RT_(R_%H@^Q}NGhD$J_zK_PcD~0wJjf&bmZ$kM zQz%!ZV-{Y2HwP5csuW6M|NjF-oyL(ASdxLKFO!Kl+SY=U*(&8had7& z9^%*hoXXL9ALw7i%(csUER1k3YU*5Hk7#M^i$JFpx3atQC^SU${Ye1cDL z30Lw(ZsZp3;0OGKpYtfc;~Dk$xtE{uE1uwwyug&+myn*9Fek5I5td>FUdNienT>e| zTk~%AV1M4r2RM$8a5`sm0iWe6zQot~7T@JZ+{Z6?j3;@PvGj@ZOKN6fHs)bLUd6J! zhS#$W8}L@PWIJ|YZw}&cj^;yrl#g>BpWzC=z*qPNxAQ&j;XxkZw>-_CnZo-L(lHA! zV?JKVlDwK#cmr?ZExes~u_L>)AMfG)e2|m)7@y?RT*~LUj<51fzQYgsDG%{$e$Suy zD=+e%hm5?Gxmke4Sca8YjkQ^yP1%BN*_pjKki$5N6F7x4IhTvLoNM?pH*p(x@nas~ zm;8o5@H~@eN?dPgc`ppPu^_2_tj6!xkL{N}hk2Z*c#g@``=nuJW@la|&72HwP5csuW6M|NjF-oyL(ASdxLKFO!Kl+SY= zU*(&8had7&9^%*ho zF;N>jL5-iVaS%WvS5pUz2?7(j9%OSjv zWBD+r@d-Y~C0xlDxshAAgCFn{e$J!(j%WA_Q>pjKz^u&0{4C1StjMaY#d>VQ=4``G z?8yNf%8?w;$(+GCT*zfy&GmepTe*|Fxu1u5oTqq>$<+I#VPk2c42Q0;&6`ULwuBva~_}J3ckQs_y)K0J?`N_9^tn<&7YY< zy-zx3;bqLnD_N3PvkGtEO}vG-^DcH|clP5wyq^zp5+CD}e40!7JlFA6zR7p^AwT6I ze$DUs6MyAJ>U}cuQs!m>7GoJ!Vl~!g@YqZ$FV^_|@?!L!&_mMcC{Hk&?=yNIT~u!T ztLD3j`c>3a-n@y8*o;ws{^R}1`MwkBmNegYA{|DkryawIoXT08&!qQXCDQE?^|sSFn+y0XSMepj#<%z` zKjJ=q!DBqhvrKybRpL1O)$hOhZ=3J?SM|mhsUOYAOPQMmSd3*@iPcz}_1Tmy*p{8y zivu}~qd0+6IFoa^h|9T#FLM*OaTh=40e;DE_yf-~xq8vGyqGz7ISaD{%kx^+;Eim= z+ju8Cup9ew2=C)qKFn!+f=_V?SMo(}P0g!D|0bFi?TE; zvMOt_9-FW^+prURasY>NB*$|yXK)S|av4{1JzwWm?&NOn=V2b_DV}38^}K1Anc10_ zg;<>BSeeyXmkrsBt=OJj*@uHUf@3(5Q#p(CxtPy!EjMs8-{$+=%g^`~Pw+=xU`qW3 z)AJJMvLvr&72d#`cnfdmUF^v2?8kd}KOf{IKE@~cG?(&u zuH&nGlkf0De#%4qn&0y${>qE=SIo#unVSVzjAdAf)mWSL*_18VmYvy)138SNIDu0* zlXJO<%ejUxa}&357eD3!e#vk61J5&gmPCFtEiYycUe3ZS!ScM8HFzT%@iyMc4(!Ih z9K!oJmJf3ppWstm!j*iH8@Yu$_yIrR=RC^qc!s|))g_5^%D}A5#r!PF(yYj;ti^h4 z!scwlPVC769LkX#&&iy@Ib6tPT+Q`-om;t+ySbl-d7P(sj>)nn(kBfwGduIL5R0=M zE3-Q5vLTzX72C5b`*1Kva11AMDra#%7xOu;sXUFvoY^rYu?Qs?9Y4o0LSqWPUmbc;ImxCm-rgr;=BBa`}hTq@g&bO zmMxJ!shNq{n1=;<70dD(Ue7vgz+2gp?bwCAIf%nKnh)_&KF)c3hAa32U*Q|v&iA;7 z2YH0w@-%;DitLGWO2;g`jQMyaOY&+~;SIcrxA1n}#g6RGe!PeG^FdDHV|NnBAqhwQs!m>7GoJ!Vl~!geKutawqF;N>jL5-iVaS%WvS5pUz2?7(j9 z%OSjvWBD+r@d-Y~C0xlDxshAAgCFn{e$J!(j%WA_Q{_ygQwC;bF6L)ZmS#m(Wi8fY z6E)gtn+|B(w%;P-8b4->ikv?gdnc10_g;<>B zSeeyXmkrsBt=OJj*@uHUf@3(5Q#p(CxtPy!EjMs8-{$+=%g^`~Pw+=xV9MNybV|=l zn3Gqq2ura7uVYQ#%*MQft$8a0eimhER%BJyVm&rtbGBh8_T&H#2&Qm2znm;pzcIkMC;rNdjDO0=OPQMmSd3*@ ziPcz}_1Tmy*p{8yivu}~qd0+6IFoa^h|9T#FLM*OaTh=40e;DE_yf-~x%TU{yqGz7 zISaD{%kx^+;Eim=+ju8Cup9ew2=C)qKFn!+f=_V?SMo(}NB*$|yXK)S|av4{1JzwWm?&NOn=V2b_ zDV}38?bm6Tnc10_g;<>BSeeyXmkrsBt=OJj*@uHUf@3(5Q#p(CxtPy!EjMs8-{$+= z%g^`~Pw+=xU`p-S>3Ior@(LDVDOTWhtjU|%n0K%>?`9A7=e>M@=ALZkm z$7i^LFYpzsHdw7sX_$^QKXQt4OosL;}8T0W{k6qj%%U*tw^;SPSlPxv{H@;jd4FHEH!I|H*a7xS|y zOS2-YvKH&H37fMGJFzDRa41J|JSTGo=WrpHaW&WTb#CQO?&f|T=5e0lIVRJ7oram2 zoq1V^#aWJ(S)Fy+kj>bN?b(%mIG7_ih7&oJvpAoN`5f1B12^++zR$h8tf8+(G z)Q+8=moO)7_38hr@$#+IQ@3YV_TgZT z;22KiRLv^-?`Lm0=`M-U>oF1O9(K!03ojuA2jJAFPqxTX`7f0jiqxSYFKd{33HH_X* z^qTlhCbhdKp5y;5?e70u?kE3S_VaJeU(9pA`m@i=fAqfhv+qsedQZnJyo~vHB}?*Z zR^bi2iGS5RA^&a1`#r0edlyzUOE4?D^eJk7tVzAWjy{oBslKYKiq z()F)N*C-u>VI}c?pjjDABN31DvnZqb|BB+Oti^h4!l=JT8*wN0h&X`8v09C!>BHN$<@~9N(n=n~8LIR=?9#e2K5|ExyZ-xQ}1(7*Fz_)qk^2 zio||5;H_-QcI?959K_)q&4>6XALl$i!xemiuka0S=X>14gFM1-d73{ng?RwdF}gpc zcl~BzFs)Sl{`63SSdvPF#aTF(T z3TJXIqkbLB#cTL7H*p)Ierz9$5AaKV!yg#scar9VNt_Q!^T8z2;Xh?Qn7{fy5>x@i z?;C%0e}|E_JC2h$jk7q9(S0Gx2mPbv>_fKu&n_30O_oT%O03G7jQW2?^GN;Cdm;Z_ z`ng8qU-tMN2Y8sr_&uX}rlN5$DdZc{GBdL=4-4`tmgP0Po^{xOx3VSMu?u^15QlR# zAL65Yob&h$SMUYC!Z)~`?{NY5vR<@(byhg_kiOuVhJH%__WsH}MwU&b!!= z-Pw=#@P0nXNqme?@@X#R^IXSQ`6l1thy0X>_%*-hPyCe^$wy`6rOeF&EXFdd#A>X~ z`fSP;Y|GB<#ep2gQJlajoXNRd#N}MWm$`}CxQie20Keon{DJ40Ja{mK^-5`ZF>~;8 z7G?>S=e4ZC8`+4r@lJMNH}>Ta-p8?gnA7+KpW+g(Zl%-jbRauMm*o4j5hMm}x12~i;Ii8a_gLAl$%eb2B`8v09CwFr{5A!%r z@f?$-PMk++n3>s`mxWlI z+{@4S6;JR-USLY|DW&Hn%*iWQgr!)4*RdvVW@FyL*1VfN*q`_E0gmG%oX*)?z-PIN zFYz_L#drA;_wfrJ<4K-nEN$XEO3h5n#yl*@t5}xT@Osu^1K!G(Y{xF_%|RT_(R_%H z@^Q}NGhD$J_zK_PcD~0wJjf&bmZ$kMQ!@}i*PBz(SQ3*oy7hm3=svBRGZ=IhC_GpNsh%*Kz|l^KHJ* zz5I+{@dSV51*XiLIFHiv66WL;EW%Q(!0T9(H?uMCU~AsZ9_-J1`2ff95l-i9F5t6V z#h3UR-{QOci2L{jkMShWGG;!d)Xc6X zALl$i!xemiuka0S=X>14gFM1-d73{nMbHos-jC8T3om0nUdfWYnpJoMZ{jVyop-S# zyR#qf;r)D&llT~)vdV_y#8eH_b&IgL;7DK6nkzQ~Q-!X5m8pYU@Y<##;8Uzo~# zQ5l$(xtO0tS(+7Dm93*oy7hm3=svBRGZ=IhC_GpNsh%*Kz|l^KHJ* zz5I+{@dSV51*Y`Ar1ZRmIe7((uoNrsI@aXPY|J~@ns>7Y`}1Btz;S$p(>a?9_$*iP zCBDYD_%1)8IhYffuTe2Oyur~*BI7jm# zKFY^AkI!%gU*IczgWLHY_wXQ(@LQhd&rIQcN$Hq{moXo&WJzAlD!hR=@fP0ByV#N4 z*^l?|em=-ae2h=>X)fjST*p`WCg0(Q{FI0IHNWRi{FN8wOq@>{c`0+V0E@83SSdvPF#aTF(T3TJXI7jZe)@MUh|HtyobJissc4S(QyCilLiw7i%(csUER z1k3YU*5Hk7#M^i$JFpx3atQC^SU${Ye1cDL30Lw(ZsZp3;0OGKpYtfc;~DZl%-jbRauMm*o4j5hMm}x12~i;Ii8a_gLAl$%eb2B`8v09CwFr{5A!%r z@f?%o@%(3IW@la&VsVyZWmachHe@rlVtaOF9}eaSj^RX364n7n2mW@kXNxRui^Eq!v+kRtK#V&R9nRjvafN2 z(>QJc7GoJ!Vl~!geKutawq<7q&4}^s2i2}|gW_r2;Bg)|`1x@QGW!0~;tH(7;1r5~ zzAhUu+K<2Ycm7ZBXLI}gkItj`i0w@0@BNPdwse^!J*IIM=kXb?;0t_(Z*V)`;~pO5 z5q`_l{F(pm^J7g)mbjiCV>IvI)8eIkp6mE3qxt^c5r4=}d5B*#>i_hU_*Y(}e@{kU z%G@l#Vl2Z-tj5}`&!%j_w(QJa9LQlD#R;6knVic-T+TIonVYzcyZA8=@JoKfA9$Y0 z_3KH?iUv+vi@_XW*O@%wsE?G!i2e#ZTK?_0lpZvD}A zqW$=z=Tss4Rh;EmnbjFRpBjpru@&31EBkOTM{o=$aw?WaejESxcmCPm@mFuJhVv%69-{o(t(LcBJ9c4j4&rc*=0kjxk8>XX z-sk)8{r=xup2PS3*>wKBbcyn@|7iYjy#1QY8B7{Smw2v3??L#zf zo!@@GpyLyjfBx3;*WbGRXn%h1x%EfS#{z%n{zd2W@0AaG+3r9N<0wwx6wc&aF5+^o z;mh2_ZQR9=nKV8saXoDD9NodB_hl#2CFy|eZG8hl@x@OTFMd1+|4KcT_? z77`Z?4fZ=e|9r6inlKLbKUS*WkRJWpwhQdvH(}@K|N3_v+&@;TL)-psW2M^l>lZ84 zw`2EG;lki=`t|SFqjmf4z1nwc-LKbxzU@1(2KiKGe5Y_*ehWkNxg;di866 zO^??7f~~dh)${HyonpWLmBB~LmkV~_|M=|xa~B5(fAHr%{iinH;qLC;|Mtyy=>3~d z|89y5>f5D%$JXuJcJI=zZ`=M|diDH+Bhj&E+jiYM{^61MKR@=n-`$~0zrSf?|JUb! zcWYhxweH)oZHFO$xNraW2mk-u>(aAJaB2o8WvSNx*SXlGXFto^|9_304RBP|702&7 z)Q{a*u;Ld+8!=;1GY(_X+M03DMh9)QNQ1RbDhX_mbV9(mI8@_EB~`0|QjC?+RHH_v zG}hWl)lr-xM5P+FRq7}*icCbr)Pju`nP~g}Z{EospL-7P49nZy`^)d0`|kU=@7>+E z3m465Y`JpQ94x#>EITyJ94%{Qw!_Dd|TNpVj) zTuyOMIb5sa);e69;+}T6cE#~}r&W&*#j*coah-}==WyMMd&c2<6t~{txGu^1$MaSz zKQ1%j`W%jB!m*!e`T7<2oWpHW-182%MR6M)Za{H=bGSjpz2I;|ierD;s_(GkSa*wK zpF)=3OAc3}xR)J{eG>7#;&8l2y>RUBSoyI}BOK2gEUrRvuR0w2MB;nR;dl*SIIa`c ze5(}qPlu~k-0Kckqd1-)S@W$`9Ix|OTvl;!I$V?DI9_7;a*BJ);aU~PZN>7nDURoX z7T2!0w;irSaql=>r{cCcT({!hb+{hIZF9I@#qoUCs_$CG4LDq%;@)$(e#LEfxJ`ZimASD30g#R(%H*x6|Q<6!)RS4J(fOR%^ceZC=^V|K)Hciu=gnIHoT?Uca#B z%X^&&$MZXjD_7iZhpSNB#|}3|asP3+O2zS*W93(+I9{i*IDS?^>iem~)hO=24p*zV zJr0*u+-DBgq`18fms1?CM_Ki4RUD7S7T2b@&mFE^abGxGhvNR{aGi<^*jB9kx)sOk zZWh<0INoQ?;(8Uw&kb4JTE&fVxIV>w&EfhLx4*+}QrrO!w?%Qh9%I#GKye2-+@Rw4 zJA;;QNOAmpg2fFhuEgQS;QUaw%Yz-RL~;DR4{N^T6*t!5N)u1#^rI9$8pj&-;W#qoDJt@?Hmj%AE*;lXy_7dIJ9e7+rcPlEMeRN!$C9#ipPv|X6aU$^FUpR4ei z9OpL^5BenEsVFGNYYFjPj5oBe6TWG9kG@5iif=KV>-@4PsKk2`;=3PjXs!zdtpZ^x z9M91-SBmTV>xytZ*VOq9AV1lTevUHw_?pKs?b`w$uOCUse0eO^zCq=?7G>f)HRkKU z3b90F5yzMOjF|QfDc^Mw$NcJIzVQcy&`m)Ul3#7iHw@o6Fl>+OF_rvoiTR#@Z-vMr zjxRaZgny&!^E4#0ngvnFd>;d(>rrx1VZJwjmHG1Cfb_|FwF|y-kwqL|z7_M8!Z!`? zvmR{`hd&Mc>@0nuZ`FKxZ)Vy8SmYwec6_DmwZq?li4F(2BQk**D4JsUg<%a>c64y!R9 zOW)mKrM{#2MZW%Q2por(kf-tdN--GRg+lte09(HA&c*tOeWiruTl-7w|DyK2&+(l- zKZJ=Q!_@NiFH46>C})1%j&BZpHzn{5!N>K4z6TxOPWX6?m$33{U!D$ifaqI|skQvh zYz~3fKO`*QAbjk<(zgb%FaTP9q{oOB_U7a>q|R)JONl6)XYd`Kz*l=)p`Y06_{O&2 z*u8&2XyuoqFWNpHb9_6o^Lyjqef!$)LVKX2!}fT>@vXlx+4k0po7Kto_N3z*fNyFc zJ+nQwz&8yX^IPlq%CYg5Ch(Q_q(fQclW{*R&Jf@KO@%MX{-p{&sqZ>?tnF+@*QzAepd{~3JJ?s{V@6)kkHrX`1)=Q;TH+kzt%^wKR95-_nhN9 zcO~k}1sjEx-x%D?unc_;=C={7Ro}fhnDYBu5|*!g1CDRt=zGEOo%B1L8zk61`kzgQ z#bZZ&{f_Sz>}+3T@=;j%RpI80t8mO1q15caTR5ryU3vKj3W9nb#`*79A5 z!TOUEv`2P(IxN9_ncu69Z}BrY*G*90fq$cYqxx=gd@ns4!sSt2IkDX%YWM{80okt-*oLA5{;P{s94q+vZgA!JK$4|RZL)xYwhTNGA(Yc7WGng&z~SL6XDN%5R_}lRs}`euS+2mcqA8B#y8Bc5H9p=#z0j9>(JR({cFn z>l1iiLe%l|t=2o>14N&U`xWzTgs)3vm|DK3RfY9g#{G)<=FLc!UnOqlEcwZ}Uol_V zk5Y;H_Z4?y|BU=u-!$ut=f!-L34PVdC*yv_e3vHlH7TEr`xW!$;7hXpwZpeMs;`Xu z74v-x-(}LM;N@w2eVKAsCTv6@m!FLL74uD>nF{QWNLaq%PP9kllX1UdzKTmyVUkE7 zm8bFiO7G5uC6Q0Y{fhZ&ew@tLc~8M7<9@|_t(PYAmEK$M$+%xJU-wUv`8w|__+;F# zn6Dp=n`rrU7ko1ASIjqrFXtrjbv{t=v7N2$t@Nj<{P!vLwZ4?%X719y6CK~w%TxLO z;l6yGxY)^haD6U!d=qi=0QNT}faW8<{`LL7;5)(bjj2oK8(dTHF;8pxZBOVM-&63N z$uPlMDP34C!yt(|wtxScRP%a@)L@p`56_8$X z?)97~KZ*&) literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d new file mode 100644 index 0000000..cfadc8a --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d @@ -0,0 +1,353 @@ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o: \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o new file mode 100644 index 0000000000000000000000000000000000000000..43400d891208f49ebcd42882632fa2bcccd2f594 GIT binary patch literal 774760 zcmce;by!qg_dk5r-scPh!w`ZFf>>a;Vxtl^c6VTR$E~7R2nKd{2Nu|k-QC^Y0k*!M zb>@ir+|T#<=XYK2=$y4zuf5Oiy@w&?s+1=p<=2Ol?8FiQ*21+psxX@D+0 z9XQL)G~jFl&NbkC11>b+VgoKU;Bo`5G+>+o*BEe}0pkt0!GN0#xW$0m47kI9y9~I; zfO`$N-+%`Vc-VkP4S3vuCk=SofM*SO-hdYkc-eqg4S3yvHw}2(fOide-+&Jd_}G9? z4fx!EFAezGfNu@>-hfF4{Aj?>2K;Kk?*{y7Kz>>HuSf%G26Ql>qX8`jv>MRWfbItL zFkor}+6&H()~pHa1{W12#8cO9QqtU>gIr zGhhb;b~0cW19k&6Ri50zoXHY8xg}**!@RqvRV@8ILcX2tULmo(qAEd(vl7&OlL<*{ z|2#qq4ePJu5@#a)t_$DLumSe8kMs?#*q*YxT@6j|6%ue(WY2$9_$isGU}I%Q$k!{W zM8Qx`CDN}kH*??}FRK=2F(Fs~kVd=U%q)^n# zHQOU9VF|6>LIu}t*KZr@A2zHh8qSb%h7H7HL~cT4=1P{J+AZ9b$jr4wSbHu#O}F+d z9=7%K=MQc!3dh7;4OX>PzfbBUQMRgRXzR8a(ZgoZ`3CpYe9Q*8cx^YiD=JwJ^ybnfpj)KCGbB?%&|Nu*tt zeg8-KMz>ZUxN?jcmA~!%w>%|ga^$-3KlAj*yNiBcVT>)K-uw~kzifv!iT2n0UG38R z{C6y@vp+rZ%LzrLjTy{}b1Gj4<>f?0@zRNZKZL4v=-OM6s)PR&4PCFP=phG1o!Cbx zYObmfm1M5b)TBtE9qbO;?CVldi??NlaOmO)hN^{CM7yq4TvOEQLztmDB#tLVnzi$3 zz&ASt2Y}yWyMv;6g@CV3!NW8k4>jWjp=kb%IZ3`IMa$ot`5T$f4~IIiOdN$N@DrZq zq<1Dfw;$CMH}j6nq~tfZUaKfU<|ow^rH0~AFh&^SRpZbnnJ@itz_ZvSPX zVI@K_?;iuV=1q4U6qEV;I|*ZzLWJUOKGFx(->hI9@-XK-AQVq?!V*nMZO&X%RnnNp zU66{+oME<9yv$$GhkKhNrU=Ey?9f6g>CCUrDT=T8)nb#9-aIzDgOb7AXM~0TAJ=tI z3Ycf7bwHS(CJQCl?668zLd+3CW`wN12$70I@g>Ndql$uiTwf|qiRBc3w<^A`ulExbc- z#T>x3#I0wWc8a+$3n^-|Tn>u42aQ_Kx?f~tbxGT{^{=0-Y! zhzT+F%0h}dbU1R}jJ=sy%&MACE(FwFpW4V0YC3jZ zyH==TKBE&f5B7A}3!cvD1af7GN@XE&-cE2~LiOtP6!Qf;!KxF=DdvlI+?h>eiiPh> zc7lZoNjpHeY$tGr6<5`KA~7ZUtEo7tm)pFlpAc>E?h=o_|YUCC0l`k2+N0)9 zGb?H8qGp@3QGVchRb7aLUWr{5Z6eYpCb*Jg{yl(t_8kjM(X(H+i%D@T7{@Zqvyx+} zr+_X!;-unOnq^3s^l~J}K(l7l0>_}!DTadWhQ=RW0SK4ec{&isJ;ygE>Lu1Sv6xkL{Gg%xbs1PwD2_=Rxn5HSl#$D+x*#Om3F(;-+Yp40 zc7iVxYE6ZyPj&(~Q=^6M+Yj09|z#cWKHdh?I4GKr>RXo30ar7}L z9I}^3UQp5*6pq*btTms8SoY6B%dJy;V4FTEs78x%csy(r5V6g~9lS*Vji z21O5DFWq2+qKB>*Z-_zBL*Ibag=45e`4xIenu$2?N*jZshkj-` zC~Xaj9{O%{EspIB3Wx4>X&or-4T=|2rshDQbTBA3rj*YEN=Ji|hAFr5gVM>Mq-IL0 zW_ZJ$4T>jI%5uzI3<{4hUc1^rrmI0o#gx#FpmZ}R?o8>`1(fav#f>SIp2JrUgTe!o zM{p==Wlw{`Ba>I_VJNX)28D+vukItE)!U%(;N*3_FzRU^gTkYeS6CDx>}yc;;R$uH zIfdh`*k5FSTE#C_uY>>Sa!Q(Y$p~m{ zh;G2dk}!9X@*rW4?h-BeE4m9*J;mG=a_6)j-BrWfwE8f2O*dd-Ntk;~myqzNQN5Pp z_~ei1yxuNH4S#A-c#!fMz8;ik289O+k8%y_D2^`-ieyUtvY@;)D1x<~jX*KJGAKL; zQc#SK=u=9dZ>U8|pl@|KB~7-xcq{Mp&@`4bVHK#P3UoA!!d7E+cXSlTFdo97ZD<+1 zcqie35kdHoZF4QeX%S6yRM;-xfh}iI8H&PB+InFc>u42Ab*|ZVs|SQ##48u2zUu+ zWL@|bwjI0$Zd%b;M~m1jm<>C6i@SOlMQvX%z^{*RL=g$UV)i*oIpkKKqhN8(MP_0` z+8SNZwF?&rs%pHdIAv}Fs<+w+PoKxwR3deLq=RC~-G#@Mda!Tl$;mXg5*-xhHWYxg zt7=)60Qq!L#~`_46er7{#LQ?VQx!{9JoX`_JC};pY+3vmsBex|La{8(11{c}@64Up zh*&il4B@9L>eV_x<5*2ymj=mojX3#ZAof_&@Ioy8sYgQbvgT{&0!vS^+h;AnNm$Cz zypv)rXg90+p2zI^7iRW7-5&Y|&)GMj?QhI2L-YQMwNMw`h9^qEjFja&oJJs_hYqK% zb%f$?Eoyd!)1{|PinX}ikK)@K@6=l2m)BMpXRRgenAtb{68r?(F=yX-*&tcUj=A}c zh<8w|rR|ufZ|o41PZ>Mr9)j#xI^TiaAQ^1OGAbGSf58-#td(nWEX&Y}tzn$x zqO|z|tHPM{izMIpeJFxzoE4zLH%mwOsA)$>;0ooNYKJ&zfbjGik=nLyU2E7-7J zvduWjhEo-SrRMe!RNry8kja*7b)MOmH#2`D1BF~ zAI;W2uYvhyIw}-vUrw@*96L~0{R|&4Q(M{cLlGvyJFpJNM~r?Q?YI_ zgflenr&zbz5wmYk%-yZq>gcu0+4pB2M84gQxnyV_-d3^hIHY@Y^X+mPk~{5sUcUKD z!vB6Q6f{xac~L0JgHKa2meDu0H*$Q~(}OX8U$sBtK2}`EvilBN3@gX$=veLy&HIEa z)>C#5`GFzk)ApE)0YlJd?EV6MUpSf->-k2yUXX9#e8hR7qmG4UXxrEYW(shm~Wg;Tv!odbhfwe1u;e-!9RpV)x$Zyav9HR7CxNo1E}#;+uIt zD%C@~mDbpQf56h1<;<|5W#sI$$=)hNW!b+ZZ1NvOD&ngjH-DaR0ed4rqZR<|bJ zKn3wh=LXoKW}uiW8QfyF6$OBX38yry8)$PZg~F^R>^(t{tz9NKs%{9EwfVe(a1Dc7 z(I$@|=9MNgvz+qz)l zZ_TO)j;`F6Y7mMl8`-Od8P2#6yj8ZG<@Q6NxLC`O*%M~+r^m|+($j3_1&)%e!3Juw zbqc}T3Zb?L3InZT;e;2|jA&Dxu{IPH@pfzhmEo_k2+#!;+r9CKx{2`~Rokrl;5OAI zv68n%d&6oo!F@cMv~BG)gx=h!0~Kwym*BP#<8)IsY<~GsLt2WHh+OzJu(h5G;c#JZ zSnX{)unlHyWuV<{)g}OKZJ_;aB{JcKw-F5xA$Q8QSI1zht!S^~(PWFjC~Iw}dzO9+ z$aeH6jJMa-rQZ^=eH#IO2LoSCwo(I7p*kA)MzSSho57)PU*A5JtF=V){s<2d8}f8jPI)f;P1u>iQO#aKkrM^E!>>L?LNU$Gu6 z;n!cWa4*M*ZpT-#@O$7^W8j`|to8M#o|XF(YgfVVFl*&7MBh)aKfC95zcjoQpF0^G z6!KP?l#RHh>s1$3=m#j?DmV6Q#4YVtMbD13x0(h|3)iyJNa)^$lKrUyr#}RDXhhj7 zLDl+G^wu$_JH4gi+KPKOBByeGCdF;!K&TQ)65_j1Oud2SSojT$(FYKyhRq=mO5eaH z>ll`_6Qruv7$UGYthW3q6o*OZgNU1wd&!TGG5hn1+*}w>GMC3wn4hM{-n4lr(yDn9 zo|<_vo+k4~JRQsj@iZ&x`|rhk%RRcX4FhIsbm8u!r@$s&fbT}sO82oG8)mw`D{*k; z9=lQJslLshXo~v;JJ0MprwSw|*)c~Y{r&fE5oq!E(^R&-|Jb53$>_o|V>4~&w zUU-YMY$r2zsugoFJjq-QPwZ8*{ake233JW2WM6{8K35z5P%~`o=brHtsdvQt>KXCP zv#B)mZJ6y71p|+@LLg#Zi#sK+RIv zEZw7g4D}e4LUi~FXo=XF$0t9&!|ppgY znEP~lL{o=uf``en5)e2Dl8y9y{sf*6%Pxgq0J zXY_%3&7@4!3HI}GE!EqOF3t56K`E4lbUYC0AjS)k-nObCU5oMJw34+st-p(W+;XNt`I7DqS*hVLb$;RS46T< zK8E%RQH(mzMsaZA^C=n@yy&!P4b+S4<#?OBl;WV3_^W8{mgHU~rDPtCV1FqEqsyig z%+o+_+eKif1ith>AA?`sZ{EcV*sFUl3vwg&p3C=__kHYrz`wj7_}lvt0fqm|`w87U zmo@hsbUq)0U*3xxN0GPHy>A5>r+W%n5v)D$?b!Pc(2DETw7my77|WDW$`u6NbtAZD zRF+bOhr&uXMhgHf4XCU{M5Wqe`+}mFj$JmTR3;1RdNiZhM=%Ps0TNB{rA2y{xF+zt zjh0>HEOAn=X?lTn1lELvNLbRE@J#hGI71MVHqz z>kkPLftCkGU@tuQgMAc*Dg{jhdIJFljYQ%NN2&zh!R;C}6Yle6NIA408Ba3gI!=h> z5|W`^wqr=kpwcJEN)EVec%NR1$f)v=R*v&6GO2sm60UF+pW&eJ*KIx!2hx-F=H z?g9%8{)$29?A$4fi-$$J__)+HxuY|2GtET1R)oJ|v6Qw*3}Dhoq86%Y6zNjJQqoch zL$8)qVPGn(0|%9)ivy!VMDUU(vY#Mt+N@G7M_$#>0@%DtY{t@H7nS5~+Vn zV1P983UN#}!QqSp;V`^@qrXk1g#w48D|mCJS<}Y@nw;HqhK^44{f)4_^d5TQ{mOa< zaE**HH>1wgesMFURQ{?pAWJxiqqgj8)jCm?6bD?B6-U@=Y zzm*)nUz33EoPWGl-At~$RL0p}7VO@gQc;j;dWdc;H|nWTN&sF5SS= zP&bq>20Q8QAfm@W5*3k?1xAA^oF<0AcfmhZ6s6Fgp8xV2@Y@mlE$plOc7-0%?g(9f ziUG^NLNE3w?=R!Ha`CIqv+)vt7)O;Y`A3X;wGaH0fZphj;)Hf-3)MAoxtIBuKPRIQ zgEU0Xo`Ulljyc$j@D=i#z-VY*R2r^tc0OAz%M40zT-N*z2?K7YR3v7n-0+)|iGVExM444D15|Gc2ov%XHqb^FsL5cOAy9KZr&t`-0#E&8!qXXF7-JBtC+q$e#B$31VZlGVmIsR0!=Q?u zti@o8oyzKS>`4am^kh8-cJC<_Ek8)b@D)n_`3!^bC8ZYY&%&3<0Q%>*Xu&^3YyTE4 zm?FyZ7*n8L?7z@{n;fLeu(Zk#{o`*y4wOIqdicZdnLoMhK(Dc!NW6Gpu^eY4-Wis1k?DSrKns@L{M4lgeV7f&+ zkWa})%Q`y($At0&Wig0Jl+{QjQ#K>@k#Jj4clwEN=|N9@rkqCV3*kzjPWwt`BlQhe zf9}KXst7bv(?lsFHC>c8QZqyuBQ;Y58L3$! z*htM5Ax3JB2sKi3MOh;?Pn0uK^F?_hwLnxbQVT^zBeh6WGE$30Wh1pjgc+%&qKc7P zCaM~#~*dv!$A9KDTfxAbp!@)`U^0^sdbf zUAGpRXm|$oQEjOO4pve9{?xS_^b6N=LyP%B&GP`R4rgy=Cu#Wt8=w&c zS~ZLJ4YUz!GgZ2vow!;w&%0mI`n_6frnZH#<0{iS{ozQ?r@u@a4oczX0Y0)cOoX=8 z#GnH}Ozr+qRAt%rnl%@?6`8h!mdz0dDysDEXz2TN()=zSLL6B;Ykt!(5&kckdre(5 zk2DduB`x1jSJm`^oF^haEwt|-lvDL?e>n?s)y(b2!k|-2yD8~r#4SC$Yr>};H-$7k z{?yUaazFLdvhc7Z^SWtSTSsuelgfzZ7m!(dX<0sD4^8F}b_=S^CbcYuCWADbGO2O| z^)?vDCQl1vaeGr!_TVP5rYtATn*)Re;2C7#!DJz7%=F2bg^l4A$UcYB0O+sfDe z%vK~s7YbHC>_<9TH{+gYNgJ>D_*(sF*@c4u8#o@IXK=5(&z?4{ls zY<`*_BZZUJm3C_i)k1K5tKJ*Qik zIFiQ&S>Ej*mP~rVHWN@fnlf;op6jgqtc**Lnv!QXOWr-kzH)zNI}M&OW#(n(o;<`_ zt@CjDg^9H$Ca}z%6)gXBIeQ%E%ra>^F-7gclFf^8`p7fpR>U1JP1%u(wMy4w3vUl{ zIuC|%O=*n9s-~2~byG-gU`n>*oF0tpHk#7LhwUWX<#Yf#HBCwG$Z7xCY%_lc_BE{( zKkE(TX9;vq$YVzKaxpuXkK=8o+~iB}O7Zny6B<705PwX5i<@3H%(Q+b@%q^F9TGBg%XrKMOX~DOuV3X>k_Soy5Cbg*F9!C z>P40uc8KX=y8MscOi7P!Pg6eiV!GVGzIxQ+r-K7ONsm7Q7k%+BhUH`4bNcuNeoijT zk&s^BD&*jF`YvpzAGZB8rJ)-~m}?O~o6QU$czw0)9Sd!ZOEOu*{e;OzBjJ zy{CV});i#q8=A5rfMxdXVETo^obIrJ?KHc>luftU`=qw~Y>Xd=ps#Dmy49vKMwj(7YU5XgJFx zmS=gl6HHInlYQN2&+^%JGbI+|3(7N!)7R@Uz35KXO?!+zW;byREih8zt?82G_ppV0 z0W7~~F-!LA&fF$?%A|mb%B>;^F+fJ&h?}>#@!JX7+OG1=9od9&DzKtt~yq z(GD!f>1mT$-ghjQ*vTdAargpGpUJ|yE+sjgtoQtn)36=OC8pRaa=OYq)_r-0wFK@{ zqfgN5KnSkfqeorNF?`I!>5OkU{S1oACfBVe==}&C-h_@p;OY4;SO-nR`r6)t4i0 zt-p~&6*=wnjCEh@{p!UnENOAz9Ie;;_6pG)L+om{cEN$=51e8<4O??mZzz4aY#!CD``v9CP!m~QUR=~h?~Yl>?I z)@puGDkh~FdO4F)Dl-n7mE2SKxw9YB_pTs3V=vn%}8n% z+^;Yx^JlZKAr;u~hG>4?TE$*IEzx8Chf5#=_vz4Y<1&~@dAp6DHPM6Nl0Zhb@bx%b z_R~kG>*G02Pn-mxx7TZhpFUpPzVVx~P2>3c6=F)6e*7%{6Vn%-!DMUT2t4~(oP}#S zKb%B5hO!O>7)^`AkfSlAlz@Y=v>Fp-Z5(Cbjyjftu-4bclll&l6DW@>coT7yfnr@q zUrWH-4m!36dOIn06k^>)ooj%&n|5+fzK4o(SDQ$;a2HhDOW9`t+egvd_V&{o?raWF zgIy?rgH+xhP5KZ8%!kNfs&E)KkI=g_uz8f0FM!A~$~p$bbCi7%iuDzpG{MRkQ43dL zw6UTnj}7C*%3xrzqAs`M@xqNef(hbAC4h;-?*Vv|#2{RD(JemG%=Ciiu5|~1-Y1@$ z_E7r75k}M2b>NJr8wVgZn|kg6wt$vbfXFJk@*MK(X?}4;zmW&~4E=V8lneNC!>ml-3-Wmgu7xF?n zlCm0(a!^jzf1`$NG>O51P~WgZdS-))K^9=Aiok56mrwgZSE<@BlVHnbR%@HqjpLiI0NWhnL*X{jQN^uq?XcrOUJjji>^%#T*Bmc*gUDUSdP9M|bZqSo z)9)SK5<&don5s5BjBuLM3%ppT{5&O_>VFboj#K~sP*~s;QWhCo z1<1`ju#o}qWw?m8uB1@EELz>NTp zofhKPi`sLiE=Rz7?Ud*U?5$HUu9Im=PEWa0{_He`S7~3JGMs{ypHBa@1UB4~V5#|3ga{uezRDmhz&}+F@OR6(fj4+}or{iu%?HlT{Qw?2 z7oQ7}XU-XqLgc0MdG4X#IN#*;(tGF5x4}zx&Wmj}?Xz=WQ3U_ZIq?<1Pv;(;0ft#K zGI6B!Gmkyd)=`t8FvdD}8)AvIz6}I#qIGazxSe8M%N zy*(KUi>yQNLlbSW^#acwmsmrt0$Xm)SOV%Rt)=fkB+hz14S4IUempr}Z#~UX$6K>< zU$D^{=mmu>*7kt_+pXuugSf+5kjLxY)}1(x(e_x6VTVTBXYJ?1Wo8}p7~T(AUwQ*O zY`xJNddI9u{h@c(dgqJDJ`So$*z(m(4|w9|5Y0;}bG8nkIF{L`*d;Q}>5WlLai zjl@+aSQ|<`F?}_Sq#fT8eH4wxV$L**>Nz5cXlgqZbC20n8hg^(9NLOscWCb@-xuh8 zqItXsixlN6v84Fe2qF_iSsYwopuc|<1v*Ov@jP#pNOKsbw~JQ(=vsKdc9kbU@V4SM zhL&kjTbRjrt{v#5zVZ5`c0=BA=yG@yxMRr=`{LR%n!@v`omA*2@^gw_Vfum{t}>V=P>NknsQYS!^|N!U(q(@nS&eMA}{}W0aL#8RY1?5eGP`hiFW4*+$&0I^0}7xVgQokzeuW{t9IbAB3vQ!XJkMxzZ7+1Y zhT-=uTAwLaPzLvkMRrC~OMfsXP#x^3Yg4GpSqRLht)~E1(dacGZlIH8@fJ3b9`0t+ zgcwj0J*B#G7`*=lMd{8!UOXcVHRHi@gfR*k266s2{W007Hz< z?jXy)J4b=%x6BXE9H(*Mp%p$g7X?!0;0yS!^tBw)wTlk~J(9BGY7Z(^$z<3WM$_?^ z2DBNJ&IfWc$>|_m&!TA=z*|HW&qHl7<>G#P2`&2!k+pRA2YBl!HW_Z$Q$Jo$Zly_E zVPzXG4+7gx-eItEfQm;$;UI0|dCei}mkzJ#0%bEn~E{6haYolheRCb=&+cE8%o+~QJQx) zFN>BR0B(x4JVCrA9`LrvJu$NYh>wKN78rXjR`x(1l0`#TfJiyAFL)#603Q3s%Kf|( zIZj3|g5(Uj(F44hGT;iZC2||@9W9junu8cGZ?=W$1i7p_h zV7>UX=EDBEvp#77t&-YUJOfSdkke9!CqSii+GJ$DOxsm>1{FvGH~7nZL=rN67tDt` zRfJ@XwwRA=HQ!bTz1E3^uv@#bE4igjesn+0DtWlk_Uf_+f zk|*0kv;2{vxE983y3qGppoWaS4gY1&aa`q`6QNiBQw4A<^ml^iisrhYR5~3F&B_n% z!++RU7gU5QA16Y-YWMW8P^|%1lT;AOa@Ww)qGqJGKbLxFidYuacrT4|-p!9h=2zL6$b93&O zI2zCX-%3N~pQrrZ1|;w}Kd=ukV+VD@&ug@ZaowRc*z+hTL)sREok%iWh5Mnj>k?ua zMs7*KhSSGR&>TVD{tz5VU&cc>idrUsIEse01u>e|TmmtMde?=;(G>a>dShtR9DuR( zQG?_-+Sn8}W9jWP1Ua65@&aZ875fR|MEa2i>XYd5bVyDnPu|j-LfvrZRGUh7c-}UR z8c%`9bh5SP&M5^%eeiev?E8Ts?NVmXcFjfNFe0)Oj8TS;mV zf?q|U4G>ElHRp|`)l~ipur<`FC_-9G!)n9kI!cSXM(DwEbI%!1GiCuxATt-w271n0 z1{>)Gj}e<_IxcW)n<+c*aBU$=6x6rUh}?)}8@X^{Z6^!w_3og4hY-?ET8xXaSo?e7 z4@zJG+LtF@dno%G@Di!UV-WXJFJ3fI66&-+W|X6%X!!6EV=Tw z?>Tbe9kTNj$eTGA=7jLLqXUzT+=~ zVTHWBJlx)-)ct|oqV-3B-KJB#Cvt~+bN6zWa&|)y_b5#_VE5@v6nGEl^I>2Q=>-Sz zh=$}u5Rd6|5U?i{jcp99x=ZZ__KYfIg1_frS<-U+>TG;%hu_Y}*A2_NXSCqNSA>JGQbwA}`qA4%}U5(COjNPea+IJMTk z(ClESf2I1oi2g<{0@!zYvLDzFdVCYuPr6XAY=g4>}YXCy)zCaUlm4g(Kz9~9FAhe zCs#NcFDM%vO%NHlGo2{5E(JD8^ygXXWI?Ju!hBJp4}w@AN}UB*C{iT=EE4;V zgSS{T%#Dzi2q)g7UMlADJZhO}c^KGo;f0MLjIgVU!{$m6&vW@zqBl<@;>1vFHEOHH zNpvvU8c~&-#agj%Fx;*aFStusFCH%d7B3vO14|Iw(!=crk**LVH;VK;FW4j;(m{Q* zSbGk#Lf=Dwu^xAz;*~vUWe`!7p!o*OPt{C@7ROf0AXw@CV)4h6LeEL@Z3a@4x zJUyfNDRVH((M(U3dJmJU2G4bQ;oRvTBs zX6r$`oN3c^8oadq@ClT51#s-FwXe@B(+(#p!*a*5Z=uyG9j}`^xA_Kcm+Q^prR&*v zP`ah#mfYQc3n)GI^0rdXsa-+oWp#p9?`icw>9dWeCw;r~iEY0JbK#|b<0A0;PY!Nt z1I|7NW#FKSusle;4NAnxW6&MEhG$$uw(mnalJ*sZ&`@fa5!f)Q#z%6)De)3KjUYc> z(~YE(c@aYtb;|?EQPi~*M54*X0|sNL&_382O+R>MF^1~#N!D1Z#2dQfXbS#rAqLPS zJ_H<3bGracpnGOWP9&#>uri76?F4Z$*$Tnf6so{km`cz2GH2fVU#*p z#Z)mjY%Za5iI7}Mom0cnGK%gG;&R&76vkH2lQrH<9MrsthN+(TUsf|y9JvO;e! zE!hL&K3eSwoBOFgclZY=hdaC?N|$utJI|^g1APjdH`IfA@e}IL6e?C?BC6b2b-=6Wp;Z7VUUL4+AKVPfNwV z2G*QNa^a4j1YrQZ)*Hl?qHX{rSBX^hAsHt!a_d+v+VYfVjo9}Z3Twq~UX!d7 z!?(ipdhuft6yn9v{U9cYi=36sVsJkY4~c=xfE^K+_>}UfaOZjKF;Vs!tQ;3f10ixk zM5G5eDY|pZ!R`n58mC3O)=)SjB=`7dg%@|y=R}VzFm_%PU4U3Fhzzq(JQqcUf1q$l zc)bR8Sx8=FToJDx0lO*+Wf;*bPyi0B(wJvjA?1_B^M)Ehgfok#qGLXxbqRj zXJWDsqJAziy$1F|OiT^zr5M}?BCo{JR={41wA`D%5uaTF-ii<0^Su*s=fQg~DmxU}eu@a57)Hum?|}`Ky;}ntCg0USHit|1M!-hMe@Xz1l-bV%M9IuJ!fW^uol@RrK8TSs@1lh|4Ax)G|CIL*6 zZ)~tKSJ>GlHKZ zV{*gTT>Ky#*gToJ33&76{Z_yh$h5dcsx6eA8Ub4*R}}|XEMH#$SR%9WPSjEv&#V7s zvUd^aEtd&dkDeEi+SS4rhFc>G#_W^IUyjm7nStBE}A)9NZ_a|WMWd45; z?t0my1Wd=vEH_~~K|Zbog$>fi-ONV$Z4neU$qX4m+$;me!sZrvn^!tp<*~*P*(R%I z0dKo(u^GG_@-i$zP&;%dcavyv!APpPat{#Qn1Q zQiOCs7Qhuo?Vx)jNk^zp%E~!8~F5Paz*a`V568=ug zS-i+NCG#x-@3ee=7vPMXGz`SEa&a2yos)xjxqM!3TLy&-(myLaT$J%?A$dvm;af46 zrEd&!bVWAgeSoX7=13@9llOSBcU^Y517kO2-C!u(l&=cI<}KN;Fo?J1E?f`50pXx) z;N6wsJY%^h(>4e1zTABgya)17B0M~ld@!y(lJ|xJdn^l&f`=z^EU$u|${L%&dnVi8 zh5B>(f~QR{WGx2}U&@48@b^k?Y!8Lk`W;N|jf`ml4{zljU#P#6O`5>bdwFOCun%&( z4-}GQ)I+Ez%TH0@eU#V!fz40yTM=NNW#yM}^hKU137cPK);Uo4CcpA7#CQ4RE3h9j zeicN1$~EBtk!rd0P#>yZ=K*Y(>dL+MaJArLco?D1kAcmR>U7?ticlpO}UxOK~4(d9|VpQ0A|4r5c*gZbfan%b8);-;%xHbY^CI*~ionQBBjh|E&EorJ<{ zHTnwF=cu1>Z$q1_s(f{8p8Bc~6y~dLpP{foZ7=~M3)Q(i6#K8tETdsCZ1%(yr%4_hjQav;X3aivjyu%cyF1rQ~tJV4)KwP7CS^$N$ zYSV%su2YLNhr)XG8sDdiS3|czAweBh9mEalicRpaQC%_^yiMw5o}X=2%_G3uqDGcM zWLwpBoe|46b?P0M-mY$&0EHdue4fbfR6n=?+ohJ^8QE_2kr#-2)OF$Tkf_c`1--rM z&#e&Ir|#GWk^O2M&)^TJU$_n)RGoUk-ywBPFcc1}+j*97MAeEK=Ni6cc^4&94KB6J z8-L*GSDeT9fB_hC6zRn5%wfm=1A<^X%NF#CIxl2S1Z;=Oe4v(1ABS{>+kAFhrCf+& zm+;QVM^payxWCV#O$0CZZoWU2?<-HC3i|oLYT+f@pkK_3n_kIB(9}ww=JpVrbse^zY-8oTv#gKp2&TvS_3efaQhtLfBv(6*VP z)hQ{({fu9}8ALh^(|wdVlu9el1DMK9$@C!YwYKcHQ)$jT#%I1cPFt>crN zr?h7=h|j3s9k~2TE%$@?jg~HjmC52NZ_i8-%W%O7leb{rcAPF2EP}#J(V`8oS)$t% zgfvI+9|*&A?IACL=Zn*4puRxdXb7-K%;DXL#o{p!a+}0a-ZR}SI_?5*tC-XaylsNQ zK}-_qcxNjab1LvYiTterJ`4H?@KqcPMJ(UMoi51j4>2?p;HNkn4=_~Dss{C8GJH0` zNIBL%z<-?vf6=loFWq8fg{rVJM!NDmd#p5ZNU<{WNf5`&n$@8T7(N-B84w3EhX-zoVAssltUGm`*NbZ(dWw74}xgUKjv%iPr6FHEp;WL?g5_r#LT0RGO zB~R3c-fLNUEhOJb&l&*l<$zaklq?_cF~djMW*I{IEI+sb`y$<^LFAjf%yZlCvU*?G ze6O}c)z-eME!O}2S=kdm-ir!(N^&J*Ep>Xud8FVhyEar*G7+ru}xb0 zUI=2dwvcDKTeN`o(A%mt)cZUwUv`LW*M@Ef*rAiYhgSA-J^ZDi^vkS zcs@)!suki_bxfPZJB25;ho!+gtI5f*c~1MrdoJg-ZTQ8bc14@M80uFwudxuhrZvw1 zksG*X0uMK}bm+J3{o9H-&~u&PTO+y0e1`47vu4CusyH8nmif%nr6A{_a2;IF1j&%A zJP``b#m6;ew;hL8x!#qaRlZzv$X7VboAwo#)rMB1NjwX0oGCBloBHtzpxK^CP@32E zhE|LITp?O+;blg6&=|hcLF54svI+jz$fE z-g+9&!(cq^;3-Z5ZJq%24RkXcdK>BLJrFn1D_%uzrqT)&w$LoTWw({OYyxo`J>)At z+bJU-e4U|Ud@yj9>hkgQdHk6q5HC>jFz8*R6%}CY5;fpmy~}ifj~=ej8ty5t(yBI4 zxJI+@LGn8JJVy{WXxB0jZ&G#Mo4G~Vc!__TLU_|TiM&ffB$<{T1onv}Z#sXbVZ1N( zg$D9o8VTL8rMJ{7LOPLLpLA=SA93afz$bFtLhH5{8T0yhAWT zY~(4`3^C#e0-Pz%YQScT+dCjKM+`8-?OZY7F2FnyGX*y1i)ugNXn{zo2=5C;k_UJ1AT$LgA1&-W%YssCX7p9}$`O zV$@M_KPOBd6RzVyyd^SvA?n+r0IzKBiUC~j?}-(BI(T37$_U~EQG>TwABtg1L3|{l z3F?o<9A4@@5y$vi@Kf=cYwI&%Sp|jXV%%tmyb$Lez{5+Cj{C+}BAic8UyDyK5a$~) z$ph+dMfZ#VKSf*K7LAl1*TEYm?;k~uhD-G$tc;MiD!}GQ>C5#cO4i~$jFOd(gBL9; za8D8=v+jk5(Xz>O=#7!p_-J~pT+RdDIO)nuj93}fACAV$>V?6JlY9CCTPMK){&;#QgN z5k$7hVcc76m&NV?+aU|{UhYoWc_d=lCGV^UZ?~L08rUA0pC`zPvfB;hVXw@543hh# z!dpW7W!og!JRozof%k**L4II|$+NQL5m-4VEAWQRdHH+}h!UeO_W* zl0Rc0a#2fZtDfd`0JWz2nG*W^6z8LrD8dm(Z|y7A8?Zp!c;Fm_8$Tn^%G8O(FL zJJRh0B=5@8&tUqVOw$fl?#snI06ma9_dw*KT$=!qN3ug@5Fg8eeL#F7Tek!DRJP(; z_e|bQgoo$ydqtRjA;nIBm+~1`{a11oXXUl5&>JFeWVuW5@K!eCUHW&jV=Z9s<@2$? zKFFthB9|l+H^D=)^x$IsC>?qF@=4z50OCk>_F535)UMo5M5{|RU@_`(o1saV~XpSj+f%OX553-+#~q7r)2lmuo5_!2f@;*cq6yWh5N7+G`%z=gWGI}WJu&W zSPRV_3vSuZT=Q#P<&LZNsA-_reRCB~>P;<(bp12)kZ!QDHY_yM=7Z8`RuxD#e!)}8 zCRxV7X455H=*_};XSaEy{@}Klb^`R4u{>W4@5#p$t=8-SrS)^J06i!0CTFkvr@-w~ zIS#sg?*)L~Z#Q36>ED-k4*t2k7rqAkEC=0zo$nz%sNW}~BUa3Y?qE|j$PAgrhc=N^ zk=HRpX%$bEhEe-O2n?r30f=t|t>snQNE$p9l2NqR2Hq%IzZt}6YQra(F;w0I>Z7UC zd?<{e8V%uPEXDDu$~c`RIBhS3x&8HwA7+XjW zrUP3<{llTRm>zY9$P)U>bIvW)m3xz|^l1gux6|Y_06VBJZyWEVwPgTy(G%_*c2oIN z;O(KXG*C~ZK`gnK{5AmWqw{UyVLw&jN$~+{7!Tq>I>|H1L-f!WZV!_e?juT zMr5=?WUOeE4E0#y9t4}?Me5!F6GX^fNKO>K{ELc7;vk=2(Ib|a+K;sjTzHR9qacwZ|9Y=y!)QNs@+>qSLgNW_bt2VgTnbma}F z4dMy!NN*Ge&%oFwF^HF;o5dXa^{Ps|^Rrdd9ghOpCbpD>-gc3N&$xDoGrXwTDcZLK zZ!E24Kiz*W(;Aiy;-`5D|^ z7o!$K;fC1n3M)570PZ+qzOcACL~e^xp0IL9>}vqxT@khy3irfi9#-#*OuT4&AT|yF z@uBE92;h;(;)^nSES8^!%_ri(8W5j~YheiDnTXs4@LWtx3y~M%3-=5!MU~V5uS7%+ zcz-RHX9IX6L>7Rz;&Ntycj8cg=)D&+xj+3N*1Ch3Bx>=MgJcnt6G40w@A)R}C$X5% zHb0AvJZ^juakatwDrUR^@0&>d3fOm%t{p^vh$`IO{S+ZQRgRP;=fcBKIgIBu!{j_( z>1S>AGFPqZbML5v*l7g^1$0p z4KPn$afg-paw?xuE|AJFNG_BW3&Y;#de@^&OdHp%L|cGxUS zy#uyYPU1=KHkorju#dDMc1d2~Y35})p%;i(Wcw`ea8>T#1mZR6&Ry(v z8UF*s=W-JN=J4&)LXnkxmxYz5AR#m zFZ`71w-db6>JUC6 zJENZ41n+0nUVUKmmO97};I?XQ4Ly`8pNZa6Uo1c@_tjnX;r)SXaF^)@?PD= zv)d%~#AkqHH8v5%kLs9#0H4%_-9h}UKCJ=pMfDfZ`>MX-8X2uUu)uVT_P`%D$7pqi z!0lMH9f*w624Il4ubLM<0Xx^!^@ucgAis!`cQzy3KyN-`E9a$`hWlr+0%=uS*dGRKNH(xNcagC+LmZ9Ky5l+Bcvyu`008boWPCYc`Pk z=jP>ZLB7Sg;)trH`~k`E%S9p6sy6rKt$ldIz0Gr8g0(HdZMR*%Vo10D$P?lYP3po< z$94QelupmKz(VJ${JsXH9{|e0+Yv}d+_?X6ijk&dKuyzC!J4Nk)L1d=8Y zCsI!vu*r0Q=hjo`Gp`G$(mtN0PNONg;AcABOa;9ewEaGKGil8RU@Iu1EA&=Ur`-YPwEPSVP3C;I;H67{RWi50@dbo_1yjF`lZ;g?b__7zW;6is09=pPnTG9H5xq z5IIOizeC{=r5_36VfxNP>k&#E2fd?|{0t(ONH&MaWm?I-{#AO*E7ogNfM=E0X*7=k zk7*Pyrk+r z|28d#_Z@pZU~}gnekOK}U5L-!C;6)FdBdk>uRa&{_j!Jb?-$b$2&6dJ|pQw~|q z2QQa4Cqg}sOgUk?NLRQv&Zi^X+qgyOh;k;_Yc`CPV^b%Bze6_zp?;Un^M2S0`lAy- zCDBg+RrD7(E~?3nYsVVuI1+mI=pTN#YstPG*nJw-9scTQ7jHCrKuZgNJ){Y3!Fxon z|C_|AcqMpG=;Q_HJ*64kOngT3raT2>^|Xms zF5i$vdx*Rx%aH&LH1aKYjdb-muqMj84Xl~Ab35W4MI^(fiD-_36;m;nOV5+Sj>mLt zbm0AXo?->}io8VDY>0S^7GJ}Pj~K;^N50~PH~jgDZ@4h@7tOqnAV7p31}{)7ZUhJt zjVvAq{h@m2ZZ=Enk)9oq|%{OMXDC5R$jrij&c=yC%PGf6@ z916Ehq9zldS-jz%zKMJ?3BXi-J`9p(GUXXUGM5{8PPUNuTEl~-Y{@I@$fV zfP#&jeFNugzjWvcV+Z7NeyR>i^Bh>Ql_k~Cvy*1A0QM69*N3N3T5(4vL|!=pE1~k= z2!L?8hu6y@WX|`HjFe762tG=d@iI!Z?A`?)Vr1zi=*7w*O90|z%_i{TWeHCZ33AbH z=q1XrysIZkuI7GFvfSMPBB!M787QR4Fb`Nsl|P}GgS4wZX9Q`|i`zSAWI{E9NS6cn z<=(ThHz&O3wU_iO55_jR($0@>M;+V`&)y^(WGrN1LbeORj;R z$%u0xK9_^|*?b`fwFU2`?8VdQD_JrS#Mko2ZXnjnSO4OO-pISZ0=$)l-0y0T9k;=I zqpaeNc9S$+4_>qUyA8a*lLaSW+C;6r1S_WMukj$7sfin)V6J-c0O6sWUP8fBz2LnN z-fA;1fBPsSo_>ARJbr-uRO4+B{Z$TEuK{W<&x3*Lw|s~MDVhTh!OH&~K!}<(6$+th z(LVSKQ$6`f4Oip%L61G~cE9!k_reZnX9fVX)x3TM&rUPMLegHF%Bx|A zwA1~e?xyA60r8~f#LWZ`t-uaMPc4u;3|?9(m-^n?Z=7C6YA)<3N}IwBiWuz-S5&cD z0eAl5wE0~TZoK9?4sH{)eiB%ocHIe%E}{yCu>#F+B_uCt6+C8L*7jZo?~1l&28>k|J$Q0{q?7kQm}RU}+h8CECZ1P$<=Ua$eq)uDLeUS&+{w7F1)b{@d@JLJGr{l2}Fb2)t)nA+K>i*Fucp=!G`^5O^=OFS*=(rTuvqyw}>S0nn@026G$mjrIXgm~XYg zoJcfizW+k9QMXQ+^GV38us0SI;tvu_bu8G%voWK;R&xg#2T8oN{cgO z+JYBvxSfc|G7b6MZOk%k;{}FngH;;@kz<&{!*;GAiW|Qd47HIUq6+T|e-{l+gFwtT zY$-%Yw+y};VXVyXDifgGF!dB{-Z6Y14&GhE2Cii*3`2Oke5JvRTc%Zpmb?w9+OVG6 z9B&PK=YiK?c*^B&lOcQuc+G}^6XEZjVVDjpCVJCF5KZ+f=}axR*Hfrl=?(KhwAMSvL&QeUYQWL%*N-m;@qqqkFpM44TRPwvY;}9y$!({9xD`Zu zJ%w|PL;7#8pm$hz;H313{-_3~kLq8{1a?gSX$eG*>)Wzm(?R#-Zmy&5zYD|@`uDhb zH7C6@rxnh6E*cP;i|$zj%vHb7W2Bp|{{_jD`pfSC-1S)QpnK@=Uxl8h-k!?|FTEGH zYP|J#o5AzZr}3@ttDoT&IzRo_YtZx8KfQyH0(9SrAO`B8=Rge7%Q^U9y%RUHL-Yr{ z(=t@ASPc-SkDCR_aQz|SFeCI%;cyhGcX|!CQF`8C7>m~3xwMJVt9a&$)qQpW#OY_u zAQ`W(@Ideh`U9Rm6ZIdTfS05%-Uni){(UV(&g-jwhUskm0guT!dewYL=IUd(Uwd7D z}ky`!0euR5pH}H&5r&n(T_CR0Hxp2My zoYRB1`m7Sf*`U8L1!&Zl^BWIMx-^D*l+nNch!+399_I?WM-fkNJ;%D@`yg-5r3Uxn ztzCau^PM~N3gw;?urTKu=!{1zo4wl;*4SU z4$k}bywBs#zJgMGH=*Y|O_|aPE6`8{@g!+ZSGg~0L04j7z>*&Q1)deHXbZ>Iv~mD^ z+TgF*VQ@cv`w6TZpkmH84pN^Jz-(z4XYJ1P8Lw8l&_%U8k}WFny0USHSdrGW#AP zb+n4l&I8Kf>EQAUEryx&hm=S__Mpe8q<2hM!=llhga+>&( z!e;?{MaQ`f_?r6v1yD~t2jFPm(831@@GY$x1Y!eS_zE@~Nxui|p!kLF30vWn2P^hs z^%F=Q61E0_!=lYT*gPWM=aqq@;v4Q89uo~?p>SM$&11WRa9skPmoRW=#9OrK4&W=y z-^VfdiBUFC@E4i<>;#Cv`4q&8X}q8rCjz_TP!fbN29_u$e+ipOqMUol=S31Bz-%$b z1X!*(z6T-~L@Ume^TghFuyRrS@+*k>Li+^70M#bLPhmZ`kQ)<=HDvG_@kK9Ka6meU{^Ae;HT1j;JTrh?>jYaDy9 z+{+87A<~UAkwobg11w2eehe$8WbOcnq{yz>2qIPXdk@6ZvK9AL^5hf;c(^F_=Kuw= z-EOE~l9PEPyexO!1-K%EdcxmT`61^Jh4LGoA+E`)9PsYS=u5CtAqV^o^(wib0qWJV zf=k94=`<9Q_vEyT5P2zo`W)CRIsGIg>*YPZ-QLKjPQc#ERb08B{kI;)4wxATz|7FX$ibzJ=t_A}&WKedGgwlQ*{p zcWU8TaHkFS$LI99{Mx|Mnx2qcrgL*)#UyS;{+Y$SkChAE@qN{=??L9T>2smGdUhQs zYj)Yf+FDQE4D)yW5J>*h!x7)tJ$ifJ{6_ULY;Fo}2d&Ma+)dxICJgax zz0E0+8F_MvXHF5904%9LCnZ*t$4kc6G=*0?18Cv5@E%Ah-T=Y0op1OMD&>`mPzvJ8 zD~xU|f~#;!{IB>VVFj0w$Xym=FE8}YhREX`{Kh@<#5uo+K}hCw}n zqNhM1k#0vI$|P#VgKjc~@-%h|>#)F5Xf&4=snmx%Zl|f1lae$lYJ}by3iugVI<4TW z{w$s5?BE>T?u-C4sOA+sWKzpPAZF3<-VixYH%7p8Hr?UcCx?D(2lZU~n_Ea1XktfT zc~lpKI4{yITz?FE(FHK}h?e&N?+HEUt%^_SwHHL5Q4nW-&*@Sb0Qx-N!NW`1bR2rG z=*&_myr!u!npIF0_slKLBMeu$}m<$AVS^UkJ$rWMB6VBED zet#LS%mj0%?3(D+6~yZz(*)jch@MN}wn${QhQFJlM>~kT5tG~?@>V2q^3*7H@!ZlR z7IO8`jK5L__D*DQo@*k{ax>gi{uBrAW^&k5h?vV*?j2dkufGP-QWkcA6)V}qyQ-{Z z6KAM4@=!5E_RG6jP(L7hjDWF&^6475wUt%}pkODTTnDh1+udO0kleWyrVqw$k=cY&&qbZh5nqZ;khM4hI1L7DX)A9 zkt}H&gE-I2?vr6GTRQiFNRD*92x6|x<<9X1nfex1@?_^CSiwBN+xhe5>%9;ukX?QN z@sbSUZIG8`JP)H+vEDljUzrb{51U`75VDH|1}< zE4)}b_5-g(_T>q+R8HprZ^@(Fg(;JBS^&E($MEu6xlFEt!X3HY2#)T`qge=|LN@by zQl%`O1guIv`vz7_)H^S3Hh36*gjz)5X?2@z+N77E~^iaA+wRlQq+=ccOp)SXnO zp0MezmLQEs1M3qz9FeEebSQYK-vr!xtKkkH`lysi0KTgJG>Crc`7i)~)nx{V0c!nl zfIu~K9zc-#m}l8w%7gHBQ(`y!TPRh|PYr&Jm@ zx>M9I1`t!#rU)pUR+srXN>kUDAfz*D$2O>^tJL?QepY?=2Z-mCe+fh~R3^`qnM(fm z){x5J$>zNJJq^TcWyO~xN1f_|;B!^`&!K)njh>DG^HlJ0NM2N@d4A4U78(=^RJIqe zOX}a>fn8QUoNHZC=FR|DRdQb_6smhHa!oDf>vvs^{2s<`s4sb3DpGEN(7UOuX8|i# znfKwgL@nI}P^zx-YTzx^za13HRQF6+xvgvuLZMtes>Si$QLlMGx~nd|2CqW(ErDdE zDzyQzN>xsWm1I0j2*T!I1&I*Qn#naCpzB=L(5mDY+9QtEg`V992_SPTXr~1@FYUM{D_<)lv>G zcHAe8`;~Pxo-^SGRK)um9@5A?5P3uv{bB4exlP4^J)w)oVDl+$EQ7)`y37OYbLz;% z7qoB;^j^{cUUz#%>%Ir}nkspGt|z-;i1Q8IXaOs4$%@nQ26}TFB7x#HuSW-oqhCTY zL=^B5g^H|q@DL`3b1oV##!J|Y5aYO85-IL&ghG_qeFMg#g?$u6V#Mq(K#Udm=|?WC zmmY?CyclpAyadsSOTR>Mo|CO4v3>xoB#ZW@@P10P=kzK?Sa2&ORdhTBa9VuJ)k>OJ z$Vto@5z+$0bkWlr{?3Y3{BGJgu__S63=za@)R`iJTN7F0x*rtIi?*#{I$PN92bLoi zFGfhYV$UlmTo8WTEzJ}CIf1(<3eLiNzWAX6rVGTo6Chp^*Y<*VSzI&#ToEm~$8%L| z;touq_|pZZuZavE6R!&+E<w;jjROE2`2)|+0 z6IRMZ0w+ed#f>!}mW$06!0w3mIQ_pX7H~~lAy)D7Tc!Avw>VUZh4~1uTKvRo9yMZE z3lNXXyIhw$NM~*wo{-D9fA1s*R6)d9F1d#wT;#kXu!5aiT;03LZCodxltYh$=q`KA zL4Y1IhjSTEIgwLRFKNMDU2kdH40UYanhQN&naLNxPnNs~xBfDOE9wBbIuq)FQs*lj zBs-pmUa(ruo*2=?!#t` zd=~(PSZU3dKTdAoG9_N_jd@ZikmJum@{(NpB@XPewBb7H zii{Zwk*jhs&jTjvP%B`j>Rb&lbG3aKBrVh&PSq_{Dc4_CDx9|*S*x&?P`6Qu&k^o^ z)omgC9Z(1xjaO75x`$n#{mQ= zn^6FPYEc^0gH-TOa1^XuhTy}))n3CT^JxwhXthkH3&#`3td_0e9)Z~KuGo9(?^AoK6w9C+E$k_YFVy^p}lu98nd z+3n3W=bq&2pzoc-71_QmgF!c;tUqDGlzN3i+l?mF2^_62p+)Fx62f5ecKpnXzb);=S-~^nY__k1RqAZ?ToGFPb6&L(;C~UgY z)M!Y$(Rfy+pE}43Q+tZVzHI z-HAjHr|7^u_)DSY(cq=hArI)CCf^wdH;ux1QTGhBDgiH@Lish#v*b4%9?p@6C9Gsn z3^$Q7X%2UfvS_mfzn#RL~YZ}7YF7b=J3|(6GG>a+e!2p2N7pccm~E?#13vuxr#Yk0Ng~X z9rR9$Z|eWA{;*#QdXEJHc6!G1CW&899L0tEt>GT%eLwyel%b=zcpNtGzn4GfoB?-= z4KKV+&E(%jo^Hmo@r)0-)itxo3qj6Wh#dca)y>J@+4>20eD<7v400pdOn}Zv2VUzL zy*Ce^V`g)bJ2r}Qk8$&PoqoJ+A!H`3YXoIt5lm^5I`gKs$@bOwK4r^&(5H4@2bpPK zZN~TM_TkW)F`ggbnH>!HoVEKgK4+)!w&6JqC5V1*E-xW$o%=B?Y)j=9@4q=+@qI^o zehGc&p*M(R*WCl4@1Bzg$vx4WtM5Ji6TIyEn~MMwYRMCoDeV~#DKmOG4(jGK&JPk6 zG^!WGEh)+#JS*z^8o-)H-GwC^8WaHDe){b>hzH2p6;=+?p>IL7CA$O=?dVT_N8FzN z;vye=AG|=&w1C66Gicf zbEYA<>JOt4aF0+H_;&e!c(Ahs>C6qq@7+4tj zj)IkNI?65Y2&%aYERw35fklzGHLz%!Xa|KDl5b!vmOkTk+&IeN0Xv@B^8=qiTSo#U z((G5zOQJ!%NK;H}c_FQY@|z)fi@I~qrHtC~n)z+obQQdE`f3!w9r`LA>UXKdVMJX) zZ9jzDN;2gczlx4>NmotzyP#e}(KV2~M`u5VWGzjt1o1xo#rIkr*}1{k11caWJftmm zVC4}hPY@qdRY!m))Gh()Ps#H~oRDYK^3O_Ps?(Cj{st z3b}#fD>g?Uct7#9KScb+dcGV1qWTYjK(U|Gl^}5|62^i>=Q5nS5MkLJRzii{-@wAe zLM}n@4$xWuk!)A>b!>#0d!e$eQwc`FU=-n4~k8pPDgzLw!`9QSX z1(AnhA=j0UL@wXukA>q!h&&MnUKe^QY$t#~L2k@dVGB~7TmKX5f=pTW?J zmW`(&c~f@z1bW4iRztE>MoBojC6~4YRwkFMgye1M!6&&~+H$iKztPYFA>EaOCPBSI z2J#bEDZ9P|RweIoNmniFu0y0oe)uuKJ$WY>>b3GA=fn490KsORY~%&v2l6?OSr6sH zg}@$3+pVzjSRUiw41XdW(_s3koXLaqGubT*-k-}!0@w?g!9~YQIob^1l~fS`ujK-s zI_hQUT3Ep^J@fd42JgagQ7d`ds;fHi1UxtOs~31D)zLcu?#g^CfQRZa3qg3Q z{*!=#{|d)|p9#7M1s~O~Coo_2KBtv_%9$II{_6Y<5Chb$uR#n{@ALkZAhp*XAXwFL zzdl6G=Dls9%7N#Mg);0k|sV{;d60N%MeybR@x)|!QO5_8J zQvjCyw-AYB#CgveR-6FVR^3zzVrtN{hTk6_Lt;PS69~TR# z_ISyyi=NxKvhP1|7fcTJ~2*zqy~SrW>r!P0R{@VP8$ z3qF^pT!!R|bG*p^=k6K!zVcQPzHjyNg6_7aKVko0i&}i&F?0pu*}1qLc6Ryj(73zK z1C%|dxWT*EjypU1e&F_=4Ye@<*-w2rxjRZR{Se+UYUV8gZq%KNlK zvv!x3?}1Is~A1i5Of7JyTK3E%O6nP%?-I#aWK} zxTskSNe5y33_Sc%ZwP>gu)75iD4Mn-q#%*TDOt2=&BqWU46K(TuJC=DDwgmrlPs}m zGbGQ8`}~XNmqaX&@Rvnv-lbbC`j3Xc60zWm7XSa==+E_6kI%SXde0 z#k1O={B&>!|H$dbkiKUj^T#J+VRdNyOMD+z%J(vi8PzTl@}qx0 z0LqvPLt$;K16MlZ7S}*)e0mVRPxvegS`#~R>NKf(2_z>MOaNudGLCv`HG7|yI2XFp zKN$|2Gro)kWoFkW8uH8vrxFM z`wdo((bLNy9;fZ^fH}~6&A=RK$pfgLz|ZMI(ur>Mhq^NvIC~4ECm!Gh(NUf+LTKea zfKd9HmsZ2*Gj7R+)AGBJj3CE}0FiW`Xa6Xw;mZ|GeSH98sFsI`SW>*uOGktoLm%+kx#u@Zestk7(T!3clj_5Qz8*XKrIgi`Sh1 zV#Lw_#2F{b>Ty2fMc6T531ZJ5u$d_S;XX-*__7DEEYXf%&pt0qjzA<^-1Pv+5u3Tz zzAcPh;pmQ7#9O{Aglq?5l}Py&9%{t7{s6VYi%(shSn&wpq4+oSTa0(MNU z=>yC``f(=WD5ss|t0KqokmW4jEdb9&=5fE&Ri4ZNaFbidfafk3aX#ZAomat;r(EC( zo|o(}1VkU{&Y7LB9L_nspFGENy1#7i50OBb77JpK9MB2GVEKLkun^hI?X@sDGXqBy zE|+YBdW8Hd2_lj5?te9=4B*XvF>={G5M$-IKm;Er-|}H5$mzV>B2m82gL#sCItEsf zrGcmF6dC^r#!}@To>)&y@4WzNa>{V%rOSo|P&g~2c&F((xo|wN4Cyi#j0TcC5^j5y;?T$CWsojoPTzpRz~~?NB3pW`ykfI6Wp45 zAlGph;<0qu1HC8mTTVTn%D|-n&*Y#k;JuKacK~=P-*6@VN=|wX)30R(&#iCdW*g|? z1*k&^qCpPidACsp@MO{~i>Kq*-^nrD0yRgpfRvsKpI2DDe7@uu=a%F`A$537yn0U*(KT?}wc4S5M7 zeu$Hc1qXGn3BXaU41%7M+ISMComF2np^#dC(F~%inm7mno>YSeK;2zU>;p$0Y7DQZ zd8$R70ld{TZZP|xN`Q#3YT{)JKQ-70Sb+L!AwZyN;*Ban%6=w{g{V4iABC!+Hvq!a zttdE(P!qXT5UCP*!$OqG_z8}p)h8c7BvvhH4PKmbI0t|6>Jx5^C8$eWSSP7rPoSQx zdT;{=FXA7AUWyv%3F2uLw*|yB70&+7sGrxuO1k=#H_e_?UR*3>sD51YWvama09nd0 zAClRssvM4Tlwk<4Ty=q)CVA>yDg0ejAMlcJzIwsg{w3x7DPp;dr5C7QQLpAh@~WD{ zUB7GUvrgb$S7T@XgK?2+yVHaw^D2fZ4RM4YGYaDe+MH%D2C$(1!w{4ud2r=rMQeGr_83)h?t7fh zaaY=r!WKZ}1le+H)rkiE2+WxVaTe-A-#vs)S90SF%8goo2yl|zIJa}B*?Q?PL9dve^Y)!mvf(N1773me%1H29>TU8HfU{dp6`Y^mA(f6Jx=Y>G0IQ(i zp8%^w0R<~nyyFR9a93KF){^u`N9wYy#jIX zAtWyepMT-~vTzCm@rt<1iQ82%hOa}RAnx8=6Qx`{To-$IJK7D=nO6^r#H&@nZi

    IY>kH~DR4>`5H0o!oy2n7thG6^tE{eSd`a z!!m`7fFrUkkBmp%)OL%5y8Ba6(?>N!m%S=Z)0P@~77T zF7l(F0bJ$#0><2A;zz(v$|rnlxXY(D-O1OGy` zuRL`FJU`iHHIBhw{@@Bn0W!@S-UH=(-C!k1w(*CRVA<~}L_*|dZp?--nK}f-0{M!2{dn_(`+~RRbFP@m zWCahxe(s z+`{U)r4wBAJ*4Bap99}?1Fr0Zsev!JML%ftV@M9}%k%TN4a-0u@0tht#655DeNtC@ z*q=OfFTPLd-vXZtntsAjEVRE0t;PO4@hmyj7cxs<{e#bCqwavdZrQJ}w!Y0J*x7iE zptZ?zFC;flScwDLGQ2B3O=$R!P%x!O+@&z5cD%l1LB2dGSyJ0RFk?ldjA7>}$@wsJ zj5=_0$bq`^s}YXme+$?NYQwX&6J1${fR{`=a}e1nTHFb|6iVXd-fVirTY++@whkV!lkX##&ZB>M zIprcn_&_9|UUEKKOpAYnND2MIP5oPxwgJR4n$45&ZMyj_c;$4GZN8?~AK}32>C2N4 zc}sI@q0m5EPC>Gf_HtUFG9M(=>x2&3JIdcRoX1Caw_#7tlZ#S@;sY=yA{g0K@`@#JYQ z*7$?yD*F8jqMP`q3X<+vPC+alBAS<{JVom*0A6C~1n|5?SU5cRh#Y?Ge8nAh>nC1q z1kqo3O@Lm2$Vvb&P)r*G5F}jRhhDJQZ3llL!sHwjLdBqOVKYobtc2Teky8Yb2oV(y z^+-|ALwJ-J9trhm5n%*kjA-r-ELI%l89Yvu+CU**cnyPkf>^*?mlH(<*O5tLC;y;S zvUpq$E2l8W0Hla@GvO~)OmK$6X)#ZOu{2>;3cWL;OG_9_7ng0IcUGJ`11sl5{G+FY^q${10S?|H`T(4)HiR zGK=5j8WlJOpQ9bx^(IrVh`EKGZ_AC&3I3lYPND4sxOItAf#*5%va&Tcad-{-u~FDA^jI1l^tzUhHS zVE$V^+64jMLwDg{2k^P5mfISO6JA1QiSH_SU+Th=%U)T4zP!FYWL9*U1;2kDp9}8F zZmhe?g$I|vOank!-J07ZJME8vva60;<$I3ulf3sI?!oQb7Xld*>cbh5DXlhxlo_RQ z%56?_c-yQ6bq<9He*JP0w5;e)M_|^J{u023x@ACNKdsP!9iT@%Ivu3$AHlLMZRfQi zJF@0>pgk=QgvcTCzY1`eT5SNpKUj8z&7&Yf?-+H8N9@OG%`+%C(Cn@V!jW8_!^#P2 zeFc(ER5=JD&NO)wcrKK?7(`bxbA!4Y4JrZeBv~{--JL%A0eT+vyEllQ)V&xYUUc|A z6ufECmmvDkL*ASePgUcACC~^Sa+7FYCNLzWI{;462p&^X=o3e%r_xI9fS#tMTtcRi z?R#)^hRnGukxmnNzt&l@Dum=Y>d)&58D#M}4l|STvH`Lvm`lO)G?h10WRq7lBy(uw zufTF?=uoI%pqac%kw;V3;`lDoK2v~vYX1vF3g{hQfJ>Ci+ZisC3AX^QP|-9HuTs<- z#92t?e6M1T;6~SVn!XOk@WYzi_b9^t2PoX6>7xLO$&PzPC6w_ic=$I3PDgIhkKRxy zqv711yG>oV8ZM{VDTwS2rMSbxU3$0!k`?4O8Xlg}51dOqr`4R)yri30)&Xq;-C;J27d-DOsu>FE8(I)w?87pG7dLVq>e@` zQNoVP(r9tM3q)eX)B>1}6}x%uXO_$2#Zo9-6*lW2QYezYgUB_J%Zm`##lV+1 zz8j*JXVoInf^Wr};_)vqT`Y!ghe(O|hC!)l;Ia0W*m@t5W#S5_y|+dEN|-JeizWlR zBgT0E+!a~eLah)(c(|z)XE}qf63eQu^2l6;DAi|7#sonZQ}>zkfi) zMfQFTJy$t`3r07oYCt?GZAXCUE-S}_=pmPlLM)zguz;SIROT?|Ee~cx(nm(Vgg;;T zyfWZGd!X3E|j;2}%4#smfzGM zz;c=VJ$QHI%qLL4D?jfFUWM#GAG}IA=M)sGq|SL=wJh8TksA4OJitBqVIS}sCu3}Um)o({ct@`J7bCh8(TK&C47a|Cauwj73}xpE&0V4<=&6R}k7mqX7=slFgu zt5^Hs$VGW`z3HkV?4W*9MRIxNjwNrL5D)ctYXDExnIBj$)nO+@yj2u;D1Fot9;|#- z?%x1@>Omk5%wKKenlf2Eu2eCo5 z=Xa%>)I}aAn$_hyP_aTR z+S5_M{Io-{0RGxza*M-9_6fVmq6a$V(NSh*juco_~K2G84YjXR7!23I=}eGMCUDu^?T8vuoP!?fRl zB^m}@1V}P;=JFufFeU~Hrwp^9;{)s9!W>dUB5x(mSN8`I4Uzd;}*?r!;SAjEH_xc zgvbNK$J}RlXvlJbqsNAWiQqjkbUcSxo*FvxX!^`Bqy|{C;RF8bvv-DNBcWib$MS_U z)4$k+$jtRSn;>bS`!57=&=YxsqN5(ndQSQWyrb1w?_U5t7rhNHKDg>9cn67_K9}>{ zlltY$5OLQpMuO;}pXC9`Q(v|bl3se_-vHja{UxaT=vQ1I;;S21f#|1aaWdquyK(*! zp#RMSXQ1AL`=LSl&}JwE>pfb*bckNP6%M_Pj=o|kL~?bjdmvuWD`O#&r|;sQCAg@!I1khLx-nnd0{siDJR=8bp>@f8 z3__37obL79a2hgWuD-(evHl}rYy6P4urZ-&Hs}+FadURkV(zX@)-FSLiiIuQPObVK z7N&*q37`I!)9@MdIBl4DIt1Tm#c`)+_V3*Dn4@g)Ik)t01T?RNE7|$3JjyPpG{*OZ z$$TakS)ikV>R~W^EqOZ*-j{y&6TUCY;Jq@-UtR@wMV2q@|9NCNv{t@J2X|G44|M<9 z!*6@69^?x8ng`r?T{}7%+`s3yf&4$S^FUemFdLHVr}5ad;mC7HZfs7*_f76Rac-W^ z>D?h}&IRKzSN9yAMqbKMhufjRekG$W;nJoEk zbs-${Q+Cdl#qbjb;!>NFK zYZ3Im0ahX@(jLSp^5Q@;@f;9Gg{L8MiN5Dq`!X%a0PiYgtp-*| zQBQ$gqrQ=lyiOtt*bN#Q2xCQL{V%{xT4V=aF@3TcR!T^4LS9OF{NEV2Xc}McGP30@ zU$?0~6$<6FmHU`?=pFB*yGvd8S12o}e*%sOzl7QX#42)G0IZtocpq2|4Vesud(`o3 zc&H_}T10lA+<73wF9Nj&_JG28xOhnIxMY4rLA=!YnC5X>_=KEHp#GFn2gCF;dd1s1 zp3^;EV1GedvmyDC&MbraE4s~H@YnQV1&H;uhfm}i>Kg}j2Vwm+Ogjp@R*1|=jBy6$ zEH2c5=OS$RB?VV8ehG+fVxAjBPKw;#0NBp{4g7hC8Jz2Tir@Tzd5O_+aO5pkb^;L% zm1tnTqE##K{6r?VH2g(+39tb1LuW)5D5`j12@;2ffEX-ZeF2dWk^U3BhYF)Ogc~Nt zaYhy{K6VC(5P>scI#M(gA&4mPZ9Rz5VxtAH81eBO*o+lPE)a+6HMU)%j zJS*<;(&IUC_!_)th!LkBx;Mm3UcR^~MknLIipAD)Z#41j~P2|fS;F(I(B-k{QPYb{^m-DzxvXEgt04(JyE+edD zfh8oZ<)2L;o|Lz^9p^4P@^$c(pZ<(+z2x&o5WQv1P8jo%<2Wnvm0fv^^^?<5LG+iO zE(8`Jz5aW&WxM_e0*z~P5QAkOUZ)L_BlzhLmDfJSp@hkAc$-YPywD58ESX&ja9-AP zdXOW}@k5y_ziSEN1v!bg{pQJwZxPZ(S@|(U@})N?i3Ku|tz44E!@;{Oj|Tz6OMg7J zUX?Zr019OuH*BxTvP#&zE+6x#aYJ^P31X3q;iC7Z{O3<##j^7$@M>jF5x{-Su@`a^*BvkA^e)hQ zCEZ#fve$A{YpB=D@aZ60s3{u}lBN2MQ(|l7`YY6JR0rN5ykBjpg~9=a^Nb6i4thbs zTlJd@%vW8lhFd?C$18XKYJ4dq1C$$w8>}{SCoM$vD2M4#<$n!&Vd`BduyAFy8@vcr z_5=!ON7jNEqiT3pW~}llhI*X(HVq(NB@BaJf@;g%%0$)gFo;R28yEE{N zl~kb`D`2Hk-RHEhN+p*=62FwdTgYqF>?nxfmq|CkO0Du2IIVBg-+w{!t$NMHa-(`Y z2cSv)`U0R?t>HoEof;MnJrnII*CeLev-c2-nKqh}J#%d$-$WML@}98ippD!F1xKw9 zFPb@Nu6!puYhCu@P+YVwy#ZXc2R#vso7S%kk|(w7$FSnAE#lPAL%YIvxu;fg0qR~_ z5x-UHtw@QRjW<}@3b~I z2mz*P3%J2>M*F-ijy7HUs1C%lT930Jp3`>l|4n6RH}63`QwvH(5LsF%k0IBz0Z#$0 zYt`EzS)^^{mG_(Yc}Nh8wRA2*OSDTL0hDT$d;xB03$4K`)2{F<4v(~tc-DTbeO(Fg zR5Sk+2mMUTIu4QNT1N+nyucfg;Jwu9OX2pFmL3j~*Z3n%h}3Jx^T2zfoqi5*z_4Z> zcn1v`^#FE;^e7PR4ejiK9WwOfd*ZNRgG7Kw46(Oh?5LrXXQyKZ`y}v=8v;&3!NG9j z9WY13;+61z!jRGyAlTr+qg04t{Es*;s-s>@cS`EW3Ayjw+-$aCf*0AGptzw;sZku-rV!h(8x*m zBg6Vu(0gadb%&mbKDP@nGyN#vk>>hQPB|>}Tz<4I^*%gBTInW_p=YgMD1b2=J*FK* z_UrXJhzE3A?wlOd=g-5T*y@TKZXSB|I_P=oa8w4G-b^5ngsy4 zFH+C?49AzHS6D**yxukyAVz(_kl^r)a&m!C4QsdtO4(> zK8W|cHRvr`f!C-P7z1n4f8qhYSzodjBJcFy9>A@Mk?$w)U}`jc1$bsgL-qie8(p}I zAS{g1zX#9KD4%y)SQ#1h17>YBb2A*-7-js4a2<_or$XU`k;5S zjDBnb)0d3C;_CCVQN~LcyJD1F2yoRXpd*NdMxmd<(Oo0=?ufd==-xx9R~hvi2vBWw zsU9|KjK2LDBKM4%c}HliQFoqU>x~A70=zNu{4Xam`sF)_G#YK?c2Sd2(IB{OHd?4J z^ZuR!X(*B9_mX#5MeKCT&i)C0S2{B96lgG#> z#zA)AJvDaa-)n6$p35!0X5%<+C7HCm;EIq;TY7MZ)vTrMv;W7_R|iy;b#Ko;b?-g* z;^?F~#@OxH4GKyqm^6rBV2g?!gaU%1Vi$@Ef{BVKB4u}XVxu5p7wY#s@BHTbXRot* zpW1t^wfDIfym0+z*2ossXHc?;9Y%odRH3_`U`UjShl68fd#$LTEU#@ihKt-I-E4TD6O`&&NueA-lyZWBB;N8;; zs{y;OSLOm&k?zP;;icaC8;Gy;o1=l1=nh<>c&*PnE&cRwMPeX}r42f@gMo%FX1KTa zV|3^cbO^^zu0L?x<5MJbd)BQDL(bg;(7JRD2EEr|&hNdmW1-up{282U-vq{5{R$sI zw}0i!ka2Z+4~pC8%g}PK@*J&4ZF_J%Qx`$Yt60Uc_rsBp@j1__(s#-m$P5U|g09~K z15R?_zz|sWAI#`IAaOA0gU&95%wW5pKp$dc8FT2UHn0b2b;!{L zI8*$jfD9{(#n{#mSt5>dC|oLfF&|kbn!f{BF2b6^!wRwZA4skg!K?k3n23+&L!2i)z>4Xq^~16~@+!MvTxC#I`OVZV*kmSh!K>X}~rK4_@v>@fWXC zl9DuQdz+z4 zXM_hAhRzBX-iLGI@AkmXi)SpBUJ!dj;NhZpwH{r%BtCmU&!RA6+VqO#X)}fa!XV%fWmEYwhnlA#KNlpcSR;YYP%=q+rr;{vAr)k zm@hJpLGOXEX36}ac-9Nxk?=bMg&$(-aPUH;2OO5eq)vP|!=i9H9h6os053~g$FL|{dLIcaN7}^^I9C$$LClj@ zKE?Ur?{m2w?ywYN1HB{Ctk;k{Dmlf1cuX42#h>HSnu)+p;1B7beo`_ryg4N;XLath zbS)m>jI<*cy*?||S`3AAQcD+L=cR2=;NgO_fML-^>DLahQXqxigTiyEZ61sjN)9Z% z7fE@Hd|yhBSwucR?!V5LOTw}SXudcvvYjbzOP^sRI`3E-Wyg};6GUYd9i zk{={vDfB){j@+R6Nt&Dv;%BM810=sl&qkv!U!~qGtdvUfCG_Q+l*7u;cWLQTfMxPD zKEli83sumUmGbM_Ag+>Ea4K6ZyNw5Njr`jgcvvf6XGjG!OlLOB59Yx`vfQ3UvlO}bAoRA#=IwB_ zRgPfFnIX4fGIc=Cy$LG^<@^_LlqLUdhDf&DrxHMpJUI(ia%G=b@bcvH+X1f0x1GSd zE+gN^8z-k1Lj9IJpM&9T`Kdb;?#QEA@3<@PY7Fe2{H+cY?#oweKs{epd08LGC*Feh zQ2xMQN_`~vPDigF%YGBl&nI&1Q1G70i;;h0$uZ6!!~)sB8;H;4YfoY2g*+@7HVfta zVmK<2-MGB;QdZ7EvRMB67DQgje|-j4BKKYfz1MOi>*#Ofy8O?qw{qM6{R*JGiLu#x z`7oEdKFH0uHRYo`hn3Jz@<$E=3zabLvt6WoCK%7I_tZwbB_h2&Btj#cMn%GgG5 zv|O=g-FJnue-FS)~KIl-LQf}G7W}2mMS^wmks0Ss8E&{Y+LKKY)i6#lo0wpMsSO zyuQkP{>Ie-C4&)jrm|`htQ=I%4}_yEWp^W(&Q{{40^}%fX){+TWtB8fsl*)^hm@)x z!8@$@)ra~;CC3-MOG*#cLar#K3_h+Z?l};-rhH!q-gTvIC^~UNxpop5ei(a)EP#?-1O(Pe()Ek-cN(% zVzugUfF)`RU)Wr#z6*ngW$F`#Q_Iz$%TQRM-Wd*sm8$7iV5`(wEb*>Z3oKArqt@X# zxK@3@2RdF&V)1#M>TnGT>(zb<5J^z&TYa(5TZBgqd;2gH9!?rq^<5ZWb?id91 zUFvx!nBJ|r34lGS{bcacRO?b;d)145A(F0oa(!r@`urAb?pI%NB``yMng`Pd)Uu3f zGF89Y00-4+w%}!{74o5;tq$K0EJy9hF6FAb`Po{Y+UFwl4yjYPE8wttqX^)#x|>PZ z6}8-Tc(|rUGZMJ2UgVGAqM|Yuyql_9CG_l;dbk9jP#v}w{)*H!-f&c`I=R90EA>!w zU?upF71(RF18ehd)PAKf_EsIK0eh$Z#oaaURUb1X=V+=95TQNcicypnIs=k(wPpbT z(OO9Z@M5&<);NcGT75=O^R=pl=wPh&+yJmvi{?`hul2hKY`ylBacF`T%$2|m+O4U; zHfnpWLu8Zo%UocITGUbWEJ>^R7eo$fSGbobORK<|X^v*gz&TfI*B!h(Z58A0Lt26_ zydTyMabiEBUETz6R4ZA7z8ups+1=yXlo`NIXiYdjoYbsX(mJJia!@_3?HG=$bVe(l z1#ng~a_jFoEspW&dF@<0JY3Mm^NXX4+88eOUea!IJiDyTo&&d6wB-6AUe!)7fz4~$ zlYCsI>zYjfzzwZ`3WztgEnNM+rA_Y%h1=RGKFN19Q3R2@S_e+v_q0vDfZf+py5juu zwVh+2_dv53kbJ1EW>Nl;_LQ^QW6c~1@I))+CcvlKXwLS}v%p(pD9K_T4UVS;M`APq4?d7wDm9&uftJN^1dK?<4#@NS{(2y1tbJ+5t!M zA>&tbG~@@yak0ifvLV`lb1vWxiqC}B;95~QuOT1S;CSefmY@fg<+qW;c5~PoUdYPK zh<1(9hmpgEL1vT}cYlul{u{K$#8d-)Y+@B?1^F#OJMI*V(Bm5nh2IH(F-Q+C;fmqJ z8C<@Y)OivplZQHiGG#?3WTy6VgPpm;90U+8+P(*Wo@n<5r#WA^PXve+r#Qnd5GmK8 zwNSk143~?<)h_T8C+rwjEEWS9iEk85AH&KfQNkHLNi<`oyIFiLf_k#(7y^+LQ7;8v zw}_F~fNd4!J3(@r7}6ZX?P4fHIQ$Xl9@yL|>M%`D6(wuYrClO@0eHK`%B}!=#9J2P z4vSk|(4`{+Q9oWh@og0R9T)jrUpOIJGsQhA&dh-1DRG8%r_52qRt`c6^cJhq0WnpI;3K|E5_KWDTax;~*dFOeOJHfz znVaa~Ua9R?PoVd*1>wIkB2K>$ak{C@CoOnSw1^{!NP32fez zZZv^pzBF%$Np%H89!r9|{+>uLxijUd^yCV_GpXxlfCA|{@5*zj z?h#-wq-XDN(uLB3JYYrAf^krPiJzdwY0j3Pu%tCdu4#nnNO|EtIEs?5JpwjYt~MT4 zqU9_B$r$<5JE+f-W0@PymnSSh=VRqE9x%N?o{r%QyAxZo-oHp5&%r-Vc3^C}Sl)02 zl1tVWQKI?-{q{?UbPlvnY(}5uFmID^U<{tS`1dOH0 z-+Tb}%IkN-Sh`$!1bF-8efwZ#zua^Jcp36iE_)x4n=ly4l$$34oR%N59&<+STq;XE`9{;l6+55Re)q8lVHDDE6FFDh=+;r)`bxVrKGW-fOZ zSZGj(aZp2h#yC!nc@XI_wh$EOpkHy4E|GRP?sdEd+TJP7km=Jd2A=vxaqDP5Z?0eU z_Xctj4kLmqIUedur1pc_~}1nsc0Tpk);(S&xyo(ABK z>@XO|qfE8XhtXRWLTk*H)u4=BbQyMnZoh-pxErHzJl>HN#0l+q2ZLSx(M}ALAwOw7 z|69s3`rTxN><8y{cG=g_hbleFK zr^G}S4bF(4ec<7&@aI)NC+Zypc3!mP@P0wKB|!b6sJ|Qvm&75CSeHd0pXw{ZSPYw2 zMV$fQT@&RvW?UB&xPEa%Ab3M)DJIj954 zNm90em?+g%0FtEWzhQH;6ygo<$d!42 zJ0#!AFt$@#wHK19($Ma}c1b>5F54|tX$s;VY0o?uOOtwz0&lN$V=Rd2QiItb?vvIs zD%daiGsDY}2LFKR15!$7V3|@?zSIY$$6N%j2|zXRk-6Jv39Wz zFm_Yw@CACer2}K(=#JDm8yDoRWZ-tRds1>cNZyz39e|a5slftZ52ORVVdbGT*PJPMKLQV=)wy^!{DlTx8{r3ciDqzbiw zy_9ZThF-C>opJapDcTvJMB4ZkHeXBq+4(opKYU5vN^S|@y_1SK1;3Xb-G|5r$u<_? zqx6!`%O|OFZ=Bd?$)yTJzDOyH(3h`LBQBGcO6jA}iEmPyB4FR8(=zmaNF90qLgW*< za1<)<30WV3m=JG+dT>JqFIkLwF zNao3YOiB*Prz=6^u-t70ct_-kT&FoINAUcP$&H7?%5ixVYX>Lf`M05WQvPrs;FKK5 zaqzS}c`)?O$SoX!ot0N|G4h<8{|X}K`JBTH+-(V=bmS@}n z?~Oc&%d2nYt=!`F4!?(jOYmMU=ZRDKARpxG^ih7oHM>u8|8pRImb?EBg)j1g>mYuW z_c9AFmG?zJ@|$eKY2v$V=mp6i^6Zun2~l>jdKRio(g4DgX^hUo6+I1|n60=zf%+W9 z_aoFJlrB!-MJjfVz@n579Jc2w=Uo7zl_h4_j8VKEL2sV&`vG8yN=`m(CMn4)fh8-Q zY@nB-?BM9OMR}76uvIC@KzFw(g^QrKU75ilXos>d4!oU;J(IvxB?3hU{JhCb4xGD{ z*oWxS9%VL@$24XCdJy+2XBj7?D`5=(_bJzE!q|SLrV-aVLvgtc^#h706H@%2bQshR zDm5xX@|iLr29gDey8+;Z@{zT)LS=q^*ep_-Q}U%Ula=3M#e+HPD)F8 zDONcCfLK*8fRzR6 z&)f^SP;J67WRZHjEO>EhGkai*)fuPZc8OY057<)mLU|ZlhT15wV_jA#;Y|SgSbw8!iA0XYPowbmY^ z)hk@{+N6f&z-^*>n*(l=dTaxTo7GO7LXy>r2~bE;O=j5KueR}kl?-)k7f5ERr7W-= zRL?W4$Woj10?1aMH-TP`>dq*;K#kc6t=fc7@;lY>Fht&~)tCW&P+eFI`=}OwhQcSc;$Db+ zR=efF*cbKtAYfnBS=Av~suuhNE8kS-xgdU5mvNB$p+1`pV}71}?^z*cIJEPt)i+=s%^YAt~!t2Ns2RH(1jew_hh@meUqI9{i%+XS#) zYtRI|1TAS9BsXXQ{9t^e)^ZPco3wS@DV3;oV?iNFd(oEnUHh~T#AMBdKmMGer7Z(- zi}vwvsBhIGKS6Sv)?os`cJ2K!^ks(@%=^Amv*I|Is-+D;&vt2tSV`EeZL|fpN9+0( z>S@}dI>7d7)!#xgU7ODFY@gQG7TA8R7PI~g?Rze;1De+exXskcE`pVVT4qatEUn{s zfNah40*vKoXZixm)uL+y%hU4CLGO^Zup+==ZEi4lN3@1q4?C(=ya#YhyUXQ~r`knZ zC_K|1Re<;B+CnC4FSNT%atpP@D{xz+rQJkdUTSk0XBBHLRDf67MGm7S+8-W}e62m` z2`g{3X{Es4YVp-z<(;;ag^%~z5WdVGwB!-c`>4(1F4Rxjt3d#twZ%(8{GzpTfw8Yz zI;XQz?RyXS`=;Ib1S{V)w|nT>4=tY?d_oN0ngReTE~faqKsY z=nO2w&?x}e0Yg=;ac3GDU4+ephFm^9S%zEOxs+{K#*8n=VD688<{B>6gXug&G&8t{ z#9V^04~DE8z&;v=G)E^s8Gf>Yp&V@@jYEb4L>b?) zo;%lw$|J@XV?{S~Fvb|jhiIO0aaDl*#!)JW8OCzlpqy#!mxR6?G&bWCl4TrO4gRu? z_ig~oF?uud&o%C0#FA%R^BZ`Fj4J1q!^ZyQpm)UBgA>tFV|SdXdd!%^mFwfiDYKw( z!Z^|s>L-o+Pl9;LID$JJP8(}rR>nTNv5ZE~8q@ic9_Nfrc$Ll@fBFoC3r52(@Gcs6 zaKOD}T+C?gvhg(QJ6DV)ze4@0aRpd3KWWrzx{x*m&Q2^CyR|` z3ISdj+gc!5VywrV?5~aOU%~BsQ)6dfv8K&8z*}UR&gm@9w3;dYVw2BCSXpAq;nKr$ z)2*jaSYi6M2fUT07}j%EnXYA_XKPK5)r8i;+^sjwnuYFeF#X7Y&5fpr zt5Dx$`eikUiKa0uJ{&QHa!2J+(@~Bu$4$Pqp?<>jY9}O5noe+C<&-ISBEV@=*iA^D zF>U1H_F0q1Omyj-Y1a{$K5v?_7~U_Kj2i$hnp!b$ykz=qB*0};dUKe*Vv3J~1wZI8!1QnVy+k`LkvPCTqr+ z&rNpO@bJQP_9U=Ell5{)7MWTH!`Mqx?0HyOs*kS=Y?GMN!AP3=!@0>tkvV|LNZ>z%HrZW{c=5cSg%)Re4U_=_zhr#o)!eKQEzt~3Y+x# zeDMQ_10r0XtwA+k?*=WM@U z-}wn3Ltn}<@_?@11<2HQR0BAu8<=Qj>HD3am#x=(56K)|e+bjLy7g6bB2Pb+4BjDq zR}LH<*3YmIas>MpA#zlovk&UWbg!oHeq8_37d&ijWb}JdZ;Po?J*8I+gWhR<%t`Rh z=$%VIJgeXI0CrAaz!~{p1dG z@S5ImIXqm~|NaBmTOEr57_arM6QJ->pWzMclWxnz@3W4F4kNT4z8Ji(dL27}QoXh# zynoYEEC3vs~)XO!u;@pvE&UQmz4w?0Vzz&<=Z3H-G_6vu|ar1`9xDqGKrHsfy0Y4@V}vM(e{%q2$wUYm!n#TmUd z7e~V8J9B<@czADa%ADkbIm`ywM{{#-y7^>&zzraJ7CF>=ya7!D`hO;e`qX6bu(yDln-aJHX~yCX@v>-(q3qIo7h0Vdw&jPet@|p=HKR zV2do#t%1c^`ey-KY#G7PZHZ<1dSFW}nG=C6vn*iZu-tNGI{LZ7a*83xO3T!8IQKk@ z#$Bq1EI&AiAF=f6485b4iA|t)%#vaRcHFXhI3!P4Bv*)>v>5m~=PAo_ZZbG+sc{s< zGnS`o(a*D%nyfRNvp89Sci!T)9N>aQnFeDQEv?JL$|XzETqs<&)M^Xj70a@709P&J zjzayKr5YCquUkU@w^zjC^bObLre*6DbmErf*&V3gwtNl;xMO*<0}6L7iF_FDSyp_8 z$bCx*Uxa+i3jU_<1Iu)lpdMOYb4$}BOFoO*k1hBGISkE~d)|QteqDf-SiZ0Z@!C?41N9qA z;W6;uT7Ia&-dTR-`ow$7l?D*`VAK7aMOR-wO0{b?rv8MsHTe)z@ zXbJmQ>`|!n!U?vI!DaiR=KR+?X}vQ41eiX#Z27yS-tjx`hKf|GZ4wJ znmYxK4p`l1SdnR^`Gc2b<;hEsZPn{FK#tXTz9hL;30om@$0~z$x4TwTw*kwy(z){a zz^XN|hgM7LK;e9tsY%O&z@V^bC!8w)v7A`QfO7Y6IhYe zZ&pxvX%!j*tk}wjtIV&gPJDyN8!Hd4E5EgJ-3#oU)mRSV@2zTcC?!N!w`4rm*kVgaXN*i|q)l<@iN z_>G}{=dmn!Sx?HxvCSSwbiB(c)^WP}Ga<7*(h9BJGcKujtM&|C=>C$WANz9~A@8t` zi_eaexd+^7ZwnlI2<8T!rHp93XVarko6%@}J6?zGfO5Z}hkiBAgED&dC`gX^!YWwM zd4Ke3ToaZL$FJmkKyqT!8E7XBWyU;t99K@J-1ma7smZKDO#6w0>-3qt z4>RIDAv5#fJG8T6ra&u1JiQBcs2IRnQJA=z33uV5kafV>V%RDem?O^I1u;T=;Ie$A zm}h`({HIiNh{TJA)u6XtSn<_Q5RbTh70YO>5^NMhS^{hm;}YO5Q4Ajg^(2wzjgD*< z#Y>@{EUHg{u@q6Z0{XH=82GmYTSc!oklZHnxyG_xB-{qrA%f>XWT%Md;%KVqwi@dA zGpVg0?iSWbAnp;%xr&n}?EC@tinK)l>7prD+V+VfeAf1h-(mqW#JHsZ2gLmiFr6vV z$H3S@(Xj_4v&6FM;AM-yW`UO@GWZVW;ui;j<%wpD6b_00?Lj;&&aKC(91&A%0Xr(T z{)J8)6C=4Wepi(8)wn16d<4iB5{*3&)42ZfP^653-Xjrn9oScjm6^UpP}$dRI>xIM0gIz zIlLCx+}-;|+&T>GtvI*@lJCS==Bn>Shv~q=q~%eInXmv%Dq*(Y7?3BCQ& z&|d*Er2THZaZ-2?c$tz5r}~4^t|cI5NxyY~UbZy13M6x+ObuABwD}PFk|!Ac&WxyvLBdBIR8Nc1>ErS>d`A!hNzgB&$ga2utp0Q>P=71^dK$!cQh*24-%DdzzWN{?DGMURBQyq?v|#q#SjATE)8 zzW`e*KgfflWpW@FUY5%eN6kdJ?tYj~lIwB^PL^LgLm@@J$c>p>>X1U6sUnhh(lO4try3zXhmYhI|FNP);ArR+$!jZ-SOhsa`O;c(boqBP^o zvs5YbCr3r4{$UWeDJWUuA}dYpp|DeV#r5k{rA`lkT}lGisCO&nYQp9o#e?hDY0BU> z;O$ks?t_@FZ2u0Ceai1s0QM^$7Wm6hI^RL(4=8JwfS9R-vSf5nIdLB#OL1Ebkgdr4 zdw?7z^DJ!UDu>2EGEaG&2=zmXbvF>NE2f_4?hU0ei!Zm7F9E=AD;8Ge?h znpTHGf%0=-@SZD=k3#Z=GW!zThNw0yW`(L}&cQ>t`nC)7W~*V`K|e>W%vFa7^**Dr zNOjUTU{Pw@!!R~i9XtmhTCM8{D>3Sf#=z#Ow>b!4RmBeqvFf&b@D`|JSvOv&#&F_W zq(-m?6Q>UA3~aG#!$QImwQ&R_m#X8r2E%u9BuTlvQAR)ecSa*vwI>Oz|8Y=PunwUnVmy4qNV$UfC71XlK|A+12nQ17w4 zb3m;g1Y)L|%DnlYdV+T>OSNY1n618dgQFbPVKTaOU47pidNIs=RujxH zeMh}}77BOOU${x&p4xjKz27b)}AGE)E^sAb(D`A{wT2JlENDhtz()u41pK2dKm z<$0cB4$DNuJm!Z|!wC$mcTLS4rqU!i({b+RILF#oOLrMiT-V~+OvD|ivw z29E4l`j`WSx!QWphSA!Qw-AZZM(hSQPuo-%Hs@=pmEaG%!Q%lIXmSj|LalRks4vpy zlNhHtR|Z(D?JEoQC7Q-(eyR2om++Tq$F4wfxmKP#PFH9jz0uv3+V2c7S81QRLT|NZ z`xe+{trI(+tR3WVxJ7$48`xHD>2RoT)7}OFY}bY)f|sr3GOx_hcJG9vJgq+~M~Ac! zjy;F9W5JL-qE+yNyn zylsYG#{=7LsNNC^I}D|p0d^YP7#*e>7L=lcy9~3s!ozNZ{1V>x7(Puwchd|jIri)| zjAGiBZfNKPfE5C|-EX*g7J3 zZ;twx4IUh}uNZ<4L*c67ITMm=h7K11t{c8LgZd3a_9uXw2AQh|w+uF1)x2#8`UY^v z@RfPNJHsAdbpE~J5o@3y4PPgM_sLLuKd{e+w)yb>#gOz2#IJ@&(;!)DILUzEn<1(M zo%n7jGPq1KHgy8nY^=pXWwP;53rOY~XRz*(XPgy)3whYsW-_oN#(s$qIcglt zjPRH-l9hnt#y#AKe!{qpkM~LAQ|2tEj1H}#ciQ;q28d^j--kiutTFHhuye*N{s7f^ zV-(j5E*J+eN4RKo*a+SwV`>LrmyO<4z`J6MkznkZ@zOAW0^_$%=-CV7d%h2a#^IU3 zii|zDGwG#qlNX4^#x(wC-Ya9*cEC!E?U=W{Ho9;Z(Ho-^!;!bfaV-73Gkza}iyUiO z_zYGSn4FdXEHZU}3=n5JF&}!1O=DOiTw)sRh@LGqRrv$FWv1a)FumNA&LnPy=_H9O zP4>|Mt4xCygSgtXnpLbdrWteLXss#ZB2FdV^nN#Z>r54WVROByF@w?sQz7Tn4JP;b z02@uWSYh5|s=_i`qG|djNG6%$nQUz~)s|o-*<{Ft_iWRk2T;f{m2VB3d8QBryhEm9 z&T5BEj-0EGmY$ zCI@b-KWo}B8Q3|~2L|%zP4`$0zF>0Uir@=VD6e&)>3Id{y)=0lV7l03TLF@Q~|RlPU29^gf%0 z@-}`k4VwVHuO^MDSE(uYHO}^%>B%>M@1{XX06$C+%OlXX`CGql2sT;Alv$*3JB`VXfZ&5wLhYv>LE=`V-Ad9WfJr$g;3a_AFBo4 zMt$HnfK7Uz(-2A2eVAw_>Al$N&HAK)P)OE?d85}UdQT3OTl7XOLv7V(9f969{SCis zIHn)I3f^&jpc&Xny&Dxy>GfFiIjwK%iGH5Z7gU0=v-(ee0z0Q4V-|T{-_1ebg8q<8 zR~Pm8KcId|zw8N-%X+KEAYRc&k49gv>fKn9xTa@x2X*d=C`c9Ei-ow1Xyl=-?4^z;b}2=I(r;kC{(!RJ>}g#y8-) zxe|xU8|Dg}LT;KLaGU5Yb5c!++%|t>E%T1KTnD(lYo7fYBKOSCRs-BO4}XQu=bO#U znID*|4aPNlXg-$#w!y!a7R?7r@qAs&hX_f@LGG?gq=FUI3|DCDp-&bbH4@lwW7r*W4ur|W~|}SVQkO z1|@LJO;{WDh|}Hh{(O~2jQN0GjSS-mF{+Sr|7brh(~j}x=rZ>2Y{&#%-;Q=%_c&M> zzvV322~}D`Cb(A)=o9a7ZE{j{2rN(ju?4=SxK;susx}NgoYs)@?ex(ch-MUWFr4|2 zA;PRhuRsqGql_>YDq<>w7AE#FUI-UU+ri>&A*VoTj_~dXtq75P7sNLi*@L%6bj<@;D@Jv~C5aawIR38_L)(J5 zUTomQoFL3KV0welITUUbF|2HD63bZwOB7}Q1TRU{;~LmzVLuFd$zqom&ND@<4MVTD zhzZXDwu&!S;B6C2qae9mY%)M^hge<)k~@VLQ~y*^eiXd#67jttxmz3>3Q7DE=w~=e z6PK<4>=muJqM0rhb6w`J*u}8ni0H8d>c>P@TVTh9bsF?eh--e3yeejL0=_23a;MA< zF`uK}P0`K>kz2x_A>lKzn?E;FATAYy_(Jr$4uwK->oYtQi5kIB_$+R(fXEl|XE}gU zF=r?oeG?P-E_@fQc#oo`z|#OR(n&tq^CfdFV6oEQ4p3Mi4Qv91h0>Y~C@hj*7lIfk zy+Sqj-*+f}9_X!>)P-hik31;0i97&G+aRvBwfnIO9crJF0TUf^UC^tJmRdOORF!X1 z=ku)DTc2UnXOqBpqDu+SqiaW|hTT{H1e^9Np24QWqcMJyMUdgT*>v3%RH$R23i{OmXZDuoiTE;iR zeg&fthaZ7x9bK3VJ9)EO+2h;}X!VTbPD|&>BVp5}0oUXE4xNi0_w!&GssC~Yajw^y zg}ObMjrH39jV}^6aWp$t9zFZ#DBt1cv9F-t!ZH9lE$?%NYjv2z5@xAQw<1mi99%BK*_O%LIcD0+sU$?Ft z8@lH|hb{XWT|sf^_Y345!{g!AsUQo-JqluQem!fBfCcATET6hGI1NfKj|f=nz3LZ8 z_HllJw(p+ip!7@dffl}9#<9n}-H`W8W5(s>%gT!P1@7?osJ7X&-cEu~vc9R*N zb+1(pt$m%f&~kXd8j54N4v=)ZABN)|!z_^OIr$&hckU6&^I7--^1a?Q1EqI6UvT?$ za)sZ%bGez_?IZHqfBQ6o^LBGP<}@t~T*+_Qw+r-Jy}g9v*5Q?**~XtqblWr?$L-Eu zK-<1rJy_`w*$}=vR%9q-vyO9Mm)RHon_=2BnP`&N1iYpZUXX59vkcmQ8nbNJd_+ZX zTf}vT@0NoyV5M#OUZA%d$qz2t*I`KC!4!i2c07+~O6@c;7nII@ECJW;>)eg~L z9d0k_8rL3Lwi<(dJ4cpQyOnZHqI(hFKKuPYAnA}}4T@unHn8dR7pu%YS|#DQ=aXVs za26FH>GEMI?DY9{6ps4_IYFym*F~W8e{=^juGLs$b}Qj?=zgO!EO?Bq0U6H$jQzb1 zv2N}?k29W6Mm&1!`>F~k1FX22%I_{0O9%Ghyy_pwJ;wp3#=+*G5uYJ5xLy>rhBRPW zGc=GhYG6Yv$Pdfy4*B8j`JRn<&J8#tb1p-ERDWN{j~;y=GGhi!2W9M0R*Qq$&IM&$ zKEH$*Kbh+{6Nc0WCHMymV-vHO2u}KS6zG%d@Qt5R*a?=WRu2SaTF2i(nZC{gGBeUS zjmOPhdMr z+-2@NSJdTtPPEA47Va4F=m~ty6T$OAoG%<%T!|G57nv7`z`h_Z6qwvF=!ywzL5veW z800S&wk)465zAKsTPku-qf5)gQWoZyi|@>`@UPZ**Dzbgu}-^6#27(bEh;!ca*Zfu zWnryY%Esf1zlzc&o#EvUtu6 zB}F`BeRqpkT^{ON#q8BEwoPng=(k;DjsUhpIJQAQcZ%N_2&Rf2+=a4B45Po@qDezo z*(0<)u$d;(N&)tYPO|{gMbsdGeWK7B3j4(u-p&lMk>!*F!eKttGezt5P(LW1aN5ce zrDf5VY!Qv@<=>ZjM_bSv3I4cE>2&s>4F zO~Z%icH6;QVXU3wDYWf9xj55dvm3NJ<`;q6=`u6b&bC!RxBi3WeVavh(RLZq6*jxh zU-{Gj^&n#=EV?h_>+ccBncDL&_T1~~9h`=D1b=zCG4}JD_bCB>kE-LOam* zEqwS_XZjm3kvZld-w8M#TyZ(%hm_%@KGg3zBm?I%^&B>e$;R*^H!D~Zns0)9@S0tqPwa94@{>xL$xqg~ zm^r0+9FC{1m<4Oo9A-mi`ps;}&v4!eYctPs56G;Xhv-{~Sk3r3R8$nu3lqQjK`dO1 zO0;lbc77Rg*vTOx`p04x)IWLQ})zSaY_0v~}wWTlvL{lCW{{yqrJzk0z(i!6@r zEz91(g=^J<<6!GSdO7o`PKm^9NXQyu}3~r_tGHdyHr1*fWsj80Sjd%Ioqx0J^`nm&jRnCwhDO7-*X0S zVdgDvnaNqRRlo=wxBmGgthZUa36!?+j6FIIXNJ*9Wo4@KOQzn|HY0Fs^L-@jblJ-- zC0+ly$7>u^8`sG$lePSAgP()m-SHM=?ET(>;&6hAo@0RntT~nC%iLpaGOYFVV5QDE zxGyL!gBcw6+7coCU%%formWwq5_k<>kHm44f0F-;YQt`UTEFReP#fG`hvO#U`hUEe z-Eh_FZ;2#tzl2^j$@>4-V&5@PTig5ti8ddP^E}IOL~gf@NkaSJKfvvf#+aw0#9bAg zp74rvKK2-r)^oO@wMjQarprBdaJ$Z51Bz`4UunBg#?21l%VF7ZDmM=~T{J_t$EvyD z_Vh!P{O{c;?Ezkw!5lWamYoL)+d~{d?OwCM)GfC=tamRz6?FTvVd#g$5iVRi&S?Tq zPRFL;xJQNq=skO|*5%y!0Vpnxe06(GD}+q%4>{oW$?uQ0ZxkbuejQt*2mLSIguE-3 zRPdb4D1fBSeCIkpx0;Ps3Z&jI}y6Zu)YqaE0i6BcT(f=tc`7TWDIvg_7)-6Ii z-8uEYEBmJmi?#`@WZ3QFClKBCvsTeP$qKFga~9$p{;mprN1H4hJGpSveUD%Gj`jS| z20onqImf%4w}VWt2CLB5-rK*U?b9*>+`iw^aSr`9ABFw?K}C>p-F_aeTRl!!?xV_s z>+wel{CZaG3@xvk7jf);dIY#WHyG#oKIEF;fX&Z959rLZ9Tal|+`%LHRu6fQ4+}#l zGP4Qnatice*AUM9`_ydK;I&Wc9q9MHz5{JPXKv%`AG;Jib6v&{3Ek?g1Kqt&A&xyR zKgY4>Mdlt}7aBp*8wn8l@%9aleS?a?9q^nJp5OZf$PCQ8gk%5KoTvl(O#^q(TRy{s zF?_@yyoV!Ci~(hoV{J%|e)teFV;*s9@7NY| zAQN<+yZ^>jRbgR#E}x(YQ=5Vt{97v=Ph7_wdy)^UT$6w1LjRPl%W*ul8Pn`()47*r zRwAF55K(q2E=;IM<;Kr2F^+RyxCq7$=zp(MS}5p!>gGbIug<5rUk#R@`fuQP;cDxQ z)@|B2D7jnGVcX;HY#e*0Ffa6axD@i<5y#=pr|vXJ`ql`7odIo+f$LYuZ-53K+XOBD z8J8g$aQY372k8SLIoQJ$l0&ZZsTlg{0Vsh7SxFjJ#0t~!`>a`yC^o|K$X%(Rj|yZN zVzk!{^leN8zr!87xgT_c&UQpQ&Vhl}c>Al6pCGS+d~gfq9TPiMKs)ItPU4gOnbA*~ z91fYOp4==v?G;OMv;N?y8zS;~TSLXnp0F1t_Kb$3aFG?L{NHu*c>}3F+iHW{w+-Lx ze)a6oi~a@WL2><^iz;qYf5WkR-3>70aepTI>$!OtS}z|BTe7(P-Jm;kPJJ8)W_N@9u#cIL8Gf`M zv__Qh`50L^9POx}8tCWfc*X={n(_mTvAL`<1Zk|7jVsFlXZ#ECdBaVE9<&lMhAU~>i2ehLXBd<`$SZv{aY{wCh3_5%UTH|VD;dp!v z&IS{t@6Zaq!u_xlpY=mK>GBcCPhQ1()fAO;*3^47&`t|v(lBdHS6B!U)2*QvDl7+q zg^5wqVGz#~s?GmiCmRN+eZ(o)=-ZRyTfcXWVWa=NCZM?1W#z!FJO5_DJ)gBbkBLQ) z@eGN>vDXaNNxavmqV=(6t?9~*c_br z4BR23T0(bdg}WNqW`s$Fa9V6dd@}KsUnz6wfo&PH z`a5Zm2}pQ~<3UEwT7#u&;120|2;PV4tbGTL`xTU7-S8QPI{Yvb$q`%LL2~5yKyXK` z;W#wfkymKUPZz-*+jv0vpX5LP;U3zgtQrGn_VAb|E&)aVN8c@28V0EK=qZzPoKc)jH z4%ay!J8opm@09-w=sjAEhD^`N9A}+>aL=1dP8#~#cTgkv?PvHI*7{FO0L3-J5xQ=_ z-G+?2r;0Q4NV*LRo~C7x^t$*Bt@pZmXnoq0gS@W;$HD;}xwpjcF(c-IrHrus|G?v; z26Pw-%Akd$3?9##{Ez`(;A?0H32oqVU$nzcc%g5@vpEio5KloFnadreqpFcimnd`nE$iXN>Uw_qMR2)$wX)@H)9(gT>B^xO>KW7%P-Ezfb2GmS_Ch^%GZbZ0~Y^v)w*M zdfmdfbltu3V93}TSVMDo>kYc&`&*zpJ>YxYqb1|Zo)uX*aqh(axy)|FGt_dy?OnAA z&+zG=ICkB^l91a_RoEd>*FDrCc^`4vyUp{F$u;5#nQTTvW9IyNe z9C?NXyFvFa%jMaC`Yrw&5Bhe4T*suz;B}Jt{&kj0acrHV!LE&8JdV3$m|(YSWtKN= z*KzK$D|rbk-AcK3+WiVQl-O5i?&J{0=-V-zo}5Z%!&;BYoLzhFXb2hS9lS;^&ClYv z*T5Lq>7CCtpZ--jf4WX;0c&onl3>R@rvh3JM@LXR<5`&T>dU;r`!B|FKFw*__Y!Bu z0ZSN9`t={rGtAwn)L^nl-xr~i8o?`;R(aZ(*fbZYYr$DQZBhmQ4rMyoczHiGXi zwyn^1Z8H&E+k=U)ZnqebirQ^0??d~7-5B9CO=PfuH}V0kUS21)O8 z+#2ZfWC5)C)?_>2&r_iI`Ly5}UhfEszlG~?0dHad-!p8_SgvDL-la})qae|FJ(pOm zKQb$`u~Y@6%k@Ff>3Xv^{Mr6F6I{DP3b@^p{h-yoA1esBw4s+wt8^>K6AnDYw zKF{zVpP!yfn?lmLY7Mk53L~dp!Dd+QU9B@D`%f33yY}N;=2pV@+0iWmIABw?|L$QTa%c^4eaI=DF+&gM-LTR#f_a2u3 zTiEwKZ;y6BC9Z<|UF?ir4cyflHV5Zahy0LAyn;i0hocRgWe2Tcc1^(@UXSC@2y<8H zj$F%pYScsCztN}p293$;3u|Me_?89rVVpf~Do4Tbi#dNzu!{sGxVtATOuWV##UwYz z&Xb$o{%_p6ej9SuV>r{<^sz?U#d8iQT?bc!72CjypxFK11^w$*a0zYq34F)w>#!2< z(BTUD;aEQdbf>xj=tqxv+;`E_pDQBHxs0J){`?&>y_PbU?Ol2el6^+AD$(~w6Q&2=E}I*;pnZkylW*y{r=cz1UJ*Jp1xSny3)i2e+yZbIvKi_yry-lyTq|0X|n z4EX0d+CiVsfjiiiPu7sD+|V(!K!Z%+vYwy}%Z|eF@C{sT8IjL_6&kr0v-Q94#Rg__ zosP4B+qoiherwNapxBf-0*hU0u#DODeGjy@p8U|$uGt8*-IV`bk($iK?1%jcEr%z6 zqi2ru`TjeNB)vxv*N%D~W_IS>?kKn}Te*1JtBVCPy`6dA`b71`ao;{$;n8h8^KSP$ zESY+g16h@c>%fCeHKl~lqfElrn zAJk8WxZqqWhk1L8B!HB(gE z1MHv}?+%eHF`a=+w&-UIFFB&^KRB6O@#`vJd1BuMbmova%eU#U_{7D|BVtk-ydM>< zih&&yZmfeH7rAGkcS1~I_IOeR^LGwUiM1V|ep-YwP&p%ZHiXDo(J&a^&xuObAf6Zf z7yw)ly&6H`qFC|&X#4K?sEYOPoWAJQYrU3xudY2P!tQ2M5D_<<4J@RwNhnwJ7(#$3 zNiYeBS5cH+q*tke^de0_KoLcnfCz{nC`GD>D54@DzTao&oU>;)yKw(_e|$7^X6Bjx z%rj4$**S>q5MG{#yq!WaDOq<3sbq2ZQrJS>-7Wl1{K!{ApLVDPFWRj|-d=SlZBXPfQ`wK|?Mwm(}x^IP2k|GZXfxU0=i5m^Ap`37!WTqAPYIKW_54AodmVaq zTG+T9u^)wB#-Oq@!cU)}z)yluh5|ne{zfQp7PE`kFGBa1Q1v;Xn7llG6~+<~{7tAj zf*9U8BBS1U;eL|-{t%vDh}Z=ogJhPA!eug3UK0A=jE4Rco|=Y+E(@(m^ZJ*tY!mW& zhPMw0pN#b9m1)nSyA44!jtiJ{Ay)CvI43Mc}zefNvO_Xq<6i@s)-$UYbaoHIp z&Jbs0BQ{ffo?O&siFY4Gx!IzFaDI+BWjsLUiu;JUohQyEzHz>I>P;jr5SMvS?p<-j zeiT?JK2Ljgk+|Y>7g zDEGeDp&wdbDGu%qkX7Q611PszthfOnABc_rg%&;(8*f6nHDc52P}xUf)7dDuR!kNE z^0D~*NR<0TeE2-dtrLfAM&hSpcQU(g5?eis#LeO(8&Lc+aUt=LTg268P2qT`j&#>~ zQaHFTk{Z@?Z2~}&vdM7nT@gYv$r^c%r*x(%O|AQ1KsG3!pmIX`p_oY;&^6+jyU~S@ z#J`c8yH?!01&JSv-HBFxA|9kGW$VO!#LI0E(`B@>QG9tQdbvqFc@(kDVgoPoJ`)e$ z$GYbt9%8F_kXZC>qIezZ{6f5qgxekBSF~O`#dm9=PrJm=2Lj|vao07dY`6GZAC&t_ ze3aY>_K1Ib29SG2Hwxk!*JBB2XrDN-KOpyuH*G-iZ^W7DNc>h@vH^()#5H+n{h-*F zd^`?`$qxYJuo$R|;@^q+q*yv4&LR9bDlQ}?z;SWowFo9kZAg@#B;8EKCrggSfP7o} z=j+IuDs4N2*fi-O()>=Bb~HuRGo-yYAebp_U4gvWQr)%)=14ts^kuGe^JL`Bmx9+L zwm@3?G=g`f>7!BgBI!xe)Gn6t>LIp7TAv2U_oP~D0J2P4|1o0ArP&1ld0*O*jP~#{ z@J|RDE_H5f>Z?SrSg#& z!v?AO2qbQjj??+RSsG0y{?DYB$Y{4ks_h2IRw?UxByN*75(E3W^zTQ}&~^zf`dB!r z&Wosehx9K}Lhq8^qqFi$>7S%X*ezXdgoeJ7p6w2hJ<^@;qn58F@eszhPfDj@?w2lX zM)0lFuLVF3NQ-+RI4DgVj&g^jzY$saPWlDh4eou7A%)*jX)^iQ9G7;`EwB^Pe8Reu zk~jgeQ&Qg|1b7pc%=4$E)8s4kqjYH?YB?jN{sG9Jq;z8Af0kzSKMn6o~zN=!jt`KhzW>nE=uQ^o+fUOGSq%1y|K zGDtoN`HOKe4?asa`ovAd`_}$rKl=3W=>OpFBWvhPs^cZw&!e}lLz{Is?8V=D4MTZCsG@J7tV6s|}x+?pD*N~F4I|F5NZ@LEGc@s&?)chU7 zhmeEFVg3Y~<$@Om;&0*RF{rb}lQ-aR%e%=g+bWYr*E&BF{rOK{vIjiXyctThIerwa zJbl+j{C(!>QsnAS&qDgM57j|EMV%z1v^_+dx%eeNYG~KQkKB^+w7%`j2B0S${&p8~ zJG$vqDs4qF!*dx#$e-U$-V2=`Ao-@O_6$Il&zy)FDw;R}+4+9*)Ow*FL00-Zpodk@ zY(sAKqsNfjrG${bYvOaL`Nda3WtDDCNCJDQRVM!a_tl3{>gD%Rk^72|Sb*+xi63}X zBn|Uxcaj#mhcJ?aiPwc<;xOJ28qrzSQ~2;PB=! z@F<=5{e?S!L~MW%ID_g33SQD83=$GV6n|5A<-bTAEG(gA8X_Da>%cIf8~HR27hdXv zV1)2MPk@XRzO6Boh@y`XHpmFZ3bjbW87JKHH9Gy4kkcV$j`{ zAa9}Y$Vxyi62xNkda+P{BN|#FbXbbKrNZ|xTEXGu=uIfMOt|noT39ahR}ica+LEU3 zePJT0!dD8LzD6ypgxknlVztnWF0y?f+}8}T4~19WK$q4C|9l=*e9_2TN2$hdvV0(pSq!|2K=t+(z`-I!317yF@f&A;f5r&e%>|5cs zR}ecO93VFJpz!y1(83{MAPHZGg{FT;&%P5TtpLao;r*E?a8&rmQB-zJcyb>K92e5E z5S$P?4@H5K!cx{a@`tc&1Zue;96pbRE(&{d z5xXQ@Do1z!6h0-!^s>-^n2W!Jd+tFCJ;XPs0OWOXF74nq#91V|^b}ifK=EGU2gPW; zx7hI~nAoNX0X4wkIwu7;&>;zG*EO9V>(FOLk7V&#dqj=kHO;N zZx9mtdq@_aB0fF|m4TgJ ziB3!v)98$vCf-zu*gN8D7ahvG}K zk+()%_#=Xk#OsMySS#j|{^(=;#2-LD5%YM?;^Ap??5mz4+k)6xbk!K1FP! zSVD}>Ch>`pn2^ol=|jl-Ol(fNk1gWl)o6CB_??QpZQ?>QIeaemCgaq0v2-x_G?mcj9Y5A$COk zPZg>@Dwfj;eoP!dO3CA58rkelh->;Ic2d0KXLRs;G2=B9I3*q;z1R=pnOSK4w0N5b zAU}$mPN2XUu>ML7ef;M9@>}m597vlIt(TdP_6^36MV0UF0L#SGqF|#rsL>Fm$57^d`9y z4v)(zbh0Zm5(1=Lfv5(Cr-hGF%#&jjBgT z&B^a(q}28t+8ZU+z6k|JOW7VIj*+e>ZP{3<^)-MTCw)a)$+x7`zhhp;OIv7s6Qp+2 z(a=QcPttErl17m;*<|TC8p9N+i;UoHY0Xj8IaO*(CWC2G&uOUh9qBDn*-n@04@EFT zswO6OrnEE{AhV=BXAsPm{`EIhHb>H*Lu{_Jk@(|z(%ZyX&6g61qAb9#6(V?7T1cKO z3#HFgdh$b5y+j&9<6A0qynw{_q&EiwWSP|AT1>%mX@-WOtdKr0N8bC= zV#1}BQYA?(tE3M5P<*xY04YqNfSHWg8YyolTK`B&y$*?MrQz!k`&jyR3tIR@y0$lp zuaoZk3W=XeeLDeUy_D7f?QM|UBK<52E!g(hDR8Y?bnFLgF@Q zJ29i5ORteNX}eTRT>Tf)xlM@eknWy~V5gM#H4=A89mb)bUrN8z?%ypf{tc~vB|Q{G z@jcS5WE|KlP1*;@uO;Ub$lE6+6U)9|x|Npd8)+O}6Z}?sp3I2{q$z`ucu?v^Sa(SJ zJ8iDR()rPdeJ8y~y2~TdR63-NN_$oz@0gT590iU`uM9)+6VjY-k$6(dA*u6wsjq+n zr=-hU(86hHP7fshC~fb80%xTB&jI<9^!X4Z{w#e?ywq9gm3NW&i!_|r>vK}EfZ$i@ z*(9|0o3w+v`@7VJ^epG4>qw*dhjcw1OBbYJol(n0X`c@bU6T5fQ2wXnr^EZQ)F6b| zUlNW#Y&ALIE);lO&ixMUy&>->t$9!RVjA*#$xl0x*IV}gj#wW#v>46ymH$oVjDGT5 zVj%m=!|2c-ARi!BY@pme8MO?OzoZEnEKi<-RT(1xM3&>B@-b>?nEWGgYr|!^ISP!B zSCN)?r2L;c02w8pBr$xnyn#5?G4dEfu(9$#N&FZm&z%I2x8wq1+Q-Wo*CUu9?|T;Q zO_Ud|L2QzIEvaxO%Vj4~e2ToCT(RDk8|0xAQ{@?S+E0^3@=|(7E_xSLPnQ=wi^Lgn zE80~vMVYL~fCSV5z*EthMjSw~(n~ncQ<15|_*C$vC(|{;m!Ryf3d9fV`D* zJMx%VCGV%}^{Zutru+l>fl~DIL-{d!@P3WFl<4k9@+Gp%t(E^sLGZCWg-+N{c3due40WE68n%UaQ<@|3tn8*2H-3>fZ^rn} z$xqEiL%+&@Cz<#+`JJr@ewXJE|8id5ISR%9kas`ys) zJ0LI1h1IC~FF9!)I?+RU>UIRLE9VZP_#4Vw-Oxf$rSYAprI+F*2f^OTCStSuC>ux+ z?W;6yiCX$AM~9%m0OcW)W(O*@en1O@lm#Tcys5OLss}5N5N|U?nNNC`p~^L+Hyeic zOaL-mS=t+UBb3*=AaA7d@(aitrF?%Lx8N&E4|9lr76nol=!wXjpl5sa$_&#O;c`ehrD-` z1!P^Cu5>$x7=CcG2(g*U*bxAkr8tSvovmz}kJjfXsU&aCg&iFr^OWp+kvCs?s6Cop zpuA1E^se$nR|E@jBN&j2lm^*=T&y(6N3cW@|ARW0DqTpy^PUncLaDHo7x2h)+#$T zqAwrgcgE3)Pn5%?qg)p*@P9sOerMkv#Ce#&uW}*r(jP53&8qb6+C%jdJTVDDbVac{38h z^L9sYP?>NiiXT$)PGWqAl{(8&^>@lvT8AUbE4$INqslhoRF5fRh)x_=mL;RI6G|h} zI-FEoV-S3=q!7<{N|C+-)hQx=Ru;_u3>DQMxmvYE{Ne<&Z3CF+8b6hze*mGw(7 zqD#skqQZYF2PXmYveIlaivOiFT8CzPs6*%}@YmH}NS=N}b)84Kp6X!|KYFQ4KSa6S z>UJ7KAJt1H-@fV|YQ3L2H5GaN)f2Rf2dIynM&dwqDv{bcuc*_-NHAEUCt>h?ke zL)0C#tA?s=Z$W`!>R{5;4Ojn5X3-JqsXx)eNL43dJWBoYYvheqgQVCSqh7NF4UJXn zu17FVo%A6ZdQ1H$vDxF*mBbfJP#=5{gPy3Kd>@IE)CU+Jsh%UYX^Og&TmauzU)_sN z;FnS#N1fBuyPBfechm=dK;Cq93Td`xsIN6dEi=`kA;_Dh4z5PtZ1wO#B+gOC(~g^~ z4%maddFrp*QQ3TTBWd3js7;}x!E61`{f@+iYL7C2EK&=JeO;oCy$j`*s*~m+?>+U| zv1omn+I}j^EmxH>n4gBX6_X+lk;awT_0oE$X65RJ~OlNDXaMf9QP|Y ztwEjp)ejx$%Qxz=gJ|zt_3|84eLzhn^X)t@CG(;_D)dMbc@E7$J(l(t_JJON$tNPGk6!=XYOKkn`>L!x^&a3}W z(cT~GlJO{hLEYL71um-P`w;t6eU$N$>Jy)#&cD=0NXyYfd*>8_*R^xRQoW(|CDU9_ ztzri1?4_NjBL_sfhK{9(WD0{@Ppf(Ch%s8A5@9+N=Z=7^F3A zf;tCl3rLkbM7uK)?G4p}B`7dV8<&J&xK_{(!3gaT+3QB4PJoQk?jU|}wAL#Ju`${& zZzFH4c7j;OaayPKsQNAKOR~O>*H+C$@d?_e^gj4R?Su0e^d#+ZVgV*=-N+s}McW4_ zIOT2a7TS$dwf!y7rDq=+iJgsgk40FEreJ(0npv|StF@hV(Ci1=bEKvE zPAbHfsO; z6^Wa)r)0FSS-UO=EqtbJYly@x+EO}KwrXib=*u>35!sYJ*CtK{S zkg??(EsJcM-)bG_Aa+12eh;yOT0hdtAJPg584hc`?nj;9X`^ZPAJI;bV0%>S+7x-m zv|k?wqZAGIg`f#8fb+zZH`wA&9O zz^_6O(K)MqLrnKCTHptOoYQjVBkxx&fqr2AH|;hOY=76@dK@6o{y>*I+_+>n2Q`s$+cmz zLvM{>iQ^w6#4L5pyMzMoIl8}v7M3|C5FuOc_%}I~tZ*EkiNyCEC&=w#r6ZA4q^lf1 zJcHt^9TV;uO`vr&AFW7P~Ke&o34H^kOD_RL1Pj~z`$A@LK(i}c9JI!D7BP~cNX zcQTi(ca#!o-ryKUa_UBh+zcJuC zIMz-_xxEgN1dgvAeaX*npX2_A5!>%*cpQ1(IDR2s|69js=1}8!fsAU09By)EIP4gG z0(sv#t|1M`5l4fG=*v;Zh;t}@%%RY{9CsAoj%H6fwmyT{_m0)iAvon2Jp{#naHRA@ z@zaj!^8xasqtuP6&p3{g0_7*i?Q}5w?0B4HuCtCih*A2*amT;V&^d=^1cF~3p*$r1 z=D3l%^t+>bGeDkq{4@i3e>fh^N9=;*;Y-N7=%^D!dzT!496;<($JnW8;j*KEPUgQH zU8q2hS}%}L_HFzt!v1_+q>5J#wgII*1;Y~>|5)RJpk!f zYpxH)``21B3M~w%HH=ulfweldL4iTFYYWd+gu1FLc`s za@nerPJGs*IV3*Ree)EW_S7R}N&1;+nfLqN_)gA0hxC-3f%s1COM*?B^8$7Ch0EyD zlU;sC=Y92eAUFNNr}6E7mt?%aM$$56F8U`R8)s&r!@(`P@SRoM6W=A1NyG5kM3P>5 z3Ie$S^%8#jMc{qAyW>K@+%uTeIrk>r&3mlAEnIv|Oi+Imw!+$>?h^-mr6xe1oxcKw zM@w%kMBW7HC<$e6OYfzMysCO*KR|-(t}1lN{SxV9yo2T;B~>A%a9Sr)3p}=+q{9YZ z-;B;Y-g`N!Yxs9!ub(_i$AWLxhxkrEKt{%_hrhy{=K9l7LmQD~r>B>{joi|~F4XYc zOQd!#KhzcVRJ=tZRp%F5qg0iL7|*VkM&fUG|5Jc}wdqi#zt(FmO7#@pycfX$L8sj| zSa_BMpCQ8F(FleM8;C6$B~(lY{Al6gBPcvZ*h&~QPWXbQf7O`=x;- z_)c7vMj!T1>p+^)L$HXpIk@&m`v`WX3R z5*EkF9lk^2G`S%O2D9W$@@SYZPyQHr3*`-uVS1LzyZ#1{W%AOa0NE=SkrMJ7Ie9he zJS2}fjmnP7+sNd2QvM5=3!Pi_DS-Sew{GGC3Gn-dya})ey1sK=abEu_~eAA`Sj$I*aXX)mFqXAhmX zUQbuRq+Cai1ZloBq&L{+!Dt(9hbIner+cyksY8W#p$t=p3%ShzKS^i}qQJ6a5dzr! zQqr^p^|<&jD9%;M!g8Uw7MfTocsHWu4}{}`5c^2T#e<8Cq~3TafP`D?kU73d>Cb4t zUEv5U?{n*J2F5HFb`phOA>OeIvG>Ir1|VytxLC)CR*99Qomeg2LwxuL;%mfqekf`k zQDC#UY(FO8Gx5d;k+?wrq~6He3MCg}+Z5=(X_Z{<0QrS-a6GEsfky<;&`zZxEzK_F!0RaRrIK|T zRqs}6lMd%ArHq0-$^z={Ugaig{cFXs5#{zNLzkiI{mQ?O0OT7y$AMbDRo=S|i3b$i zAR^V6));w*l!-c;J**5P_WwI&2yrh*lnKOnA5~h?_By82Cllmx<)J%K^(iH> zx4%*RqSAfpKCc`Z7?_<10oc@MXFCKo8XD96)laCd4vb<0J*Zjej))+)WNLtlWHG5mIj1H387KzlVyHJH{_XPwrens?Ykb z-Ge3{>p>E2gYU@L^7zdyjI-meKF0xl|G$XOsy*%jKs*y^Q&AlUOS3TV50hF%0bv8=7W|C67 zzH&eH;n->#aUq$Y8ou5HDNlU5nd3dKDbPujIUnX&rD=I4%IBt#;-J+y;+xtGxdG|V zF8KxJ+tv9T-|cshUc6GyL&}S{j=|qoJ|lA5{UeeC`Uw|E02m|`(ZN1kxRyBaF+vwI zw$ug4N}*~HK-LKN5?ioNxQBN0CLwJK^0oWlnrwY7_OHX=md}&C->T?Ybg%Uv%>enI?=_Tv>ihP9Z1ZG)z&t&k zy!)SdjHF|IZCiAx_y-bh+kf~dzB@d(7Lb*P$=+NwlqRtHEkc5>eJ&yWR5(mXA?iO$7*3c!R@h6r%<;kmH)4~7NexkYim;V9;J1ZwB$7`R zRxCm-?+63$M=jHZ--#icA-q%vc?*OcFQD8pd3h7WPRM8egBAuVRYZShDLVE6wtHnI zg00G6raDljbwn+nD~G>Boja7@$t!iIQb9JrUCKZ*2!EyQC&lj`Wp^)t>{VJ2fAF0$ z)s4g>$}I%|`CfSftQ0iN_q>D3epenMvUFZazZS8Jikr6kB_(f!fw$K&M(p~68!@K8 zo9vk4IJ5(7*mYmcLC0@sw93Hwv?Xm&`0>NLQGLS&!~i$?wh({)i%4lOT{r+gHAZ1G zr>#f9?6?0yldU$8m)0{+6ZhQiJW0yWZ_L89RF8NBg?b5$9~dbdcowk*!q22}T`n}B z+fg40m$188h1usmMLVsNUO@5k!KYDqm%;br@5><MG*>Gp3Vj!-6&OgBOK^i||dSI&}VZH>}~3?iQ?lSm-lt@I`J!Xf1l z2`oP-JLaKhe<}}=4ADz{kdB_-s%JlX)>r*kJtX#1`w%MkR})DkGeDj3D*7@=ZF)PZ zep7wnJU|Ak3p$|AA?oD!kT^`;Mx7t7{?H$ljZlSs2u7+MiE$sTetb8Ik5RYY1IV%J zcci`?r#31>Y?0cU-YQtFI=2CGgSs^f<#wo9@1xmo)RUbNoKnXeLEd@wWfd*_p*~K> z%LVlZ5*IJ3FVZYsQn$W>;Ieu*QOm#7k19}04{h}$n2^`Cg~U4y)*f7s%BE(E2Xu@7?_#J@!TKkm5?|s_ZzXN2y_8uuIzR^m0qlIs^FV~?<2ehA; z0OX){kS;VF((YS{S`KT=zeDhycIS;KcSLJOXnIr|wHm=OEp!+Kj%!=~MBWMQlTT3R zN$t$Nh<&det&O}>+Eb*f_(6N+69lKVJ!{b3kJ{L0F}^ce{go*2v-a~E#LjA^b5Z9n z+U-O{&S^LFMe$#?bu>%AX|2eT{JYk@D}wV{The#_sr~XaV!a&e2>JRt{5?^1KgSj) zV*MRU$@Ve8q1FM&K*u{v(E1?9k8O}R#Bqr{l!iO%-HOB!jxA*19qD+86jx&$Ww|IY z*6|7{FvdCFC1S?09_2(;BPEUxFv&#YHfROYJJuClaiNo7^Ns+X#&OUmjM zcd96Up8wU6-_GOKEAfB3BE4ggJK-vYOUtWEDl5Bmu1+X^tWD?2iVl@UWzP1col2^l ziQUptYPTt>E-UHOrmDJ2yV8m_sdZg#sw*lw6?ZHuEpJm)Sw{aq+M&G5qY6JlUC2@YU~PP zg4QdpDC>-&mjC~oy-uZV+jJ-{X7d`J#gw{9b=~G%{x2P@s_0T#{C_;#_I_X@$|}n1 zvAM=XnmBb8mzaRYheSkd7rq?MfW-zA?K&4# zR=-rwpPe7fuNUOVX@{}36bm^pwyNr)a!hUX(0K1+mg!ttQ3E_`4_i}aY--&~Ft&6; zLWkl`&(|#`g21LtZ(mYW-KDamZbwSuq##@hsgN%8b|vkLx^$}6t6%C|QiU{JaM7!( z+o5tqz#(BQ)m^Ie;)-@G8%zq{3(vXJiU0v3##-%|0Q%Os3@HeS+VIj=wXCLgXbkC) z+A2%1BRGiBFJ3E>K;F#iMat$ExAO zK!ethP^B>~1ch$tX4_Oqc>RdvoMu1V#&ea_&LFH}jnV)FR93skD#0JSl zoj|&Xtl0?Bh}4WE31@-x)Bs_X8%y$z-7A7=%mw_bakGNh9Ub!F*ES#V23 zU#rWjVt=Jy5}b{s0g-9vktR!3vfzbdBcrePg40L2?U8#=UGW-QRR>Vj-bmIR7>5ItRg{Z4F>-podq)HhC zwl<-zc&)9{9-@HeroUDfd503}x)7PeBD)jn-hWR-`{PAHni$!_5!QbH*Qn;p=)kDR z>>mVYda#kJk<>Rb{es|p5)EB?wJFN=7x?VdRqJ(x;wL6CX|ty%;L#;7)nCwyT@h<8ryv+`huv355m0tb9F~QxFJ+ z3iAr|CeDOFi~QCsDKpF0SP!(waJyMcp45blY@c8EH=!P+BPTcDOmuZ~cj@GO{BdWE zJStQ>LD$<>y;#)Qosy_`tL;RrOKGR-(sFxhLP0P)(88Cc7v$>nnV%Q%Csuc@Q(aZt z*{;I*vNI81k3Lb|Rj(>3b~Z$`s}*e!&Mc40?LzT~oo<)Q)xg=^`C>=#E$DCU1PqVO zVMbn779UC$HLKUp#zb8qQ*TkkMj8)y>@U`%bt33!my+7f#Ms$- zcGdIF2c3x~7^X80Ahl6DAukt8o9FYSHqjgVvzqC_T$eM^SO-sPZH5Ph`RRI-+3@g#c*aLYkA|0_G>#?MeeK1cF%H+?>oHAyH0#z^#XZ`B>uE>E>+I%w_YC z9SY`L-tTr`zz_^3e7_InsMyVDZ#ec4$a zHwNg$7p4<)8bn=z%wSF+Bhkopr}=6-UwP$v^z5bem#-p*XBtqQpcI*we5t=tSs7qxtW=mBh0TSEddylUDyiK zlH|%ThzjU{FT(>gMP957pshOvQ{jwVkoBC9!sGSELJE&JDHc*7*+hykeRJtSk3U<_ zNN-F#*jjo6r?K{~v?P~(?ScBbKc~P(uq?DNvN*k>RHCyH+m~UC%b<+@Ccd1UK$afJ zXq=BAJ-46UKcGlOz;fGBU z+qEryEduGfKj`-da?*i#ZaQdag(5Tf%ISJ#2_*3HD!ux}(&7@FHAU4BtlD;|F46VG z#Hegt2OTBaWl@Ges{(wxy+$)J^LR_)5oL#qXQ$h6D-HxNo|mnM{5~v)j*|rR84HkN zp1K)&<3JARnP0~m6|}}W;sniUfqv$fROeNeR#cW&ztp(0qDyDU!HKPKqI9h&ZC4v# zH7AVEWc>t?&OlCq-qK%?o1M-$fPnPl!J|)*R9+XeM`{B7#p3FUvbIo56jhhd z@m*b}mz9>ASm>@F3k%(8v9ZvdW?~_zX^T{xJv?k`P*f!4gg={yKbxk9zjJKg&C{`H zoIl9`hePKqXGwIA(?c`h<_X}5vi*8ie!A0L-#YJe^ZkWcc}bpTVLIpbC+K;(`N38? zCOEe+}6|Hv_p$%5-IhF+D#os8epbo}G__lr6BE zBQ$5nKsyTx(p%W@g>X6|F9OjxD`%eIdZ-oOwH}iXaEJG#n>Hom01XC^cf{W$Klq;j zV>7_9rE-ofJ{+S(oXG$wPY)Kj=ved>W)%?G07u48U^<2C*uI_J6F8MY%|VctoWksM zERkFHWn_e$i6$lT6}C!pKH^MCBlIfj^kUIVRZszSf;zh9o{h+6d$v_}pfM;#5{_+O zi%=ToGVen$Nvu64o{NIiJMvv3`QZ9|Er!r{B`oEKrzdt2qut4AkWN zqy~pZ_7J7KnR@a%P&ZCn)K1*slk#lj>ltiJQ4h^$Ky2#BvF6> zm+cIq;sR00OwA<1(lP@lCg?W~=Rix&8|dy7XJYJhHUT&&W971)t#{~D(YC0QURu@} z8fzVAfyvrX3r6#9$P)>)7#adiRSD5F4A>WX|Y8~OG zB+Dcpmcx!kAub)e2e_a%R}=sX^3|^{m{MHHC1NY|cxx44%x<9E_$fFFl>O zatgtj6L+3w+eBPYb9v46b@BC0%Ce!oJINKz^BHLG_SC;B+5;vmCS-upvUv*R_|miZ zOt}+GzJSpJFsr_dY?{W%wTlD9R?m=-+^*E=)=*Al;-|1kJ8v3DgHgGkEb}POw1t z6$DxZ3!Gq+va;$pjVQKqu%HfM7Nj)vD%ao4;7s*aU|`GVO@nZeml!bbW> z1e>wLM8>mPWCd~{lK~msZc}q-_7L4b`LH&H=qZ}XEXd5$b*I~sC3F(Bm_(9akPDd+ z3NXe#n#W!JnBqYFIO0HkOB@Ib@}{DaExt8x)L5Z2cUa!?Lxzn+kx*

    _2}uCN(%7!Fg6t^Qvx7O##7A{%$xQLJ!mK>%bQ?M{n%*#;xY#kp8DHyk zj^ubrQUS*cjf>ewkFm6^1HO<&u484!AlNa%Xp~_Y(4%L%)+lg%+L(1j)F%KivCXN$oGbXVhplW65^oOpUuQVgn4O()JNaoZ@AixB1xWbB;RZMww1`Ym&i}z>>_a)&QuS_ z|2*tfe-o%@DR6^5VfqBNWume;{WOs#k2E4+yg|LP{LQ%Vm1-@e4JUJ3;25(s;^sO< z0vM#()UJ8lko=gy2BPiDfLLQ_*J@-$o~^#E>om*E90{pku-HWafYYl;Y8 z(BPYDkUct}Ii&`Ag6!E;MfPMq_vTT;w``N#g0Mo2_B>j1;vA06a0PQx?Nl(oi94}N zikDO=iG{$whigB`;_d+rZgaUH*ko71OfXpbD_%#=43&YAFWh~P$|@|?IcZCX|a=QAWYH3 z4c#0Un%S9D0o$2%CV9NfTtdvKoj$UoU*`nUz`rYj@D3aFmz&y{0@4y*xNg#(!;2Jm z?xL4gzMyw1De4Lj7AOQui;IktpQLC-KJpficHRl$rT^WfxtV=R>pD+ArHWa>w92oawoY<9(AW=F~LdCOLu!|CnW1FVVv`( zL~zt8HP|MT8l;3-r+A7GH!04D0B|!VL=8JPWho!y@>059(v2KyO4>#53|;S9T2+t7y1_M@Rt0|yFxCcf5ri4Ak~_HoP~cDEcF81g za{d^a4v*jM2fv?@UuY0g^uX_jS~^l9jm~Dx5!v9TTTX+SfvPZ+-psc1;==F^XrnxQ z^G0oK7io74GqNk$rxP9G29RXXEt>`C?4?L@3P=|RGIAr%9NHLM!us77qXV_kY(aOO=;BDf|0uy3a6xegj?!?MxV~ifU*OK{a z{~1g%&lRDgUK?UV*^ZcP2Kgc=#oGn z9v5>-(Dg#}ARBVBKe4#Bv)FmxeNJdKn3jv3%s8(?t#mrf(KGur(e_|xJayW|xNdXD zN0BTLtk}yP%z-LAZkD+=u>lBGqWNNOU`%EO!JD968!hA1G%GK=Nd~Y#r#0LqnBvk{ zhnm@D`*G{A5X2xe=H`c*l~D{@{pc*dK@Iua2X`j&U*fZyVreBAPSDvwiX)q{!);?2 zT#S6i=XG6a>=+|?fa~h@^ekUaGv@WcWyc!H=74LIVFM*9MN`oneFAy3xD=bF%;id= zlM^hY8`gNYVLD|qOQXrn3FMQ|#YR%Q6rcMc~#rm4=PNBZ0u)dm7qCG82NVH{f z>k(gU2rhQ75^Hh7Za{9AI|Ykl(n3QO!_dg|b#g&SVO*)tP?Y-&MY&~eO1T2*fn*YU z(SkYLT6M)$r9DC#750bgS>aCvKMl5tT-}nC43T1-w2ohiNoBS<~FC=Qa`hUGs)?JqJrE$(_O3vPNBV7_OlWlVzLBx7-8Mv zwlb5AfJB_mPfrhFI6FCEzcGBJ$arrE9+tAg%)}Tx0gspL6}0iKlZ4AIWP30PO}uQ5 z@wBZBo(WE$fn3%}5DbPzq>Ty-1mQhsI2xKQm|N3K<~0*xWwiuz00>v=uxb#V)LyvO z6Ys*E(%8xoN5M8K?}L#?=Qy*_@skl@gZ*S^V z6-Hk8vKz+Tw3xQtv=pn32=~`C%eG5HhXly=KVgS_OaEh2|HK4i+c5v7s)Ewu=NTgN zBb6Z})8)7ev*?_O1Hy{a!o`#id@%0vL!8tx%pDX)UqTyx8zV0i%!Q$*wQYM~hq#iA z6U1$KW&?}JGu!RTN=Ogjt_3tI#;uEPWEA8IT*5?uHb^T|qr^%z$$oSm8-*V7;`qIfZj;Hq52F2>#5*V1{E{L-27%8WTXGWI<~H>&{LU zjq2U#F(7c`*@n84 zY!JjcM*DGG36fQ1mpP!OfYE^1KJbchGR)SU;+mhk z5MsBHG0Me>avp?Nn6tq%LwJKuo>Ns4pNNGrI29h?ih(J4#LVL}O6rF+Y>YJUs7!7q zTC9Un&4&VumeV@iv%&oW&7AI3i+S{UlB|^gr86!1_Qa@7X)nNO2EzcxopODL4GF0E z=xn3cHpYX><`?GY*$UeE5;L0@3~x)gLSai7q=p!@{45es`Gk^}r@^CpOdj3v2_&B} z&Ny1967y*AkednPm@s%T< z=j;L)d7&8hav~)+TL$YUh909Fn@C-6oKpynC0YKSnG&5ywGG4V zif8q6yOJyxEUv}Um1OY5Eko&Kp)(0?c=0XyjT1h<&)*~fHHQs%O#v?wfMYL6IkqF? z$t(&_Y8~eCX?D4W*KDbFbFZY>%{3=FE^lYzEkvm# zw=)Gi8=XSA86mh{)i8z=S4aP%Zd0%#aN6=%tW}uCLY5<0gba+?fo$THYKkLCnSL@t zhBr6&ZiNS-jj@TD8-u-x4M)QayKO{Ysdc-qbf=bb&3QD;|L{ro3?6o1=9!txalfp{A-~MKeK>c4HSTVorg{T{06xY>*f$WwF~e>SNo*IKSZzex88ln5&Q@w36kyIgo40GEsWs!u$}NYQYm=rD1C|Z|fu`HZh*L;c8asN>Z^Nq?wD}`92-yg#3bx z^oUzdQFr#kKzz1|C90`NZ^EdHs~ais8APBJ5qUkgARC*Xp=tQ2c6;M#Du{o++I4Ec zL>Oda7?i99v7t^3uo!D|l>&U3$O6k8mcfnyxtzH18kL0mb2tYx^9sRbLrv`}WG4u1 zRKq1Rn%$-yljibZ$B^7n_g$}rbRXB9Ceh6f||x*`8t zYWHNQ&(IRwwF16o;7B5F@4i@4^gPZPcK5GUE3XbiT)gXlbo`cDB z%sm=;&pQn&mUuaA=|Q(+|Ls7moV@9os-USh_LJJD#uZ74-8s>aNAjJ=Cz zHnK8}{<5bXAUMZ!Z@|M3IK!{@v_n((Ro`uB>b~+Z&l_ULJXC~uAJUI^$NcnY8z~?P z;X#<}43j|feoXVMNaoj^Me70$1K?w2hH_6be3rQup8Q=5UAqf620v$x>Au1Y zs~Wq()ol1(mxV7(4?>zHt^SaaU5=|K-LcDX6;|71RBLp>eo{t_DVP;8^R_s)i_vVqr9^C?uuNQY@#q;4OBKU=PMnf`eF=n3ow;n0jG(DZi<4^< z#qN*rM~F#7{EUW>4~wOWwi6V~SB(6H<7Aj?A2XYc!cc-4(tZnOhqFI)!cZOL)N9@> z<{OrVhtmg|Xv2NZ-8}yB(jwK}98WP^K|&-5kL#)sZaV34t06l*6S4vsw5>y&zd{4k zLK9}&YZA)EGZoO7m^(RkzBxZJpxErt;+qTwd-1_gZYCQ_&wMCAUv>ftx(fUSp}}7d z9QQFjhAJDkpaM{ySYD=4IUFJK@hDh|*StK_1*RRyMAfx%kH-2YkR*>SfkQv>gcEWo zW)8ytRbXqA8i0VAwl6;`5XjSm84#j;O(5T6^F$_@a}$}tro}pdquva&(tMMO zXoX?BHtbz+6N+K)N+Ww0TqD_HI8%}hog`ysYI=F`CBx$I^9ODM;J(p25M}d-V(w6c z**5*Hv6T|T`kNXmUJhaoKMo+92c}Ykp@Fm}hNqbq2P7Ql{dtAtR8-U}8HI2;kC!77H@p3m&!wwaM`y&VxJJGBsMsUn|`Gre! z;m8M)OjDtP!aP1{*v9B~&=0>5@}>b|LpdE`(yf`z=74)kF?YcjzIY^a0hRq^gXI#K z5n~(>jwG&>;hu!#JP_u6y!>L3p|$bDc-A-<9(2K6CVr7Ij7hIV?LiEZh^;ft&P1be z)`aM6VpCLzhiwW%PI?{4`LK0}5eW`Lxc24X<}%JOekZg(7tO%0xyW%c%&FlP6d3^G z-h^`TOAd5uGZSnLwYgz~t(k7(TATylP#5D0e-O5ZVAu-F(8WrQAu2}!(1L}}aPwrf zpW_?>fdJOcQ0&z>7ekp^gPUjAHY6Jgv~+C7J*Gy{x=ha)0%raoqRLKG+$0adbdXhG zJf_2_206q;`ykWtg>4cu*_^xROop7+o*~s1fA^xsl{Cw(#-1Ow<(j~e9G!;s)o;J> zWmRv_=S2x^DBF-CFr((Zc#hEAQ^l=6o6ZKGmX~knar6oN?m&@YQqhah!9$Zxlz#ev|Xk4B>u!_7Z-y4jVQxvVc_n92Z#(N+rNho>+iIo8xg z0`Mt=w#WxlR<`LXikY)$Ii>)&mx;H_3uWtMCaf5`9`T6Bi=JB*lm2^Hq~ojMVa3dk0J;dn1I~ zP{;|0y}C-b8sm73r!kLlA|a$(jQ;?q$Ios_(J7)G+;AWlH43JV!@W0 z2i1xFDG*$ZV@6)+CrC$O$M4&2n)N6L({-7y0%WA0rGpYovAgm%_+MQ5NjmN z)bT4nOh~ZKPD=?70h45s@KnQ3A6X^_$9RxTp-S)tiN#7*7$4OwSe7umo^L zTPp$huOvtVz|g&;Gobj9?*;M>dau@m_i9aCS(%JJT-jnw0BC~mLw@=tG47jf)fm=H z;&b?GYKGzy_rjx>iamdnVmg0CdU>U!nu1ke>F6c4tb~oH&vckNZ&$!tK8WRFjO~W@t z7JlQ8rJKuCBbTj^$y|heI5LA-;Ag^K29}n@deWADilV%O?S2})b898C?wRr@T`RC? zYFJenkpJeg*#}x`2fYaLuM>m}FR+P;J)3 z@ipRk8E}3U4qCiPY`7R0dF6^3Uf5i5xT%grWoTn^ptUw|l#Vpo?S{?=jtx-Hw6L+> zH8VNNMX$M`7ACga*tOh1VjNQGg_)7hA;kyc_3L_hMVxnF%PaWnEHpf_?0I0hig%z; zE*wOzbRaO0)T@IKNsn?C;Sw`UY%y8Cu*^*md|1)!accM>q)3qquEHiN)-1zNk?dfh z+$_DER*dfix_wYPfxn4M?_S0;inBLy-6hWAAn$~CMbya zTo7khw^G@SBo8hsKow@nDMTr)jS-a|V3)^fUgk0DDs~yh#Qu=IW7!u z6AV6{D8Lg6Ey=H!JsHG}>V`ecuD!FJk&r*5)q3FcErQ9jSsx7|$n^9Ep)@!IS4svc zbkTz>!`9<+N2&$selY3$k_<{tdP8h2j&dH4{cN|cEBd0-(Jz}{WOR1zgxtJ>U^cxK z&h$=EUN{w<@w-o@|1C+pw~9Rq1pRhq2uFgMe);s)x;~Fe3)MH2TUmRCuKo%KnDg?0@0#Vp+Ci&g|`}vBPAvT4uPwI;1PBPV(Ed|h>U*N`feYu z*-P7|@>oy*hgvyJb0ybSk{B=p9#RCvvcc=drz5u8e>RUV#Ppg(N+tmA{fsbTW> zaA(YPr}_gZ9hS7&^8|QWfW3Zi&_qkpcDp>}?q;4dk)BmB8HI1KP=)4E5lvg6qJSFR z5ZRfR2;LKn64+UCk}1bWNP(WD`lb{JYK2$+>HY|03;cKjUj6oR^Id*RdMlY7RPl5q zMC$l8cKQoKm9FPxhMF6LAeIvdi9y-kR;5_@UDe2GxPk*HpC=Q5qpm4(;YVQ%#b;DM zBUXir)$tWqZZG@g7)*qv8nQiCj7JCf9UGbt=133bnn)mDFH^T~?LUTkF!os|5KUE7 zE*}Lkedg1cv;)ZAPbY#Q-lv%UOBO>w>zd-RR9<$sCTEJrTvt*Rn)loga&H`5oH8-Y zizdRS62Bl|vmQs^{)trUvONI-fT^PmGa>sG7rxnG6Qk#fgBhOi&AZG@m=!=@sUvQa zg<>AXhNl6O`Pl4|c`caH%6?gaW-lr)Ci$)j#p$=%GW46ok451L|G5Hoi^-E@`hgkm zvXUQ|FT07)g{KAL$sUyJ_WG`xnHh0)$~wwwX2qC`7(jd~2;UeY zk`1NCL>S6X3_0X+Ut!3Udlhkufm~VKVjx`HiXgt`ezrWA;Of9I5NKef5eeij2!7mn zD`>_p_%O_@Ul{Wmf`Mr6NCzL955pr5uMR<|HC)b(*OTCQPd^<)hXDUA7j%JMTw&K{ z*=7wpTbk4wWo+-9mz9(imvv^p?(3nS_2zNHD*qLym~!38R}@zn$d!d&26E+9U?6-I zOr0QI>aav9%XL4+#hf%b=jn2rI|cJa|3v-JOpoZj>v4+VZ?b*apNHc zVu)(!c#MPE?lPLpf9@;JN$O`Osl^~#NX_qi+GuY)5bB8KB|v_`cz-SzY-F???jhOT zb}}1g7VaRH2cj0p^*iacX>fRHY0fnM%K;Jh66jdul0%JTR%zI+Q>9Y0Ob<7&c8r+t_glxy6?a2i0`$ zdjR&qoZ(m?tgLa+<8cNY^Z08v%KX1+ZK45KDNBHKC!5=t`K^HKH?A$$$Us$g5i&Zz zHaskHU|A1)AK5gDct;WL2hBNk=B%=DMF@9N)AJ!hMexb&nh?9gXmDND-lm()tQHSe zEt7`pgCkA`e!Eg9Z|eA33ciY9Enr%EII+ema;Dtu6X$-cr*_bJmmyl%aEW&VN_OKy zG4l$dpBAuDo*H=u$-xgU>+QRg7t<+_K{x1m=r=q^k(7~d`_8s~1KXIFfyu>fMwuDGBy^Gu0qoVO909&4^} zOW3Xf^3aaAZ_}u3)8L;3){kAYuy$VfWkZFyU$(u*rZ*e~(;UStFHh+B<70`n(! z(jllA4|tnD9&FDtF)n6VhIZk(_jqdj45nd^_ISc~A>t`U0b{pqyK9J4MqTc(R28o( zdP~dJEmsZOtJy95D9zRG4tiX;I~YZsEewiEkAXPR5FB4DtpV;?K?4*?>bTl~e7B(C zNe9`9tKmT|{k{P=8pPD_00K&$tASv*Tn!`{a|#qP1OU@i42FUIc%d~Raq?qKOguG}YGj){P7pxK+n08*DO61<%g{P-$71$GQBsYUYz!I{VM$JP8jL7A zo$2_?w(DDM`VQ!oh%JeJHz^W=FAtH!@B@=AxKIECi}mBjN%4MC1HV^wwH=p)2Wl+& zmpaS^KhoebF4h!{ZCS=Cz?1{-WQz-TlYPh3Muttfv?s|x$|V*v-+G*o{T7gkN#^t$ z-g?v!EJ<7?j`tExwv6gqu1{PBo z#_@=JhI8?mC!?!4Fk_f_Qpm(ZdT(Da1WB9}-^~JeyUbvI!G{`8rPTnjTID;|(3_fu zeb!jm@01*0@Cp#xqS3+O9WL^j+1v>mZduI4;B#Zo858BkOwowUMIaHh#yh@XG`(Dp zYJy?L;4Oq0XEV8&%ADe2iT!?>ZIhqzL0Y%HsP7Ix0aPO+>X44kXKQS*DkKgCg64D@ zb}*noWHy^hY!c@BQ`32iC!2>=gf7^fX8k;uIS2TqRu@BIa;D_hk7$-+J-6mDA66!P zq9LoMM7-4lg))8x!`gMEbu!c~gzyl)B3?AWb=D-WjYe5)d$g(w&Dn%b+OY6xG+*0~ zk;C^fA%w9xm^2spjAK$}fX>GM$Jm=N$8jWCgZwFFYO^+QhSFBn0TjO8?X|i=qXK4@#O4ud=4gjj9nVtRZ`<@?hWh9`U5dmaGWo1Tq`0dX*32X@9AaH62k`EsI z(QI6zt5|4i^9~uPX}1QGL@7R+&e5mQ^%_o6s+kbi&KEKruystq`MIdJmH+|F#SoP* z38EbeMyeA7>JGW0S(_l|CeuJ+yc41m z9JhMeZ`K)ds6xfw+vNpJx?wv%)H7rAx1l@{EbYUZ)+21Qy+FrO5m0cDx|q1)Sk{_8A4|sd1zVqa z^T%;;3da;T7J3|iuX>X1h=8PZGOT3PVnqd{t}ap(Y*?e+gSB8_Af&W;y_M=M9^FkBR2{!@m!}&n+lhlGw6ETtmsa&yf3%@p$(YkPGp<!EvHya|%#U~I@rD9u z6q4eSlwl9dgRO#D+zaS$`y!e(()e$ih|{% z##Zk<*${y?+8oU3X6wn%6x5>8jFadnVFfmPi$`ZDAb)pZTa)ILhQNd6`;{aq`c?ES z0;e#5`3LuZelb@Av#|)luiR&IhAtLZeU?3fk8!D>$t58buVa_;)WGBVCRDgw?^Ld* zo@0HKh1Q6N@8Ai~Mk+V&&e6N7MM20ELVuo~qW%XOV(qG*z{;3xxG9d{GWdTk4}#e9 zlkuEcy!b^-_Vx(fKGp{)2U<~Y#-P-Q$l(OYY-$$lp`H-p*s=v^2O(*IKLQmh?^If> z%#(+z=ya-&LmZ0L-wBxPGVEnXe^|JM+ytk4ll>R|t`B37>2^uJS8o6~<^x29!NsyX z+3ujS*i@1pY^j256>>-w3!3C+36BEQah5$Znz*u&S;DyNOb5zW;2>bJ0b5}x=BX&HWM4Em@3ZpIi+2t- zfn@%?Kr|b2y5s7Q*wp+$A^EM-{1W|*YD#mXaD-Ny?Xq|!`;Wne_`rB2vbD9^{<=9U$_sI z3)eq=RnISciL9MM9OfkOMKaXndW9>NGe}KIg#^Tq*cMV-p50b##B8|d?v;u9C6vT) zZb(KMjHgmWk_~| z1Sm`>8_5pj8$*Bgy~>l|o7AMmK#x5;zLZiR>4U0) z-};#I4>E$z3}GM8E_IoaJHjees4U_(?_AeaAvcs}4%_w+Sa-<^2G)uYOsY}$&e0o+ zJa|i3xC6xd58smWbAeG6PV&QpS3qDBx1GfJQBtnC>&Qt(&cM#M!|$fY8~rzN{q>YV z!}mu+oof`hTb^ZXGCD%?!yyC2E@7{12T}OQgn>rG9EgYPrNGWrLB)+$`EV(&6bFC7 zK}O#D_WQM|oMlUrI4s-eY&C!hViS>SqDz?Vk8Z!DjN)-JBzU@c`7R!!IXZn4J4JY| zN_T=hfazXmtMM5A``n5YFgg@L{Lmh0S14%S;b;uqp$uN1fxnjn<+{1lxd$! zn!O7Rb^D)h|K1X0tajDUM|VtKF7BZ@SM;caU5w#%_*PhtWGc#@JLNMCWiLjLGqY%K z&ovaN6QX924y8>B%$O~Xh2hheFvGHGv6>|?X>L`#Tw%_|1iq{4C(>Olh%Q)4TmcG- zE)WbJkzN^SxRvnHjNv2Wfs@caVKSJ)m&LRbE28L}8`^vzMsX`QyVS<})aS{vfwBeA zHCI^W+wcz>JCwyKxa1qH>SvrQ+brNa)-umBL}3b+7S`?)8FUm48HSe;!mMf^&wA|R zS+I{qKn`cnx%OlWT>bDQ>#*oXfmk-cVUvr=Cy{(K8tzwODIqAT5eG*V!lUmiJGSR; zhF)TjQE(GdBQhNumjeaU$%PaGNJT9dZyey;>XqPhxQgtvm+;y2LMOYQbHy$OA;>1EYc)g0;z0X!=ePgj~JMl z-jXnVeJ-JVIG7#tO@Q)w$l($Z;Ro9=5SIxl9?HpBG9oplG3aX?AucVBYJ-;$C?0l)JH; zRVmCdg=Y%b*{HGKXYC6zXABW8{!v!I3Yo&$97DUtFN57w^KJX5Xx*!A-}TVxIhA@k zq-Cy-4!~ZK-Ym~Jf>J#~Dm-Y|t55KAON_yAo@#@YLsgxoC#zU@Da-SAJusIBJI_pT zUfes4UlZKbO2RDszl8hV1$BDW@7EoZ8_|;R#68pm5h-d|lDC2FG5E1xbOmuGM_)eiyHRXA|9y}2P0PXS^v)zl1s z4TYS5%drXMo4$S=t`Km~L_Ut11U&eWEiLe9>kYrEWPcj&WE*SV(zb;KZ(7zBD@77| z79YV1@k@LiJJ$I7=aqqSSbB$?1}hU}OGp)Yx|ZE`JFpwsCv_wm|@^hbb8 z{X<)<<}N}LrUv*0jT#Uzz9_fBI>H&=%XnFHQ z)CyIp-;&80WFiHGAgX8%=CZ*eBHZUX8iic6*mrT1Wv4dd4kWsV;l|@<&u}wF?511_ z39iDjIabSCyQDiat(56Vew8F`m0JTJ!fJkab{sK2duLQmZ2w&D4-PHJNz=i()~28( zq*onR0&ID1x0mNsEVfW|2+D;`hF%crLbvDZ^-3z`ZC@m>hJIbbf4RFB3<8kJOrO&K zl}(2f`XS#Icby)d0Y@D%k?7c09|F;J@MIQaa$Vi@pxP8!pO{fm zsCK~#!-%K6F^sg5w?j-LF`kk-B?TzS@ar=J1`M@l!1t{-OsU(pNi%GgCZT`(5}IS$ zyd&%bim$l8^`a}OcL=G}X#x+m8I-rt;23#K(@1YgX9)hoZH-r#1O5V)VOiLyHcb1{ z^b!4iE;)CKL@*}+Dd~2+>w|>o>JyZDu)jR*+S|L*J+U*YA2VK(uGYcXCc4La#{0Qa zc_;ar#9i(b#`NhoGt#c9tLHnJ5+hV$|P=}cPl5B#{A-P3pWnC zIfdJ_UO?Q#C z8Vsj5sM(X*nD%0yu>unv-Od_qcE;bV*;z+R72M20qv&h0SI$61D##Ig!AuO5@+o8i zjD6nD6P$6%VF3k&JiV+hCEqOxfahn&3wO5{S9PipDf9UZ;-F%j@{{^hVu!)cf>jlL<7bjbK+Wx|U^e=WE^ zfi_5C3@I@PC9}#5z9cEQhys+M-vISfAA) zXU(fzFJAF$`4>VQ=BX{aaDrrxYsz4e-ig}p=mIOPO+ z6enC%AkKl1m=(w7V=~>OjTpoj#!(4sOF0xb(tsz_GAnlIfKE?tWANu~4>k%m41^EE|U6Y|? z^LgcIlVz;nyUZ3Yujf04){NPzQFTMKZh5jrzRsKVMmk`eKs+KAK_6(;i$+t=I0;U2 zcc)CBPj4Mfp~#o8|2*+#I1+w{dq<#5#imFgGsn?G*qtK>%^dl=9e8CX=l8w!&lcNhr7lS=cuo!!5=YaKhapO|%P0{~ zg;Js5w+A{1bTd`RCGE-qN2mm)5pno>i!yAYZ=w3%bz8O`RN1p1_B=I*m6CBx$X@D_$}I@qya##}XrJq1ZxR73H_!e8*peLH|P znJC44-vr(Y-^8@$9(-zQ(K^HRnfjfC2>6zklA;EC{~DV>+%wwhpBSji4(i1cc@~8{ zCGlm$@*!n%-Vc`T!8?qO@*hP*S-KGvP*x39RS>`*VWIkl!U}aK$OFB-Z-2UZeAxN) z>(!^P*RMWbJ^b?(FA%3fuL)E|`2Ej={}0tc59s{4k9&c{j^s)#8 z=Twr;mEvvkpyh+g-ps~*l{YSIWJ^cYQ?g`QHN-k_*VX-w|y+&}YU?2?kEJ(M&8 zCFLF?@g1ph6b?=AjLNa;pQ{Ha+C^im92-6G(aRzc>6{>jQNhiTL$_UN9^8K5fx!7h zy8(aeipyg6rVqqGkAAn#ExqH)~tf%Gh$;JFWsG*NziO*Cv z6nOqCidwjlHYdnpj$wheeL#lv&E2g=C$k>nVXSR23XaeB*1FoD8VzA!EKn}v)^WOl z6ZFP|`~1z`@Q3~!Gmbvj`Ew|pKZ9D5e8<3OduO+Ta ze<_z;QVr*hIYmZUUUSkfM}+k8>SGw!@?+>_qCjce6( z5LN+gAMk*Us`0z~uta7MCa3GSOcc)KqNLa2QkgxjU=6u30vpWh6a8E-nrMW>J?Y+) z^kROlvkxfsxZB+EWBI;&s=`1;4Yo9DN#xy-<0b+Lkt&#=$ziFC>OrA?UDR}=ggNRnjmayA zwLn8Z$~xQu&D3q(3STtClrw3;rF2ombhK!bFsI65XzKW#f~82$CXlVc4qIF!syJZW zkuyC%1iZAXh!#5GwN&G z(2RD2|BS|Ut#1S7m|t4QrR>vg@++UVId2t z;sY*xYs1`E55a~`@N=XUpP)+z55z3{x-g(h*E4ur)boX~0d(O?*kGL^>kq4b@zKyd`k6V3%bwp(CS)>> zP>`Ae2Dch#o zw3?aF4_u8P`|_i$=1xZ4%rWd(dWlvk+&o12`Mg#NqOHFU5X4DTG*r_a&riRHZwav- zs@~X?&S&KKM>27{8Q~=P8oa*BooJ>Z5lnJZa^BKAO}>EpSn+?u?Y!Pzo_NcvMk;|N zX3$8E<5-#yO`y(q^y|FX348O*3}1krdGeH`dC~IRiWEr|C4YB3yj)q1i4P^sd(u() z2v!gV2=H(EOahKRYo7dVBsDV19#Lv+zf4o%B*jf;l!T)b0*@#xNlp$TTLsRKAU=$P zK+FP4)3G0%L@kpZM%u_o!^L}^?OvTEi$R(+XZ-8+LN(V0hvi@IfBDG@jfMr}7JQ%4 zhcHQe2(bz;zN5CvWSO;7_r*KU_Y|N)@mB43Gy=Ws%p~I)&Hk>xJfGg(n^If{^*W@8 zg`b>kVe>y(0>v3t1eJKKCEp*JW>Q*SzwVUY9hKFlQnNc6?5cgI^u9cY>f+*> zFO*)^r_NnE(XjF6--aNBOdvp9pf1{EHWuSak&TuF0kyPOVvk3hlu9!ZW9(9LiQcQu zh|EhLnOQbVUfxt}9CG9|I9gP|N>L3ZD(|xxDTCm%4CFA3Ix4Z-M!+x}fgStzTES3E z3CRuD-f8lHQQ)aCVDZ`c0Sb=LT?rEqa7_XRUWqmQ?W6tgk|NzQQOs8&D4|K5zCFJ@ zMk;h7>brxweVo<~ zX|czHUkq0ai~4=!{UF0}6h;#Oa!i7GmH2pE2qY^NU&`G)K1o;19pcV2LhgXDl4+ff zHbDWw*#RW`&h6Fb>sMdy?;h`74*2^(e)qDoV`#a^xH@>E>g?0*f!O~{_z!>c_PNsws#*tK3qTg*g!6SJLp`O55YNSN(=ia z&X0*v{Ug}y(Zvypng70CX;{+`a{+#iRFPyoQ-eeYbsgKq`ZZh%z`AIcV!c8>Bz7uu zuXHO$0rwUyy4OsVjbcGkZUC(znNmUY#WbcIfgcSFNgIOWhow?8)X!w<+JJvkXIQ?8OH5i6k#c-S zt2MYBD`S;KthG?@AF)Zo8*nApw(5E$yDZ`iKSZxB%w zfk6XxeRhKMDd`c+WMZ@ZHOoUxe}ei-28dez>4-5(O$?fvfH|il1jHq*UeYNv8=R9N zE`rtBj3M1b(MRGuTiCei*%>J8&vHDMPad7Y&+WyTBj2R^f@CK`kihM9RCW-PXXas- z{>AvXT40^aPDZX?N!B|*BV@!{Eij>^Sj+yRKu_9&u{}1Reivs1y6qkE@2X9ZuWL{5 z?yn!e-rs_+e?V!k<~b8iNdA*^^6>k^IKd!vWBCg-qhNje1vq^aoZSbE35aJ;prC745*S2`63Dr3M*M z8LJ41+ANY%V3F}KFJh1f^_@Hu9kgR3cUptdlo7Bv32>V!l(EU|k`nNA>P6_@*6naN4 zquNhz>;XU5kiyNQjRRLM-6n$Ukn=qM5IT{jif+d6(mBfg(XIFT{^9FSJCDD9dG!_l z{rW4O^TQp=5qJ3Ow|{;7l>u}12*Q;3_qT%`Ob>tmC7J%UoG%yB5AecY1~(reMZ=fK zr2i93QGWHe-*#^P@BbIl4&{G;8T=3a?_a+FoD*7YCpi-F$Uu}u%mzahnygGxl1$9e zu!@3)niv(mb9Algy(9n|8R*d|^zPH;8X+>6)dn*q;3mU&Me>ZttOxclnII&^WrNjDU z5k~U65S4)UN&3=-bJrQE9J}ruJ#}IZ&qp!GW=K6C)j-rD4PVwBs(f2zl71Zy=<#Uu zL@!g0KGVxoraaw6Q>JJa&4gu0P0aQLy-MUarxPB^7mdb_J-8cX?ZHaG<82p8Z1-^D%7OCK730YHqCR>)AMUaO56xi21FX5H z7T*SsKo*1~AO@NXA{GUN9`t9p%nDhaOw6y&6Q)LG!l)bZs>|aGFoBS9(H+!PrW$~; zp=Bu*kI2LdMQY(Zrrs0I1)j4oFZzNeGbt3OPEpjrH-fZ6AZlB#QQk8q<_nIdo_z)1 z8PwhuDXYl7l0Sm}tF`WMYp_QxfjwO#I`~S4?{w3dCX3XuF#N;1X`NyDkQ_4+3ri2{ zOF=mhJAmOwcfHW0H6y_um_v_QBo|syj3mp{t_#@N@&|5s| z6z0p-CWsOhGvZa-K_dwdN0HWrQjd^ZM>(3+R8A&yQCv+2l6n-RBqN#xK(j$m>7{>( z{Nwg36?zMvL1J@>0n2Am(9EX9<#dTv6WJV5Ccu+he*0u5 z2|t45^>%qUM+sZ&w(O{zdnDhB#3S>OrdvB2g-B!24=h*s;g`Gn$Gj*msBJXNkbu{o zSQ}##Ug>2g-p*%)49n=@Gzj<3NJX}H=kTWD>6T5Uj}Klgtensecyo4H_nEF5YUq+3 zR7XCGxedeLNTPxI6;r*UNlqp+G7n|ui)L4Iv9|Ojx`7Gdmw34>6$+TOmr@-j@l5zP z%IqQ;l}4WbZ-0}<odP%?^>Cb^TlvFh2_&ut{7oVWQCws$`oWxb z97Mu$qjM-dmxD?0G4XzEPwgZe9x|%uLpsSZ$nPHY5#Voh>dkPPtU2#LeprvStG zAxgU)R%|{)_O3lx#da|$=a}Lj4<;`7&AYQrIL75bRV0}^l&H?^xTRAq#1UW#(6!$y?DYQIfMlfhcwQec4 zKqme-zkK8BgL=1o>)fX&$PcDqFvu+9Vky_$I^_%{+`1Eknh8;py;umj-$X$vdy2jj zH+JukAHM1YS?5$cGL3Ev+P`q$^L}Q6Ksliz>ltE+QX=RnP_~!^7jobx_)RnTwc)V; z&eXUt0R9G7ohaXyyrcWLAB%D=bK~rT?I}BXRpwa_L*9I$O zU7e<+&7ttU?!O@l>Qd_2bEvV^kEin!SPb*c255i`dLt*9j3zwb`T|GyaxIu*V8e`k zHq$YT31HDm%D(g`k$>y}dGo1qSoYM`uSr`#`QkNjyZNCD)GAgdd?8QiRz63GRtO58 zr;`Q!PQua_*DI@9&d>$*M+)8(U(|yGf*?fy$<(!VPU-c^3zReT(T!NkW`|C%NL`HP#_|Xe z6)m7)_3DYJGAaY5J<%U%W~O^9JHasR0BsK;4i!=mnu9ZTbHq|V4d)ze5x={qN40FA zMWW&yER0G8gPbA5%8PdA&3-6+i%tOKY-Y`1aBrTZPA8an-B~$>Enk9KoQ=w%hb%-$ z@&J~}C4%$EtIhh|3&#kO$2IT;S0J$F#QbQ3KL zIWlUr(9j5f2-ri8Fkp|)3RRg!c_%9&d9zy8Hy<}KH36RYe=C9;O`hb*@a2MF|>WpoT#>^?tb_GB@j zJj>qmQ+6?>a|(ms90~5KH~@x05h*B~!toRkiWG@L)R+_yOjL&fJem=txao6}kDBM5 zuFLLK8_9=O?~#xAZjzenQxBRfF`I0sr{Z$sb~pLOeS>)4NeU* zPsu8L6}igTDOYfnF;*<}r5jGiMbR4quLn$XOU{zm35L)$bsk7#z?29-rhSTv+r;A8 ze{Qm!@I6mx9gOzt0YCv2s<;?XW4H?!10OmAX?K2(Bsv`JtbOd*$|#)w#Y~g({_qi@ zRK)KelxLup1!CYArvnud=uFX#kLi6S#$8D7D+h9IwgZkQcm{aersyYx$eStqf-+-t`pOkfCLp9P0vy*dp4MGvrQ$gB{F2)9eO6Xfa<-EP_elim=V4Jh9zB+ za09@~r6=m>0$nnX1n311Bf1v-Dwv#z(_%yyCs89hjylieioUwOgHHuLK;hiq+}+{Y(CoC9nUmk<22`eFX1MUCbjS0ArH5&`i4DfCy;@V zqdg_HIzx5QC#RhMkvS^-p19BK*@u~#di~^0o`0Cx?EKk>0S?1+OM@xTzBlGP|2&-Z z>;sL_pyQdn!j$trGDp;h+@(Rl2y4r!E;h!A5JqIfjNlXu*Yr5HpMOFL$m6vEF&at{ za0Lni_W}J>#!p={M(VAwvC#}74`sI}u(wCe$o=w7x3vi!^RO6e0W)0oKwT6pX$or) zD(S^ipd@4B8gWagtB;r~LAbtp5=@|o_zqU37BK5=punRp?%#GgJ$h%-qnCnu(M$&u z?GL6)hVTMYq4fqqJjV=%MypvEi%2vrQS8duEYecI2KUiq;uSo~9I_+v-`-xMjG1U$ z?W1ZWmJlWG|8Vb^7BU+##9T%In|5(_aflAg5MqYDC$)@v-33c`R3u7d{mpKl(%0-h zuk~S0^pXnTjbkJV{Q)>V5lO`Aa{BLFw=;lb!t-;wcd@3fD_Ar@2x7ZUflrM1iwS-! zTVj_0s#PkuFk9-Kod#7afctglRJ5-C8_G9B^L7yy{g_nbyhK)7Z0S)=?IS?0?|fyv zb7v<2)8WsT|1kudm>5qhVqz1j(%c7yDii!Hc4~~(*m+Xy%;Z2Jl;E*^WNT`tUgRtU zOjsgknF{9u5iVTK-a!|6Df4PSU0*#s7R6qn{$)7W1rR9w_h$B(9>abj{B@1CwmVM_ zI~6}QN$8nDz35aufp_pM;hU9Fr4i?6$e>JhyTt9=8OZrs=Yt+FOIA#SW$1DzON_-y zeF>eKke{y~+h6|6*ByPlK;xQ|C4Hs$u<*ZJ{d$esk=vj7AF$Dz7et1PK5WT9(-?Qe zJ;^Q>FOiOE{wNF!s9!|s$r3Rb9!9($+=vYWkCy0c{${Wu`J?u4NPJuY;Mvy4a`ijILda@V zD)0yL@ZO#^1Awn7w-g50Wuo!25_KW5@+xCa?kO^67t-XQMJ)4+4PxW|^iN@#>qL1E zKLv203^Lp@%&$czbtd zW&fYMx%2t>mR`OrC>m#qF4}R1=Ddg z*-YcSi|^we42SaqMA@Zt{Al%#g_TRh@WAn`9*7Ig>URt|csnS#UVShCA1D@mdZC z$82PdTw^>|q}6l_on|m2+uz_qQ_T+bQ5;5fuX{#S#pK4h6fqf*MhC*Rh zj1ffz)K?G=wZV!!s7~XHse9N~Yh`#42+&zIwij1Rtacn?wWcp2b$**>k_b7bV}24X z|0R0D5JqdDi7`CeH|ERnwv@gK@w|3g zD;RqU97t49c%1EA@8wSw7?|jXVGpw>&*xP3@~PRnr%}F#lqf;))V-FLI$#85VyFPX zK0vOYU7kjPtZo+?I>T}3fdnWOvAe?(Vc`>_lJawXBrMJEJ2rDP2>R$)LN~2B=tgqa z#(RTi4DT}e1u*?mYTO?@6JG#OqMeo*P77~`3{Pa{>N>F4MB$gVms>?(80mNJ?gHZ0 zs&A0INNVZ&6O@Au_dJ^$ru?xRr4HN#C+8O+CMnx6(GuLC=5CNFLfx4gA}-_-&Cpw_ zhpZT-Y%kH+*;0a5FA)D8iC}hh4`i8NF#BJKG+gT|?N1T5RcK9fHJk(31vhOMKf=wR zU?ujTj zZQ%XnhmENdkH+^zH>4Pp9Uw=A;&!&Ypw^7^s5AhdqKGKM0~ef2k&d`1tb9_wB9*&z zCcN`Jn^aE%^zHF_eSVJAF(A?~YL7NB&rI+y?wKZEo^oJ$5L^W)8NCC#$WZz=XMFYF zOgNQ8y7-#aMw&l6AdcG+;o;XjJVgEjK^*~F<7j(?2S?iba0aZk z+Xj3LYCXTha&h~7d0rkt(H)6PHX~D9ya0;HH9Ub*L=bYHGU<>H^$3Mx5*M}WEJsD7 zV|Hp?3(rg$`qgbm+}cikwFyUT{hk#sgb)eU2C+DB*vmR5tow90c4vP1^@+Q-9MUc3 zt@+$a7r|VK8VN8Oh=|Kt8|K&8Aq~9tU_pi3NJO~f1vCuowkh0<#6lq8N7#k#P-Pb? zlXMq&o}>Qgg{(qL!MX27P)CK}+xo$gGMgYuLrEI2HtCB@f*Hfko_Qb}wQ0{~J)`YY zrq9FbP3T_e45px$#M3F3*4o!3yExWJKysjK!}dW}@5Oqzg-c$y_ig{c%i>+# zey30Dx)t6FNcYZxL$IFkog=lFe>(IGg+qxOM3;RxRj5H>j zC=vM_-9bBpp{?lrbbfsHnhX+Qp3UR?5ako#*kimr!8D%AedFk}$%X6Yx#U8$w~*L2 zzON@i5#ORw^rxmwpP%wZd_xE4FJlet~lPECpO~}o;Zw*p68Zq$VF-$ z?t_+lJuw(XX#{sK2>XJG7D{06G-Wx7W%^nk>!WFp^Gz?4}l6q{CFib%cQsI+eBN^g0DDpOg<@(8F}=6UxN1j^ZD8P zV1`&M$ubO(VX)g_7}DGuA*BH++@e!UE(yB|dx;HYZ@j37LGFb@$(7>6BFPpgcenA`NQu%~kM#TjU-HqG6#c0`iMvyZD50uh~A=CsY)i-l=>T zRT*M|-hEFeQ~4e+cKFdJs#4yY3J!_zD~q+5k~5bQkax z;vDF*QN8RwgWNgLjNCckRua;i@MicgsNJ2B3Q>0FL~0ZZ+nP)rzO{v?!4-6;(IoRt zB+dpRt>S* zZ*FmD(-m=-d{+7uQK++O1i5jE+ECFoWr{LPwi|{_FFO$9QlX3-FgKS}5wf1aHr4$G zFIhluja{WA>WLBko_N;fV|2-huhh>P$3u`V*mLkv3h&2fR5K+X%Jp7@x#vZx77~BO;4WTJQqz5PpOqG&<Gb-IwKbojW+joJ+P zD62-TY}Crkp-4FcWc~`-xnsWY^);X6VlzKA-zzfTRgw?)92{y)sEOmFi;H9LEd|cz z3PqZTCf#EA9?{{%tf0Za51=1>x@Pv(T??($p+Q9#daEEQ0Q(k=i7^a;o`PZ%*i3gw z7Ua)h2ESebj`StPSY;B|L?u~9WTh+@d}oZie5W7m|F>Cx zyW330t7GR>AsV8t?{G|GtFe?3r8xKSZ9P!4&X7d2drNitVA{t5$N}1l`t6mqS$E&v6+RU{?R~sKE$*l z#&+<)$QKjuP1bADb*9RQQHthR#8%;8cYzlN@>@U{o}^+VeRZXIPS9HdUYjV}MB8>* znrqn|dkZRcyFNZzF&+f=#mwdbi#TrHkSf(?yui}y??m*^?HXvXC{^bzyIo<>DQ8B z_Qc%o`bg!5=Ua@$A@}9?_Wj+5>)?_5@;eyl3ZjS&4_e0QQ%ZCd9<@CeOB8ifPij6% z-^@7%?THR`Qh7;@zU(XphL|4Ek{c#tEEhO>eTuN>gS0>v*FYJl8u6(HtTdwMM>|gj znR0-V4kbA#8k3oKv~r|+P$|>}Yjc&L*3ZX_WB@8}51-MU z`f!y(e+~Ze%{K$wVDJro$%cW_{_D-% z*N1Pw0qm$ICI!uhgkkGJEJp*3)iiWi~A<=p0c^imcT_6Dm-UCQjhBkxV6nE7!LON3`@9_sd zq;KZx%6`X_F6zpD-37ECTtI3QHsc^8nGXa845(@1fa*_S+KY?hB@8NftwbU^=mupM zR3mLzQmxn1gQM5Pk6fL-ZKZCxdfD&P9h6(mFV5+t+ugZ(_0y~OuRgrG ze)aL?z%LH2UJZVFHFyv2*Q>$xs{xv683&~D5WE|jwykW$5@X;5dC(!LRY>FT)L6LE)&&Cvp#^LCicinv0iA`k4Ug-aJ@ z>zwGeM!eK=GFC0IMn#04EZ?aZib)UiXcqshxjHb~W)acYCF{6CLeRp3N$l zp+G(|6nP!)5I7r~%LYl`H$|694sR%WG6(hSK>rU%0h|Iob>Rwvr8B@EwV19!uaMXi!EDUS(iwAdRZc{(a9&>pgi9f`?s&755_lgzc)=tJJT_9SpUh{lZgz1#(t9Kzl?dI~$kUhVwUEqspMLK6~n z3&muXUk}dJe)iBwNK(zVygOg^C9n>&rl6eC2`YzaKaYgw>__7Z(_E!cpf6?(ONK?J zHe_SY7b-L4vD6Ag%P~tB4u)XV&c{Q6@kNOzc%eG|^0OU2WBJq2fUL!G+qz5M5Cz{M z2$22n{M+3z(2;3(sgqY-yU}qg#uJbMz%xB^4E+kvt5JhA<{g$e$+7P$B;aJ{^P|lc z=R*Cm3Pz%P2tzn9`^u=2N2+CLm$Cz>M`$kFGvIsB9hNPi83qsPIF|hZdDR=A5<8|n zzhKxA+~U8PID$T<^!?wzMDwOsF!cV5Elt5iaOEZK3nWw^xxzo#{(ZeUTNBgtp2;ks z*q^i~b*e&vniB7ZD%Lu42SBH_fVYs5mA_~ju4xb50bMJJ%4F@|$D@?mSCAguNpp7s zjG!z9VxF~~ILC>t&XKhwOU!_vQtc)m(C7PjfA{7377o()cX$81xqj8QA8%g{P^Fe% zhPL?e_SIkq`9s2~U%tfB?|l4n_t2&nlHr$bI!`Vk?R(H$ek0rr+?nuE(|mqv7TZBT zKMQSg|G+E+Q)F7u?xDm#x#Yy$ z%lpCY2zdlpIVcKG&Z4DXCWE?t>Z%xx8LZfoO6(~djLPgb%jMzsu)0d4gdP|1xsYFw z8^Z~GD$YTWP>`0EW)?Kt;2}XW4-#~bp`mCK$V3r_A|toMQ^A9*2Mgc|xJM{Ow>sYP z8q0YT!+dc(KmDFr4)R31p2RthEe9hz9D^O=3#}MrUo)H0ng}z@ojy!27T%+D46&c> z;b`Y8vG|E^0T0l>z5KKM{qjE=09-iR&pVj->GYC~o>_7}t6G&eNU0BojW%C(bSB4a z(k8uFykR;P(_urM6%xwlD{=|-)DxjM`mT^M!=!~Lgkv)a0Ca&=`dayDu7Qr~Te=Nm)D&M;OS^OQ7LhfN(0on1 zs)Wd#8N;LT_*vGBIT_ybQ&7qVZlMDo z!$X~(Nus>#&K7Qn>7b@L(@MSA9L$e^JR(mlUhq?7o$`V4Qy`cUw?lQvADNb3XM#L- zT<@u4a+S+H-FtSmr+Zv%jj@PMb`tUDi|Z9iE@$w;f>bA=m~S>puek`?`J30W8nElm zacV*0@F>bF4}aUoc{OtZ2UCbSe0;JNRGIA({sWd;t?-{N@+IHGO=3YPK8LG7t$d;g z8~2`4QjbMaPZX7s&mri($Cu}65Rl?98&fJz{F?McF)t*#5`uqvdAUU(Do7^f?d7QK zB6$$+DLe=p5O`2hvV$BbFkmA=GnAsX9)VKY6+6VT9a2$gLoYEN4Txq8F8p`-^wJVZlg`14JK#6&v|;rf0Yir zEIlmz66zP1eUvBg(4R?x1lgbE1BB~xKPVHOQK1{pETd;E%`5{~$g>~2$&q(zMadF*(!C%MEKOcCD)aP#_IyPXmve${TQFVaV_u4%iW49;LRTd5@Ha(0`j zdX(2j^a$EH8YM^IU_BH4l?f1wmj7ZI(K8*5xNKXP*-BE8ZQ;hN-wXz~c%N%S`fX7g zWBXcYV@!oAPbt&WmLpe_sQI!>*uT9*uUIi&k-3Jt9TPO8s612Mi;_7>M+~%D9j%aS zeV$URs(DjmkKx&)mV-`7EH?+YUM1@sqoA#rqR?GR+*cnhx3=c32plTJ~LKUr{v zab%LDbhkBM7LLpj0%^jn(0jA0dTgM+BuC1E_|ljF!6^7jTI@LO1|ViQWYWA=vC96Re$7P*yyZVLk+^bykxevMI?5Xo2wb zk_wXnXaCh3=LzL^2bJoD2ff{xygjE{XQ?mqX3s4V z@eY7`kOdB>&jnPn%ZmeGcpy8-QA8#X{{SaJNGrA86W*@oyC2jf!vk)oN^VDRUXdP` zs9-)j{*gZc9J13t=4(@(Aqzwyq@#o#A-67BASLB&vW6hSk#>%rCvfEhMi{JqTMRRp z9^|M^9JxlZkRx9LYuckIt9TsXzSy&#L-@2gwH8BZ{YCd4POJK~vTM1+CmjNlwZt09 z{z_rRQIMFO7&Vk=fh9*qd9YhGQd+eJ+GA8%${}45S!(Eo!j$W>2ECV=m>g}$Q4rnX z>;#*A_^cH}6Xe~g z8p?CBy}o~c_gQsds>d_cf%APkJc9%USEH}l#Sr|s%m?Y8C@;gzc;K6%xkU5g^bDj9 z!#7K*+1ar)IV8;8-XYQU=J6W@s66OK?-`4y!3HihKI9=nj}M-I3)kxRqM9a^o0`3d zX5IwEu&xo|#12{ULr5X^bfdGT-2u=lP9k9JjKbO3w z+#;t>RO1!ruztzKAuk;*2s_h1=1)vAv}y@13+5|Ug!pTAxUov_y4x7-U$(Wyg$`BAaHMb6mi z>%U7Q?aw#2OqzQ+IFVllKS`n$78B7~FqWcWUbt;ib&j&GQE$CEn_g&jfw=ib5Fs;do?@CmJ?E|_V_!~M+q0y8dj`=8t-zI)C@{9Sg$j1B~5tSrM$XN#1 zGZt0CHH+ciV7xC3gcDJ$yWa9uXD|(wcXTeNub2(W3!*&s?&~80Jdzji?O$0hiwj`H ze&|Od51&T;D!rD!)gl?noT8+sz=<229!*4;BT#U3LaXgy=gXs{Jn;t}idgzLXqA3P5D0#rUHOAs8tnG!1Pg=nTZL)^Uc ze7NC@_jk7+Zvbx_lPRDnNd^XtmOsny-?i<-M*#ole>cV7Bm9kzeDjU`kR+P)x92bX zHzRzn1~!rR`EUGL|NsAy0XTc`p%#U$s|l(m*Xvcw8>D|@O7`~%pA4U1_I3IR`8)Z9 z{LN4JE#R~n@CNvn{~rEN&u@HkTZN}5c1MB1BHtDhThN%`9Tg7dB=O1hC*P94VR|O+ z)D%t~@XiRy|CG#*kx7+`8RL}s1QjuUaL}qVtzTm@xJBGM1Njl^e9-kaIygOvAcK=a ziqQP>;xHHMt|)et!4$_jsFIt!>(b2?6*1VT-A9#s`uF$)%)z=azKW_D#7`W z3R!^BfHh}^4H!E9M+=Ys{PkPX7=9>wjM@8@0q144&j~Y&J40@kaNMQPyoXl$W>aHTCGlhnrt-9&YY#+mBc8AMfr>RW%@lzQh|nK{~33fLno`z($DJLY9GR3lm0f z;WI&&0bfF1JwA;Zg?75=!U`)Fvj>MWL05@yD)-0`3X5>1o4%R-j+{x-%RY>heNTeb z6K3aVzCn-6E%q)rz@l~uSavOIu}S*Y)U|LRRIfc*2J5-FUa^3<}YM8o_eU7GUEPN zWK}AGu>xs~^0*`j#x^=wF@WsC^y169YlH#128&7WX#KjAe0Nj`KEz#pDadFwXjCpz zW4f=MZG_(|K@v1wcQ%*>#-_V|wABSdgJ3dC`&G!Qpq9hpNJFi>Yv7oIZ_d~hV2xlJ zZjcqfS^p4ECtyIt8zP*|0$H-+f&8PTu3-rJC=V4gz)f*(O6bbb?J6u0py(&aUapz= z%e@uQ38dL3{UivdpnM;D01F$y6*)g+LFjBEsF=Ry=3lNfjE^HqWVHCoB1BEiPzozZ zg@;y64OR!3PUkur@B{E{;k;Hx^*hy3sZWxfQ$4=aRe_{Jmq(7Ik$+C^W%?+dz&L_9 zr?}(cY?WjB49Veg*l)49fDx73v``BSY;;>B#v=T#Uz|W>1nMPMy1C)GOIiz2s`?&$g zB|5(&?-vpd-zlEDpmjAtLy$ZnXb7ftbp{Hps~p#CFFouA1H;#j6*gxV=MzP6(Gw3e z%mm`imzHptEoz(q(am!-XufOqrjz~2csiVopfK!BufIhsPK2SYSa>l;#T_-IYn?+n zq8X+eC8HQWkFFCvM-gxnet4$~^okD={ zZ14a}pe`FwQf%9cAG{L0K@LU|fW#1B>dp=j1ES0lKF)7sJ*Rn5t$|c$SR|VBb4pAY zEQEjNaX`1A;|0OB1kB^()u)H+)IXg>^_~OiCwI_BPhAd|F`K|kbT*$(bN@mpt?Ws| zH_8WfxkPZt@rBz++MtaBNOLo-m)=f2iJ^!K=B2lbVdMUZ2Kpu%`hxxNEey?~HX z@Y>QhD+Mk>P;1&S+dW!@;3Njd^=^9stt3d3ZTBdL8#6020-l?HBgx0 zEM6TQAh%&4xp;si<5L5~gb?8`cN)1Z12;Pn>W)CK2d%*=`}D2({4d&D~EAlDdZKCMf36iBAZ@!j083 zGGTgnjaoVvGurVE46`u24ro~XmKt2y^|~gPeo|iHr6t2K>~*mQOb_E;*wH);FC#>N zCa*h3;W*&^U%x>%o5KOcjHqN(Hiw_k9JoLMyJ$lKmMIDi2Kmc+2;VkB8>Z$`d_~YdCU8f zOU`__4BOCnTWBRpeF#x2lqfPhp)7)_+K5I)GF1Oxk~Ngi6M`%sROw6uIz{4ZE!=~J zDDNP*b@Geu(YKcxOdJQ52tc0a@RYc*&ZEHx^viM}?Zv&E1II~m0>CyoKPVcVa0%UO zJT`U=REgJ!8T<|C8!V)bh?X6!STsR+5*fsI_{Uu#coIWN+z&QGA0C4D^%NRMUknU` zuZfDTUPrJJ?Bx*>Sl5g(u815WGxndE0g?LbjOXrwSRAEtDpR1)pv@YwKT|y#=pYjF zq+2%r>VXi8A;3&}B9?PMnIWL_;Y0B0Hv4Xq6h2)%l)6PcN#uO9*utR8e5ugj_JB|h zVZg|CuqDv@mXedYvTmojoSP=tXj3|(?P$5vu8)#LNw5q;0Hgpo18D^Bh_=`_B8MFZ zq&lvrM7%xI;59?U{w;iw_DCu9Rnb#O<3i;w1es$-Vd&-~82}CePl{tV%+D__5voON z5%KW!4*A1ZCdi|^iK22#pxRSN-rBI9i5Q6(jr+=CM&sw}VlXG#ji`*{UPlkGi(+Md zZeDh#$*Z^;Bz4va2CT5wqcgZnDF{4Yd{u~=>Gg>%e3vf$$Ka1EPpeX`y#yYojqSY6^E=Rv4G?GKf(FC`$K zqg#=gGusp7KZyzHTC-CX>kfsg^k1wQ)U#9{;o1`r_j_>G#9Hc`qF-K)qU3}pRcexs zQ6GTNjhqh6=~uy!&u|j$nJ0VOYYIZ21rs3_s5zy?4jk@+i%7fzS%Rrd2VnmQ)*QnH zll?&h;4^a}H`9*mL)@&Pn0o#&P4NJjmm*s z6+uct#!AuNA@DbmPcZ2A(9ED%gjXn83C-J8~pJ_8iTZb;+ z+k#v#&a6NL9ubCDjpkibPWW?WR9C|4zO?O!^n^C`D@~h7&r+~0({g!q$`^` z1VT@VkA`f8=U~(j2$jFv- ziBMcG1wuZYn7hMq%)JPyDQI+^Ud?Zo4_2()BSh;Gs4hx_x*Qgm-@%Gm-<#MM+$un;p&(w6spCavl(B#SGP|8h&rFp zBcvTeBx1rmDeWZC(N`sB14Z#|`$N)7$k#hP%f>{voDo}%-R?yXygj^7U<~W9avpBH71I?bL>by5s-odA@8IihRBiu zDI9dTn#DD`*<7BZPaJ+<9$&72-~8gs*GK82{PmXcq3aLy$M703ll%g}zbuuP>rYoS z{M2hX&zdG;8po(ld6hEN;}A6Rczj&op|+}$6=ubFs-n*ks1MW1`Pmd zd)D+L&mc0NhJYcd1+8fzWTd~HgMC_^a&vjiK(1YqucP<1$OoU}Z{(}@jW{V+#_a_< z<`8Wk>iYUr^x|`AToY5t)?J%?T<&dbb);xG2is;anZO!F*hUCGRCOu~Bp1WZhM0>l zp-SJx!9neE0u*mJL9ZX%t51Lg-}&_G)u>udY4;(0XU^_P+h;=J7$V4t9Wi_U!

    =Jt4{QQPCccFT3~?ZxE3t=%P9h zZhm=*ln}JxMp0ZY)r-~D<<%{cjdx7&Q(l=S%MUuXppn?3?LBJ`%V(H!Fh5%@APnW? zEy;Yq7Mtm9TE@0{142mttXS-PmfTzU>QG8pAg%^yISyOCf*O!xa*})}K>1j0O~zJD zDUX5yhq-mwSEqN9Jd<)L&AS1cl2=uis}j}bn4R{*BTv^$8nA8DB9OAXszI>)U9Mde zL;9gim6Y-l@(;hAY(|ZBv_U&tES8r?5gy}65tC7Pc!Q4h*KAv-J8a$R?2^2tI;9-V zgMDBX(IfP>Je|9npg9DU$7Xm}C{(GrIRj}fUNWtYnH8ofkFnuu#eBzSE>!`!=BvJG=isz%q1!s0?*fg+B*2qW&77r5NneMVbO&@{P@ZdfdIkXt-y-rc&!`5a(`-yJI%Y2O=&>&&1af*xyRm16K8{M=VH4)T;b5#CkaXJ+^=0JP-->)n&|7|8?~;y*Ikk>5 zY3wJ+hlFg~(zr8;bP6#E+VTpyYy9GI3VtEkP$vHwjR$4)jW`Rcjmw!3KvQ`Ls5S|+ zYdH}?w{4yU` zuFx?GFtBNY*_|9~o6S5!sLA`QvJ)lVf0V3W)0CUTs;Zpqm%=H9%dRTJHFD0Tmip8C zS>w{F)nCD{mjxP&wjGjbuv2X`mXA0|bvC$k4B$|-DM0p-rfpWrUGk))fq+l|oOM`) zjN?mwU8o=y(_t;`@DPLcZEG`EueLhNxSZs9t}jsQL4NZCvt@?9C&hs~Fw$ep<6P%8 z%Jjs$;tmQyeH;9h8d-LSDu=ByNe`Q?ibn-RQkq#cqgiGA)3mF2Sd^aOp+ekDY;=NL z)f7VwK2=4Y$q~4{v~m~_T|j;I9frfVpY7DF z4}H6Po%e5dt}_Y;Af0{Zt;VC>Yds!KcWnoz48Ld2&L!fFcCNKd`kq^T&z$f1w%sH$ z9%+ z?A#5`*||KNv~xEw>3eSU9rxKuX8V)fWX3t)@jTx_X1LS$+~_-AY&VhZPriqvZPiQ2 zVP{jo4Y%kNI%m9l&Td+@3Xofv!i?v}OlXPhO8SR3*1t{y_EVjJRj&) zVD6Z4cKI05cJYg=R+^MY6b*MAUTA`v5dj@inuSEikl#K$Z65CbdH?WukFfYRYILxV z5pS2@#hj0bXPERk5*M~@a#f@I1v_Af?%cV0M2)(0b&(-{;ILRMqHAhG0@-y{*Ug!M zSOD_8E>D-Wyg`IobB~icuhknRK{hx+k(x(j7Jo=P6#0z}H%jl2WPPck&94VyJ2|6A z=pUzx4$ss47zT$qqdNmFXLNhKBoA#m(jQrBCSui=z7**H%y41eqe8fF0U1MD(mrS` zz*_*G6DUKgK}d`~?mYe8EH#>|#im*D1L;!8HAF^=EFylo>B%G&9zGpiwgU1(L$ou6 zhWczYb$#j-+jYX&n=N_A9NnJK%^BMhx@CqWbi11rMLs1cox57|m~(yHJsrJwp|z(; z0Fy(+*fh8#Tc}93%7$rS5^k79jV~5Ul-E`|Z(r6m^RGv;4qW+?-Z4L)BfrMXc*AD* zPLrqPt?_X+MWcqs#}EIw|M)LO@G4q&v-x~~|8Daq>IrT%D-AAuI!I2J3N=8+Vv$8@ zepfJraJsTl3G5l`8)*k!tHo#D&Yl_i&YI}4d3A-#)=cfN1P{0$=Bv|n<_A$PGZb+UN%=R*Yh89#ajWY?fU{t6tZ>9GZS>dX}V zI!fP$ph6Zzg`_ZcLYuWg38Ud|s21kvQ8&t2Xqig)T|4A1D9gs><-cJ+kzV{{t_QMQ zhG&+?r>W8<{{k=71?->Ur=mJ9P6Q4F&co^b)8_8uhu=Tbi~0OB@{9(ncN+++$Gris z4EAshK5Nv?S_`+0np;U;_zP0FlNPq)6OovM=Rr%<)@h4>}3>$!U%1S3nLf z;1F&3Y(Q6E;pdP_v;SJ?SwgZLDiBTlcb#N6Qn|HZ)X!T!H_>4 zxJlrQwaF@ltz$ZVfl^%)1WGheiSU&M?MWf~X(NDUQ|CvjDAM@(>;qJ2k#_*R@$A(yg>;Z$`2HP=fuV_yG*;N?Uyg9v?;!M~xZ1dmaN3VT z+ex-Jlg=$1qBcplAr^K|g#QnUT9ZGX4`2*rLD&93H3$K7eFG12LC~;;NJ2WW)hnpc z)WB!wJ42;}1M8Byt& zZW}7`u+aL`#yZL(f}7)}oeXajuYt-}U`HBn2DJyPHF-}Eoo2IyQN=n|6slx>BlOR4 z7Vij&tDCHTlEah0TB5~d-iIx_SXzR`2a-9~im7@bV@f~&s(H~g+CflRBkA&s^65~5 zS;Ua1zI)_c53nxJDUA;?`i!gIES6^>SzFgD0k8H9?z%pP@z2D~QUw#@-c)oEjcC+# z;6Mw1&~jFglh{%4oLEI_)Jh=UQ5hR+1&d6TH2_Ip0~v7|uhSi>yisM6zLb$6QPIJ! zB$HJHzwohPO5fTS!qm?+mW`^k+on+{Si?m#hdMw~rbNXCrrHZBFe+t4F{`S%y0OzU zs%J~iiS?sjuNFuF&dy_ZP(Ng{bsUWd4+aMro=Iygcj?U^M35CC1`;XE$acm6y2uZ& zjWk}5jQfn&($rQ)-G?(?AHt|RawRPW56Kg%!UkUl*Lw7?P>$_S5;vz67G>)N47 zFCi3_^e7N6!Zb;Zuel4nhBXds?|p)-Lyjk2IA~H`zuNs#Jlg1Px^Zmu?mBTd&G>2uNI}R0`T8 z^H|#Y^5f0H7}QcE7`cizzeTZ&zn@=cw42?tJxf^LP~Mg*Nj04-^_<{k4_@BNd$&6X^l|Dw7g8#99sp8r&IB z%P{JLGxbf;Z?tzFP7y#^0CRkqO#G=Xv#YdlLAn$t*UT^Lt^WA%`yZP>|G59WdH3Pb ztt~Avp%lh!*Xx_B)gaR*1%?c7g!mR*oy;$`^~r3kGyp>D>FACwU@up%4*00-80{iY z{UGZ0DIJrw8Tc^2bor9FY^0F+hQ9&Xw;BA59wsE%o}(ZyxZfGe2E70gF3xX{*|E{M zzZs$M&l!xkiMzVae>@&zbEH;BJR&{8{_T}{@}QNXEp*RFpLlo)i;^!KkkfNG+z3nuL<^lVUa1tMHn`d0F}&#cRH#*PNe{Q!BlYsv3;gdlR&%WAo-=PocmA z6bh^;f7Af$maTecR7K=0S@INZEx_GWQ)nq4-J)Nt{)|Pej8&GgHcJ;WXEcs$#LxB3 zRW59{%+e?4xijYCM>^wXl~Mf|Rd0Y|C!3R(M;b1gv;#lpw1qCv;QuHiJdv=8QqHYJ z!p=aO9ko8F(Y3>a!67>ssjbxVj!M;UF``-ne*7w*lPyh(^3W_c7b0zzP zo}L~){PykX9;Ji!zM?zz{k!J_3AxoufB8u36|+Lo;pqH zLV3GiT$*j0qO^q_3BFSnl>iZmzBPrfaB^5_2DK08mDm8NSlal<2vra<0QxLJ_cprqX8gGH zNAk2ZUHePW7dtw!j+R)cY)S&bDWv;qx%c+t-Q(kPG;RC`F)uMAzm5ja8p)+KB z%62dkt3rlvnNvB|+h+6O)c}x}ohL&Fr4if#BLax_J+o4OwfD;Ci0}^%=fEvBCQOmy_)l+GOgOrNW4N=f-nZhSW=J2flULyQ0n~_V`c$lD5cMbAz^KO9lkcuN z>?GHF523wGxJW!<>S1+yqFu{%nBC>^^%WLFlUT&9&;t=+x}BU(M93mC%vc#1k2)1D=%1vEWPYcqR}>2930cjwsWX*nRLgOTHo3tNsd7Kx(I#@y^bi^Y9eHF?JZQtg zcp?etZKiRwOg1?4^Jwruq{Bc7>H&`x*Mm|1;-y!;anBbVN`(hK`6S0s+pxCk(H`zc zVjj{SL439>ql20^ZnR%tmeIcFYjL}=3QA2qWvoV%qKs9f#0Goua~~rWrqZ3Gti+$c z6LsXaj#9+2kQJi{Tyh6N#0aUPpAq7}_%$A@GFEx8+AMuE=LMaZ4l0d@FclmB6dsaI zp2g~oA~SnlS)KrH;t9jp&ju@xSe>TN7(*JYKvODbg0JxSp`UuUD%!Oy;`#pm?&;gZ zJ>70Yw2S$C|8V#8G^4*f)`ORnEk<1kxXL%~!g=Q8!&8x8)|)Xb{|c_U%?Jq`S|yJ3I_0iFcs^r7)^gD^lH=VlUZt*B*_>>v{&Y&^A4~ zHpOnx6?dh1DBySM*9q)|P$UtI%?N;(943`tcj?~wl&o>`1E7RC!o8(9i4Y33MoJUz zZ#+G4(|mHY)CrPShrNUj0XW!mDlIPi^VA0Akzg%Nh|TLWr7$?sY>pmVixalmTAZN$ zYWwdn+4U8ZU0-3Xb^E`<@-DVa*!2}^F=AJ+6Q^W2usys$U5JbPVuh6y!`uMfX7_VT zp>Q~|xX}o{eEIzQfB`=gKYsd#GRSvN_rHI6_;CMN(uM?35I^9jM$b-;H>h~DyxIHw z{40YoUr|8+{kunj!u}^fu>Z&9$uTH+{eQE%P9qFqkJ#A%eP9FhU!_p4TjYJe(e% zgopKLSU|tvMzrl|UvKSDYZwAXwVmS)fl@5+%-9NWVFw*=uR=jd&a-Fk1Mi^x$66kH%lxMB~|)Z%<#pJ#FqkGsagyUb<&9B45_dWABbWufhk6KMA-;4#x(gkvS9i()G!hkrK`C$=?h2xcYEG^_bo=s`1br!#%G3+R+W}sQq zgdTkmoZ0W%Y{QLb@Sxn)Va&G{#f>8>yV8pAGQd+J!)vqjm~Mm$HXrZr9-n3zAhD5B zqa+Oy6@Ch&eT8bk1-SRIuUXTh8mYH!dR2TA&tOTH} z*`3bz5gLA#>x~_5QgXdz;O_b0x95YmBAzk?!)66|#RQ$#iF$ysGW_e^{XJ%pL~(v^-lqQEu+H)#PKRM~^oS&i#X7M)R{2{n_7ntg6Bv2YXi2ClBbfmDe%4n|h6ybAJ% zyetMP0;)4b37(= zrUZz@d9`vUu!K{I^G?B&FYNZ0_xZOe%(>InUKf&H9HzBzmZ=NRS_3x yEQ*@DujnHeX^ldR zS^mQM?BW<*xgiuLms_GW7~HP@Uv4k8kIzdiN9v+U#Zs%0CVCA#p3*^QPRY8#T4bct z8qt@N0}@Sgp_wC}L0)f!S5dRkZMU+86X{n}h3l*HC@hyuJ`epW9Y?Sr`D_f>nC$GX za%pBZ)Ju=g^qJWs^f^!uDPi9l-n!Z!OeXM!o0P`$QWwJWXv~XoE#z6mc?#RpaD3ci zmiF`0&vepY3gzVrOK43X3AQ>{hjwFaSm&dIcycJUzy|ZkDHAjh3m&m~1wL54?)2Xo z74o;OR1J(bMRhb5V3(~1K$g4dCtmkD&G~w;w`I_urgw@w z70HEo2*jOW(gU!P`tiXlfTDqP;k%z!i%DIt{|=voOK?i07Du~XuX4mqb+oc!^?AB) zLRs@+ksUaewrd8!aqX%bn&`pd@V(qg5gHktcH>d_;l1V`A~}*$+TrFjVDSEl)LL!Z zWe)^i7oL7H_1x1B8bD?EEv*|lYIWl`o5vG?!;HZ!B)zrtBH>}O{ce>Ta}#6B4CP3- zi}5)^Q!Ks(yR9AXzFq83&XIX)$_3gvM{p05TueTq+J%0G-{oYSM{X_$TOlEWU`STaJH13fHZo_i@(LeWY zZ?kzdhb%co(OR$xCwMz>=gZ|an?9E6L(e2RR{7XmG^kro5S zZ;ux+VifG1EpyzcEHCPIVX4%sF)CwIIM8M~cLrLvb9)??m2_NfY3h z)3j#GLXg(naye#dZMlY>;rNgrXuMpQODFTQbG4Vo*z>bB>T7IXovqIhDjzh5hsg_} z7^c4Cnna}Z2)*#qdLbecX1f_mAqyN*AV#)#C~S6jC~UMtp(dtv&Xs-1NHHgRDD2ho zCMh;Z62Qu9c*{%PFMjQbab*)KJh$&&LRclfs?%e}@m2eWJC%cGA5QqR?AC^mp}|=5 za7GUof*0ZYJllPQBqMjLbyAU-n3pH=P5QF zy^7;{n%n`T$bRQLN>OcSt(XzjDMH8Dw}ftk7GU;_)TEm6A(CTe1;Y`3u|%2tvf)sN zrC^GD3#3onoeG3g%Gl(xq>Q)-vzvMkbCtQp47sOXhoM2=8TEO!e`!r1emtLd7{Zu1Y=fqE?daFNbya#-r4dZHz9%bKV7EvQifEKJqB_V>k2>YbgcDz7F zxycXIr_>@(tM%=8*Qng%(Ns;W;D~OBpuXYmgUcQy44=d1;%Ieq^;$7U%e}8p58@}s zk01Xp)Fk=yC&;1u&rd&XKK=Ia^ySaD?6&)KuK>{o2vJmC^-8Y8W!w-fi#Ii z6v!m%z`Q$ft_ih)1A)u663AFYTvWH|!7S8>*{7mn!T-1AKSK1Z%zX?_wMXcIIK2l{|w`lY zXgEoal5B$VYI#D-kEBfPER=;fha4PWF;`25Rraes`u!fX*?#!wDa2)y>B@q-kx^DT zP^GQb6fRI=URf(-_&+%3Ux%xDi`aT5ii>V2U4NS;q?%g zVvI_IKXQ~9n!I@f3l%R)xoa|Bu$jiv0A^&X?(C@bPm(h(XUo9P+Vr$M++62kSQc4J zx%{0=gIX@On2`2pR{Qqp;iDP}^t!MX20GM|X*+YV;)xl{ZjI=35l4^yVDgVPm_nOB zhv(YQbHPx$^RwSA`aOP8Iz}0XI$NOQ$Ju%X{0zPK%ImE4M{QizgRA6N4df(>g2`<9 z!hdjvcE$<()gIEfR?oAzjI8Px>o2(zPs(;^-*-`PG-d;07@JnqOp8#}6nT*;cfcA` zhs;H=SZ;89R*^v5Je-4y-WPf`_SE7%t`eGJopcz5GbwF`5|cdJ$arTNiqKG+-5o{n6N^${x&KuC-lV$mfQ4aL?g9V!h?Ejws5F5t`!eX3zv`}tvI zjEusn<4R_HP<%>_zZ)iAy5p)cq92hboxrX{_J)#;L_n;VOL)YKRNcgT5ZDsoQ4F^^ z9_pwq*hdqFcI$NT5s0j^(EiQ8zTP|63BE!|%lYDEe#U%{eSv(6z7ffkRp_k$R**`f zPt>84K5Xu|8%7?v7#%^QN1cI^PCMg?=8u|*8!~`Evjmnq13Lq)d$z~HzUCL}gCRgz zeV{##Zm(?08QUwH$_%M&DpUYrLum(o2n)HA9fRx3IH^vnv3Ex+fe>m(iR^pTpoGtLe&P$HABC?RI094N9^Z`L>0 zt#jFRo60ODOkb1(fBSM#B89SCl!HuFOPpD2-GKum<4kQr3XygcH)I0z5VOvZ0ik&i zdi2s!wt~~#^&UX8C?v8(F-c!c`}%IAKnHL;R=}huu{nKXf(k+?!>KB~z=r8M6r5DN z0>m1^ekyac<6|(l&UJmP^i7bhFxECNpfOU#LX|VX)lpx=f%5SsCr2#kg7$Cd{xsNZ ze*gUK?dG3%n~xtJpQN24kP#w1UZ763-s>68cVT$T%>&uXVh}d~(a(BP>odBg`^Q`XsLcQ+sRG>cTDTRh zE(7tPcHI}gHgTX?F3mlzTu9Tv`uWUP^oFYAm@$>CtGIeOR`!AX!3Zo(1w-z?Gr)I`+Y;8XI?YSq0jZSn>RRg>gz|A zV8-0sH%D{vW`WjR-eo$})lsU^2M?5~tHs4qWY6<49vRd(G${2xB4sa^zamK(dKM~c zvz&+rMc+!y6u#%T-yS4n>WRh=_fK~ZzoWjf22bnrM1{}6LE|29kY0C6rs7|IO6gdf z=^mwkw)(=!7-A(w0g4IoLDH((kw`nDLXBLdB#^KN=cDG$n>SnX#W7*oOt+%(Wy=2U zDF?f!Y{wSii%y%JUv%2+{32imc0J3_yT9n?-CqQmfiG&u%oo6PF1@8aT(7E6O;uZu z1C(jRL>?yXbw1Qe!ezCe;$rIv6cmD%OAL%XDx3Jxv9hK; zljQiIkuf19aKYcM7E7wl=Jh~Sw^ho`2X=?e_7OKzFK9E1yyy`;@aKN{(5+6y|5!Jx zfrAw2r?rc=t>H|QYSvkRF2B}3v1xjaG#HIKv7d0n zr2r7U2DM_tqY-+PXZj0Voy)6iliEZ23b@)tQN9s9CTQ)*AgZ=5my;#yuZqBy#wRnu zi$sN?pO@E=PqsViCm%&M#KQU-HJ5lnXQVN{Hpcj3eJW4jvgVEwlBlDPBz;Rnt)z z)-R3$%tdpaB9zJ6D2F+RMUw|GSfP9_8cI;;5BHySolJDAk5gWd?30{g#JhuS6}#x8 z6}DBMr)-OAraTzI^KYchGxHThg@PbhzPb^@1q=p-YtT|wenfHF+bB+pUTAVwG7mG* zl2MT&zpUqkr|tlIHZDAwj3f(+V3b#j;-Mjb1+ougEpr&Ov3IGA{#hZB#8yAaP z1EeIsi5C@=MA>|;#UoN~dnV%h?cX_f$*rdGEu0?ym^;%x6L`wtr$g~T=vIa zULOHaOr%=}0deUT{Z)M|W{M6>MzSH%Bcs^;$foqV2-3a5emKe=5v@#}gaXd&g@ACn z1CsHGgMu(V^j(2fY=H=tZcY+zN5~}`)Ab+|jGMdh6eM1@c1-OW&_tlwa-c%4oheGG zgvK_nWkWWvRltUb!Qt;q2%frQf(?xNM&#T=mQ==trGYr+_T7sH|DMDoZWJua&|jYxXNn&h?H4L!dE9)2>Ah%`J`g{$Z?Z^JQz(;$nq5e zwW?>&Igfo!7vG{0y;xvodPj)NmPXy z1>gel{J?|Eb8Y67DR*I1XwtDkUCZso1rc9td{}nVXN^3B_ zK7ba3kJdH7_=fz$r3-D>M6zTG3pYdepq;a0AYY2m7ys|CO8^o7sXCWVkT>Shj9$g~ zA)Q`~$|sC#rJ7`c#=jm`9nFN-&3m<$8_iLkot^O%TVTJTdF13 z7e`6Q6^TEfc0yK{x?I#~LyOQ8VaCwP5;C24d{IuO^QZHxnmOVKTq!Jz=!etZH$mP! zkIU+v%9jTbp*VDg8urd-ekwYF*-2l38DXVMHhG9Tn&@ERoRES)R8*ZgBNs3r3GOTh z3Inn!#*s{#Bs!wB1Nk%FMqg(Inqp6DSGwJN)ml>I;)v&n{8 z@W-1!=Joc9g)kF+!_RR(LDpWA4wh#NWSt^he!JL#ysRva5C=4LlryVW{|jAdBL9g(k`wN)S8% zBnl`&-Y-j*cE3}k7YurYCLw^`5|9AUFNq-vqF(~%VhV#LyEjA4c7O5y#qEm^FMfXU%Zs}g|Ic&ZWmIzCft9=% z+`brmcrp0-#o(70gS!`l|Hr3A8jPh*i&?NTFuGOpQJ)dSLmdGg9fAV z-W}Bk_CpRr5h2Tvn~MwW+sd-93t+LR4|4wrYo!`C;5(=&NdO79jA{wDRH8c>ZOfA5 ziBQ6~@V!Zm+wC=?^sF@=@Mv80di)69#9<9lLuV3J$K}B*isIwhSu7#4)9xP1aq$Sr zi>PVm!9x{}7EXoFNtl}8k=DDWCzEO}nN-PE8~(dQUOG$09nyvn{veMXT)tp&ZWZ%& zvg`T;L0U9f$48H>OD}c^JQM=e9vOCb&78fwe9ahL|H^RDc~NJGyvV?qre5x)LA@9C zWMuduE<3OaRxb-pA#eND5My#;DSDl`rbBBEJ>EXGf4sl>gUUOiBLr(>ltgr8EyqC= zilG|xo9;lqRGsnorU(8?8SaEsq3W9+%=}G@atVtoJI%}CG=)A9{%#;Hpe`OKoR~Bb zL`Wut39Dy5;0jqJUP60eiN$XsWQVM#XvCphYu(}0><^zd;`WC~mm-Jv%qHpx>^&ou zOYDTx(c#B07Vw1si&bHcm;5D`wd#wtodZ5E$LV#E-c7V$;YR5>*`2>>} zmWToZDx0#-^s;i!)Cks%w$OT{zwldu^#~m&Z84DTp2&vEsNOl~uguxR3pEz?inv-k z!-k7F>JndCYC7@+Cu`n4_QVBp8?tf-c^2gw+6*hz0s^w&Ac13H=_GERu7LtiNzs#u zY)P;!p_idlKzL^CRxwl|mC7Wg7TcJtK1UMNWkerzSl9qeM1%_Ki@-|BsLk_+YK6Th zsDrU9wHfl3(XrS_gb{tYfktC=(Qq}Sv!sYK4d5CDQNn0NziES?>nBJx!yhrPROXdv z4s1q~a!BW0P#SuR*rHel?P88s*1F_^KC^ehub^j#?W}AF$7|n{`o{H8$xQtoS4i{3 zV+V(OM)gQ_I0(?WE(bXv2T7ra!`I8X>?yG@|MUfG!n*=Ev4-D#vyHUhGekWo6N zLqW2op*pTBLK+krLiai~qN-*RayfcUsC^fq^%*?<5*UG` zP{i)F@%Ic@(zD+lp}AJAc{i?yuj#S{Sv6>E>k-I6|NYTAcH+`e{B>hpdZvQ|a#2S- zYbIj=KD@yO<$#`lVje2yu5DleQI(QN`FQy2d3`T?>9Oz79>_{#NjN)XE`ljeM ziIDKR8;7jB0V!kk+seznPTkK0;$oO0&lPeYjQk^W8c&97w0U;(J56+{2zm2-@b81Y zx6j#?k7XSHhy2muJ)|Y&Oq8Mt2lMtjWT@+_qf>}nz7`h7R&g8?8W9Ht9-M0*hXnqx zcCU!)>o@TH@icQHHe6gdm6ri*Hs;G{s0Nx_7b+=p@g}kVV&>kRj9kq&No<>kS_bM_ zLWNyfV?3D|Vyt_32u%6b1|AA4JXq>HPBr=I>3X^Q0SLo5R22RpKM_%F=Epdi#i=^ zCuVmKyjq6xcFtNxq7nnE3a!fE>!GZyOb{}(Eg6NHkD2K+8hg-CX5`_r?@1K3`_@7w z6SgrDu~A{`{8*3zG~wMHUnmh~tuj^CdPOeMXrAQdp%Fo-r2w4|RwP<=8rPv4+9Ew!NvRGLN{|ZQ#yj|d2p#-&~iIoxk2o!4NW=Miy zC#68@ET(B6oSjc%ns3J;CPBqvqCY+@PD*#dbHCd55s^Fol9p0%OWHVSn6T-Jy^g zl3`AkAZ6y83TgR)sf-IjIU8LtEbrnJF%4+X!6M{YiG^~^nM?K4a$jdVsQe=a`!V8| zTZl=M_F~6CzgskqRMz8Y^LQr!o$_cPy-e9h;7)&8FP-xAT^KxUivabGwd-J&B19!;1^Jk)sm3l)&Pk90V;8_p z{Bs@%&@)T!&|a=D8A5QfI7cKT5}qB9iazwbXbGA8v-aI@4A5)o)tQqV5X)=I@P~a^6nORP`J#%H1 zO!-5@IV85NvoF_Lfh&HwQu2hVv8sP%^1jE`P_-4klGVvxZCD=Iw%V(F6{C89us|+@ z5zF>dXysrl>p}q$U!gwVs2e z0R{b-{e#?8SDv-|2BAa_p!Y!5dj$!WMYc$yD5=!JCqcKF<~Me ztYt+oFEjX&UObx5NHbyugMpG}TKYhF;RHxs&wx`#XIQqweHa}OT&jOW06eoenqjKl zimnAy2?kU7)C|>{91ug2Z}%uOh7Z$35`D%vC*wD0?y02$Qd+gAs3-u9-leh@Hn^mA z0x#G^Q=2uIQ`~T94vWr}pKm_hvi>G=l(z`{7@)$YFoDwrI-{|};UOII?el?5jJ|hb zm-5w!w`PhIKar}Q{N3DE4J@Rr15bDKXhv~rR@b0DI+d#I_qhnksF z5&B!Bc9zB*kAIke(h-wCouc`{Xg;6b{&hS*O!p&EA#q+$gC%FEXI!4sladi}YtuRI8)HLvysd zK98?LSN-a2cd`Q$Sdq@5?Hui)%HMY%zZ>9RLwtteR8iYELmYe+)X{|I2aJFJZ}?3B zMaX|I#D}84!*4>Bh;}tv0&SczX4iCSxcG;=GvlN78y^dv87&*#H|liSa|hWuD!C(+ z#%nabM&K;O8je;m%{B1NM379RTmspv?yG{{`ixFS+?Mj-$*#uKPxO$7|!V-P!XA>!GV0g(c+q#wIWU5%O?J z*hLgTaXX<9GNGT_pR$Hnu^VA1u+VaV5t3`aS_!@%wAX*Qy?(iAsCu0+at?1{GFyoz+#6Y-j^ouJ`H%=2a z#KgX=&N%ET1AsV!dn{1(@$adObhMXUarx^!fSlQ6m>0 ze$>LnxOB}RS$V`Ok$7ZpU7tytlD-k&&-%FRsu9ufK+=sHm?sP>Q$!i1r{$C!z+OIp zKB#rhOtD*1u`@!9h!Jg^eMKt+U=*))@S-rJ+$}MCA|DK<4o-tc9MCKg;0o|gM1qow zx`7U4ApxA<11RYA1?F3*Rdz9du#-Af=c$0Aj(11(>7Zqu zz^ig~afXBfO_tvKKEEhDy~@Get#+>it`5K30(glezf8bso(w$^BDo zEcThxr|`CN&hV^A9hqpZRM#ii+FLNQg}Nxh$c{DU*F&B=cM(?P7*Z~!X z@I(D5)DPjIK1tExRN_gNc!e=)tu&y$l11LteR}RBD~hAYAT4)6YDWOniMU20ecy;5 z|L(ZFI<*#)x}7&Bhs9eLTkOG<6&`9-;xm*A0`#q?)gn3%wYD;DZ)VrHYP*knZ1-`n z-C@NbH-+8QcPF4B5wOkW_s#xR9NwUF1Qs#IBJ2fLQ1?$RSAuGqpmCwWd8SctEJ!YWd#eZ4(FeFF^y2kSz3u{0M= zi*-R+j5@`K4+#}|VL#Ek@-q+#ESqH1pi4sqm4P_)x=2K+ELeKg$;#085D^@RDta%c zaz>Cm+Mc&8%(Y{bmJ<~i%Ju0b17S>clgTqI>*d+i9&+gK;gH2oe>c@3<&+ZJmq6T< z64O|ge3nvUIKoSgZi&*ue)Qi1jXXZBLNiZ%Kxv4REZ->fR8L`u^i><%SUX@7;=-GJx)(X^qF{( zrwh^l^u$q`drV(?IZhTA27eH%IKO-i(Z+jpE=LAb49Zj1mE9EcPia6U_8AnEtBcIO z?VqF%Y`Vt9dOSvtTzcmMfp>>06keI6B(Bca@i0+?0Ig>S798W;HQ(-lJ|7*tMSZ)* zVXx|#e$4z};uL$>e03to)sy-ujHB}GgEqZCD2ez<&cA6aFJ zY^t1ji%^F}2!Wrl%i3UN#jDeF&3Sy>@#L>kQ=^BfMiaz?e|Kcf=j0QVkj_S6ea1jF zrLdf9yxp#-#2KUc= zGfd@cCN!>`>Mi12|AfM&zcl^}0=D!DO3COisL-Lm>;r0b(;1E+@$sb5z+xDnsi~)~ zN@_=<=>xbBkaRLeL={L+XiJ!7v4mjeC9hYh;hAQ1$OL){d$dNzU0RX2XNFR+enI&T zk=Y^+bhNU<&ERrjStE1{D3sg)iGp5X_x4OXow>wkxbFqrvWU25|G>RH>dPC`b=I3g{Z0%+1WJ2Mjx3lk^- zi=yO%sc=DIFQKE@($tGTqxQ2mGtIoIvG{ZH0Bgi65WZs)pLhj=C`XEN5S8i0%0_3y zkyVE?t8ZBzJ3&t6q=0*b+Oa5WA}^_p-#vWTbzka+0JyBx2?A^r?IydfC&;xyKhDTQ zf;*yZ|M~8ho3E;f`YEMJENt-g;zSa#z zXooN(bUea#*|TY@H5%?P!_hr!s%1!&Gyt1jdMQ~l*@Qq5ZF6~nGXVGi{*##DWV~Da zCo975vRbCb%Cq^6>=^M2^xGf;M6?!_)%SsB<39WtBexTxCERb{2f&4581q!0x+UmCh^f>+yy@cJa%|ii8GqX8+fD&awG}Z^O+D;78oa9PzCJz{Ha=;GDDvS?QNkt@#m01 zd;CR;18q%(|8pQ4iFe5ktmcaV7i&%_pLu0Wq zN)yen4nXlykkwSBV}cT0o+vw_)u}|u6oi0lU`4yUM_so*gxt#${D9*V%Lmt~UiPEh z88pVAennt88r_jBy}>=?S-xwrhgB(X$-5@PyMoYzs}b?BCl_4|)S%_;ktAI`Cs$=j zr^9|j4lE>IO1E4awh05vFZ{3N6y)x=iBGIFP{gfAo1|<_3rJrhugN@ z+xzu~9qL~UZa$(5-tGIn<~epojyR4EeEearlWUbtPDgcjl_f5k&}W8SgCVI1j$i#bTF4%W1bL=ixz-ogi~^JP9q+$y+ ze_7TPh=FiH45uBL?ts%#?Q=$y)Z9XBnLG$_Ncm4KWWIemMLq{h#ghoS#YDqMEGSA) zp;q^}*{5=hYEM?~$rG^J zgZ!-m$_zqI>U_IzI=h(bGua2a*)mO;Ez_b+?(KUBEp%p6g&Ebk&(E=I;hATL&^(F! z`wOEf7Px+;1EwCVs}ne?s7W}+Y|)3hF3MH0X3VQXS@qpzqUGqJOvd;bF26ja8Bg2| zd>&FMZATNjOQY&?rbiLr$6eT_l*JN6X)bHX=L7zwtBcE@wg5P;_TXu{fB5?C-2?d8 zFJN6|#`xT0mWU}iP^emgR4lA{^4e?lPFf-KABNZ4u)?uK1}i_Dz6{6Gx1gL*IiI~# zxuh9bkJS2P4@kDAlw&~GLbxix3j}_)CyXpPL-S>HykI!xdahKv>ggOG{(=N=OH~Fq-upuvfpwz+xwlYCc;Of{gEX*W$+O$JACx!Y;&|g52L}J{nlJlo3=ktb~^cEnf^tc zMGpp4UB-onKM)UYPdg0e5TZL4>mgw`r<6SYe6$anw|B_-c4dMbS>N1RbQG0}$H)Ed zy|d&y5@TI0EKe6b-f1MR>Gi18#Ki^CzOSD|WPCYiM8O=E>!0Jk+fo(bmrbY_WAFPEW8f>)76Cex0R}FZj z7U0RGYn~;Lf*N#J+aqO%@v{2gta!=nnVx|Jxu)x44WEvDPC=(|I~1c!j&$}-7}B#$ zjWfV(FxU|mD7T8v)Wv9=g2BvT(Zf|kZ!V(N+8L?v=k6TdRCh}#mdkEcPt3}Tk;Z8g-P8oc z`fv}*&&%UlN~h|28gb=L!rG9&qo41vn&ODc9ellW^cH@I5fMNOhj7F3@!1&?-qr6; z$X%3dJdOUl;=$2h*SqBRv*dT>b+n}duhT!s>)H?BJ~M6a!`(+TU-(sOC*Ys&|6M(n zh$)8+4q?VR3PV&;u*OYaZ$IAN+}|dRoA_aTO8PfZ4}o&ga?ZmXAnyCy4`>Jd9tj6_ zWTKRj$RllYXD-YJVo}xA$0o~0`Fb*>F1P*Cx?ZD6CoIa;T4YKGAihtn#hie2jhdii zMl?!FYryE)yR_n`8wjt^Rjp+|K1U(u7+<4Ro zM1QqxL55JGmppC-v@bWh1+yK!G5V)#f#coS-L#7^k9F)JaI!fErW4{N@&P+`RA&;e zF19wa9>u9Ua>a*51a!@1S^_-7${f#!hj=?b$ccNdumUKefCzZ8u`Mtz$$w{m0MnL$ z-0A@k{X=^fwddbHer%z^|9boG?!z69R3rhdlkt;-BIbaaOv{XMDIl^R=st5mO+v8> z-3Jqe%=6DnfYpX|HStbRd67M`S-ou0b>!CvBq2SsUPiU@$AT$Ji_k5t{lelz%GX_n;)cF{ijE+0Y>i~@{?MbASV^UUT6j|n4=|Md;iBLGUl6aA0PJc`-{6z zzkYo2+wIr8_sm>F8B@Sd-~E6QTpN5h_zTAe-@V|kxH$OkfB$d%rt?F+cbbrCz4yY= z-30Tjbb+H%TqlF6JBRN;;bJYV0SJFL{bBqADkKk^*>K8IN+y|}5B_7ZJ^S13r?1Cd&ko+g^kCL~v|Bm!D< z$s30N+02Fjy!4kJmnQjf@jUtc?@Kk?oHGG*M=QoNkuzAejNd_gH4_PKGrE@03_BXD zi_;7!rPsx)-x1}`rV!#hnDfd_=*K&Jj*~B5kD-44SvC@I)quY2m z7peyjOXFUw?l!cDo&kS_+AvqwwN!_q7VX9PdUJ-h9tqj?PtsKva$ap$)DGb|4!`Bc zB3zCW`i@3zsvZvtk6>Ecu_vWlB0IjlP-aMyLX>g0I>9x*W#vG*Z^bw?MXJPKujJ95;n5f%!rm7!W&t_75McXf z)9?^I=87W>zSRo#WxH6e>i_C~Pji- z4mfFz66+=!jQahebFSoI*igoNf!wB`69RU9YdZtQ-j?H81zGzlq^&5tF~=Un)iTDj zeAzglhT4T7Iv5w*pAc#U9wY>4Jx7;b4B9i~tUgby4Jx*zVGIT^RE$k|@$eLfNNJGB zO{WujcX)gdqVjj9YcuySEsfk6(``25O%iWNVcR#$ZCXg~ky&~hWI2l7A$79H1Q^ww zCkgM&XZF{F8tNXuVurk*eNj}*N;gk^f<8nksmK1UmLJ$1m+y>w2dxfgS2hokG{KT2 zpDP3l7JKpbp7lHT_W1LE0)rwF^}Ut!yrJ^~i$FaRRoBC@fsoP5Bg-cPOOe_QLA`K>qv7>3 zR*^@wS$q;KmwcyU<)YT}N4$G&w08gMJo^S`iHMl7%}I<3#E}U%&GNI+@|j27qa&FM z8Xl<}s60()iavB`WwM^!mkpPZcLUKvLBgHj#_NNjn=j9x*a>y_?pC>QZ1R0HCSON=RE`dI<;Zm zPZeD0^S8udVLB?f0o&-RbjZsc<%LD|(^@P%Et1n|jy+HC1P#K3TLSom1%_M$`R%We zn8US}>GEYsP&;TJvVVv#ld@onN0lo#|ET#xJ)a7qb8dThzC31DO&2oFE9qICY^s|r~sT=oiyc(y%hUinVC zI-s`TIr3F|lGKVhTntx9hgn@p@d&%}yBrQfk|T62+xF~YvE}KQe1U}LLe&Z`hE&Z; zF6Q^iK0s6V6|`m$bpt-n1?dDk#Z4)-B)c~RHEcp ze44q~>kA|-zZm@R!w-^@G#?G-jaI}MHb@nPWIsUD|J61OC;_`)0qI`5l1n*{mIP5joqP`WRUrL3dQwMLt?~ ztRY}yY?tyPo#Q!!?VHAC5RIbo>=oJ>9bbYgbd6}8OSzY}G52ofwuP0E3{1#Xuculcp8OTe|eeo#vM|5R8KSYs2E@>>A=Z5u3Lo99f9>G*5>gTDm+6OLyZ?%NPw8w)AU4=rt z>sr-(ZwQ_Gu#j>==j!L6t`D>v!M0$Y%HRFCloN!$@D=rG@)cDr82WQ^%1yza4yG__sNZXl1)f8Ni9-k!F%<|XOO`z}987?-$igqj$QXld$%uzD6eEFe zW3NBtfiqU^Py)EkfG^Dq#UtnRv#pm1CfcCpHyl=Y_;xV);Q&29_NSBmIchvlru&my zG?B$AOis7A@W?Pe_3of9mA{5K*BK$8c*!_%!36dIn-|4Zo(|>FNxH+xKaWL5Q^5$O$8^-#VY5wLYk~ZS7s5A|bq1-qnP0U`)Vx9RsDl<1s(6f*NW8m8jb!HTowIKG;qc^CBl;TReL< z)o)f_&^lo1hLIPdIKTBR#4W4T>EOBT~f0uiGO zncDrIcAo(o)yvKuyMuBo3N59V3^AY=ws**HS(_j?HGn7UM+rs2HBRHPrY0O{^W7aH zhy!Y#Tq{me6NhrQY)26>ms^*(EFn7_rRW4fwiaVxs8%%NF$#8Ojbu!V(3)zbT*YRA z(sKbBmnxtYf22~^C|_hOPnT1w!;DEpxy1UREH?gE)su^;K%FTo9yObfO;8XUXIH5%aTojfK1bR!&*Gpj%jVZHP%gyBZz65DPdZSs+Y?1 z_E_vQ6?_dEOoFqk&$Jv)0>+R8FiF^nQJx5oZ(@z zy%XAbNC2w0B@Ku&?Hiid_|9L=XBLr$YEb9q8;iZ_d1e!SWEP(u7_*+e+M z0od;uuc%FZGf6;2_XR0upa#eY;b@xE=G|@)H)h>#_Z?|aT$co>7H-?%Q(A+RDr^iD z3~38JL5)mXaNydi1Z9#A=(lSA)B#*b>WiT*%k~1r+q}y6B+*p7_?ft-!+u1bh1zGV zq-`EA*0J-La**tY;yF{|D~uvR=DGp zgIuKCa7~YRE!+u-B=sOE47l8{eNK3WtH*iup#brF36ml9#Ab@5mVpSFbUK!d_4zNa zw(Ar4K(7!P+oCjM`|!uFD7$y_GpjYW|G4}7{sn)zd;j|jAK@Per1ibdV>g8NW=;yC z5Wumt?cXR?<~E}uAfO0Y7H6^dvCIeN7WjEapi_-x>Nt<<}Py*?jx}RVx&E9+Njp65FIB_w;fd1)BsE zEYIW|h+Vw_?e?0~n>lJ*YcwGaLY`_xqa5Rp7RSbfO>T~BTPqmc*QlXt3L6VslDwS^ z!E&VemCe#)!Wiq&?j*=dgz1>|jr8ucpjEWK8z7maA`4U5kr+okCAIXr(@6JI@+cxO zn7?ji9s(y*DNp-yF6G)ZujRhI{r%m?Z})e<-R{9zL4`_sTHSp9{N>^1;dUvbg0 z2#ySbdWA&9?a@x4k`$}DdV_qo1=6R&VXBT+q)&aG9w-s?!YB71>)PCC)eXKsO8Us@ z0!Ly%qi?6~W@UmbpMW`F*N;I2Lg#aIk$ISr{R6pt@NBtZ z7WD;O`q%92!V**C5&ODSn4SaSrqrNXx?rH0B1WBNGNyiM9){rPZPd}L-aD^ha?D(? z*h*H?c(PN*G#^vzf$BxQyW6YO)NXVueacuG?{`}tsBoq(ymiNXL(L+7V;`{nG^`C! zn_S)ASsf6pEsWh@Pgu}}182CtAof&N&l$W90!9+_ z2k%hD?7`e^X+q5%`Sbd4<_!)8y z2Y*#-mKK>x9J-J{MF_hXpxW!$ul2NO;gV> zn~R|?ccv$h=OS<5a!rv2)6_PAPDvM`5^f^!3938^Riv54?hijX2YM>#Kn6$JdjJ>h zOq3<{Kqy2iGBE*_gf^%)vv>u%W}mBP*~U6A@}OV@xi~K~Hm6?DH>~yD=n(SN64@Js zJ!(j_QY&MzJUKlE2SDj3vL|tMJMlfeYXbJ=r0-D}@h7baS~+o~I`O18E&W z4d_qChNXN9H!=`$v27qNa;V8-i@u7AYd3VB+lt4}|&yLqj`IQQiI*|$}369dE z-MwC13T=Q9@}hA;qQ{eV3j~i*@j?yAV+SqNkJXB#k z^H7CA1eUCYzAU1o*k`G~qfnJsTwI%16gISbp`*~+x%miy zB7{0FM*EJ`!1fj{tvE<`AO}M_S zES!NIK->WQ1{5tBR(?*(7M{8~S*>!}o?h0;E~M+wTCC1eA9v#Jy^BzHcvPr5(!dPa z4fxGPuM?Sqc2atVn#Sqo)h3qz4V8`;BFMdv!*2dKqOc<*;ovU( zAC!Z0{U>nf#MQ78v27T^D3ss@F{E|rMg|uvrDy>n_v*@BBPI+Z3xT6FvZPSZ7U59# z%R)lx?2j#cLL>^eF0cs@Y+##!F`~>?mJ&RrYTe3_oXe+UoV{x6P=Mawe*r`P{2tZg zL>XB$;m}wr58zF92?3ss&VsHC9j^0$NXaNY-tiyC^9mQhp#&pIE63`3Xv(m$e8;9{ zhobPunjBC@(y$i3JP1yW9tqkj(q`|iVSxz9vh5hovw7f7YFX*PmauKHiGl{7+;3Jzi@@(rX zjLTuBf(lDjcR=$9^AZuT3=c?=(KQw#;!5X9)9eRcO;%W~x`|||9S(sVEh+<( zu^WX}QQ&w1WAONO5^@BmgI;r{#eGa?>+6{}EGba~x=r~Yi4GF(l=SSkiu^B(%H8x- zNc0F}&rZ(4>Q8&3Sv?m|q*EsvRB-O>7_*RXYPZ?xmdmtH00N49 z`bw;`-zl5hA=MmJMOYsiwwBb01uH`)H5eg!0JgA7jP)@J@?27<(3om*3K!Sf)gn{^ zt?5P0xZbT`9P}c}=|s=v8_ZHolPVfqE~s?IcY`;rdR7vV!ZVG=spy+3Wad#vNzzWy zk}etXqgDH)kSF$O*go@Gc#z_c)mNKN7UMrtgzeAFIvD+lS*V%ESx(DQ_)XNljnY&} z1)!Vh^Z_VE(|BaWVDU_gZ>F6L6Y9GN*{J&4r|7{V=T8@(v1zcg5ee^wc&6^I7=;qK zr7D8Zd$L|vS|vKo1!+aYfzy=OQ~ZeaC}BEtZTdu@JavCj0*aH_-*)b=6_|*;?c9kw zvsqyN`rAf5L0i{>rq5zavFd1ran$FL@SX=RvmHg88XgE3jAndm^+c%NiIUM7Q^o>4 z*fb}`5=X}{bPY2%nsT5pH_CBWN3E6%*avDHhs6&V5;{dwZA|xkAC^uHe@u%Or2!N6uQFEI!`dv}N7JlQRNf*X#))dh zIv3sb@ps1Bs`FvDnxDDuHLkS2NVs*1$Hl%FKyt7M!FP*C6eaEa6>!<3+lR}qcVCcy z^~cfIFW-K>`}{NF755m4W+yd8{#NL0Btm0!kQhp%E}&)3%+V-TdZke7pv37$(eWEwzAL5YoQ-6zU%su zSPViM&<+~ubaZb7TvbWt)%I7_cHwj8opJYOiHH|qJI z7&1!mwK=mknU%|Nv5G-itN`DIVjL*U*`&k8*~zIDBpwT(ii0p1fq#alG2LPLQab@t9Z1glW7AYR zmDZmC0+ox&GCHYCn!mg|m136Gd?XZkE}_UVT|J!P=Gnb8$1ruxY6w8CQnmsEP@!5} z_NVC#%Hwom&QLY66Q+hzihh^Qe6s$qbcAzjej13WBrZ}+**7?6F@o~iY!Fd`@#CUR z^9jlR(@?32^_s3<_ebrH${SbMaKg)QS~DFi<<{M4{#AYVlrLm7Hv%6J+Gbh=x+NU8 z%Om(5f*S2ZtSMKeiaCy~tqcbMkKn+p!D?w)zUIzO}g670&%l;E^L=}gLK3f~{Z|QtezJ(eCseuYF zy1t{|)XvrJ+K}yt*W7Sv;}CO43<=~Og0W<}0!@-9LD))nS5Ki2>9?rEVc9T}SdAS* zs&e9%&BSG{#3GCskKFn4U*};vD`S-%tAQqJh=p5j?8$(M>v8s38HfNIdRlA^4cWf!TFkR6k~7 z83)T~MZYzy!ZrLaQo(%D{Ae+&xa0*7@arb*Wm<$?-q`Z#jAt2q`XpRJ{^_=0e9vx{7Y6k_V*b==AWU_uqI*x-PpTE zMecun{x6c4`TRe||5u}~@=A!VUntp(MVi#f8oPUs{=1}jQfzr43*+KYus^NTnR zf&p;WVw4VTmHqi(Uxf+P1#h+&>sjlehQR=SSt%90+J^~678-as`zxpm5}{co2ZcLV z3n_F4bkivf)P=k_eZBZ;cLB@Y@E|3!$^=;lhb2+WrxJ94h{!4kI}EU7ilhXtceq?h z48GKRlpkDKt!zNO9g%g2i(0x^-~ml9>nn*sO8aRs-{p;~h24Qnkd?!_=Ni=sf=Bj@ z7HM%{8ajVXPT!59_lT7+Ew=eN7BxA*Nm(k9UIts050V-kjb z@1J;I#G#WRAkGd5I^x&P5bn1e1xZyIt4ONaEG|I>#1=v?6d*d_wd{)t*-rTY7rE$V zQ0k>qfjzsuwDr30QukA`MMn7QEI@-$Dx0v25J$o@hx9~bC)y6FDjUi+hpba(aX`VB z_sZ<`;eM{!tB5kL!UTzcfb+8lMmI5p@0-> zf85m0NM%dAb96%)Z-nS}n2ba-Q66h`bq!XELF6)B8z(8k9}o_mvXjoJQaZm;xRW@@ z1l@4muQsF~qIR7yL^i<=#APr#n94p%a)JMea-s*Z8opqMRLAW8*jgGcu4%;t7L*U+ z{Q^G?+z2kc^iYQhXKA&Mo*DY3r3S&pWuWY}g*1C1dB>1ZKz?+6x-}zE6L`Bw9`2eY z=K>kpH(F{Yg9%8U%Dizh_h3XuP#LU{P3<1?QX011ts65*Cr`x&#LR;xy! zYeAkjc%Ar8d4`JEk2Kt%GVH7&QKs{I2#3I`#om@kX-1XdgY;`f|6VVC3JaCo&c`b2 zRGXy-EYNy%gNx0PSSP8n+ei=`Q!LE^zTE)(N0pdylKz3|q820}Nc#)7Y>gR;mhM5~2poc>bUmpoGnEsCyr5CDWqZ$n?{{Tbc3VhRlmEbc z&YAO&EJ9^eUmqYNY?JlPyWkK;`FHGFavT?{zh9rQZZ1yfA%dw`n5aR+Zb_!!`+kgU zCVx#~7xF`inrp8a-a)xX;7d~HTD2vF$_7Yc1W3Y^kxtnWqCN&p#~OI@o3Bo7oB$e%+j?6d&c%rF!*W*3XVi?HZ`SST^ z>8#5`pps!U4xJ&9j^TmC>BYhxOh1r#>5pHcU~I(^tLrJ>g&&(%1Jg7*Nd-1~tpJQX zL*cGz*tWm!q;l zJje|Sur+X)VnWFF-63iu<9OXcU37dQBD0y=D$P*!cZ*uCl)Z(6klDOMGg@e{rT0T6 z6Lz|1z|T!NEbl_@c(^-ron3!J)*3k)%0X+x(nluv&3o=6-$4Q9J}7gyrY1=_WP}+N z7?FJDcu3@l%Ra1TIzvAmn6UUu@E8ysT@fD9S7oUwox6eu#EaVem{?LKxedZW*#Ol1zZJB+Z&9>9>QnRLr9EhpR%ldQ(aL-2lzaQq?2$ypC$1Yf?f&gkpmHe ziB@&E=_JMj<=P!;zmR=FNc#y$#%1G3tEsCp#93SPOm|#9W-fog41M9NY$1%=EswSk z<{Si^N|Ay#t-Vt6A3cuX1U&=(mgTTKD}`hkNa%B}1KG-)q$GyL6C2+cF0Wk_*vbhc9*s+onN=={}`h$rt9C=7uLlVW(Nky1UA1rDiF||D;=yb;PRxpYD?h-iMe)x=p8I!U_Ty=_A4m21h>c&ff#s(gBL~v**odS zHkVZ+^m~Rg{~mEajt2;!Q-cdTpHw8$&JL`vf~dRTvXrR1q#6Oj30s=`*@GC5WS2~3 zC{)M2Y#{?)u*O5@1Ey8gX2|mDkyj{>D!rrao$JOsIt$Xr{^-Q(G>`N)Tnnrh`Ia3q zHy^ah7EQMCT<%=Z2Z`cw=UAyp@XBUTYcH5^OaeBZwOcYfRn+QVOBYRY;5^DmgCmW1l=qW7#Y_eOkiSq=Fr?`W&H0m$_t3 zY`Gf6+)5mMMfiXQlqO5cTUY1kJ1RVgYUC%#U}F^R56!`7crcnD?C%d}^JcVv`~B=t z%yw}Xq87;Y8(DQrN+kuC3y(AE9`{i_?P=tW_&gD46w;oU1OT-gq7_NfjLJbPk-zr~ zNRBoKkI6^Q7@?$le-S#FA!@jy?0^h;I)PqS&H!3Aa`%(f^@{GK0!< zmwBo^%m*Wcapc%G)bQ$NBPf#>{Z|v_5Pp!ZfHF0S&8}Xqy|;cw zURx^}-n>Ow=(4CGp$ZP9N$K5+C|)=2={jz7eK?IRhhx{dM7IN%S9rfWkW*V{JbgN^ z%Mwx_?ctuTB#k=K&h8V4LS0Nf1HQ18VOd$RZ8{0*o@bzRfUJjDNjI+tFdj;0+Af&q z{pGZlu$k!yv4AorDT}0=@~S^;XH1?Hbfb^4XkYV}4U5R4)31Ziw7{*0Ns@Nc9P}-B zgGBbHiU?v(@LW+bK{d4i$bp5B|J!9@Yk5W4Vgg?xiKs@%}aw-}ZF&9;+4JmAYS&rD7^uV+KSqDm0u*yP&%VjSaY$FVlBb3#sF|S=>?R65{rS)$9a>X z70b4gac~u*jd@F?4JNrY4LXK8pDc1QqAP6YAxR~qcbvFv-$-N#sfSpqsK|0Mn??dF zZC4CM3unXYGQ<@gY8dh5awPz_*3oyGr!@V?FBj*N?8Xo&{S6; zawtgk8H74q;aZG(6t3<-u5fk6RWl_8W)UYu$t671kh6nh);q%M1=S9iS-e(!Niw9e z!PR4p`byTD$1)$xxk)V12o@N2-}yo|S~(W=c}fSql*@6G>h+AZFx|B?kiTW;gLZCa zs0=^T3J9XOfy@?{5@ib{iSa{9-mIsrObMCOjL0#B${VgS;t04S(`ND8!>9J{9(a?U zR}_VV$Mxjw@^pj#SXYQwcmzl1moT%A8E^O<$U00{G#Q1%Lx1^kX~sM*o+rQmefiuf z7pf9%JUvHT5-tu35nqvTHPrwx*hcQuS_i zxFTgF}@PXu8yin461+FeAyr^uAGLqOXOV!94!ge7JBeT6aV>LkaO(cRfS*SJz)OVMvjB@Ce~hC{(0n^3J)Mn^St+V6%S+4asgwZMAW#OU z!x?Z#q4Izkb}TxrloYJFEbt(v6^NS+mIx^()rRk)*LrMco?6?Ch2oJg4wB1 zEKf7;d72Og5f57E<_I++t3_H4ln1UDhsVH8WRG3Wd0J;!*4s6ua5r;fx#t^otHTFF zI*2qFu@x9KUVAJkP+Wph=n|V@xVY?*AYIfTsHmEBA)aRq8?q94%=18v2xQ~Fx;Phi zGD%}{Eg}c22QP_sYrM=3bT-m+obx$c8jHGT__KlP5o*(gYf57-=p_;Lh^}f_eU*#OIJ!f!#mcQj9;fJX0eaGg0r-p3 z8}d)=1ir#TXfhU<8Y+P7c42Xg>y@P~XXwHr1n+EjvXc^dEY%C{wfFV;;JbhO_}u`% zm>j(l_9C7t#+~3CS_ZeLgLp&=Mtli$V~S78D#!?&_i|JGEBM8QsV&sX$wIkQ8r>{R z4L2qcV%75uU1skz!x`9VILA#B!hnOWwa6|O=QkY-V0jT@W(U}U1B|N{bL8bZx-|jk zBc{Cfjrf99#{=1PBfVMTFp(H>!IY0j_lAtOV-SA=d>Qy9w1sb`V#aTkoTDrT&BXSk zDzzu`y5cekQk(DWhjfKs+Oy621c?i3{t*e|BghN$N7%gVYGupvaos42iQ(nxHDY8$ zTzI>RGl)WDdEshh06e)KE5z=3y+eMkD-+~IgBoB4UB#RlxIV3yVVxmUZC9}>6(f9CKs^h8jV+(B2TT#9mG(GhKzt%1I^CHhg56yP5`tj zOQ1fco`I*9P6aOsQmO@phu1GGM{Tt!?Tg4ma~VUAPsVJzTuZ!=Kz(SC2TLT%OqOR$ z&RIvMdigdtv^oV;k?B^3O_Fw@##Jx->Xd`>;O)=1573%#+uN^S)1Da;!zhBS{qo@h zS{FDo@lrypFZ`B`yrz@ARuUiHe!KhlaQ8XAS_!{Ll9wz@*4`G)z>2a5?n)jvfgLb< zs#?RFUFV?%!FUS%{%_1(yb7W6K7$nE*Xxx4Hb2hO0AhQ_THsAWX7S{Fl&Ym=b=G?F%+w#}_X~;KbK8vw)h($Sok> zRn}sVXm$;0xAGnK0QE^qK4`!BNt7xW=-OB+hU&oOb@~15c$+}o&7`k*cY`LlPkKWq z`_3^0KE)63#Gc^>G-8qy))`Z-7PXEBS~uGjIPeghP$7SDm{+<~+^(ZmIVVns^}7gR zTOT6;9IMz)w;ZU*rOp(tJoI(O$*8HclM;S%VPcRYTTf^tkp$EDuM0RI{P%VG`xxOE zL76bORjx@RevvDN#kA(BY1~m*s1Y<&s<|=~-4&x3vGChZ#BYxVT3=&pG&{wkeTyHu zlu%Yf%14fhqYeeoCaekrXr!5&u<1v%7a!NXVBI-+SBpAR)hRX^yE@Y(sor5{sEHPr z-$RZDWYvx^C_EYf6OnO{@RGy_EL}Qh(xuZT5Wp^ZHG}Km8&(xZxE2=J*C3)urOpfu z0To1OCB`%C;l5iGi@aJdwYVXg#V|ykKr_mCQ%Wk5hDKdqZ*Sg<*WGL4Ln#Faf_H?Y zhQ@W!wB#C6HYCb*iYQmjsnM^tmdL`5!(#@rL90zkuCXY}=zlm}{&Wd@Uv3Ry>ilk4 zZ8-#kxLzk`=KPLw(#!`bq^1e+nh)Q-?i*eGl<_~BARljGgFwZ7#0I(EZDCC@BUuU- zNd2H-gV>;wXVJNczEiICXr`TuMgW^fK%0YNrR4(-cd$84gQ8x=cm~TDq9{l2D{b7I zU-K6%X3MKZZV>c;Q}!i>LSPlDRCcjhgKW9=(`GOY)nTNnl`Bip3$B*79WY5nksnd1 zOvGPu%Hm9U!puvkTHJ9vV)>W$8sAAYIBoHwwIX?y|4-{ghQb->wB2=1OC<@qbd{;0 z>bx0Dfs7->jd;8@P8-P76d1U0>zd6h+BtoS%?xFI?6s7?NWZ$QV&L$1atnqb2*-f) zbPU(CSRW|tEL8xOP@M%`uom6gzp~g}Qd!)d;am$CyXLgHl8$teMuM-SJWVr5+-4PK z0Gkl|iti3~SAMx7;ED;{TMgbO=MWORIKO}>Vfn~}1-g}QwccMbBg)|_(_Cs(gmm}{ zUc)@T_qJ-iJ%!a&zwT_TJ8GMaBddN|v`T(4fF;cz`}~29;fQHw zwNlYoELuqlo|hmV04RhkG3Hc|0!b;8YA&pMfO;<3E;-M;+O96Is{5GF(8VBGk}^-O zj`!s!4!}=N)E?JWpCoVU3TTAu$97;~x<;gUm{mcDH6zOF1zubXn-hVRlkvPDx1uyV z9DBY;K8Nqnf%^UR-5Sar0Y>{?k57|L(0$Z1J-Ah2rDF=nfm^0&&7e@-&ns?8 z+>#`?OP%frWE!w|da+1r)_EvPGOCYB`AspIlXAQN+JPN0N_))K5YH%L~JXX3>fV~PrKSo z_HJIheev$a`{#ojxYgg{|L^es_u)wr)$R(tL))e~qZQLt{KBZ8?!Gxf`8>XfOrt;8 z-Wjk+#dQL8O05)SY6vt(1z3@?VR}$b;m4;#gz)|th*^-$Q!famOKgLD(VxJiCpcSiN^WtsXIbZ|bs;_sxapt(|84je7VSGx0zX3%VBHMhu- zx^4&MzGuJ>Ph~iq8-31^fgnVH!WoEBxNu>yz8P)Kq?~v-a;l)7HjLuMsm8q#Vla|aSBt}FN@)1!LXSEM^wEC^r@ z!eT;Nc-XKeQQIHRCC`w&N7vBYS3P_LMlSh?;u*1)Ckl)%P?Zd&DP0jpZrRC>)dAcf zG9Zw~HkLFD%L8L)wVVO}F8h7vK0Ak@HQSQhN z(jh${nT#^aW%u&rvZ#TcjQG=4KIw0YSPZoYaExe<&}+`Gx3Gm*Va4odWn*#^OW(Qs zeD?roiV?kEkTbAa*j>|(LvX`{3?3Pk?d4e{n$dS^iHN}&@5gXC+)sm4_gN~;Uob+8 zN!S%pP=g*(t?rfVCzRODMcHpy9zYP(i}iR6?1!&3K#fd1pqz8bLduHF;K4?~>&RtI zn=z4f$VSqh-)k%xllSo|n4D1bBXqoH)XVQy2AueVxhje%5$^*%$)WN!Y}bcS(Lw?X zcO@Oty^5>CTzmRkQq%xnfdAyM#?N;BkRn`6=S&t|+&jiL_d{`%800ccFP272GKTV; zf80OZernkx9-gny?fbiX=I%M_lLqo5zZZf0?31}bAnPH{4h#Aoo-H)Yw}Jn6fRhZ? z1J3~>iBNq5Rg=~l``es9p6vT-)|@|{>?<=Q+1E6UaULJXpe+>(iTi7m60@{haF{Uh zx*`ujB$lh(VDlv7Am5C@xM6bv^SR-XzY|vS-bC6lqdw-`y!&Z6sMu5RzBw7NQf0;@vS`;LW->~UF8h$=}q zSsHfe?NUT0($W(>Z~}=E_5>lSz{QL=+H01hvgEimk*2andFNB|9(Ht_3~!0c)gaY3EQFO$KnaC_22{pm8S{Lc z+AMy1YTr&wx$o}}g>-HTlNe1{KC?yOm+w-r+hQ1&W=?hKpMIp&Ru(ssITMCxpNaDT zU15Z@3fGCFr<`vZ-ltc`Jf`9}`gnQrM@B=*j@O&@) zBEzURfjl{wBxB@z4E}X6XWEAq;6vcnqXqcTKl-uu(Nd&uI=Osu(s=arjWFj=-RM%8 zWl!5{KGJkDdFrV#<;jP}oTncMh4&_xPkkFE0nrhiDMC=a>Gyvk`<}`7XLp|oX$l9r zc|Q1`gT1%UpAY`mU=M%%5BbB3V4>hLITBO(5TMXC+SEdb5n+1Hw{I?k4^@~R?%_0# zf3@P(a^saN>O(GtYM&KBlyM(9WQ1RY|2VGu*c4S^XwFe(Uj@{pbu^9rm zp7wJ#V>mk109*<_2H*}tG8i1?kUovF7Jz%u(NnwOjEU)%&k(gKT(yr`UT#rz#PvgW zxh54UTqaQ=mY^(CD?53LLCK^>j(8|^%DFgfQMTv^-ezsg?mkEKO%CKhWt=>lEU^~q z3!gHZU8|!Em2CpnB(M>J0TY~2*(w8Lgr_3YR5f5mo|KD=)~-P{9Qf=GyleK*4c5N^dy zF`=76v|;8Np*gAklO+M=Bl?y`EY@4%Z1oaB8G%qdC_{G#-50J_$I1E`In`#_%|moU z6QpS8+|U0+;3n$M1_Ip=*@V$lW!e6o2yDpWo)NGp#Ab`4a}9|x=$p2?UJi6a42}WY zsK7B)iW7?B0b90LyQ?3$7N|iHS1a?J6U+zWK+J+En&yCohBV4GYlQP;@oXumV;UXbSjJBl(mn~>x%5@Y$;L4U6K9C$}rpph8 zx_QcEvam@=T6L5&v9@wXsg0&Hlzq6Z6dmM4+aC?_WsjJv@d#$acbP;Ax~4ppK~V<* zifU#9l>gQwU-#4`F;x)S?Ae^7`Q33oqAu0zEt+?%laQP-5;k&75bWUc0xVF|M&u|% z&8)DH`2i@H`)!1!gn$Owv_mBrtv05_fL%jmGJ38@{~vh2$`n}y{MfW;hts*_8c8`I z@5sGTEMyBP9J!+SiKnSv_8XOh;YPN}j7khE9D}D5GLRYau~Mpy%P1fJGI>hc`s>}* z#btcL{CfF_VN|~?#wPHvL8gQ_gYJkfd*mrr=2AZB4bM?!*?V~-N$PRK;z{j$JbH4< zpRrCxtlFyqAs$4H{ZMTHjiJ&PN>mhx7-N!%08nSCNS*2wU*(>OvetrY%8e#;-t831 z^HZT{7W2pgt_g-Jjnt(O#2>deUrW6m+eLX4gEoWK!I5mc$ns^ByS29FZ(hMXP2qL@ zRJdZ6+-o|jnXYhM*DUeTsM-w@m)(V<{3!y)aB(xQ8y-gAoZPeS;C9-lqrx%db+LO4spl_P4SUA6^8%VY!U}miEB+!2sIL&t*cbu zz6Oea4G=hHlBwx|zF}EIrGPWgr{$Z~X^%^oVHA7gs)l6lwh++z9>CWGiER)Z{u}bUEkA(QTPSGue$BKK z$*QoBM`GtV$~2_wxya3Goyf!3Iuoq1L(LwZTKP8g) z`vIQQZjlDwh#kaxrqsuH0oztFHmO@-rJ%~C&LO&fBQ}d3=}IC}&TShg)ZZdwNxPQq$v^05cZ>c#aGkyT1Nt8Dxxn$TrFmj@WmNg4pP10qHW&{= zQ3pqZd=fwRGFs2u4m{1Nn+bz(1F8*pMwDR192X~A?k6LO)CNgofY(I|$+&$xiy1gQhbfSKRP=?peFBk(TfCkYeJKz4eLD~x6dh9QjgVT_5$v0;wFf5J= zLRCQv^xOp@WlcGx58A@5x?%~&@aPvADQpz4ra7_5lj+CAj%F2#y2jd*KtClz6pAs4ar3AE$+D&i6pgmgbr zEVpIDT{m_~{iINqGvJ5?GOAbsaFyh(i08v3am1)HqKio;mUN33E*9%*!__}U+jt-b z!t7MOQ^?i09qcGf>@2}0N-}Wy5(1}=b-3O9UFbJ;sS0xo{f3Zth~JfSAaO;pgXM5e z>}evoHV$F~N-a;F;px-LV!>Y*RrTO~(UxrvR>ST4X*zPu6wK5y7P<8q>@jgb^NdWhf zjvLkRs8QoT5Ea){)*r0XA{E*H5PM!JffB@|1y=S=6G17fH5m<>N%QQV5H^rCiB=+Q zH46bEkE5VayE<8|Hs?wO@a>fQJc38%bvF}8F8i_Ueny@PKAG1@ksJOFSv}0uea3=; zAU@pvOr=j)5DyfWMRVap%waYtcTFUmYX97cT&t)~kqdRu5hYck^6-gTE9qi0gg{6kb^w#&9b_*SNClHi_IY*yB?g&u z24v4 zsM4sC#NAGTXJ1y`7t4>qx!~8clMexHxMSp1N6(;%nfgUd%#^ZczhCaQtr`a7<~j)C zc@-&W<{oyDn?3V@6y${I=xuS;m^`j>LA;Q1)CwqlXygToT%r-r;`}9j!KjFu;ta`k zKUbYk$y&D3f%RgG4IH$mCs$XjG4Is_7rgJXkP2YDqg;UH0NZ-jiJFI%0>dKVi3 z`6EWB1y02%@K%0s)6jGrnB98Rs0=52M_n6ObPNx(QY@C|{$_S)by(OLX&Iw81vO~| z7Y^vVdohdh5cn=uF~(8GZ=Hi|qff;=4<+UqJHlc;Xusv54DuZCD^5=F><}ujuHc`s-Uek}7@_5{`cWNrEC+H^xbwlJfdHM1hQA$55O=14(nZMR z&M)Rqk&S6CyULi|YgFx*?GBKO_|U>aK|i}lktrj%$|PMd*cE&*f`{N#O@rX3KJLNM zhOvTXI5WyZR5HjtCcIKMx$pz6kXe%m#K1;wHZk+u_044ciR99RBL+ci=Z1nYK^=N# z=t~HZ<8jhNg zs9cXNZ?UdIGQYwbCNEwigs11c`Bc`WD%hNItqD%Io7|}i8 zLI&-`4Os@%xCo5K$Ko6*!UL805{QKm7FjBf|2~w8k(p7~9gi!V!~yEBQe_!=T-oOO zyGpf(pbPX*sb~g|Oy<)BR*~chYIKdJ>WAftER+6=;J`RrA>zXv+2v(jWM4x7ujG5k zL)2hD6u!X+8VP3{0F|L_eSUUQ+gm%CoM9`{r923SG|vFsC{%__*;jJ0pt9g6d+DfHyuBbq&<-&ZQV*03TsqEIxtIq(S#PhU>1ut z3Bs&-WTNm}L{|p*j*&7JZZbLG#<}w@loreveBvPs`GQYE-^>J>5wzqSkV3$JyMwx_ zd^9ecg6QGdVkS0vL@{sj93{rv-R;BW*SjxBhy7#Fw&f+dH=)-Y;l~noDwp}>{D^o3 zYPp~i%x)V6lJU{<~~md zM+G5HrwD0Cm#{V=*kz=Jr&BY7E_SJWmJ71#6!{v9z_u_5uP7CqAk1AO|H^$t64#L= z$oL}yRa4G|Zeyzj9Ny1ZsNpp%(sE&fR z9WuE*-`dWwZmR@`BU%WO5-M-e_NK>I7B*V;lcFNae^{UH61^taaMFrd6KnajzeqIE~VVF8+SsPazOO5KD0eoGYuLC z3&opMME;Ke5+?*AH2MGzd$1oXtsz-zF1(>@U!Pv0G!3W(#{yn}>kWJjEZY^#&d~1{ zW+jq#L042YWFMP@xH2JD-_RY%rtKTpQND<2`8j{sB(eE&akOb)Bcv=LgmI{F6f5fo z8G$09l9ULR^;k{^TA3`Rh`>~<)Y9Dd=~pymeD1gnH8^;gZ= zL`cIIfGIRNW6Hx6WDB#6+1xRrNnRuM{;wq-JxPcIJmuY(la1rh#wa%>i_72C6~nd zhW=U($U1;E} zJy^-w=X^DTw2+p+ayW3BgP_Ti1E8dcFO5eRZ*i$DNp$(cM~;ys)yXcCA|k^DUP7d< zH@+>6^6sG04VQ`gyF#p3ZD$X;vz!z>dEkp-9is@E%&m*LDy4RsLRD6}*E|oL?lncl z1b>%>kRN_l4boQzPPjczk;OGLS6fSx4`@C_ixdu^tY+PyaN4)e4=>U*=qo92H`=oq zF9tFNu8A~@IENb24l+g!jzXkE89ihZ0yPBd9&oGjs*%MZtWV&jR@Z*w%!rIUYyXzT zEidSuw1P{boZ+V!QtzeIOoJzcr_iPnLcg4VGhgz_1o>P;omx63z1{dhpo631BnL#I~q@ibPm6nerhZG40NT+3F24E%xV3nGB@c-BBvhli6UxW-A}QeEoFufPNc4?|t~h zDs`+^ck}S@_3rIA^lxdI5F6*fW$M#FsN~UL)&0)$#dsQuIn)C+IAiyCpvGd1K#e~! z<;mN?v;Wj>Fa@`98#w8U%-Re0zkK=nF!-y~1yFk?6N3^s5VZMn$eCeff*cZ*mSHJ6 zC@JV7jAh0PKl@X{9&m-@)dKshF)E9L6QutwUIAlQ$OUHJ=Aiz&9 zq{n}wI)kJvLnMpiLz&+gqFdw)zK;S$g6;i{#azqE<{R&{Fg#@d*#x18(wdy1KH3#B z>g(5?zPh7wGt)1drekDFa!lj>b25A#J>}h@A?mh9r#WLOMXuEInh*jtiIFFDJdXLO z-{;MaixwE0r!K&0q?SC$%1P2<=Qih!CUuWp$)0(@TTsIIhO==1dGxwv%*{fbA-}OE zlpUi)ux#K+;tjwkmoG1`T*#w%9}MB&zI?oSxchiJ*!%A7KYo1}eD{~%Za#jy#ru1& zRDwlUCv*jv%f>X~>I8Xne+s5jv)?@<=0tkKraBruGwRb+-d$+24TcN>m%-ILMbqF#<+lH(2RqE~bZe zGtMW`@MoRl;~M_#X^g62?dcXE?+(D5KKb7LbFZ>Z)cM^%Tvt0z+E0XKGd(UHt1Mb= z7WV`(^$D5}0|Qkhm0*#PP>xjY+nu9#b;f75i=-Sm6kQ#&GY+lB9llZVLHrrQ^cYMH z;ig{U98s%AX^$Da-NymNvIT$E?6YAGqf&+rhil4FNM_5XiI1~T+@{m@YN^hNy3OFLo~?w=VR5!d_n3n$Y;sb z`MqAlJQjb@%>=Fj@A<#(y-vDp5!`7u`-EVyVj2!?>z1O=ytT>!bhcsOqi9S)W&{(~ zFk;>-ctPL_NtBRsNXaKKy%9QisZaVMBo8gJiv`n5$AYMF9U8Vam1wm43B4RdG^x%m zqy)fRHImUJ6qgwd0GV=~S(TDppZ_xE3j0ST4B85iy+L!Jm3lc-S6CJ#SzXM6)0wq3 z(tU|`D5U7sWwJPr%+htzuRa)z(0wNqkD@$c?I>pzc67C2R9;6S;3hVxcl8Jv-C>qA z#w|2PMxqws!%e$ZMHA9m#?oXDC!{)BIU)6VdP1o7fSbtL<6nW_+b#Z8eW^O+=cD>r z-d3Ro6c1y^0_c}ZrnHv<471@Pz_pz&++LMD8ox<*ZqTLh$Kq4+&GB6m+uX$doQa7M z`LZ%FjjR~Jc~dJZ6Xec95MyvOpe(xodV1FFm?7J3`|9@d`!8Qx(@|EEz5@MMoDwkN zqE|wZ)KitHcs2yJP*0X9+*l<7;XVurnZkdV5UWvK%prxs^60Q{)?<_8{lG{>L!}GZ zz!N!z5^ogxOC-e(nED^km_=3x0k2}HdS!xqTPhUswZvRD7XZZT*ZpplQMp@Ynovm) zP(@1PAvfuZU=kn9? z2;?}dovH-H9>@8WwvDl;@J0EnBcem%iQGOc2MX3+eo)X^s{bP|Cy^Ni@Hl#J(99?p z&D`wawg+nJ*ossgs2{4MbrxK`GfIOf9pwhJ$UW#6rzD0^@67-H{6%sYN3U=U| zkLcjEF!RKbM<#QFEP8&?Xu&j^xBG(zufY|t!^&L7@D)#?Oih2EG4+={lb5Rf|o7{iK{E~U7v$P)8q4H3wNWf~?> zYG_d*@2dNMRwZj)(Y;#HxV%evl2jA4Fc&`)l6iX2-x(3$h7(-qwnv1OC> zJZP|$7VX;^$WLwOgFz`X@CN3;S0+?}g#)EZ83t4z5mzDzL=5f_0Teqj(~K)LQjx2? zO-XX%f|cNvWKj_4dcwFy`m@T*`Un(Ehr2U7EB4z4+dx4?DS`?`i_t zPWaI%<|L0$f|HLd^8u0}s{%5z)IKJYhKLJKT@oJ}2&=eWp{a6)l2dw)0_IQk!(gg-EyFZjXKHIs>C!|E5y)7W-kNg~6c`ZQ ztUl|AeK~wTI@IgYI{!a=cL88WmFE4wlHg8oZwQhMq`5A5$3v6|Ay{)Y1Y*Q+cb9CsZ(j69_?bH z^)HMZ8P5HoD=UrrDa=Kvqp4)b_RqTFA*|zZ$x~y5Z1|9pQl~Hb)3L)sw=-SgUcW@w z$#C)TVwLvWaE+4Fdo=w}`1|_B>wQBF7ZEfXq<&hs$<25&QRi3GHOkuNjBRfp9?p2> zZ`z56y`RnZ6ATzS*7aV6Y2T8*`&Bx5IY(SiK|Fj=mPWzahWIP%9TU1WjP%vKb=0tW zd){vU9NDivy?w2r&w@@8eTP-TRNwB}F5z=`RZ(ed!KlU-ezoQsu_-9s&JC{w){Bw; z{lbDEq5To|Hl%E>n}!J{n_upF%Xptl@!=>IpX6=EAUDxR$85bm|3`Bs5c2JH`TJ3=z>muREJf<-EBL=<=C})k5#CGfts3Lh1d8o zBiqLfu|9$J(PLecmyrkqYzlOjlr#p^-tWhQCTrW&>C#R%tn>B4t>dag!*gD2GR}r< z%=h-_HoS2|RZs0d%vu~)a@&cg0i5+S%XNW-Psr{UN!qTo36nP0W4M>r^#J>;7D-os zs8uA#*&`=?rf0`2vLrlY?)IqI01dV5`u?ccfOw)UymHpnwa~cMN&XA#q->p9jXR#| z{C@P5@1AeI(vYg%kM7F6Hucd?(8wmWI+{v6?JfPYjG&=YHtuf@s8#NLr))e{tKeI+ zx3htRaeH)2JC{e?9mVWVSHrL;RJwGdcD074Sn1zku_YH@io}wQmuq!xltLt_?-)92 zc)vlek9tnK-CkY0tCPaNaSW@CG}H#Ge@_ELBfT{JhuGwf_Sv>Moi7X%K*P15@TQ2c z|4I|&*7u$!RBjxP)Ue>U_g&6*o%#-&p84y_8#SEu|6J#)k07!QZdmU2TrK%pJ;}}K z9hO`%cmC+!iCP1!mKN$`Jd)7|W5*L6lATWs4U;BznHUnfs@{AFMg`qS4SQ{T;H_W5 z6~}6&53+gsbYL5Hx9fk>Lp5t1P>r3~;p@Jr@A$4TL0LCSTRh*Kp5po8we73V4#=Z$ zgnulWukVK40m>tz`_4|I{%&-xZowTJP7+nSC3^gjVXpbwHr$?3r8bU!ob9q~x+Ux9 z&r$ZM;r;6Q>wI{>TF`zftk@u%3d`;Z(1clCK_Hp za~I*;{Y~3e1+k*Y_0ZNkdR%Uu=y3GvrAhP%B|9leI<8|!F)X?n4bx6sDzN7x&*x_Sq1!#0ZC%?GED&Y^d9TAyy z&zgd@>UoD{;gaP zHJ)KgVoB}$S~IhD(;_)%IR8v7?R8x|gl}}qZNI3>JgrhG*B-SKes?@myL6#hjWjx+ zmvg@ROW$hqPsVdP>l@lxRDx}gru`OOGn-SKQ*EkmG|n0vJ@>-~u2tl%Hsg9>?B1Rs zLkAC0mrnRcEhTilN+)UJpR7#k2#-d1wS`_#-It!xL8zbJhlEx~i}CSbycQb{K1u{x{A@IA$AVnYL8*0Dq1&KOxdr1G`viZ*FRR zhs{(?($Z$neb6V@o)y|*@UpHNg~JYNci@GUB769}e{09nJv_m}+k_jY!>R2g%Eh(<= z)~~d?zclSgy_y@g53ED2v$fpr2#b3g%4Vx+>P;0mYE^0oScj)7`>LGPT6_|$o%tOu zMQEk=wvhu`Ht;__QJaCY)+%xrLSnwY-_-un17iIp57#E{Pj{8?%~Cx(si{BpEmmE( z<83{y)>W-u9-jkswkSM>I!_=P7SJ?>woN}(FXl$Ln_$ELgxQp33)H3b`@@OFYWE^_ zTo`_JJuk7mNMqdlwT~P#! zZS7{9;bZKct9r|+s}@Lo()O;}A8LKrS83mBW{TQ0Ikl@rkrS+TqBi^({oI6Rzi1n6 zS7O6wQpMdvRx(X@fmxTc9WMJS)r5y>7#(%A$gAN_tYwc(qSG{|okp(emSqia)4tR2 z;J&fe^ceRaUk10u>OGG7g|#Eq);?_DK-aTFu^d?vez!}H{t|?nX2U8fksDuXulp>i z@H?g5;>SB$0>h^a4yL-AcT&H%8qIp61hQ^dURx=c6t^zx`blt92Oxd`V zfkVSac=&vn@T9DF4_hsuvr1(+`wORVZS-eY!5XtozcGy+{r_aGa7(S^P=Zi7+r<>B zDK~{GRexP<8aa1rY4*%1wPMB3bKmq$?lA!FKXgvG#{gKrL>H^MWYe6dlLIKPP$x{6 zi+oD#d( zb8(00V>BtLCP^ZN)t^nIBDj+m{q}ZTn$Bu!LuFe*GLZPZ-s!3ZEn8Xvf&);WGSapr&qi6q$igyI$j4?1BU@6uKeG6 za9EDku9Lf+MD<0}pGLdptOSqO#-KKp;Ck7Y;GL(qp(ELSRJ)(SDpqS0%63saKR~Ux(MH!i2$G6_2F$0+M~M2?7A&&uQm+YQcp#j zZpP?%RZ$m4!#Oiul?k;(3+hI+%+Pt(+SQJRT=g{QM6VC>sz)}!tMTBE+eSOL@i8AA7luEuL)%A*eBdbMWv-sn z#nSMt4LMP*T|a#Eh>;W3yJ)FP_sKOi*6R6ThCI!tAPNiHnC5T_G<9!34e;Aav$$G^ zzwdSoYm|PL?b?p)d~qi`vx^nkp}vof9JJj%7p9?bvR~=*C09Jw9vnlCrtzhACMrj$ z|7AblkJXH2TJ#`5&1I=4iHvTaJ#|KT^30aCHq;+$so!$e?3vT2O=_Q6sa9s{`8<{0 zv-X_2L1D_|!YuvKr^TktnQ3$8%-(Z`dorne)i2lU4fhhNlBU?+Y7K>6wr0Ho?eDbJ zXrEeVtHyGMMULypcTWrqkDHYg{`)xsYI|q&P~8!tW9f<^Ropr$ zhB8(Rxx9xGz-O!td%Ls6VQS+>PM3jc(`-Z40&tZ)4GFV>J@x?g@Hv-Z?i{ao18BB$ z^#kbn>n;8B`Iby;vQ^c&SUelcBvc5_tW2pCW>xyMY^Mt{8&;+kE9G*f+&9y=II}RV zv~$bM%G}9r>Y_v{pGszTXz4q3_Re#r7UdKjyuPKvlqs_mlxED?w4|FDD|_^v8k;d? zj$WITZ0V~z+4|0!UEXxkv^o0nzSU{d70#Son%&nOtjW_R>BZ`tX>P95zRY>5_g1Z> zZHW8MD9kKORZ+V~-^tUaXvSJGYuc>7v-Am5Dw}G4Az$Z>&e5x}PX07&?0C^R7Y>37 ztAT#jq44O`4q^ScYtkW`b;2DZd&rb^QfPh@eP?s_SvAX;q>8)S{|OQU7$8avC1QVMTacW5YVUlO72^g$ZL~>k#)K|I^9?| z8>8MnL8GN~@4VVGgLLh0Kucqtv9&gRRaeMwxgyCsA{CPEAmhI zb5}MaH;aTHkq+9exUuKA**Esr#Y_82UsBaCmrfYp*!A1#a?a@RG;Nqc$*#ZZJP#+B zb4`zitf6^9_!0H=rl~G@3|%d;)y9^_`n#zL^Z}$tb;&f}^dDWs28KO6N$b1`n_qS( zs@2r#CkrRrx4vTvH0$uPZL+6yxM^-xR;!WSag&lUH?S}q(9&=O>lN;JyhHoMUuxG~ zroUC;)}5%$HJ`>7t{*;?Y2-L0cL-t9(qn=CsVx)r(kq~36; zmms{*8Qfledt3{`iOT%r6WY}`l zb(!|~up!&lQna-;>^8IU>Z%$#W~*tvr)@BGdU?(im4-TXo16ZurVkER(I3+^y?;Z{ ztC#zb4csxQ<$HtLG=@tfvzmI7BTK_G&gT}cH>K(JT+5ehZ|S7H;IB8jDw-}g+UKbX zW+$WD1y)!^&qFuMjJv(4exzyxsG{E)ulb(-5qzgwA54yo(Ii7w}$hMi1qG8w;JZdP*l z(>n~n3h#vT16T8rWqcvh4bRU|l*GI?~$hy2Wlt`>2t_C#qpUC9$pkAP3bE z+BC7FDv9n#tL9|4n%Ujn(`HZKc}nkgJt@Auy*O+4!i;3XA}#SxEg3a(?0B^^ZPE^1 z8F9NoL$+M0p~-h6m-R@(C_R|v+twK58p0}x*mF5ei zMx01=xJv6TFedyYSWPuzJ-x-(h%M3i>pA`PN39@?F6g8T?68Xc+*&WORj^zZY@KuC zw$W{PwG5qp78Os2k1~&GIpNl)g-l zRwT=)XWld-+`UT)Yg6jp{>f^wSu?qzIc%+AYqu3APtl~(nrdgQaILkhRjf~?=@=cL zJ-piyI;zwXb04oh9yw`1h0L9X@Z>O!@qR_zM5 z|E{K+t(wR9c38!Ix@7})K}ruJ-P-Qpu@bQ9wJ=4wDeuC8JNAq&)!w6XOprr!vu-CV zxUZ|Ff<)5a8Kh*!-H?s1MUH*LBCa#FC7N!1$=R(hZp_ta)t|%XbdT0udg`b48G}D+ zuG!Kryi!ps9rXNE-37vJAhiddYjO(>La?$~wZ1GfE!LBAEbHdZiMyJH{}oLyMt(-) zGCPaLNA5e(>_2W!n)>b4dd_mKe4>Z9(^cHwZ5RVaYqWO3!PnMm0{~sI+b1ZgBW9+a z1=Buf+LY;~T`T3@Gpy{Hpb3MTez$e0?kl*mdxA6AMRJASm) z5y!SqXd5#!oLAl-B^ya*PX_Ezt*{GUDz_Rw-%bdPD;RD4gM)N|aGW0np&g~2J9eao zr@MJK67|bv{j^a7$JiIBN?-e1dr!r*@3st2XnU5}gwZX%JA2iiu^pGW<3Nd0dsJOh zmXoVjYn!s1Ty5qa<_cBMcZV4(xqEihs1fx!sw}s+A7Jw#*aL$!&@?uB`1r9J{I9G> z_jUGHr$IxFkiNh%+lTCjt4*lPLViA8) z&n~gnnkX7@S})}4*V)zEsxr>?boh~8dbWi68RHWbG0mO+kNEH+3J zL%R+!SBms&9J!Lx7+AZu9Ucu`BJbbdhG=T1D-*C=CDK{luN+QIZ8r_L#ICctwh{H7 zJq>2E=}YX>8o!>~6x`KdyNGU`hw3orJKR&}tY@jEHk**0=Z)u=s|kdo9mb6r)HbyC zxK1*nhC|J{+$Wue1wI4o zu-F|o@-ID2Ec!!SohjD&El;u9El;&8$5uRs&mi;td3NEraX;D>iD+I=>%_IQ+QO@( zc3$L~(&jef>;&DqO}DMv14?}L!?zC`(`IB<_Y>{nMMLAsW@%N2wmb0>x^|(-3P~+? zF01O-P{K7Yu(atanf~H@#~JBrOX_1a?Tb3Qdn;iB*_sZ@&;i@n@pNZ?7YjN)n7q$z zUHC(r?^E@6pm(|~{89FG{)&+ry8aHW=*!{CnmcoN%<}r)T~D9sRJssl1NBR| z)4OqCHWN#^=cP9HE!H!X4(oJs!vC|iZO8Z%O-=c5p5m}4#6OJ1_vFQEcQx3JA7Lj! zLjBTqh1aSOZbIEL+l(6HYg-)`g!@SKQ$4|LzzT^qRXMrYP;-J_P>*&5*iSAIXh?&NA4F?9IES}tiNME&JXc35PEa3A?f4*j5Z zCg{N$t^?HWT(#s7))@NhisTp@kgE6T;&MY>MSCf}wNBP>ptf?$XyK}+N5`_&T|=nq zA}n=M{tV9#Jy5Z^7bpB$jV-N@K-7$b-0tS5zq88)Vd4ub!u6+IQl>=~y1i)|TTe>v zvTK-LbW*xXL5I%hge#9-Ri08eY#uh`B4I7QX=#{9NOke1i>W@@#g*)I>3Y_jDQ@CF zY7fy2)T+ucyRbyHBdzn;RRA?Wqiui&e{&VG-s$3!aVND4`<#>;&E$qNbeMrewdU}L z3{h%}RN3|Y^#tmxMA@X(z@ohp>gA$WqFzzu#(nGhQ0CmB=flmxRy+IDMs|m)*1nA8 zT+__vebHIzr`u_KG1@e1SQgI1K5&97VeYBz6UBi)2!u`vLvrdllE--+6H&NxRY(}V#RQ?8!9-u zg3?6=Cz<>03QkgYD>bFOXs396A)SqGgpBW<=wfMj{H>-ORvwiyePZYr%hU%Zs71jv zF3qntCx_;@sLB$S53aD%MO5p_((vTA;X}hY?Hg+wP5o#tm#JrNjU8$IZBjgUg6djP zy)(qdyt>@cov_?!?@M8qOV*zY65jacAJR3he!l1rER80ZVHGLFt+Q$`-NSvn;OdcjnN#;<)hZ=VAVA}n?NI2bWy&2LPwtcJdLsj=4srzR%*>$8LY8Oj13Syh+$VmUX-+j%^SGUydzK&B! zjA)udB5wt;>t5{_dDf_Pi}@1T#&?c(|52&c$%}p~niu)w=c@kc4p&v(%PF5BH0MyM z+Vdmb4oqs$NV1Db8ucVRpW`}WJGqP& zXN;xK1$h*5ttF}*SkFCF#@I}yP0#nMjnPo|h2`L$2V*ieIKb%U}0Jd&x*OMd1YJ_BC!ziw&UUhNe zYmS589A1$~TZbuKK4XX2MRq#@edV;%%BJ;(wD>jLobJO%4Gt5S5)OH~yM!7(!!_O1 z!c^WoZtRFL6T>SawbHaPuWw$ZlM?lxtZe61*oJU9km^tFQ6XU?G?mt4-oq`eJ(H_; zjAQ+qx3g9mwd$ZAl(LoEkb3Wb(?)b$*`45B6#Z@w*1AAPjT@b6S-(Z)cjMqzd+LSe z57yYG!9)DaV3ui8m9y@4*DYoLsqMwi7Iw1#I$bT?bE>IZ{jBkU)5^j%j<$;h_1vw) z``jL|T#rk;HnV|VQCrCL*%CX>EP zicvyVMp%8oZu$3VJKPc0PoRF#@EWhRbX@JCU&H*sdX`3NI6takUU)iBXxfI`*|6B{ zo_YzM?NA2L!I7z7OzWzDBcpLO8qU~%Bb9`+r&gx46z5EyQr@T~9n%vr{%=Q#28#tj z@T>lH3%c*K#A1uPSTDJMYwN!&^0#rb{@1p|QisfUXph6XAJ;8dwqe--w``$9qOXtc z;`I$0UT^%4W8CYVe@Cq0J&oVJeHX8<+QsXQ-`)5*U44F6>!%t%zb&qyP$?8A2i?}w zpY!(*$JmaDtsg9~*n%S#?$&d@WxFlcZG|2yc3Y|2%H3A)rj;W98ef_(`104r#XW*) zjbYFum>!8|MB?3og_>U3Em%~s?UV4|ZvGEvk6>nG{h4n4Kh@TnS^LZW=n>40tUueW zZ~G+tH{1W=>=De3tUuSSze#PaxwXISj~>Auk@fe8Z2umS_4kUbzn5FTzE}2&tiMlW z{e9f}Ew#1wsr_Yt^a%EgtiPXI-_m{fZ$JNsvqx}1Wc>r&`t|g7KxF-cBI_UI)~_G0 zgCgr65?TL{$o3x+S^u!e`iDh6|FFpVM?}^?BJ%l1MAkpbEw8_LRImiWe6m|`RDF^D z>Jc0rY{)B??z<_r^jZIRbp22L)g!Q+q5iK&a9lmmUp<23Bk_rm`1g_clt{c=XQ|p+b0W)6tu3)XdIYCO;xi)gS&{ghNPJ!-z914`6p1g1#Fs|m%OmlXk@)IJ zd~GDYJ`&#;iEoO;w?yJwBk}E#_|8atcObDQF& zgR7e2p253K@iM_mRkClRKQ@nCe zX^K|~PHBo)4gT5`uNHjQ6t5nvvq0nLuMv!Gid%w1o8mQt>zm@Wf;XDtUcr(JHh$jP z!KO{|I>EH2c-`RKrg**Jv8K3p@KaOVC+O4Efcaf8p($QJIHW1wAh@n6?i;+(6t@OT zF5I}i8wQ&;#j#-5rZ^s)(G(|whnwPL@N-j~3f5nw@$=Kc#HKhC9NiRWgTFMzx!}X5 zI3KKN70}whje>zq@y5aIrg)R!{HA!*;Mt~lGqpo&AErMx4{}ZM7Qrq}aa(X^Q`|3j zyeaM<1dBI*-hiOBDIOSX-xLoDj%tbr2RApxLxT63;-SHEz5(IBX3JneQ#>q~)f5j8 z&TEQC1Wz=@BZK*uY~0>a!A4E-=wL=uyj5^+Q#>Ykx+xwTbgwrK^ugnTL{mIIC^yAh z2PZeh6N3Ai;%$Pjo8pPVI!iZh@3z5&rg*#H$fkJv;FhL%hv37ec*kIso{gW^9t>-W zcMA4viVMNDO>r@Jt0^u8J(p?xymHXi6jy@Xn&N73ep5Utc)BUxIp|Su9P5K82brdL zmta;?ylZetQ#>Vjy(ymBxN)jq&TcAQdIY;i;-*mRHx(X=n=*ezO_{girp#7xQ)a2S zDRWcYlsPGG%1jhDWd@3yPG-eTC!^w~lSgq=;#b_1cojD#HpNYeMRC)?R@`*36gTZ` z#mhzZ_wtc=g-G1k>u2rfl_JYmj>M}(;(E`BE$9)f7FoV}BwiyDw?yJKBk@|1xK|`z zI})!GiPw$9>qX+;k+@GJ{#_(qKN4>ciTg(4)=0czB#uSmcqC3l;$$RFMdEZM&P3vD zB+fph3IphvK2Wcg;1c=JfSMI>&E#Qh?%%DaYtJ%RxZk$wNbNIWPK z501n`BJt2jyk#UF7Kw*P;t`Q}WF#IHiAP7`ts?Q5NIW(YkBh|PBk|UectRxJCK6AK z#M?&V?IQ8^k$8tlykjJ8kHkAg;zA@YM&eQ=E=S@@B(6r{Ns)NxNIW?b?-Gf3jl@$T z@zkJ~vS2I4ENAK&>=vYmZ+7v_V3cD2&vO52B;J?xEoJvq|NhCumQs4E|NaVM%XxaL zfBu2U`=4X^F>d)@!6%XB3#v%4?X#SxXRuGO2C*HnR*6C1i_@zlwDg(!P>KfwabAfK53skbGP==%*nGSYc>>3cH<^9 zX)jHmGfflN)K-|GsZ?wx0o~#=XU1$zexs}R!R}L2t+Cc*I+-Y!lEq>^7f)r=xoSR> z)1y!`nS#dXf8VYIOm2Ayr^vT6a zteB{zwTYQTs#+>#%b98+880VFh48!dQwkb7>E`yaH^$SgiBz_dE|oPmO{G*wrc#Mg zv6@cBQt_BJGM`L`Z`2-~HD`vsBi33fRT9~1rJPIUQl(g~kW5z7x&b|zDkWp(M3HxB z$LUSHLt9fw7PNsSn*k@2Nfe9ebUsw%IcZvu0OpujN{+`BI@!EmTX%WT{*(RQ1kmRfj91yIN;X$4=L@-Fv06!{(y5|*$JCjX89F+8M>^hG$P@~>WI9u>Rx*`h zJ{c=jHH@l~DdRDQ>kLMnk|;&`BY9npM6}IT291wiRTLTYtpTi zay*tyD6u3;`D`kkO_r1SVm@6eq_VkKwGjUP($ra#XEmhHWTG`yPUm#UV>)QdMAFXbRHmHECNsrKu2NQdDW@w%oo}h|7!}H_ zoh-yk<#fJMNaQNnOg5HFWHY&9PQN~v%f!n$cSOtnv#Uv+FQrr>T~6hyWv!D*>D$WM z$Hi1mXF#~NMh1nOQqAY%iDDsF(hsi`VpXO3N}^a&hLMjaWBF3J`m8zO+B#3PjpJ$A;DuFIF*UewB!SS1zLq*diqrlRK_ zE04(NJ*jHEP}S7)w))Of3z~{*k7Bs}g=Dc%$Sa*^Q>A#JoX-`MTk5n>URNw;QyKS` z$qBZnSWx;-mJ->r#?k5IOQZ_=<(YiEoYv&A>2SwSoy}+GGx~shUcVrhNLLa{n#q#> zmn#(F@p6h2Z(2CXY`8V0QXx}Ll`|#HFq+Yh)touyd_1EKDd?qanAT?0j#Rd!b0twO zCi10fDX!d8389jSWi5?oGZY6?!;j9C)9G@lnoKAoh?O$gc)p^;uk@hYK{g>*WrlQ^#| zuoBNy6X9N*Ws-|SO+R`V$p@j0!XjHy`5B$R?OajmBES9wF2kNN|uB3i$!RLED# ziBvhOq!26S^gOAUvW9|&gSd~bfrGgnEMQ(2)}M?raIF{XEyv}F~gwQ!%5 zYq>zKP|0N~iF`4mq>`>wQpKFogFZxcfl`|D+UEip)kR8GeR(O9&!m){7P5J(1tpWk zWUP=0Go*%Gpqfpll-tHriBw8?mo_3>QB@7@2gA(KyL@&#>VSe(>yfrQS8 ztdd@$5=-WkS*BIPDyTq7CdEFQ}4RQb7?fhsmav$rQ>ui^|I6RPoHKYF0_+mCp0Z5>m-xrc4FHWisp; zl`qATcAS2vZIaD?SW)F!MSaXlo$w3^vn6(pDwE}WDx-1w%4<@}4$84irJ#&PRn2TB z9wvnF9qgZSDX%=DSWM_vSl2*Mkl_@2B)zWQMGTftS9mI4YlT|67O6lI7 zOfH{P5>cX7A(*CC9)5>PE2|w8>3m z@+RfGI%#yERWGdQc*XUViDE3JTq{fUtucR1D=nAGNh>d_swt@kMryj+aA;L$>;RN*-Tokpn@toS!JGEUm0~HA$d;<%5u07ghHI--OcdjlV$$l^+NH^|=8&wasLv@+OOg0hFpz-MfT_e1 zX;o=eWy}?I&R3P@)2e6|)FvQ-YIRaivRc${kE?#JT4^#_(af33LQ~qCMJ3TiZ4}!m67C>Ub4lC>XG(Ks>)4Ls>f2mHr2-CYK~+yiI?h|`D!XzR%W2) zNks*y5`Q|MP_Y;$w5E5dsgTVo7uS)G>3-#;nwIHoLGLfA04S?v7v>PN8sC&oC0etp zq*Y^CRbfi$lzI6YOfZm%3{J46gEwD=yI*9SE^oD(rKdFX(gjdezKU4D^V%K zwK}rfw9@ox)yb2zzJ5ll(nVU;%VN4%QOTQA&09%G&A3=ud7}y`_YRGypEcRukZjFY zmBUqaG~)TB(uw{~W^_I)6OO4aL(9q7-P@QE*ECnZ%(S+u9H#)rRtBRgo@Op3hP3mlCv`{l~beK z{bak5zTgw(YYza>ZC$HL{G(1RcS2L9GQKLoOr~Ia@a!X~S`-a*Z@~ukPN#y~m0mq9fGjavpteY!W^po{DYA3n%+h#iZQji zV=2{;ROd>^Rp6;&ovHZZaEkh+!tW>+Rcd6_sJ7mTg4)-4RX|H=>vFPIL6~0sj>_cK zHn+xZQWa*UP1QY;swde0DQhhhvjx6@^Fd8=^)l$BRu_|wSs|nPwyLFh{cL4GYCG2w zt2=#i@m4+CBd3;=4tG{9*;2Nk`M=Z|l8#w}Dn(|gw4}Z%ZGSx3s!}YO%T%>j3pt(2 zan*9;`Znz`mDrVP!tGYgOFX?~oeQ~Ewboi4R8#nh{!tT$cK@6wr9DY+vX7K;tv zr(QYj<)q3{RaDbu)!B%JO&QTvlc!rnUy+ZWQ*}+$DggO9~5Op@G5?v{% zs*=m<`&2QAsW?e;F!xl))YOLF%Em6fTx+hRzD6~BwAt2r)9J4hOZ%J__Ga)-6nKTz&hT$>qs&JAA+-ZQ#y(`Ip1}IBWWxnWaj5^CI^f_0ZjE ze6W8@{q@ea#l4|nCp7Lbx2$19J1nz<+VN}j4qKou$qsK|i`9R9h5pz5@NU5k<`LZj zyIN-P7Q{})!-=iW+?Lx_MO%M1@jj;R)i{LL)hix!Kc-vIVrqTsTetPC&);IZDr51o z<_X>0{Q`}j+roPOebMiYF1Nn^?#Fg>SHrvO65?VO>r$UxZ8q%^fw_{I2?D!{+Fd`^ zu7-BkB}2PvXv^(to@rO%OuHsw+EpcUd$g-47SF&rcn}_mC*Ub~8QzEw<4gDkzK5US zSNH?kC{+7?8(nJJs8Q2KgPJzV(_9bxqK&q+*KHJ~X`>@e8}(?~XhzdUDVjF=(6mv7 zri~UfZ4{tsqx;M`xHle%I@E5t4vq8ocsibg7vU9nE8c|*cMspc5stxw@osz?d-Mp` z-vsx^6Yy?qnUBxKp|}lB!nt@D-i0rqjj6N!uqKYdUGNON9^b)#VBZD8_YcImcpRRC z*WlgwG`@}B;5r%xV%xJB7I8KnhNt0W_&WZ8i!Ky?&T6m_pFMoSi*hrI(!uE<_z19tKewd2am(Q z;t#l@G9Y{3dYHk1I1WoV6A#1_@Ep7fZ^uXRW&8+#z=bqS$G(3BTo<>)DL51N#Ut=c zyaXS?7x4r98h^osH5|yk*T&SDG29G?<9>J)o`x6W4R{YeiLc=&_#-Z?VMq3T%j4RZ z#C}-B>9{W*ji=)S_zb>@f5$#ch2NLOu~@+AxGx@!r{h!jI{t(UtH5%{4Q*_m#Yt?# zz3>P;6)(mc@vry{zJ*_+E?~RQUlLcxzPJh67(UyMZE-U0frsO%cnRKw58xZPw}yGy z=N*nG;{|vfK8`QrNB9G-r3!?7P8_$uQMe;c#eMK-{3Bk9H{*l&0)Bws<3g%X*!Ql8 zy)lOe;feS#{tdsz%{7+5K4%1Ohdbl$cpx5YZV&_~5ua^t7z7s)UxC-+-S_}LhR@)O z_&UCeALEz!JOdW^iO|8E&u8S$m;Q$M!PF4UoAEYN-*dm24}zzN|3>^K z@kgfK_Zj|(3+Xj`eKA}XTg-eA^fquv;0!xtB7wQzJvH7;>S&W&O5yRg}H1He1qSc`rPgsu4>=AxT&}&@oL0t z5w~KV*ZZ0Jyg@hyx5gsQz}a{J9)c&}$#^bahS%d?Ol{|Vrplg&@G(={{XEOxB7UFv zE8?F_z3*3CR1HFlSHjhB9gLg$zMQE#!X~(dsqfp8<>QEVV0i(j;0)XwkHll~G&~b8 zz^hGt|DR3O3IBq3nEL()S^hNf%PfB#Kf=%O2V78T!ai?NTpm}(HLUWRC@uuEa#9eVVo`mP&MR*lnhqvOLsOGsV zKh!LAsygme_0HLXYvcN;BHS%+GgTf8#1Xg^7O;X-a0VV^s$4h>kHeGje7qR1#B1?h zvn2=~z$fq-d>h}#&+sdp&)VzCn-;>Qa9La%d*g=a`X%%}*AF4>E=&8I?M>y^JK@ea z1^2-N(DgTH{iBJ`GL>e|!%Oi>ydCex2k}vS4d22K@l*U2dsx4NzGo4%dkQUH&0JRL z6Z>Fa+!Whz2oA>`v51p#D$d3|aDR0DBKqE=h>ydw@I1788EyNnz}rpbtFAvqK1BQ& zzKU<+2lxs8g57(BpR8lpET2e(^Ih0_s;0XA z)ne*6_coPYVy4nx&Q$u`!qj;^#8kd8+SK{AjoG67!R!?TJDbWsU4E!~y#2G|p|5x9 zPp8Y56}a!$cBpuD+ug$QwXwIU?NXD=>9$MTm$F#8?bCK{VzJ!9)b59EZ!n;_iT4><9quB!9n(V{~$Qh z)ccP&^`WN|pJxsXg3HW7s`vBy?dD+B_f74rr+EEUb7&B_az>x`xy4%s!4E9&X8G>0 zAXw1U&UNLC)?3kH{fITpkwNe~Q?IAYQR)vhbr6P_TLr-wa}4Fo*dVA{tb;Sd9Iy6_ zshx3%IUxvii6iK)gLaxZQSCN!TjihTcB=20I+(8CdWRr**kbL(XHDH2;>weq)E>3C z5CmVD#US{HSqg#$x=S7WrOb-zH)d7$T9}h`+)O2koH;oN`k6W?uDsY)$IW6TmYvM0 zI$q{9r5AI$+M%Wr(Gliu>PIkV2Em!;tRT49RN}hEoTGZHIX4LYYVIBckDE$#FPM7< z!CU5DLE!TLz16O^*b<`>V)uPj9-8|p9h&ym&s1XD(>y8&T)wa4e4NGd59ZN9a4zwsc(r*<5Zq`U8w4)@ zKTf}o<&T<5yic1a1i`Dk{;qkVekZZZ_w_vs%%|7olDMLIl76T8d-eaCCkH`a^Az>t znSaplHBVJN$JG1np8oEq1;GUKbhWQ}eHV23_#cB{Ut)h9;uwq1&~Y@+RJt_JQo3Zl ztMSixhk3SsuX&D+C$B$mo*M+OoBI79^7>cic|q{Kd43T5VqT#9WqzscUK&?6FXZ~i zManOEJ!M|3>rBKW%u51y7puKrS!?(?sI1b(t6?wfgBxNRmBqRB+i)=Yd`zdbTRstW z3c9$0I%Qm}Q^Bbu?o`rs9*jzAF4nGb>QFkh>z&#~PW?3Jm3Tegf_LJ5_y|6Q&*Q83 zHhzeoi9?O4HGaW~u@ z_r-(JwI}rX#}l7|u6?1`&m(s23&mFwUyrw-YiDTreZ-I8Q}{gka_4R05Ak#K<9;QHv=AzGd#-W2PPgxDu{`etgLK#Bt1`&9P+L-5-bIC>)R5VF4%MRGfu-;{JFj9*rmBsdyG% zfS2Occq875cjE(Sb3WPjKZ7r#AK&sW@yGZjeuqC}4>hFia~8%WaXDNC*TnU(FD5aM zo8v$nhFhV{J!Rjw1D0?yPRBX8Hy((G!x4qw5y@gw{azsFy30qTps-tGFkwf(E``dZirW9aMKu3tjyyZ&!EoaJM2 zTlDp8*AK4sx;j4pr|qbD$0wbLXxx|;?Rp{G4 zw-MiquD?m2_Z0C9=-WN-5r2x`;7{0{`nhlKxPB;o-U__FIGcnJ{R{jK-SrJE_wAR(sDCemE908z`nj~eZ?|N4eN%MTJG6Wl@fh^&m7Rzu z;WV6s`=D>997TL0o`&xFhrZ`xV&6Wwf!OtfY56_G58;#O+a<3Nzl**<>}%p5(YHt3 z^$>lo?;l&9di83!Hu`o*f;f-vI*8ssn0O@m_Q&?bCG`DeyAkh!zTM&b&5q^ulkp7n z{bs(saSgBEgs%Tg+jAeWyY8Xbw=-<6O)J0O#1HUu{5$&g#eCF<7sZ~q61JdkSF{qR zaTDy1TcU4IY(w0RRh){m(YGTGB0dsNz*F&T^zDZ$i2bZA;?v zxEi|gHrjsQ&!6D+JZ^!5aU}ZweK-C_@Avop?85Tha1ZqT`iBu8iznk5=&qOQ^L&5) zHN1Wk-hua_8;7IyeLwz7ydF&_(fD7@H~yp3@2(u5-LR|e>gx61%IE&Gw|958d*1c0 z@Vx$yy8h+H5hxuWNcuejkHR$K%cib?VM%NU*B4bxDUoKi<_apuI0uf=<~+%`nFiWozY*qlMUqABqq3*`(d*J?f82al*CljB6 z=i{Y#4f^XvcM#u)kK!}<68h^z9}s_zf5)G3KH4>lVozKNTW~#W#WZe${c%g&3b#Rj zJ*Y}N6=&n#co6#QKqnBNif7|Rcm?|FKYt;<8z00c@NcM}@6yL_wcmQN-hWm-JX(*L zS1$;U%chi<|55dVJ^4IefBvr;7xM<&@jiZr-=Z6zsC=sj<>4Z@G_Hti;JVlsQ@Anq z!=X4DC*Y1)!6`Tk_d-AJ#f@jw_8rgbf55ZwLiFQZt|Pt$@4^SrjdRrJ`Ef3<@cP^M z5q^o^qaWY00OjH0=*B>)?!8j7f zUC@sk*@Jk0JPeP;lhKbCIiL7a^z(Y$M0^MOaUzcrKZ7sfoA?3x@gaXF{u$?^ ze|S;siGEy23-Nl`ifP;g{dkZqiMPUSupO)D$AS2HNA~9RgYZZ^0sZ)ovxzUlEAV>! z3;J;%4-!9tf5TVt9rWWpz9Rks?S=`h6JCvfMn8_@uf&hw)A%C3f$!sI_$~VRT6!!T?x#g? zXUr7`gvduBt8O<$3Ng%cp+Yn*WoRA7e0WG<8$~5 zzKtK@m+0r2`IUHqMZ*2GI4+B;;9A%RW0=Lwa3BuHvA8W3aA%y3bJ5Rha|p3L8_v@C zNq9P*ii?t=&8QFtPrhJL=Hi;1tq8}L@V2Oq*G z@p*g=-^EYxYy1(rEgtS4Ki|=k#LMGqxHhhj3C!abI2cFbc(i8>+VLylF1Q=+f&1fO zcr2cbXW;pGDPDs&;T?D%K8nwvsy}XfluVpmUGL~>y?=N7URR&vuUGum^3~YRe|5cH z|Bw4O_Tc;W$HVYg)Gb_YKc9i;DFHcSH?B5H~Q;2^R8Ql`|E%Db;~#D zPjUBuDnI$0`0w~L&PRX3qSzBx!WLW)TQQBBV1L{ax590(9jiDMXXD;@5FUvq;Hh{v z`umEmAif^meWd!mcN0H|{(hpr5x;K%qC{(u4f2@B#9xE!vEz3_J!#~f~sgKz|n z!|kw$lW_*_j{D)Ecntm?|A_uRp+6D({NlIr@m}N?eIogWACKe5S+}u#Fpj{nI1&B0 zoC@)-=&xJ82j}A=xHPVaYv8)r7gM+~_QRn#8Yke6Sivbc3-`hU@d!K~ z-F@v!FJ}>7h?nDa=4^R2Q1^RI1~581MqM>4o|@|@dCUI{r!M96W@vV<74iOaMG_Rf??uV->KmUxk z<6rR+d>UWGH}HM@48O&HU=PZlMQ~|c5!b+Vu`i}@W9)}RaWqcA9kGH_a2D=`2jUTU zJpKXC!VB?oybf={yYK;g9G}Bi@NN7Ezr^qHS6qPdXK`E>SHZQg55_Qyo8dqlj$?6K zEa1*K9p~b{cnBViC*kRME?$CH;f;73-ir_8Q}_bDj_={8_znJq-6;w95Wm3h z@E4q)>xPS=zt4VU;x(~1ZipH5_ty^~9)@FZBJPC#zWQmzb8sI#7>`1KKfQmx!#TWu zF}V|2&DciPy&j`upX#ARde( zaXfC1{yzC#hhzdbKUBN(->n~h!G8M=f5G|bpI8i+ z!Ig1M?2Q{@2K_j^0mQ>_3{J$Ia1u_#Ik*oVj7Q;#cp9FA7vq(91Kx`F;6wN%K96qx zKkdhNi9f-w@ki`Nzr;egBrcDu;o7)9&P%7^dHV0B)8A^xEXZ+L9GAsa@IPyQhv|I& zT-+BA!K3jcJRQ%)OYkbZ5pTnL@nL)lU%=OK-n;=}x_XTB>{)yn-@*^^3;Yg$!TG7L zFNVwD%D5)>#tkup^X3f*kHgy3=hw#s=5Y%gj3aS8ZjUA01$VtQRVaTDy1TjEx@4Yp$yr{Zkf z8xO)G@dP{-&&G@J3cMcwf_LMC_yqn9U&VLuWBdw#z<_%Cg17`OhpXbec>}`pX%Xt} zOXG^T2Cj>JF@+mrKlJm2jV7LeJ7NW=;4It=55yzzc>DwUdBH9uz8tT^TktOQ^MO51 z{2ac5Z{tVk=K=ek_*YzjcEIAeEc*Gs)*|kMG0fs-=;!?!PVAqbw=Ho2cSb+o*IeR# z@en*3PeMP>*SW-(;8l1d-iG($!}t{X=k>i#{2qRa-{4Q!ec>=2EsRUy3b;D1gBxHH zH^Md?f}_ws2XF`CGVY2qaZfw|569#16g(3zz{~JjyczGr`|&aK&lh}|_$~YpzrgSC z7o4B*kBi|lxH7JZy>Ua#;HEeLhv68Uh&$mVoQ897A3PY3!V~c{JO?kvEAa-r74N}^ z@JW0gU&D9t6Z{%~ME|_Sg>)gtuA?o9%j0UeHm;8e%;Od~7)RoG+#XA~3+{${;Qn|R z9*ZaA8F)Tkir3&xcn98xkK!}<626Ha;OF>v{2AwC{Ntk76Ia3(^v|DcB~Ife*dMpV zt#BJ`$0|<6*|;|zgh!%(e&wmeXX8b91zwMT!MpK6d;M2jK`DhyHn*s&@RZ>L2}Lw`T!F~q;eKjL}#C%hW}jJM-o@ezC) zU&J@?ef$i+#ed+u`!B=uY~KBs;eMER|7ExzZl+y)C*F_$+w$dG$WMQ3T*nKn_d33Z zpW-*@$8&Ti|5+H9!WD3JTn9J6ByNOlI0Q%G*0=+faaWv)d*T6jI39z+3Sidet@6j-|=UhkNj&Rz;WpTgRh){mac?{bkHi!3R6H9m!YlB4{0rWV58@N}H+&V}!H@AP z`~d^{`4+?_a5-ERd*Saejyc>M2jK`DhudKhC*us<9rwdS@fiF){t?f^KjGE*XS^N% zijUya_#(c6@8f6qE&c<0(9gLDE{!YV8n`a@#T0Ig{ctFb#tFD1R&WZ=!oBc7JOYo$ zKj2w-AzqHx;VpO(oxB{+@ z>);0HpMS6saT^Z7QMfhkfMwhjXX2iC03MFV;VF0~UVxXOf6l?p#CPKT_!vHmFXLPI zA%211;V(Ep{d|kzGPp9XiM??{%;2Ut0EgiioQON&B%FqGa34GvkHQo2G&~0{#w+m# zycO@khww>!9$&+E@e}+Sl^y-B>L2-6>*4?I`09VKAA3;WTm+ZK6>$w*7yDugH^zQA z6i4F(+z~4{1!v)2cpx5u$KxOHEW8je$LsJGybB+|$MHFQ1>eSx@Jsw2f5io;cP@_0 z;wrcn_Q4otaWfonr{i4Q7Z1Us@gzJQ&&5mdD!dVI!+Y^zdfld0awR$a05)@M%ac!a1?HhJ75`i#hJJ#9)O4Aad--zi5K8ycrD(H zcjEo{7(R6;cn#i!ci?^aC_aNP;hXpYevW^~pK(6wn~P#kTnSrn zJ#57^Zi4-BOWX>#!FK%bGOy*UY|lIRF@A+VU_gCyL0kft!&R{t{tn}q!_9FJj=*ua z9Tssi&cNMqKRgtV!QbN_@jUz!UX6do+wrgX2tJK3;v4ureum%TKd=Y&%|&o&ToKp6 zby3N0Uj0kglOJI}%)7rWOb^#kPr3>3!29q~dp61L!a z*otY~1pDKbIBy<{@c8AZpKOl*Rr6R}&G-KqZ^!??+~4+Jl|TMheeZwP{2%9$zg~h@ z;=g*|@zbpTBEEs|<7epaH=frX4)cL|?cs1g%xe#a`(a*tINT5O+QZ>~nAaW-_rtvQ zaJV1-x3`D;(k@Qn#@G*s;%JDvNg%{%Gcpct?ci{v0I6jB3 z;M@2Seu>}Xyn1Gs?*G;H|3}m_zr^qHS6qPh?Y#Rz!~OaV`{O6JTnbmfdFk=r zOOGFt?*85Tl$YUntc3o$pdY8VKCk<6)LG)qa3BuHvA8W3aA%y3b8%mscfWFYymux2 z&%{0P06ZLz!&C4~yZ|r5Yw>2h6Ys})_bZ3{{RaA@ZpC}>A$$^_$Jg*(`~<(oAF&(P zzZSwJad}(~=iRRy9*24ND~J1G-u=qqet41VesAFW_!)kS|G*wx|C)Eda=8EI-LD+( zhySkkEB{y3bN{Qp_rL3Ph2Of~JFmSLo<~nnUw;8#$M^74{CC@Xt5Y9c2RFbZZiH<( z1V`c4xC54PUVS<|ZeysAPQ;yX5>CT8xDOtTN8yQh8lHm}b&dtVS1Q1u07lj^TxG@`{Cam*Zw~>Klwi$AM{)G z`2Sb^iWo8urHf#Y!Ad?R7H??-)oD2~PnxFc3@3eLj4@IX8QkH77MsDPRF^pFCKzN z<4Jfro{N{@Rd^%bhWFyb_!Pc?uj708DSm@LVR!n$7sjP<1za81!3{8p8(|v`!BMz1 z?to?76=&j}cmN)b$Kfe>CSHJ-;kDS+d?Sys{8@Y%-@*^^3;Yg$!TFWp+kLi+;WD@~ zu8F;IL(Jf&H~@#?7@UYZ;Ut`fb8sI#7>~je@iaUKFUBkJ2D}yT!H4ikd>&uJckvVa z8h^xY^aC%1OXBjl8m^7&V*>NI1rElMI3Bmh67GV#;U2g@9)|Ot_a3I-+4R%zjR)b8 zcmke^XX8b91zwMT!MpK6d;X_i#VVd)|AvAO2rG?_I_1y!!?J6YdxE^IQ6H zgMNJ0%Cr~P#NN0eW^hv+fWvSMPQ;yX5>CT8xDOtTN8yQh8lHm}V5_$WSuFX5Z`0e+5u$DeUN+Et5UPh1IGa6N3rH2#0>-35HqTHeO-6sJXs zI}~>)?oiyJxYL$WXrZ*F6e#ZQ?(XjH?(XjH?(m*7^E)&NmcokYj`gr9`k+6y!_L?X2jU1EkJE52F2U8f z33uWFJdWq^D&E1z_zFMb4~)Y5yO!C65pUpqe1>oF3r665RdjU5M3@rOV>Zl#g|Q@7!0K2Rn_zQn zjltLnd*T2bj^l7D&cVgF3OC{o+>gibEMCFe_y}L(2mFqad7l>p<6%-vjhQef=Eq`K z1}kGtY=EBF5(BV3cEvt87)RkmoPqOk8Lq`GxEl}QNxXp9@g6?KH~1MHcwSgEjExB~ z1*XHSm>UbB8YfxKMupOI0a|pB3y|Za69h9qj&}{<1KuMFYrBn z!$^Ezf)mEYB-Z%(H;sl(I^KdDy!Oge}58??t zkJs=nKEc=c2{k9{`K>{DD#UzK56?ACqAk%#5yB0E=T;tb(<$ zA$p-N24V;7hJA4ej>bti6BpoeT!&k64<5!-coA>leSC&*@e4+XWnJH*qcbMLl$ai~ zVIC}uC9wil$GX@An`3JX#!lE12jFlVhf{G5F2+^35qIEzJceiS3f{&?_!2+hcZ?j{ zI-O!*JWPtIF%#y*{8$XjU}da{4bT%?VgRNvvvAJ!`PS*Q(!vGin*~6x?y>&hIOzpdSfeWi=o&9`{OVaZwtcDkC~hw zyUz5vhfnbhentn*`$WUom=IH7I#i!m%uRnGbi?vk4eMZI^u|`$7DKTI_Qzp37N_8B zT!i7z(Xy@&6F5IL9p~XvT!Wi&7aqhDcpk6eU3`MC@e^vC_lb(JFaajVw3r2RVfb^j ztn)7w=Y=w0cFc=KuoPBAcdUm^(Fgsp9d^cEI1oqRc$|iFaS5)*O}G;e;Bh>MSMd%$ z##i_ee_#~O`^3cfm<-ckW^}~@SRBh@6|98~(F=Vs5IbNu?2AKiG)}^qxB!>qI^2qT z@Gzdji+BU?<1>7VUoZmaeWIf?Cc>1M9zQNDvz$2e!aA7=m4}Hx9y)I02{QJY0%va5L`0gLneZ<2AgCPw+Kj)b2%DiF24P3+j{R^bj={+|3m4)FT#wsuFCM|ucnNRf z1ALC}@GC~-ypJQs!NiyfGhlYii$$;$Rz!EKhfUE3{jnW(#$GrON8oszhI4TVuEtHc z6A$2VJcn2D4nD?L_z{0#6wdp^#Q2yD(_m(F#R6Cy%c9tAhQD7ldB3Q>C-e@}=@Gs_ z^}J8@eRS%5qtX2ofAo1b>b{E7yq{Cwhf$q=^*&PF&##_)6Mlb%b-50|zrs2l!tbxJ zPKWUOE3DHY{Qe5-bogJpzao5pZ=LT)*nj_3KDVcyZ)dc>5C8rv>wGJ~dDY@r7OP+_ zY=~ayi-FhyyJ24(f}?Q~hJQ}jI{p9X=Y&^r9(yD1!2Ng(&*Bvf|NblM^ghIS-;;O& zuVeW4Us?@#17aE`{EEBjgxRDF2Lou4!7bSJdCICBHqCJ_zd6T z7mUDp(dg)mi7+Lm$84Af3u8&FfYq@sHo@lD8iTPD_QU}=9LM2QoP&#T6>h{GxF3(< zS-gU`@e#hn5BMD;b6zwC#>1qT8Z%)|%#X#e3|7XP*Z@7TB?e%7?23JGFpk2BI0NV7 zGF*#Wa5o;plXwBI<2`(eZ}2lZaGp0B#>Rx00@Gnu%#DT64a;LStb>iw8(U#p48Vd6iZ_ztbz5> z16yDl48bng8wcS?oPg7D9xlZ-xEXihK|F!y@fzO6C-@pap~iiJQ85-Kz~q<~vtTYP zh$XNbR>j)b2%DiF24P3+j{R^bj={+|3m4)FT#wsuFCM|ucnNRf1ALC}@GC~-enCf! zgNZQ}X29&27mHvitcdPd51XP7`eQrnjJz#T#cJ>Cmz7#cn+`P9ej+h z@FV`fDBQ0Y6XRntOoN%x6$@Z-EQ?jJ7B)mL^u<8zfZeb!4#Ckl31{L0T#oB-EAGL= zcnUA#4ZM%f@GXA92yv{(rP0wD6JbhBkJ&H}7RHiT0jpzOY=X_PH3nlR?1=+#IF7@q zI0qNwD%^-Wa6cZyvv>t><0E{DAMiUyj%%GxF)$t`#nhMyb7FohhGnoa*2D(ri7hbz z+hbSkgM)DtPQ)2FAD7`;+=9FD5T3*fcpdNIQ+$J;(IK97`b5Lnm=IH7I?Rf>u@Jgp zd8~$YurYdLD{PCQ*aQ3HFdU0ha5gT&mAC=7<32o!XYexK!iV?*-{Uuo6yG|XoG>mX zK^M%3IWQj<#nM;_YhZo!z!umBL$C|>#z8m|C*X9Phf8q{ZpK}B5KrKFyoPu23BJZp zs3ovYpQsoM6JT;oi&-!i7Q_-*4y$5qY=q6w4}-8HcE^4=6vyCXoP`T<1+K?!xEGJ$ zX}p9t@c}-^clZ?}CbUi`M~s7sF%@RO?3fpeU@5GK?pP0-q7V9GJM4_Ta3GGr@i-0V z;u2hqn{X!{z~guhui_nijIZz`{=g`StkWqb#>Zrs1~a287Qo_I7OP+_Y=~ayi-Fhy zyJ24(f}?Q~&cp?{9M|Di+=GYl6kfy|cpsnPTl|6%5?iNFbaciR1<>U~_DZ!Pp6V;s6|u<8Ug@!Ns@=H{uT5kH_#VUcuY=2w&m{{Em^6Sf^7AjE6}v zHD-K_8LW&ou>pEwOANsF*cJQWU>t=LaR$!EWw;i%;BGvGC-DMa$9wn`-{5C- zNNSxv(J(e9#1xngvtn*6glG*-eISRXyG1-8Ku?1H^<5RSwNI34HV zQe1D@>eAl?n`3JX#!lE1 z2jFlVhf{G5F2+^35qIEzJceiS3f{&?_!2+hcZ|$+^B5QplVWPjggG%k7Q-@F8Eaw# z^u(4JfbFp>_QAn83Mb+WoR7;@F~8*&*;E)?r0br6JiQXhgmT< z7D6{HkJYdaHb!r3g>5kudtiSYhGTIG&c;Q!5;x#>+=oZ;3|_`t_z+*!Sy@z&03yU9dL}!jU)ur{g?aifeE)?!tq30?*?$yo*op zHGV>k>)cT>7AC;tm=?2OE-Z*8upCy!+Smx2p&tfeN9>OMa43$!$v6ua;tE`k+i))) z!P9sNZ{h=dj_>d*M&x?8BgVnRmMSMd%$##i_ee_#|o{}dDBV=_#Gnb8#sU~w#qRj?K|L@)HkKu@XX!NYh8FX9cnkI(Qee!&P_zmAU1mp_w8E@f3 ze1Y%r8%E+fwiCw1B-Z%(H;sl(I^KdDy!Oge} z58??tkJs=nKEc=c2{o=?N5xo}0Fz@{%!0YFAeO*#SQTqyBW#9#7=#_MJNCn&I0h%< zEL?~ya6N9ry?6vq<0ZU_5AZp@!><^T>)4JM2NPo|%z)W3FBZX4SP|W^9yUcE^v8DC z8GGSC9D(C;8qUQfxEeR%PCS6e@f=>oJNOu1;Ya*|QMisB6XRntOoN%x6$@Z-EQ?jJ z7B)mL^u<8zfZeb!4#Ckl31{L0T#oB-EAGL=cnUA#4ZM%f@GXA92wcC8j?S0}Q(}6| zhIz0smc$BJ9qVEfY>url7&~E49Du`d98SeKxENRAM%;n>@fe=PD|j0p;Y<90-!U@R zv14F7Op2*76XwMHSPaWxWvqz}&=Xr?0Jg`j*arvWD4d8ha6T@>wYUX$;~_kW7w|gX z!>9NLKcfTJucKjXOo%Bk9cIPcSP0#)JXXUx*ciRB6}H7t?1BAp7>>m$I2#w?O5A|k zaUUMVGk6(q;X{0Z@9`T(;yShy#>FJ)f*COf=EI^`8Y^K9tdAbp0^48+cER2_2uI=s zoR0HwDXziIxC;;B2|SP2@Gd^V*Z2uFu3tyRSeO8lV_M9Dxv(IXz;aj>Yhxp9hJF}? z9kDz1!=X3^C*v$!h%0bCZo|EJ1W)57yonF+IljZM7?JDPju;0MV=Bym*)cB`L2)`E z{PXgKH0{WrbUyt*^?CX5=dM|o+I_JtNr-<5LDkwG=ctUsIIrG=diBe@9S_2 z?!p5Ye%;-AT=Kti-Tl91d-C7XPJ53pX0iYKqx;K$cDwhL*Nw>f?ucu(C z5iEu3xnO_Rb3*>t=J)@%@%{fcKC^zazW#1}|JB1&(7v>o8FOMjEP^GmJpNhl7k)RM zf0cIr)wk1Mm@ogS^HbsT(fq#M@abbdeXgF;UzGZ|P5lX;5A7bfv!l7RRz!1=W3#4e9qnUkt!C65pUpqe1>mPowp2s9*lK)2!9@obvpcic^=H4y&WmZdJ}$rm+dLX z0Y>K+ZQmE=qW*W^6Q!Ob^}FwdY(w6E`aV~6{B()-+`xPI1YezX z<9H6Q;vIa9uka)Oz$iRdDJI6pWS9msqbnA`;#d}|U@dHjUg(Q~*a5p?UmSv?aT3nN z1-Km7;a1#(hw&6%#2a`YpW$2lf)T`yL7sn$j?S0}Q(}6|hIz0smc$BJ9qVEfY>url z7&~E49Du`d98SeKxENRAM%;n>@fe=PD|j0p;Y<90-!U@JQ;LD{Fe#?SOqdh%V=*j) zm9Zu^Ku>Im0oWe9Vjmogqi`b5!1=fg*Wwo3jfe0gUcl>k51-;2{EQA!t;UbB8YfxKMupOI0a|pB3y|Za69h9qj&}{<1KuMFYrBn z!$>?&$qD0P5_G|gm;>`+Q7ny>um;vg4{U*LFa*0`ZybapaRN@qdAJnU;AY%~2k``+ z$7^^OpWti!gj#g#augL~VFFB!X)z1t!h%==%VAZljg7Dw`e6`u#O~M+hvFEVjI(ed zuE6!U4fo;^JdKy|CO*LD_zu5fM4qSQh;cA6ros%E9rI!lEQJ-(9qVCJ^g(}Yhn=w( z4#W{S9;e}4T!O1{6Yj(VcpT5+RlI|b@fCi=9~ecPIFb9em>3_EVH(Vgu2=wzV_B?% zwXh+2p)Uqv2keG@aR`paNjMW1;Bs7tTX7E_##49^Z{U4=hHvo;M&Nl$(a{+bVMD-q2N&Zi+=x4HKOV!gcm;3cBYcS;@H<8p zC(Pt>6a(X7QcR7RFem26Vps+%V@+&;p4butuswFgJ~$Xh;Y6H)^Kluj#VxoS58+9? zfY2{8qx!>pJa3!xj9$7)yy8>2V2!nPQSJ+MCx!?8F8XX7GV zi5qY`?!%*a1~20+e26dbJ$}PTv8~II6UN0P=z;t4#D*YGYr!Poc+HJ+yw6=PunOpa+W3+BRtSOUvoRjiGT zuo?Pc5O&1w*bj%|7@Ul=a3QY1^|%f9;t@QJm+&S&z~}f5zhXpjAwX`A95D_i##ERA zvtwQ?f~Bw`x???TiazL%?XWZU!htvf$Ky1di%W1dZo-{-0FUE2yoz`5F}}i&_yeQx zT&0*8ACqAk%#5yB0E=T;tb(<$A$p-N24V;7hJA4ej>bti6BpoeT!&k64<5!-coA>l zeSC&*@e4)}7rZU+|Irx}VMD-q2N&Zi z+=x4HKOV!gcm;3cBYcS;@H zaTHF(88{!8;ac2+yYUd7#0z*G@8MH?gP+kMfps~GhOsdrroeQV6?0=Dbi?vk4eMZI z^u|`$7DKTI_Qzp37N_8BT!bre18&ECcofgzWxRzC@ddueZy1U1OLD@vm;_xgBj&(- zSQJZRC9Hw<(F0px8w|lN*c%7oNSuJvaUL$kHMkjf;Xyos=kXfe#V7b0KcOZrY|HIY zRE&iQFgd2hESL)mVhJpVRk1cU!e;1)LD&(yV?P{z#T#cJ> zCmz7#cn+`P9ej+h@FV`fC`qi#Q%sDH$uJFOMprC=#jz|_!CKf5z0el}u>*F)zBmL& z<0PDk3vfBE!>zan592Akh&S*)KEt>81tai%Nzu_66JbhBkJ&H}7RHiT0jpzOY=X_P zH3nlR?1=+#IF7@qI0qNwD%^-Wa6cZyvv>t><0E{DAMiUy7B|Sq?NSVkheIi|%dmcTF*?@Ncv(Hf+NzMc`8jt_A=`w=pF%GBTEL?~ya6N9ry?6vq<0ZU_5AZp@ z!>{;Pe?Ha-?nfGr>bZY&>0g4YaTD%D^}N61^q<44cn2S&y8r1T{XZ}Y_xHra_?QgS zU}kj10$3c&Vil}~4bcmIF%UaoH|&c;a5PTBnYaL#<2u}md+;!x!i#tV@8dIki(fDT z_w__aXH0}CF+FC(JXjb@VgF+b!Gu*6(su zJASvHN=Li0Vr~?NHkS6fq1r!HqrVO|MsI9|Z7~#kV1FEjV^M9-X4Ah2SKoI#zpnHau@nDVh+rQMX@wi!Wvi~J+K9;`#eMF?}EM2cK+G+ z+b+ky8~$esX_-Ij{g1uxzwFId?J?SK{ciu5<{xaITAq#G9|n@!0lQ&e9D<{963)a0xE$Bv zR@{S!@f2Rf@XtqC*N5=$%eGF3WgKt+uROQ=-~D~rYI~)Q_f`Mzj=OEQk4vJ9`6d3b z<$Ft`X&7f)iQn4|%oF@|p6XLRp<@GGvQPH1C_KVkblZyT_Qjt}ke#>#bXouxEMhp*; ze;4f;$nc?35k8lGQDSMIWxFMMcFKOy&a(`+Y_CLnpVI$Q>Y{0u<8e_RP43S``z_D6 z6#bUta4{a1=WL7qf^xXrx#VcqsZEGiGhs-uRbTa6h)-yUmZP~>h?kb5S-W;x4*3UB z-!8j_f+LjPC z-eL~s@D6J4;TPQ2!#mh7#LwHyU(6xz5Wm0xF_+p0g!lwo=JU_0pkSX4Vit?P2e%Ij z@n{#~6~cUK?$fM&OEIWLpjbXEzk4-nCaSHCwf;r4OyBDj>=n?`M=V5gX|Y!P{a@O6 zc?XNguo8d20P&L6aoxGdBrc$#80SLPZkLy@nc(8R9Cmyq)u)RRNfMkx+=Q5r6zT?b#=>3 z>g0Auy{ir0%G$PG%d8 z?^#{lHj}!mx;lC8$tdrxu5O1(-BVrNPLsN~y1HE^bzgOLyG`okepStnJtlQAbair? z8;x&#UEMyDI=TN=ue;x*E}gFKfJt38UEM*GI(eL+UiXkmojiZ1>JFRKmC@B5F{!Jf ztCP#3(e$gWt2<^=*H~9~+@!9#uI_|MoxiT`q)Agwb=YLqutS9i{&ZmO>Cyh+_$UEKwfx}~~0c?@gRzO}l#OD1(&b#<3b>h|jDu9(yv z)z!&umr?u9>gukU)LqrpT{o$_tE-deAdFu3sjlv(N!?pr-7S;4ue!S1CUx?;PHH{B zV^SAGS9jN>PF~MbdG}1} zVp1osQ>yWm`#PiLpti2=nMqw^UEOn&y5_n%d9BCjb^Ud9@;#?fU5Kvkl}TM!UEOPw zy1u%)HzsvMb#?L>z^Hv=b#?Dd>Za=Ia>b#)(2>elM&KAF^Q)zy7A zsoSfo`(jdeR97dDjf}?ktgh~xN!?Xl-FK6^ySlm`CUsABb(+|A8MW`Nu1g0U@YWpJ35gCn# zd_Ib*i*8a^R97dz^UWx)jIK^zD>kaDqN|HxQde77C!fV?l-F2SC!alURM%Wr7u%%H zUsvaBQWv7Di(^vPRaYmkog1~UudYr$Tgj+ysID%)N!?goo%}8wm6uGcd!kp1B7S5y zRn+M_vAS5g)Z+iLP7SuLlfz_}QT)i|=y!G5M2+q1rW1ctoot`%%8MU;-A)lK-$$!X z)s+^1h%SEI7_jqObor4=%lVvOp{$eb@)tk)yihTqjQE@CRGzQ+gFf%1E-yq3Q+dP0 z@A^FXoEmo(MxE>(B!2XHH+6aP+Ly|c=VJ7EF~m7;IjvNu+9%H`>GNLd@(1i8pX-}^Zq2WzpS-U{Kfd*Kd3|+x^KJ4D8nkb= zO`fkVufHzuh)v#Gk(XBtSDl)E^1eTP`$9!tVo@ZQmw`ex{p9l$<#bisgG^Da!)){9 z^UiGR#6SJnso&*uW7Y3+pv*gv@@Lu4Ve+|X`u2?!?dvHPK-oUI{Hykrw8?vsUOY2H zjijhkuO;szRKLqt`nZZ&d{CXrYipA?Q{=rAMKW)UP~{D<$%`fy(mKBw z-##{ZBNI4k3q^>`8~3X`Q7^kD`7~{}oZ)n;eYSZ8lZreiYu*G=`*-alFI90(llO?J zPW@_|cQd`CHd73g?UVNs808HuA(lt6Y^lyDuV+R_%~_0x%$s78H@2LnrBPu*qr8zh z9WB=uW!^NCy!9e)fE{@oMczbFC-Y{Qozlqvg3uWlc+{!h}Y7F8Rd#5W{8r z)O9Agn*Q7#u)Z}Dc~``~L3KuX1!W%V#|lww)V^XhHSJXKktf@)I-~ZvioBbmNY0NfLZk6*7M0Gm=zilsB@aqZS(5nzzp+?^+*CJ0crT zXOtJMqu9QP{l0A90a0r-z6%Fx+DCc7MQ4;Zv#XdNPS(6bqSh#H=4egJYRCM@Bp$rh zQ@pNh-w~6%Ba_APiyh;;a8%gw+A)(n7qK&K%D765#`mCj5L~DjF57oPXf(dvMcy|% z#@B6vqvp%6K5^fe%v0Bye$~F4hc#`q?tsH+d@mjn%X2(y z-VKxX1&hX4vZH+;#e)c4Y2PiAysZy3ZL=NoBhwS{{)72($0Vu&v~lOXnxes;-txEHOu+?$Rux5 zY6nf8CsCbIo_h`_ZKf!ac~68!>s!7w4wky#<-HVnC&_zel6OwzRZ(F=qxS90>7;pz z;j(@5{eV&XQm1v$#3KE(Gs<%j52}>sv1Q&Xle{M)Z|u)B{(uNi{+2)%LkLZ+L;`*-l}mzqxLP#@1!jh!{zv@ z>rB7ezML0%!&Hb#p1XKp=u1&2^S+2WqxtKd#o=$x+p1{W_gij-L>}!^*O`9RzGPYL zTb^ADhb_-POxm|an=)ODs`wXa<^hrc=RXq5L-rB7OTViLPt1eGnXZls%0g-3V^5-t{7Bar-I@7Q6DrR@k8mfsV>ea8d z%gaZRcTfzJ)6Yr#7%hKu#0L?tiX7D$N?Y}@)o$-m*-hBEKgl$`c>YET=wPNEESfgt~32A??Z0;@;u9g<*DmTzsgIM z*S@@);sL~J`pIhrM)SA4_<*TB^TV@zSf2dMs!?8`xIiE_(LX!&>)*}a3SoK4O!CGQ zaL{i5Ofz1dJu5j`#zQX8DNOR(7ql;LVCAs9R3>@#3fY&pQalJ+PG{LZafo6#{R)W- zG4_n_#j0U>VwY{0m#m0=d0O?bJo()-M)UWZ$P=sF&rbbnJAX5|J82`uKsmnhGtEYM z*^4=7@_N1M)UURA8*4ae^~FG$ms$K6<>e{vpvn6?RA-duEFQ>RfxN6HdD%-iXtCvs z(HZ5{uPu%zMV)M)*aRE4uV`s;{H(%+MtL9Wi{lqDT;_>QreR)Jk!R2GP=y9z$IoID zXPEb?oP$;~Wmp4^+UM3p98adTwl9xKUS%H#txZ^*;rLb%A2d?$_vL5ajppx0kyqc2 zyqzLX9Z!l)h+&>{3kNNq9eJ+3oV0?XPR2gwtwlwsa2k!R2O zXV>0NKhHmlRmw2$gPr4BLFB3N6{~<@UbdDFT2(ua$9syro1#umzmg_-omx5Abv?kd zzthj_0b&&}Y~LV}XV3ByB=XeqQr0BzmdF!tlYe%i)Y92*uWpJw7coSRZ+VkEt+j(z z+8SZ`zfqnxKy0tbt7wu}TI9Ldkyk!t#Hky!s-~ zm%LggdA=gg&5pdCB2R7a>zL#%6nV~elSQISJSJ>=B_`3&_RS?v&U@KK7B^5Gswm%iT02o{S!U$-6UyIJ-Er}S zL=CBts5*I{wftRmnT|%c9A~S3xgN=Gqo~ujucv6=N%40%{lqB!Y~LYKCuV1muW>O7NZS|>4}A9>DVsC-S`;-N(nU!1f_cYvkx;@H%=j}^x+ Jx))G&{|6PdiDdu) literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d new file mode 100644 index 0000000..a33accd --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d @@ -0,0 +1,344 @@ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ + src/ASF/sam0/drivers/system/interrupt/system_interrupt.o: \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o new file mode 100644 index 0000000000000000000000000000000000000000..e5d510acabe52b714fb1b183eebbaba891e65fba GIT binary patch literal 762032 zcmb4rby!tR*Y{pCd!KXQfFcIifg-lItr*zd-Q9WHEl8=L*ntIzCLCT zq70*<7U_k+S2Qk2RkGcj<7C4kL89Plr)DjMibS4&!xrNQXyscua>0Iy|Yv(>gq(!?QX(ufvNvysX2k zI!xB#4ISRn;T;{`)8Ty`KGb2V4%2k_REN)X_(F%Tbog3_nL2!@!w)+Aq{GiT{Hnuj z9sbbaFCFqr=l3pjsOr$5Lz51zb!el*TspMVp}h|C>9Bwf9d%ephedQ)Oot_OSW1Vb zb?B@^7af+@VMQHQ)?rm0R?}e(9oEue9Ua!wVSODo)S;UWo9M8a4qNE3l@8nJu$>M& z=&+LxyXdf+4twaZ7oaF+o#O7)S24A|(2GhecXM=WT5Nsegs4d^l@eWxe7`2!_8R3z z3AsO~C$vx=E2+vG{Btp-kdvpId#NspxwT&>#mP~b)KV(Bs++DlI5jEq<(hk`WYy5N z&mhI=eY$%odxNx;GyWGF39w=K#|CR>LwltvEE=EE|M;8~qqr1FIprXot0{%t>>YoX z4pmCIjw|hwqY|pERIFRs^$N{xRnY8KxNwfeJ8n{uB^=%C zjw&VET3W_&jC<}c|Eg1!+-OhqS4B~&ND-4_)8l|axxk~)|MC;6HhRKTMXJVc%QZ!k z;n7K>qOP4L6j7l)lD4i5zp2b2JZx4rxY07sap5rf&LZ&tFElj@K;o219Clt*z{Gm}X zn7(|FFjlImQ1X~QPu3KB)5G72lGoJjv{3Syyn{6*ziD!3RViQ^dqXM?rdxqhaWn~h z2!%}ZR|}=EX~RIN6ftQS!it*e1sRlLCLbrGQrr~mp&`H;osG(0ro;J-2=m)2p)@uv z*{Lc`O!f^-2-!-tE+Ms6R1Yu3T)?C%S{rJEvT#z&&y$Rb)|Pr$P}Mx_D7ft`TEuObLoM(-{NW38Hmi61!x!fLp{R7HKYVFc&w}6i4_}&dG2Vqnz#Z(X zHmy4;T37PPK@Ey+I9>pUma8KkctIR8UhR&aQnBTi#flbQu^fE*AG}eHE?ctj(dx|0 zUFWk@v~IK#Z_3U^8%iz`ZBXG5q8v^I$XI}F^U7MW*Mj1`AK zMjicx6yszr<{o_1sF;RR4V3e##;^#;=dX<5j5|HK7>GI27|vA1mb?Bjlq^w5^g-jc zCqNw*3>AuTdnItuNDi)tRmHd~01UK|qL%9pbT_N1EefC**@KG_b5zBuz*ML>R!$d+ zqg9omDlF~5jB5247h$R3z_E%|wH&jmLtL8n4`y<>fd=}+vvG(U@gK~t;J}%RRrS#p z8~M-zDoVEB;B*!WmeAp}Xm_EMwyLdaaC-TIL9wd)C;Z_igi!B~*Hy`+Sh?n4CWi?( z;HQ2LX5;XwEF>G`V0I2cdyR@!!yGK1L(K(Jv1*iqIXavSfCsl6tcb(Vv5;(>gOyMU zZOxX7iLBbR=U7H}1+Og^xhd}#1J;f){>B_C97hv$;Hm%>4#!8sN9P>WODMKH$n=M- zrH;jwLhpBxvYf2?hq7Ty%MRco8!q`5EDg*FL3KEiib@XNW#O3|I3BAZ+%nQ>5upLu zrtKj-_@8dvUQGZ}m>dH!teC8(WdL)id`>7<)49k#E*wN-&Cq?oOnZLWAzcm1D65#Z z7zqmwoTOOA>e2-VPFAeqbm4*nXDC+jIf%)j%>~4Bu$!fK**N5?h{zA+V7Uqo95O<& zI(*XN(axdm6-XY*(Q|YNtq1?7xKZ#$In?w-Qzkyc%N0%~9Euf0jg#!G7%T12sIW<~ zI$zU*xj1y$1}hgjS+I%)2TmKJSY6KXP!$+rzLFDj9bgFhYL3794v!45a=nK|&&}cD zM#OnzgavC_aNsytxt(LBnL{fVw9~yDy><=>_kg90$6FOnog9i>fZoF~XdmI!&7r$5 zx>#DKh1biWc58H_r%xI!C?wOeQ=SCL~!F}qg<>LyNE0$0rq!V%6N)MPHS8L|FBZOlcu8#U2&?aY2Rt!ggc4sW2P_+;VMFc)5rW^S!>>zHLVplyZ0hIQ+k57b3t zb`Uuuft%U07#wxfg`1gMy@PNko!iR1`3z$2ENm>g?aXUJ(J=qA>Ts7-i)liov#Yy04ipry>#` zu@LPeol-S(1I#~GzM{N^{~8mvdKayVwTRsb%m!SxSGl+6ydP_*{pEu!xoE1gl+!g#aK?>bud?Ohjh+i7 zmsKNDtzl+&A-p^{OPS4-JB%i5tfL0=<|cSsO{gz|5>7UXEf?}ZO^?>@s#R~%3~$G5 zhh@4|Us1y%sF-j1BkF$odsNNqpMl%oA}O3q=Hi85b%5Y89-p+i&{~8(Q11h+%v)c9 zJ4h_FnCfJ%Q4u|4usDavg;Otc;mr^pB62>gQRWn^j;x02=p=LFr9g-2=uC6TQh4FR zMK?rfS@_gSf~^r^m<3-%=Ipr;A8GL{or1{h_Z!AXS=6OdD4ExLfInKt_mFw)40Nb5 zIv!2t6PVAf#_ISHGH>0F(8uZcX)^!Lh1MLe+rLPPE%z~w#?pQTb8wEvf*W)2sef<> z#qOQeWU&djx$9y?GR;!v*OaLskm+I%Si)(hV#}i(BNjVF6bYjmW7UH_C4@$%5rsJ5?&?p**?~<*8g89o%~c zREZ>sr$2>am;|hJ_E#{LgdQ>0?!$C5b&sj3wgU?*WxANPqV2lo;D^Q*tazW zpq$Ip{)ey1`Gj+r>ziBL<1xzV1gpESS$0{}o zk1rXOf~J|{1-1(-Yhb&eXnRm!W0@4h$-_i0|NW?&>0T6C()0sQG8H>uMD%WWVu8E} zTxY82fz~`qjPS2r4p1l$UPIaUSFqST#!XZLbeE*cQlW&!DE48r3tlpvU^Ix)N3Fp< z#fCUX0n^orVAHgbcz?yeUifF(<$M2QdJ~)O2kEk56A|BL4jAhc#pP@&UeH$7JPEWM z_GU^w{L6_Tgk8R2F-rv77|n;3v!axzkPO(F@sH1{Ac}dY!#^%(vdgxRu3+&oJ14sG zw5t;oyZxisOjpeQN3lCA&VXW0a6MUZ8+)xtZ8Op2)rq?z|16^%oaQuN4c5ENdiz^I ztQJd|EWMQSP?=nL%`#WvT-^>oKx zy^H^2)Pk1KBeNhSb^n$+mSRiX1WtPWK+UON>Hkz%AdoVaX2$Dvu1t+=a^4QdkcaHa z&9JVC#YkBsIP~`>@N!-LWddk9GkSqN`f~aI#e}tPqQZZ4_@!3-KSXnSpCt<;lzA4| z4m6a}lm7E7gd!=O7;sNjm5VH-D|CHsS^uJ{7LE;KBWOJw>1IwTbGK&BFF!HfB z1MYJRkVjhC zYt}Q77mzkWGmLG+xz4;=ft%A&D3UKn{@+h&i)Al(r>Dym)u2Vz2qUP z=%t0Cs$TLGf9WMJQB5y-i|TsGN7T?uzM`gHS|n=erNyGQURo*Y=%rPnu3lO#>glC5 z!c{M=74`MfI?+HctrrdT(gx8;F9ixWy|hs@)=Qg26TP%qG}TL6L^Hj#RW#R2L866T z3KlK(Qiy1!m$nIXKTF$(iZ*&_yWkx#zmG71B!H!~L$udRJ4FY*6fQdIrCkCmD~sH2 zfsD1Kv`2K&OM3;fWENV4=%$wUp zUP=E)~Fur$QKpR&O)3o0AJMAPA#$UFrTh7tddOtX=i?GB79vfwDKtSffp zsw^}*M?w}JqdCnxg*cqYYECi8x!#rr4A2bVSB857QST3O1cGns1+<)?!^>xMO9 znJ$&M9D{tRrugs0nSs&`N2HpPgfBu%x*_j#r;_|px{JdG1?ZiFc@=J_#n$mI< zmwyMc&D3G+t3q9V4w=DEJB(CFu$5phv&wM$986)#wa=_|CxY$w^}} z)-8o1w-8CF=Nu$WBQu1?04f7wlHK9KkM&h zFP@g3+~6r&YjfKYe{Jo zv;2y;Tt0A>pZ2wwYj5dqcgk_O$#}MtR+M8{VaE}s1@rUGan^lp;kG%&l=nCXLeJdB zc8dEjr38isv?NlGnqqs4%ab>-%_5d}*BYl3_%42N}^C zS2LxAH_LDJ~R$?;Llm!;a zgu`qh0x2j>*|eP{H%?-%w8ZQ;mdg_d@zc#xjvHc$YAsV@d$3J^u7AT$iC?97A(U`#j0y^4T@~9Q=W;J&EFHlNoGhL;?1dHi@54Exo#E zDYpFa1j|%8%v$vduovSorleR#hPReEXz*92PqEAk55BSmSERh~7S-)kDzuBK%kTs4!q`EfY_8AohB3`)hKY+>Me zwtUDkk6iTQI6vU_2381`UQxv|U*vkkHghNk4IF>{>P*>Z=`FW@VEMu;n6e#Bpt`hq zfpYn(S5aO}>$u^U(D2r%ksn3Xgadzif;F?YlveRTy^NY{hI{}ye1zn3nq~%X1x+P1 zYcS1rg}1|0{0Q`p&@msxdX(HdgLsTWc_cqhop`8Cpmf|9&`wa}^}tS&VJNUubchF= z)3od;S|gDzm%wLmhRSS(ND>8{g3Ys3?h0(4qX9t>IZw5HK}@C{SY{bA>9htbej@M; zi2fpk=Z2-CO#@)dL|6Wb1H_w9faM~-HNXmSB^A7tVi*oYwN>KOPS{*6GK#_N8j;Dv z>sn!hV_a>WaKJq?ZM`U73nCjtFKmVlVIl!7XGjrN7%mMfaGy+laWz4^Nr9_S!8PJ6dyF`EfS~B01FVM(W%gcnXO=Dt2lfIyioD_0*Ddf3sRC=oT$Sq#1rCq zEWmlud@zW)`>X-`B}U4ZA}%Pr`=6i2)v@>=w`2a&hp;Cy)hAWmNZ_CH4#quI?VR+*RVM9*Jj)|fs_eK2BI)wT5{SH( zH;ST0U*yPQApVp!B7pg-Zaf;TP{YCiHmSB&2^Xg~Zxe6O8TA@W7rR1`t{(9ZM&@G!_H zQ1>wi3^tmdq5KjktT9aL1NC4-3uN!LD8u+~z>XTkd#Il`WZ^cMmSQMy7n08mA@u-0 z8RiFqm~F610Jg-qkJl4xjbFw=Zg>T=yBg`}i|qZf|tv{%M${2^r;Ltk+nj4SOB?oZ>^Uoh=y^0^8t zi%mBo09Km%o&#~M=_|6(TA(S)4q%IEd~I~^9i~n=sL&!!Er!BFtf^viV8=|`c?q0o zDnAA4cT80Wf%wq$>oLGn)4~NHzBRc$hRv_IR0Pb!>LRWYX#rM6#=**Zs{#iQ(he(? zYrEI#ayGz0tEElhHo@xMV~8YKy`BZ^x>W_-h|}&_^_c*X$5ubxfxWTXhV7yD(Q4sw z5Pw-6;VHz+y2}*smRZ|}KyRh>UYjU`>jKa5Q($4ehcilb@P5uKV_Zo5Nsw{kNFE8&RMtV4~0wC zxO|{!*Q_5L2JgD{a9+yZwtg$1mtx(<10Eh&@8iDo*jg(EN6)PH>;p)*4p|Ak4C|2d z;Jvk8Yz^$ab=ShMnPpx47>s?hZZ{U-yY-Yyu=3m5JQ$d#`O-6Z@G~14LodL5`~k34 z<^W!&2bzE02iRivj)RqL=CM4HhMQ-3Kr+_+cYa77F^ALuIBDLF$x%CNHq?aVWpl^2 z@NmOixF>k2<{A9;KQqtbUDqpfdtNrbH4hj8E1%4taW`B0YF_;udJAo2ZGc5Krt+xm zGMiFkpuXDXY+bkww3&naixy<#!DGm7o94Wf*>6L;Zfw!LBiZ>_DyEx5tFcCQJN$8A%`qADkCXW&3pJ7rt?DZHPtO)m^A$@b<{=$*H9 znhw2dwspTC-Oux&njcwR%}}!h$i||)r7|@f4vN+O6H1Om$)jVS>2e(lL4!NpW@{eQ z8p~IMH~IWT^gfgyn>m9owX}l4MO1PP)*c(F4bslqChCYAfZ7Mj^BsC$sT{60XzrqA zYnBudJs`4NwAcu2wQ%IQCs4HJb>1#<=nPCB5^<$5v~ZxgyZ6Jh&}%DjOYRr}GgUT? z0Hr}D?@zjps)`DXKNttDKV51KyJ6(V>!~Aj^*icwnWmRCDM(r!;Zz|Tw7z{D9_;30 z$!jQI85?gyg*0pw4HfwskD=0{RBU}J^Yvpxl}k9mH&peBhl{^VxJaT^tHRA+eY6cM z*GPSWXU%7S!)C1@-dxpgQyJ~(mf-~ZEzib4zT;JX>3#b1wqba;W6+(j`#Hj%=8aQ9 zZT_XDsE#*9l>}osUEd5Ft7+gh2yCTYI1|QlVt6=+2k3G$yoDIDgd0nic;mL{n03Ouz1MDoM^|++0t)~OX!)hC- zMG{;G(mGtO&_c-hI@Gq&!;HvUEo%_{;U2iCiiN1w(SZ%(e$_gPd|3 zSg1VAxuflJ!9WoA%NfI9I!aFJ2;vF(A`p5fWqPR_$;i~H4gf-l72G?TCM~A z@T|X$w>=FGErm+Myq{6|MmKli=@#+<+{P_7A(AE~55auXC)jjpou1Xkv-8Hbpmz!1 z2D@EdbHi)5hz2Nkci#=I9(OcY=(!3vZM0r*m+0Nuxyx`!xX$C0VT<+)DJQn-!CgRY zQvW{uH@nSoH7}e1y%yhE!fMOcMtE*@u^T9@eFi|Y&9tZR-}b2)-)pH!`@Yru^eOT-NE)wzsE^>Ds80`wmpg8UigI(J}en|JiC=b052l}wt z+&za+4+nk3C>)q*BfpITWmNW6*c?4%4Jc#Y2-q3hz9J~&K3;{F@ioukIpN21L^3gG zBkWA_YXe|say{N*O%d%tnQDC*lxg2*!p`(9{5j9qxfsuxo9jVlRYxR@Nf!^#pW^8yNY5srMH?IaBgZ1?OhMzS}L#_BJ1eHLJ-%}9Go&@M0wNz z>VcHM1&A9d>@P@eqG69f+)T}XgSdrUOu)9%xt;JAMCHnZ7)*0{F&9F+c>LN%E5?8r zO1(Lww4HpNzzd_{ysy|nA)IK~Ny&*IhSR}%2!0pIUWjEkrNsl=L&>*+?WG|#5K;ss zcZJPJYLypy`{ME28gPB%u;9{foXM*e-AGKi)IrC>9Lo|OX@OW%Wl#gQ8)|Ke#4 z=VK32$LCN#M0dl19j4)D5YiFK%d4ZK6!aXD$EXr7ypB`iJMa?d&QlOi(7&nxs4a>Kna?2wb1!R-U`nF;J6wc?h4L|&W|Nu^>uyga5# z6A?rj-Q*?c6I$yF-cxFP8rU=HSrl%clTR7AO{YPPfxRH(R$wn_3a_+ZQDjm0%bg8*KldM-rfErNNm>LWh-0P_`vj{;jH0(i~3SP0%bED?Vp zABSwsyFCcdUu-x4M@vP(4mesS9^1fCfCzGiqvfIm52h=G77A>oc*Se$RU$8MOIC{> zFMzEP6KermDqL1@*sm8J1k)QtAQJ;ctunwiikMk&yGc~#^SRApWHzuZ;+`Gc zZWROnMi4&pnG`|VA@!|lleh!LM{2>ZfuJ+4-9Tr`AA9_S2 z+Q98m(Y7XR9uwCOK>fH_ycQrq4B`FV2@%KHmXji>B}7h%`e7iR7EKQSnP$Jg0KJ+o z?@y{1^@h@=M_02Z2^dxvuK+$X_pQD8SHExYhH*J>?q zgIh1l2BnQ>cUW%glMYI|fYz|weq&W=b%JfF-CdCdd=(5!IS9F~W-GsCN`LTn>$eFJ6W=dR$6YRWs)(f8WG@|Xs! zH8x@p%Hx`T2Y39+zVI@kZWJgJ%kY;x>8}Hz{9V`tzmxM$1ZB!B1GJ_-?*YoRn!J3R zzMvXx&N#jqUS@Ww4!^US^0zj-{A*C=%xeY9bFET9nKzA3E9OV?ife)Mag^PuS#=0` zP%&JR!35fpkK{bba2KBNXLY=%^QLy?5d$VrPP_Y3hXxQ?L^pH8;9}a2tr{lKDm)hX zQTRT@<4;{Up}UlV_d{1BS5ZBSlS0JvZZA+lAf$qP9zd)+h0FE}&bKJwi1lqqk9Brm0Jgjb^n!JwL zO0)llLJ%#w4Pr2f-jEC-JN`(w(Oq7&gi`mTklaq~?cpeld^uCHgC^mxIx&H|M!-Wj zJ#>KjE;94M?QVLD1Ak1QmwAW2mkO&;kDwntL5!q9yo=dKJ$b3SpZeBB9iqsK57rM* zc~5wVCXbi!5JQeF5KAmAxdkG2Q1#&`p1N`>{UH73l;I)T=L_OtD#YLa5jx0+RY&RF zEqFLa*GGbQoC=)=F@frrh29A&dj!OjG$jvgo}wN+;GZV@T=1Sq)4Iag8LGzX^d#EZ z9v;q;%Et`nXcg~R&Qls^!7fn$AHXhBbw2*OL;;^cyi7%S7j%Wzr@`h`avKTk8jbr4 zykxqr8M z@jeyIfZhX2;F-V`&s_hU61kbPjq?DX}$(&uIR6=sl-d z9iWg-ule-v1%&}tApMK~w_y+r+W z(DN4lO;IBs(Vzv0zG5zaD@eA-LT|Ac?1s885vh>~!cQcP0nuOV=LOJGv68E~O!Vee zc7SlAc3hD=NFd-#t-{-(QMwC;{xgsHp%v5XqYW z9tu}JHGU)-tpS!Qg84l5vDp3$Sep3q4%ic6(+F;#iVWUZKNIcwGQ@MCIsl}LX>;KH zg}BiTk}pNKuOPk>M|q~p5XasEdoA|x9Q#J>;nvL*?gN3n74vxrdMEzkmCt+8l1IJ| zqQO=0K8jGDdOnFBJV$1UVSHWUvj}p7`WLaiJ9uA3Ki$meu__gi1n`1;9t1 zNdV7RZsHy7BDt6l;9@y46xb5^#RT9dYx6$QUyj4=QDho=PY1S4mTG~h17w@Gz?RFC zwg_p3Y{JL%E9EXXfK{?fZPaMB9G3?+*T~ZWz}CuWP6w}(XMBLImydYB-5?KfPY#sD znEnw*FamwSQ%cFEIT;O&;prh~UfPI5z4_R7vKsAhzm z@(Ea^l(P^nre0T=-Y@UmgXt)Fsx=f2NIM>8qGh3NP>7K(#X*df9Tvl8oSgd`9^&Pd zz7RPmd+>40A(8@ ze*>J7LxU00X<3kG%0#>>D4dbK`JP&mG$$g!vvN)}B+tov9{|qFO}JsLU65rTz}Q7O zZ65qxl682Kaaq>qEa4Ryo(hqxvhG3tSg!2G*Wp!^T+>`ScKp{m2K7z6P@_`!^9>|~7Ve_F(=48nuDONxs zRc^lv-eY;0r};FwdjNP(WCOmm@ltJ=9a)@UT!VSqLJYYWtV);H8Fn!=|_D!Z|7*wU--+zH017 z7+a)vX^6I7tUf3QktJ&SSn&MRv~N)NSMNTA$WnF7V0c)j2J(78Kwa(&56jh)oGMtM z4$A_zQjH@htWvN1g!*bV;2VssQCt26e{0o|oQPYePK$xUdNtonU>nq~jt~h{L$5$# zqdNHp)HkW6??7R*I^`z(ZBf0dLt(3$`2`9=>g1&m305m95D8H|zC(SRTCE2{3ROFI zh2(bi^?qPs>O3B{cc?XaO}kUQa~~eU)#RB_*rh(^4AXAaa2p=>sK((S?p0gy!ZSkc zPzl6Hb=E*A>{E}thWdVWWE>Qt)FNHMJD}R}JRPlGodaHsx`o$gvFdd%D8#Ax>!Ts# z)$8LB%R#l?1DHOfPF)U#!|GaI$RANF<_30Doi`W?$JCMeK|HSBT@NfleV7M&C)AM# zA#ze(cNoM|YACPZPpeKT2ryBtGYS6As4Y1~n56dJ2jW?ELT&wAqv)d^&?))68dORZ z^5fsdyaU^}L z3+qvIl6&+43aACY(Uicum{cmvM{bYl9zpU6;m^S_z|h8}sLFGicmY^CedUv!m$WVf z#8*`I0bG8k@Z%u6z6c02iFFc(dlT<2o@j7z!K2{Nca?MbWhgX_Gip z3B=9fc3Fh9RqVYA^&qjdH$aHk$=Qf)!pM_cj2N{alCdJ_D0uOr^F;6tii(3l%o1lf zz4lpj4g>G2NEr(7O*Hue@LkMqh**9IbpmSpOB`ql@LSB?2jC%xb%6RpIV=#sTTacH z;IH!HbdfB?TerosdwW>%lY-aT{?eX9!u799AO^_79ig{E&g6XDO8H|th^u8X?<3a8 zZv1hslht0Jw(DhF74QOO2LA36^L05s9osBt@S1pw9B+-52$3JF0Bn;EJgM%G8NFb0 zr_4VOBD-WE?hm_Vy=frsm30(|M9467Ud*&7IgPtt&ff~+0h!G6OSF9P1&-omGAEAW zWy(N^9FlRJ;o-1c#{nLdOP@g!DZM~Qo{&M~;pn6cN1x?qK4$nLyM{pIo2+LCEL*-^4Ur#mC$DXP%BhoK^P{>JU0eIE zIz$32(&qdGZ?Wc<2}et`)12n?)953tEY+UzzIvIK=>%ecHj1-QFs zc@KwPyf)7==4sw85ILkB8IKg#XJM;*VC1|HPg?3Im-w2M* zYgai_cu7la2;MdA4p%x^TfsS(>spVW(7U6(-3oA5o6KiJ_q0KL=y6{|BVp{)Y%y-< zjBk~9f?nZTbC4@O&4TUvXN`!nLHuTDHxeaKc01q>*NyKXk-g!14Z-ewH> zz63Hu%f!RZu(%^AFNopgojZ+a2e6Plc;Dek1C|4L(NbP?d(-VH;Q3IW-LU3MzmTd! zQf5j!*jP;c+36B``v$;|UcUqIr z_`qxx4dd!_kX)-#CIs{AwS-rMonI?WZ8d5dV(}NPVRI1c)Omz8o4)#rbISAPu_)V~5C=55BHa0&kkGQ6wKv zU#EOO;o%0AatF9cUM*ql78UM^Aa2u5K6&4`=2ylZq%f}fT zMN59so5T*j4ZB$se+aNej9dkqTSdlqI0_PFIK2@pE(U=YA|lH|VVl^|99BX_7LT{v zMW+QY7A6Mra%+bu;|j^0BE1$sxY*te>br!QZ$0l8t$0{ECMw%Q@3?T}#n%Z@Dix9^ zMFqaHbxJ(oLI1Rv#g}dp#j_?*I3wOo0!R`wufx$DtwPb?}vY&w;l{&f}3}u^hrjrb}ehD(LyirF=B) zFAwm9w^TOcEygk#Jq?Zmrn|<=N9csH@4(09^ zC9@^`9gy4Rz(cg${tCnxIsFpUV`b4$=*3Ap-iOD_+Akn-P+D`>KP20x0Xr-^axV9X zT;_pTj!M5s@Q%q)A7IC28h<$n(&s+va6)$C^PQ72kTV3Qq{9c;JS}ezgZD&vvjVU) za^@Q7B}tFDaC=ss7zxwoWCa{yVR+Oo-e{)kvnd{ z-&NUxZ#!I*dy`-#S=xEP-*wrCQ-L>RzM=p(WiH-g+>+^wAaYx}j)dMF`6xGtccsA< z*gd(8M}`zR=>$aX%W+n4`#{e58^#{WykQ_dlEZmzmnx^8hvZ{9BORvGWa}C%{97{Kfh3*Rs)JfHyLn zyMCrDau(QI`ID!rck*g7JiM3V+5r0?8?^`aQHJ>g`y`9=&7CayJq8{=OKWb{FY@6^ zc=#&6jRw(MjoSsHk2;CRiA8D_0b7jiFdQvW_q;%ye(JR=`V!Zv7H`EXSf{~i#nzne zbzR1n;_Lf&$FpG`PUJRPnFdR4arGhD_|hRrHu-%S)|!@E25z(AMrd{Ez&Dn=zFP@; z_m{Wfq{oQLDEC~s8RcFR`0$~3XFikfv$Gu}`<~{dWWPp>V6*>GZu9}kBk-yRR+T1aU}AmB-B`7`q( z;}58MQ(aCG`p}kq;Q7+gC=eG>YF-c*lfOOGm(chvQ1GL?-QmTb8Vm++Db@1?SVrPC zhyk?21tQBS+8638$c2w>w~)CUcw6bN1A2Hc9bOGAgq(&zZyVK~0Fh8iWApIJ-}glTm-x$RI3rdQCiQZ#m8vkdGL-?#k^2YpahmYK~*CGPSR%XzNctD zFN#l-!(I>*sUVN>XQ)##xJ{xtR%LX%yfK768hk&cf9xI~?L zLGm(fQ(t-;RxkqO<0Hjccfckw}%S*@yw5$je9#YqiPDfiwKe#HU8E86X0t0xTEfPC#;n zNZt)>rRcHcJW#1HQ{sswM`aZd{MvaB4a&*xFI|~!`Mv`$urX} zF^|8h+oDMigmg!U2!Oj{UR8j5qW&vLrie8D!-D%FIybC55J`N#@KB`pgUBP{oEKJ7 z#Vr1VgvX-K2`HoqZ7O(AL=tb>o(kXDAU+dq<^ntyK?Tuf>B7uMGcSZg1c)z1i91k# zCFCK*k|D|$fXHhxhwnDL5vA+_GR5_B@cvf(EDP{XbSeYzUNmt6_#iT-Lhqw+yaFqq z#DhE_W(ilma`0Ics(>KAh^)NeeZ~JF3V+|kd!9G4#lddieHR1XfcHa8$OQIN#PTuG zFY%ribH7DtUMjmwuYIVdhpZ9~y@hi04~Te5$2zEkmz?_?L~rRj6Oul%Drb6qWmDe9 zERrYA!pdU#wJJcMT*C{!jWU^!JT}Wy`2n`bVmxqem0S3XGDw!156NIzw<>BBBCnN% z$Ts=t8f=Ejf>sdOE;IO0EJlvvy+f?Lp9w5p9_2;uK{=VT35R4q9+MBt!(8_x@+zlH zj>_76Xmm^(#{xSp&lLxjAj{{6-c|X*1^%u{r6Gvd<+7fzazhR|0OC!#ocC_GFLA)c6l!1r4^6GvN@5v$KVKYU}{RLu%Jdp}3ujTGFAZE%@C4s$_L;2+KolND? z8|l%v;C+x!-9Y>(i?)FX(xd%>Wy!PraebD3IW6v~{$2qEFLh@r1mUCB83R3E)%`sr z7pYD>4z5-`9s*mVO5U-pQ^R~9xn8YT0>llf_h2Xls{Wke4^vMLfXyB11)f^N)w6t* zw@VF~3=g~2!JMGnqbA|LFw%Lgcolw7ZN=aFVRh6vfFo-A%TPF~F8K;@O#RIVW*5}u zJaArAt2hH(R>R`KyP_uEK`d9*L7axark0xqn-A5ylM&J*_4GjKJyv`3nP{5YYb#=T zqR#9I?@!fVK@j<%26u&(k7`L?GG(bXzW{tz^Be{7i@I|Lz*jYK5{Tc_x}5>C)g}^p z-_>E)vB0g5KxR zNj&@3$^@lf#xKbCci@*fz=rdZ1GDZyevoTDL^ZfdHYA5^stqqg3;N+X%!w23!)Ngp zY{Ug#gpBOSSAj;Y;0Q6(UhzOZwo5!Lj0@)XHM`vmQ0Dl|LwVlooA5i|krS#5 zA`?+|rxq&M9<=ldY%iyM8i*^XZvkMdXuxm?uBN%i5!D)Uz5w1@+FllZ)=_9~=&dKC zBX}Fgbsw;u^qs$>aGL!Idb_DBuMGFlYu?-Lr2_|`9zoYRRU1j}d`E8|O>qHnKRw(4 zkpvpeNxc*F!4aWP&6(EDm;UK=I>}3#nL&?KI{6+`4 zYh;tRKm7fmzTB)o$z28U7g_U|^_vcP{pl~Mr=e4!HIEb(!#2W9^VNBw*J3c|2wJ&& zqulyxE9kcIz&X{&g;52_HS5Ag@u23H3F4L>RLRZ0u zf8FZw)yMAP{GIoB$19DVC+0w=SB>MK^sd6APku)!10 zi9cF{GAX?p6|bNu_`Ik!?EwC2^Y!E?ShFF_V~*rV)Dxp>m?zzPet7gC9Lz&vS&6(S3ua89)^ zr&8O%TS@Ntzb(O zgUYppUJU(c3B6dFz8(tk6xIv8gOoTQ3Wq3^`|x2J!t1Rgl)%fUa}?hTyz^8z2I?1S zJTI6ok;`gWxlGQS+ju~idBA>1^LxTrDm~}S-D8T~1@$!goBsyl36(1h@RaO13Hgi~ zbK>GTJ%0}@ojNp!-U~XzdBc}9_93uW)Uh=DWspr}NWP}K7l6H?CwxOJliHVuhqv@` z1bFZ0b`td7({@fKexUYoaQl(G0zv#lUHX8SMaTK?+CS5JzUc6UitxAgl{!9vv2XZ( zA(Bnon*w~Nw9nxEpq(p#{iM0~f&HRTPM-ayud%S{F6u_XiidFG^T^Gj9gpc-gd=bI zgG3PLih{+tUJwZpw#8v(8?H-0BvcII_0M+EkT-^5B4!ZOcZfKD@OFy$uK?lV(Jb(G z2}5mw-QwOC5ci0d+;#Sfk$xaXh<2%P6e;|p!P_Sa^SHfVbnO5vN)Yep4~PLJVLDpe z;LKl)_{HnjSTV*PSe&>x7gpj$<6y`|D_Kfp4X$CAR9!JLuVE%z;ll|9nD z5?F-v;ujt%54%8epS;WW{r1Zve2fw$?Thp4kUgeABw8*U1P~)PPX;emHsT2)PWsP+ zUc7wGXW|Ftcg_bLlCKLx(U^AWqAw-(f6Kx}1gH8JWBi#3b3r0Jmr5Qg>kIFEsOS2=PPYV=L+s0olQ`#puociDI{ zy#J6ZIivkk{+)d8kLKi#|hdpZbwI`+jw1FDOK*>xM$%fI9LfuxNGd9e^0M zM;J`Us#SRN8>g;1jR0}l%L$W%>M;i>98!Vp*Y7CLZ+RJcQS)wJm0`u4Q zRR?dWHX$04%d~G#;XOcW&k6WVn%iR#H)~CJO}tgx>knd(cBC!p7p&#W1zw2ugO`{4 zwC?O^zvj#diUXP-@2H}+7CflNXz!g6Zmd?kIo!r+B_*(n+CUy7FCoPVV^_3B!w|$( zE%z6IYue9C;3aFhy203W?RzXlZfINhg!iV_;sLDO((HTzZfjdOS#n29j)T9unmcFU z?rBFxK`%wiw-yTbHRn&@J zfIZa~o<}Utv^RXK?zz@)6cp06D=Q%KLbGWLk(b(&G=2|S*&Ptc(C%_xK)1 z#OJet2B%QOxzTVt24It+wj(4r8?M&@Z;RmqUw+wZ*!=*+2tyZM^zAbgeE{|Sh7GZB z6lLhctHJ|@)%@QoMH}3w0E;oiF9t8x@M#p(6Aha<+jzz>l@A!s8YxU;+@3}=kL;etF>Qf)8H;h!+uPXq1Jr$t3g6%IH8zfd$RcBCHfp=rxM3)W zON<#|Fy?2RvI2GRH*V#d+)IrOCxf`mn4gEW0Au5{~v6 z)3QL^Z@k2Rpb%y3>I!2AjGg$>Z?w^QHb9KgjkDgd#-dxG7iWCK(`UT#Lne3!jsHW_ zcL!u~Jbyd8d(Q)?iJHW2nu*2|O*NL-d+)vX8lxumMpsY-r1#!MLFv5-7Eq8Pf`TFz zR1kYZeLs`$@BQbQXZqYdce^vQd-rTS0ODEw4-X-dr{B>RXYicfj>qKl`i+Yqc|rf- zBzR@|Tt6t3>-Thq!ZrQq6!0qaCU1dN>H}iIyQjC{TBJ@t+6v)5(D&n^@}b^yE3ilU zNmY=1t#{^4zi;(%yzS_ne(M2%CjFPQq5fX~Ru6?}gQa+}_W$bfQ3=rLb)ynN^`7RA z?}LYOE;ZztIpl{u8Vtk3rH=c3hYR zbmtqp;B&#manM?HN`bz(@Oyk;@{zOirKk9ZTDIpgJT4#1$>WNhXF*@NstKQ~Yyx3( zwbw4#Tod>TG3*-{fROi}I|j8r0{(25#(`Olg@KL_3sGIRuXl%{gljt6&(VcMN+c#8I<)x0$5 zMfWQKyy@~sDEQD5c65Sz`~`(bdeH*l6t(8wZWQ(524ytq+JShQhH`q6M)T`oGaXNr zftN`$c~;G$b-fTvHmxfFFNYrU4z5D7=6xMSbb>RAV)8oyUI~>>23AVTxDqa-e_UYt zJ`Lce`~xcN0g;FFEsx5ND21n+dfLOgvKr{tabS<>6I-Y^(h^QRpHQ5JAfD3ayix5L z8Ek~V=hXQcuotv`4zQPG@e}l3(ezaSuc?d|2;PuZhXCJF=l&qR!!zHo*+fpYz>bJx zd`%n`WtU*(nCQ!mOT;y=y&_NjTDCsEjeucV|s9FY|pLqNQ zfWP?U2Y^6vqXmv3NK7_|La@l@dnZJE&Zi(o{L2fPvEt1)IFxwNR|A$H%D;roM6sEB z$$8?cK!E4Ozx#n*5Wc%1a#8H#OgUe4YJ!ylQOm7{OTzDS5HE{)mjDVy1}_)f5FR|= zSBcDrki03PdBf2y5x`5IHDW)Hr?*8^A|#)P0|OA}Q_+F@E6+vjW)NQp+Zn)KiYKoS z^(!$v93rp9D((}!5i2#`k|s#rm20?UyeB9B3Ca61jtia_a#~wpFJ&KIUV1HeaGCZ-8gX6zRvL3X|4t@& zH2c4ytld=@>h-V}4!ieX3n4nN@(b7;l>RM_WUvLVZ4ddhBV>kp@DDm{6K{(c-tQbv z%#;IMZBJeG3Aoe8aJ@1kgV)z*Hs=iy%Lo1l$rY|Y!osR|+>KwojQjO#mU`j)+7)`p ztXn!Cy6am$0A)kz5m?*U`WZeqEgc5QzxF%f`(~fVi0bd3HBh!};7#jW!?~HYtv4?P z{d2VtGXK8jmf7|doD!MRFMI)-(Psq!7L>(XAuP$y0TPGkLJB|#jp+*Sq4@6xfN%=n z3qFE+c7yr}>clOpNb0y0u1?aFUt#|g)pE})it@N(i>A5Z2<9|x<0k1DYS{bG@izisezNA6uPz;#!|_PJ8o(8o|BSv z+WrQ58Pww!V3{;D99R}@Uj)-a_QC zDEJzfl~|JtNo(x~gtHo<0`DBTV$Ui`xKeuD7li6c>TGypM4M6`uSvUo56R#HTQ z4cw-RkzAOjiFU2wAzkda4TTI*!@p*x2<8bjOC+Cyqij(!1R^nng(2@AC=kh|kh~=R;92jo@VE-^g`(qN zU`1jpXC_y~I-YQf#YjCIm56xml$DB)ysTO#em@A}Rq^vOxGfhgTfpBnVekb+-iRKa z5P2&Oa`Mz9nkR$!Ui`w<#|Lpo!R<#eftwY^GMSshd)p0&zHWJ)bX(7EuZmt;v*lMK_p$)Cqf}Zu8RaQOL`KB+0vHh zmK=GA%kZbzg{_m%9r`p13^lJ6=cBd`|>%RMiB6XruQ30pc;${5ueB)f-+qwNtIT0kc<) zKLZ?B`VyQT2NiG*#vGLaPrXjcxIMykR%dJA&qa;oCZntRl>1R`YC9JN?&|({=y|AR zI`BM|0hh2|YL*u~c&lYd^&kGZQDl8R}LF)UF z;03D%Q$Y+--|_7ms@l&12vZGwuY{}X6|fSae%%STC)Cto@E56G{0;S!DyRV9l=^Hv zcu~q@0TiND++B#AR!2X9l{3me6(B~@GKj>gx|P82)B@Kc@yh1EWn%6+jEx-%vG%xgDRLy51zUsk{Q0>j<&3$P+(!MWBIHQxiESPkHzvP8{dky7=V_x_YAx1KO|RrTU=sazEW zLhqXD#e-ah+FOTnR;g;Y09;p}7Q)I6mC+swRjMo-R;ty(!%(=XocToFQu}#8s!_vV zfOlKvR6w#;y|e)Fjtb-5Sa;PIZ2<16j;+DFuZ%B4y-p3}F2w`YoVO=DR7-hb`jOh= z3anmFi^>g`kzpQ%szA=u~Y9p_;$ROkOn0@a>J z#aF8T5`^?xt>gRljVk8O)m!z|EU3RzHa~*bq>6Z4daoSz0Q;bfhXQ<5r?_WmtYvfi z+C;N9g=tf5Ebn76)7F_n-CWc0nuLXRmAjIb+P^8Va!4DI4dP+#)-s4#X`O1ov({c% z!O9Ws3k+nK_nT>5HJ*!l<#0;ddnj+2802;n9{!xktNueC^G=+h(Y4SW#(zzu4S#nR zpCcxJ0{WcU`~%GWd?s|~r}Ti#0*jx)T{vP6=!<^jsc3Q1d{|pDx)PM7z4(_}HvR!V zm!}xR*NQ8AQLgNl3&~ZBxx!l=!L!AhDg0#e+I()ot(%D~L0cdA5!?-3PQ%N_nCswf zGMfVWU(Nf$^5*tm;dK1%KM<5Hmo4zQb$B5px7D?W{eL=yK;~aGUyvJa;Zeez2DpQ5 zLD3S}A?n8?seIYAG~bJPX;fC(s}4VOEdU)&ZUu~U@VWm90qWX zVvRvOPvu}FGf@3 zH^}cDKozw+4^T~i??gy9Y2jp;zD0uHVN^rmUxIj>yq5v1rIBAl@(zV_27Q-G8^F6q ztvUCN?zoDLf-4Brl`qdLh^q98st<^|}9boeb zjp739DFyNX`;4w02Y60P#zF4|S@XKvOUmg9>=n83`23o_8-h6B(7zv`_m)<0I{uF0 zs~{38)^Zm)Ow8>F$q2EVkLZM0`5uyyq95m?CqA-5)SMRR{B z#K>yk8O>s$u%FD02j{P(&R7 zu}Bo?0j`J{yrNVrwr~fgMEv3gE2YAo$HX$RiObNdV(>GFl#Bff0IrGmsUTK}p?x4y zDFT9E^SU_R58#Gq>IExR;vy$T)gpZjh&RP3b6{Acp^d>vAXAfg6V|vUm@GtL%OYB5v~j9R%Snzu-2$hfL?{-c#;s zf{2%F;)}>z-kpm8ePrDi2*Ovo`675f>GBjJ{_?>)s0YZNbD;KZ=Z}?RMgYXgJnja^%WnoFmIS$)Ph_GT&6^*R9Q}63>nfm2|}^!$p~J|$(Hjt+#KmJ1>me) zJQ}AUSGw?Xd3iE05$fmUOD^=z%lkZ?T#!Z0fnAh;KZIny>_a$|0@;&)_e(O9Cxy%M z5LcUpa^qJxup(*Cb<`C(YcNELW!nW%H&(?4z)aNiT3}{s+DJ&6tM~scQ>eAP_+Y90 zc-lLp0u7;lSnYj^aII9Y#UNU%Gi>aLn#mc_Q5C~$l{RV{S7XQ2ZN58f)%Fa;VyDjj z4rBJ}3KzM@RR-6{4yqmZ0PxUjABZ@q?+*but7ANQx~RHf#Nw*Ha)6|psw{>-chxQ! zz(bXK0C=jVA0g?bUY>!7x9YqFL?6}Z4T!$VdnPbH)q!`0`m3RT01Hr_XApd#+SCPD zkXqdrAXvG^0EDQ7F#w?|BpK>qDv^5!;cDtY99V>^_5ksOI$H;0k*W(n*m_d!+z#xN zTF;G$C{?}%K}4%cF85EX`5VAHqa3*AiBWGkjgM7B4?`qQEwchIUd?|6UV<`}ASSAi zcJPp-);2?c$;z1L06g^C5yVurhR2sQHR>QjN>>r_x@P}N6}q}Wwb!285bFJAE0_aJ z!VuZO*4!@ob7L0hgEwBp_aQk4VQ*r<2-ul4V=7!sImSc!R9_ylrY+-}etGRQ$gc?D zuGFfp>_J)m>mk@&Gq4PwYa>iSUuW3_-Sv%IK-uup9r7F9mcsI;(4P>=U(Q^VZ5~hv znZLX8soG+A5O%g2aU|O$*Y^Jee-G}z9nzq+{hx!7-(k@ml$~DAklA(jEWGTl{0@?P zCLe>By)U_J-*>ANGW+kAfqq~uS7Zl!3;^Aj62FHb6Y3NSZBue7hkzMP;d{uOdftI~ z3kr^ct|ghxg5)8p`vJtm)GGxFR&<(6H)|U49=s!T-3d02(&mRyu%V0!SUE=ZQ4q1E z;lDx8jxv6O2YYfq2JAS^oB;&~Dw_b{NOgHoaH4Z3V9c4$bC=D9qS`~jmHfCGaid1A zRNU#eUtrUN*6{v7Ps-)gz>D1Sq3%s~4;F5RyM#vV>#+S$zf& zNKZKV2%^rMxCK*vf4B{y{?@P=O0ADTFO2SRq7_c%JiA6vAvcFl(AE|(9Z3dUL!G39 zKLa~Oe!S2hMbZ0!MbkrGUOP>L6g-@vtTr$fLxZ?I8%sN;LnMw`8bKtU9;||10xg~l zkwjYB0mLNoIe{ROY0v`rOQ9E|!Aqr2JfW9H<9LBNoz`=rkU_9o*K?8ZKXM z(@00>ryCg>$TXv~wYXxKFR2BcwXoG#h#k=yR@xu8%t_Sqn+aTmE4Qg!#i|JqaT8NgVa#3Z zM9&&MG* zYWO7Rj6Te3J!4;kgGWk4AX;a$srnae*?%?~h zf(M{aAH5keGY0&F?=w3`Kx@`CzJX`^NPNy&@(`bMH!Z`#&g)r)=;zNn3Ci}vpTWY8 zZhyezu2AO@2A12|VdFzzRKIViaZFs2yJPfh5^L`a!Z z(gdiRkxKw1%*pXbh+EJmUg)!=q+FOdM88x49Hx$8;91d6&%m>$moBh!gpPIt@hH8B z2hoOFMZwB3D(33fmO5ntv!ms_WNS|)JUAbxA07iZ(2h9Rbfj*aGCR>_GblLIj3F@Q zLMB`}x{?E*I5&E11D-o!I^)4-)-_z7ZH*#o$U>EKl*+U z)cvVBXLxh*w#nsLeZI(PV82>@>}`fx;Qu^b*Em=u8u0iKT};V8_uJ zzTx93WHdkmEqw~TL>j_lR|Tc;LRuwte+S7MG=h6BRa8F~ylPr-8N8b`Y!tvPDoBTV z4dvP)>f8A1c(|>lemvvfp+9+{@GceXh59`j$SLf7if9ALI;yD!@d26ewf2y@@D8g- zwCMx%>gku8u+l(R|5qx#>HuCNmBm8+2{rb`33*EWcL94wRyI(0PO-dc;RSVf1$aqK zyc6XWD#ax1%6y6(Q8gFTA4G<;jxZ;f#kKe)cX_5R4>Su(}RS;u@XDP5)G2#mJ z;>3fMuo5pOaH^dkE?osNQM~7ws6wRn16CX6nvX78_Eu#3+eDBz5Jkx| z&H&NUjW_t5mZLclz9!dy2E7XWO(7(&%ip=vena+b4y;PPT@A@!-pXCwVdb6Nz>S$ES-TRkyqBvdA(jtvAP;LFWoi(J z#;Ofp$tLP`A$X?hh8Gmf)B=9y!CaZ{17@MRaq4KP_Q%5JA$4sRc!yOl&N-}97rsfX zRm6A+-Q(s3`}P3__BKJMx{@8k7Q-JgKxsr-Dv^HP6T19+=_ z+W~ykY<$eibsA}5@ z#4t6=79d;|bH6@94dcCSC)6~a|031YcThN~iv9(5O7-gt(@|>j2Y_gGG#td!%IqGn zGipUS)MM0h_8zNNR071QC#M18)j2Lp6O=u-3KP{A-@;~+s^niKSv~y(dZ?JVP)Nn* zR}jVRoBrFW`=1$pg{Y4m^ z)q;;`c4Ratb0fHSGSAf!^!aTr;QNB|rQj}H&c--74eFf0~zy{08i@3#jzK4 z`W#p=DV{?@sA(N6MUn9`fM^nx;3ZN2FQJ!AsmDRgq3wU+u+LKNMi4L28=j#r(~}+m z_`_gW35?t{(Sw2a5DMlMF=$Qy-Zkbz) z4%|~YB78Y&N8!B?l1}1_M)17F+b{qhv5Z^hp`sUOz+qw&r(~za>{bA0M6EsaQbfx$ zI9aLUZ7ztp!k5eBJdwn2JTDY~@CaWdD&FDrREV}?;jdEUb!qm0*T%gjE`;+~N@AG$M_jfBlv^SQ%5yK*7q=L9z5bMCz5uru#FZ$voXZ3#XX@&56%>E@yK|k=2XV-%#PC?R`l9qvFLd)I&n9>o>Zp_Hz4kXOUAq%P&^qRZ- zmeiaN{tzAd8$J({V;zWA^gaa&)>OF(#*WZ=ULZP3>)zl1Y{-NMwqw+Z2O(S1bE#lQ zSC;{^CvQ&}J5CO~eCj~Ai$HXw08U_?$gU6coM}k|fD1YP3rSbnGzjW$6wcWjUQ5rb zaA7o#=Zgp`+YfMpTJpkfB#q>j+(|0sB;XVUP6mjgQ#|`e;~(D;=V`L@0XRd3oX5tH zC$F%^Qb%5Ch$H<@@Z!mUui6CKZ32-*^86fF5`Ap}W69Lh8U9izi0|Z78gUuwX|!Yv z^wP;!0Ax^PFo>CSv;qf~Mcw#5%qE8;06CP!D{WV37boGxbo3rqcyp%5tg41-9J z2>l(r)8ex(0B1yK5aNs#DX(xo>zSZ zcz6Sx7l*jkt`-?QV&4*|UWPcq z?Q!`T0dtTYe+T9yPjH*oS^nU|zlyBqAQ zT@6RRa)>|w95RaEupb~hO1KS_I?myPWE{`w!SZDYL_+1JGa!b^W}QF`m$pH`@UT)e zyhqC8Je!@AZFfNZlx!6TktliLzZz4v-w*XOvauG#7}+Hd!NqX51Oik_-DI>TGE<5m=54o)1U4 z^7B2=%af%%xSo^S{swklzBPdQMLC=6|hiY%voG zc&7|sQa9y?m{WvwNkx9I`Q!9Vx^}9Rr={HcnE7zw%{hqAi*ACRl0o*lzAf*wA z4`mmS4PD`^`J z^*3_iA?Ur8wzdf3ojm&(#3tE}QeM3uyeWN?W{_1aUr#Cbc1O(70+A9v6tmNh#u!LuG}V{4)1|9V*7e* zvMd2|Rem8P&nc%HaCBbPaii&iGUk*lUsdqNtpat6Go?!^oYzMRRpS?krAY1PI^>Fq zoD0cfb(p(;rOM!>tO;D&*m-(8%uG$^|R;3nBhlgr) zoX7c_YQk?I)+qBDV7Ju+uIFo&T_Zf)QDxncbN`oiJF@j&KiPrYdp*kxuqnd#fr1xY z|4bSV?%?S!Kp$c<5&;Z7uYo>k8@FI4w@gGVQ{VQ6{4^8p#!Mf@OByq}*8YF%i{o&V zz5Zzjx!%9>9=zX;xbp2MxYOCchEwvQA<~&!@<0f&UGQJ@IG7~mGhs?yyytFdO z`V_uTzIqMcr_^@r?mzQc*%<+1Zs3cl}(x&)iMos98)kHNRFu=ne0klZ(# zckAvy@D3IZL`iTD?%<-wn8x#*WI}Bn;K!6c@C}V5YY~7swH<+=EU1cm;+9nM4r&hc z*;eozsq-s@>P(G`AmTzj`Td@*^pdYNH~NONPG$j1zN{&blW#Q=KV2LT3Bb1qYYXl4}@f+>&}1Vd=!3`mAj|MB33 z(PFOA;>gqo#CVE71Y#l$J_Cg$YI_&Pl4;0&@KR_n=d7vZGXrkZXuAbKIxTAhkU{@A zLL`$6I3>xV-}yqyrcs9ga;Po$qt24D2bPO}pn;V<+Q6-@b2L8?>gTC1U+@>m^cIL0 z>BC^0kbKH%2kaX4tASnxh0lbQ>oke8of}j>4gRX=4Ht6Nv~&>8?oCSI)cqC>%f?~W zP#Lcr+@=Y<)Lcsg%36yJG*cu1_`Hp5{teKi!U zM9_rW?F^`KS?_t_O z*xv#cEDmx-6C(B=hhCVlOh(k(BvmEkDa0C3f}PGu6M58v8Ja#b}HQsff8ol<4je}SdRXzn0hl+(C%pD*91L*bHKG6=-W^2J^d z3+2ZFkh~$Szku5+8Onq3O=))rao&=HzD9sGvYcB8FXS^$J6_7CUm%FrvXXn0Z)DX@ zSa~aNjDp@fnZ-#@lk_qM@4c+yzS0M|oQLI)vi2FQ7^?|95u2#_xd_5kCF;R5Q@1!f zHdkd>u=?L5=CuY=y$o^?M(=;WhKv3V2KXE>dJWVDjob@Ue?HfPGWg%ekQ{RVIwU7F ztN?wYO+M(8E#Kn%6k!AVQ(qmx_i61v;`^dQKjA1AZz_h?(xM`KU$&9Y!1CRj@VVlj zo1kx=`4g=DEem01>lyxWw^i?lep&Qw|r%!OiS0=VL@-EdribaON$gQx?q0f*4{ zI2RbuEq{YZ82vUISU4@=Z7p&1!&-oN8p#QBB6V^DFNxaZBBW$m_a%5KbafSY=V%$P zpPncC`|xm)p0|PNeENcyQwpdc03w&@2Ir#{w51nBD(Mey>SHO1cMVt3b)JN)DXS}Z zHz}EIzM?6g;J{wf*Pal0OENy za99lmQ?c9@n3>qf!;!hzmjp=*A-VZ!DTXYBu|vZABe27waxbE`5`%pqVl51~fqO($ z@$_|6+;cz>Hlig@p2x%se-J%H9am(YqPiB6-s0jx#Ns1zczMcK4Ccw&PfVQzp1-)w zYw7`_kZ-#{@rvCBiBGqI7%V;s1PBr4ao~jt({TV{qPQjW!i9|${6&bAvrsr8Uh)7N zDR!@h+mm8;IYds0f^fKv5})3Lm1xnx?T6E%6JHZ&L_!Z>G2#!N!DGex!%&D5{v)6s zFKT~=NP@8Nf$2nX)MlhBs z%FUsdB|c`sO17BHNlcDd%2{Tqc-aghW#W%vP$(B`hXT7MlK5V!5M$dxp;Fj!&39e2 z&_U#exOW-6D)B=ouxc^y4ou$^UsY)Tcf0!h3A4SP{DLrg_xJ(KAy#jpFtk6`AhhAf zcEI9@Z@JAd@oh zg@wtp0`NIyCs(giQ%hlI+Pqb;Iej7rH{+QFC^OT!9G#WK6UglC5%`>A%ez75)^pxF zFZB<6pZ`N1>@PUq9k;+j$+y#@3~rVz-ffA`B_p}5u{8PxWR|6_h4{?)%P0C&w$)?K@c2bXnWA)u_E!)=m1l^0=QZ^S%&?w`eX@_~iigFCo6 z0y4&QF#rlCRA&nRrWExf4hqkT@!lA7ntK8w7G$;rT6hfB8Q39GF98nIid-mI(JCD< zYs%-*=?FD`0?S9~7i*~7&_CP`JVt?>QrVJgF@PO?x&^?VoI1niaeB&6?Kn{H(}>-X zwlzS(iR!q2>`aclQ13zm3nA%By9YqTjaF|4&z&wW1<`}%c|+ZkQm%sMMNzMy?oF$C z3y=?)d4uRn^R7e0kJ{gdfqn zD3v#RrPAvqu$e}CegrR_ni;}T1_g0fB9qo00+vPjWsuCKR=l2&LkBwHFwaubIe=V> zGCdEfvNKanD zN)c5Uf_H^}maD!g!Zfkuap+rfmlXGn_=uKMR4DvoL*Kz;TrYeXTB;Zx*4Lb zq#ybDy6fc2>BtRg5eS7US~(WPYWkV0;hS_T0g>IJG%t9lp*Fl^dYkgc!oySQ!@1Nm z>dQ&Z3;NI&yqDDd0~B6S_ZSdgQ)M+Ay`lOski@ndo?740(t1cX(MYZeT*PahXIw=r z7fJ4-^9Sg8h_k#`*i$^`Jj6@P=KJ4UoQ!}KA2E`fA-`%dauyEj?I7A%ezq$w&`}twvFk#;wro%-hufs)%>AaB$e~ZYON2C~4 z2rDPWIBtKO5}$FnQDO&=pwXf)m!%jwzk|pbv4^*7#E7zeAQp-^Zj2U*h{cdB7GpL; zq(nG;2a!@SnJ=(1QSt)EhrPl)tCow?Ty0(xAAUkC6(V;#L@GrngX`iEkF__%mk%IW zC5kxhtrl4;VEU%mHVxPz^qBxmqwy?m24fG!JX44~5(Dy~P%pZS0Ixwfmx1_L44D96EmwRF4@YFh6!2{1 z=k@@{q#f^`v6bWbDH=ODhA#$t8L$=#$7Sb#A>tsHE`W%mtoQ~zC;5!;RA;<=2##E2 zlz^nGtPepDsQQLM#9anHhn|OAdJmGGGK;e{FPSz9L~off5kwz3Xe?s!l`bD(+D}H9 z!kE8&p9jeRY4{YxK-usJl0mY?TzCkU9$d$T$Txq$N|MZI4fSOC?LWX$<dsRq#u9EQ@X8{D$JDO>dd@0R@I5!7pB6t7m^mJb(zS1V1Ep>Rho=e+K&6x=7d zC*#Kh+?O#t!@rXi|G;09{GtHF5Ax_t5I@Qld<`0_;4x4)Q9*4Hyr~*!4@tD=c#bhw zj+}{Ds58r;XQ|Hr0pcNLUg#79W~tWPqRLiD*}!sCb3=%nRqeOHd#-x18^k=-x;;eBsdinUSE=SF zBkJoar89_C>ibf-tyV4g4!^0shl$lysM0N z!NWcEy$AfgRRcM|cgk};Y`#}3c%1m4YHvf~qk74uy0KQprL2i|;weN-@d^-NX4)q1 zKAUUrA|Ya-&EgiSrRIAZ{@k^>yolhTSwsW#(iVLWo8H>QJs|pMGr3;z)t+&o;-`Je zYmWZfW6r4qG_SpgB~bf@hu9!(_!)p;ZO#`E3DNvr!3)*uCc<9lWNKp~Y}zlc^1^Mx0sNR?Y~sHGdu>bF}C{U}rVm1$fWZicCPv(*n6#D$y>) z!*r>3wF7Kk)m{yRm2xfeC%C<)m2?4iSM%bfoqO6kCrH+5**x1n&>kfMJk*BgLh`k? zCK)#0Xf3;e_)c5b9$1r>mIs^fwO(9$eb8p{=>1WPt%riK?*21iM|I=%khIaw=amy% zU646E*y)~fJJ()U6AsDax(R&By>)%KuJX}+V})4!bRPWTC4ZgV4ZQ%}92*b=bq9DV zh}Av*9SU)}f~El=mm6YV*j3-75o zuhV#w$pzgJo?$QQBKH8~>!uhWmI7U;w$QtzJH{)n6*||h0F}C7{UCBf*ZDCVRq4)6 zfz4{&_q-f?Q#XOTQyuDHO_W8K;$@EUbvvJuM@UEolNJk|N!1NK1|%@4qT z)R~Tif{8wse>hY9v!CGIOuuR?B+d1$7XvuyS4ALPXT8yVh`8!U@{U$F{kIpP=dSnY z1D=Pz12-)^^^upL;H9S$hO72k0Z+Arh#! zuK_VgU&P5!u>R2uU?F-Z9ymkwG2eg~rvK|L6vFkLo5OU3{_}r;ozQ!n2QO0JE)7;r z>MP2jkgR|87erF@u3Uqq=|4;Xmac!xy{8O)D<1MP^{v0d>B-VJa21rTk39~Mqpz=o z$XWfIyRee0|CY<%Jbl1Y=eW%3`xu73)AH<9LvN(w3>sxXLSfJmN4bzwO zf`8k~`tewK{$HbDGB3dPI>G5)@3%>i8Q1p}zKaN-j_^C73#hm)D zzPA!uYo?@uyEc)Rs@6@t3;XNadw{;dpBt|m?Rb%M(q60&}H5dB2StS@PBDj(@oa@Sqml9`U5{C*jPCMmYiVrU7$c+J~%pHO7|)^Z@2Z zWtPDF=@$2p0w{#XxNZHlb~>u4s$#D7L`l_RzofL{~d3WE)GXjOADId;SP0p z1n<~m%lp9Y(SoT^xKC%kfrmO8T?gI+3gCh4A^Cg?>=6ZT1Fs%`i39Zps^z85$JC6| z!ba+10`(`fZ2!2XKPAp zC$Z&An06KiczdL)C~^YkChpdO=Pr8k&TtPQ7J=v~7I{F#OYHd#z+24XLB~fd=Um@c zwBYWfpV-B{W`8l2_ZS3-wfS!X>9yqO(n1pk@Gbo3l(R0U z3LW4!LRfM?`Gj!h^|MIPf-|y{;=B_8{_Ax*Oh<|DcqBqq@EpX`;zu)JXT-{Ruo)wM z-~>5Vy#5+i;zSK6*70KUrvM2eCK!^5;#(@Ay9|;q1#N)8S7QAYh~NeE zJO{iLXPP0FcVgR4@R~&9@8G=`eZzo#5dFFQ_$b`Dvu-R$a_}ZHH~}_I<>kxZnaS2% zCYj5WZU7c??f+hu>csc$At~O1=p_$L#ToRLPkGevl|I}q^^=p{faotb?1r%b8OvEo zpiCYHVvw{=0WnzS&jS`BkNWZaPdy$c23OpOBZ^!gQpJyaUrG z<+8pY=E^5LALU8QDCnJ+CXvv)AUha=cu{s85A}R``5odckP)pQa!I!7gdi@ql*lgMLZMVz--69Dsr?0GSLG94Ei0G7T=ZU(dhYC2 z$Uq)T>tz2@fCutt>~6qfzYpK&^|C!T5gX*cH(~R!{GR8rM!CZp;E8aUJak5GlzVfuvn z`3m$R)uT{gCzZuM@J^|<4N!PO@pBqugnxcf_lzr zcA_ffls`ooxWG!P3LS-T(^Oz1jHRntA0Tp3IdYdOUv=W9*d_JJI>dQdMfU|(s2=iV zQ>422!{$vD*aGUe)B`Vo+sd5lhgy}vY2h7puNsnfRd^(@d+NtC5V@}oY=V_KHK7?! z>lw-pM`+Pn=2!d06wA4E5;^#L4;yB72-fQM%H-+x|fS8qVlOFPm4E8f~+ zPW^ne=X{m>YC|tT-A{`i38KFi)Eii=7R*UNoaV=C0128g&v=Pi*i>Lint>NYlC`yt z5J}N)^PQ8b86|?3rqu=^z;tc-Vu)mDzki0K&D5IR12Ic0&j2x7o63LYmZM#L0QIxl zQ=Y?fwfQGtrBwUy7@$n6-T}#SErD0wuW88Rag}QqxCpJ(5?cdY*OItHa6=ny30{@9 z;2{(mv_3p*Kh~md0zA>iw8cR`)dIQG{!H892$AQSPcV2dG_PxL`%?S-6hvNWxXid1 zX>lIBH`?Eg0M@!r3&1;~d-xi_M%S4qhGV*7t{7}}E__Yc>9!~YXs?@J0b|E?mw0w^ z(7j9q&r#RS9STl5w~xS_b*t9EyNhln??4FGt>W1tLbu>YoR>)58$J^!b^ejSPU&WF z+aO98@*0xSy4QTPr*)Cn5#Sl!Hz(mJM)#rwrek%V*a5`p`tmIruj|zgSc2|8mr02_ zGyeILbbH1^FIl&qfA|ET2)GuDsz3Ye)rg|A36{X@4$-mP6OcKihlbsC=~1EDtIW-x8kj?rTU;j zh^$QCyB4Oe>fhf3DA$kUTjrWR#}o<``UxpesMMe3yZE|(0uSQP^q06(`dlAv4&F=s zPh54p((C>Oc&*Rkl=zL_gtN7``j-5Hly~~Gy!*XLpT*Asz1P3smAnu7O?x2nQGbCq zY8V>~`Wzli3^G@NXKE0&AHd8Yp0hP`gS|b$voP@Cofeh``}zYrWU%pXI67>Qyb9qu z8!VU(1s8);N1@}yXBW{1`=$UpZ7_;+ z=raa`Z@@~7!Bt-nV-5PI0E;tl{04604F>%Jw+RN`=ix8W;8HFWk_@7`8IWw?@d*@C z40e1E?4rR`?(F3oOyhy`l0j?~c$W?Owt}%j1J8}XiVS||uEQ0B+$#XZ25tE6FEMCr z14lImbGswz+XllMpnk_-Jx>L94gPuoaL-`aj}WI}Xf3-H^?;CFB=6ju?-6DX8hDQhrj|{uz z0IN5g#k*4*47WUluz7TOT>arbH{EaO2AO;wX z{tj+~j3T)j3^oei30{a%3Ad6$jeh4!Bi6{EB}C$kvOD025{&Nq03;e!^Q4$$RDBtG z$ws@qAbHMc;76#RHwsYz7maGm@bl*zt>G41fziNHSg9~-^CR>sjjn`)cf;sgckrr= z=5Q0e+GyM>7<*(iolAv!qc563d~Ec7Gq6UZ^`pUiVsro{_y0N)gSlDStMPXj=$*{X zwQ-)D9ge@j+e# z&GO)tq}h{7Av5Rr3sB}-N8oc_6kz$%^15iS()DL*2XP(|$N85&DwgqvNgFZzPpBUgM>xr~71!T@XD6PugQUIK z6c6mUXfQ(%4#L9=9vsDS?qoZO=?Xk&(UKqfa1kr#LfuvD_J^LEsO9O{UHlLU1rIUw zA%Lg&niCo?v8EG5yu}7<*!02chGEQCJmS?9?0owM=Q>to@bnQcs=5M85EHq!O%w({ zKqN_Qy$vhL;>#NlNfGY0;H3&@PK(k+$su6rVgQf886wXH#7r@rmzT1{+8j8_7QF{U zFGqBK3dyr#$Pw7g6$Z<}%M+Q~fSnV!`MN$Ys@lN&1rc}wk{3m^H$cAlIs?HMh_|*- zxFkMK0d`q@c?TW}MK?~1io|uE)b9(|Dk$KeQMiHdQ0(L-EBsR~XTJ5~$hROii1+>o z@UeKxd0V3h4g>Ltc*0Z5Q{m3p?K9DlHzzz7Bl+EhFT|N-NWK(C@1gffRPzSS*J4O4 zh;PJ#@sNBgf;JgS77#t<_)GBMCEMSFq__O~1P;YVM)H!XuiVG`ul;0?^APcu$$TXT$b?>y43xci zb9|6o)DFa8>CEL!h?`CiJQ!9=uUSyI zE+e?#aYJVH1Xd;EzK24!Oz8^soA^&Y9Lg;jYz~DQd4XRdcw5R?L|rS3b|B6>a>z>P z-IYU5fp|~0S_$HP`DQqXb+R8X9z2lGd9~=F45){rN3vsk#8NMZ6+*H>POX5*W7+*J zutu459C}Y=G567*$}9X3#xq&Qo4cON>ztdtkV(AM^-|6cf!-@wz@5<7a^XdIw^O_M zokjL)G;a!XP<3tK&rx0FT?kGple^B&Dy=shxu|a3_jOfsPXo9qcMmAIt5j)u)3H8%xu z2CEG>frY3LUeyX!b8f*yn7Yh!SiGv`E?a^+)dx{0sXtc3ShDij2`ee8WH}tAs?1&x zNmCg+0n*hDwwa-#xl5Xyal6D#m2hm=0UJ8H5wN{)6IcWMd0FK()g@_CZt0_!7YgL?1xoA_1q2Q`5T@M8} zZOdoC+%q)T6Z<6Jh!^W=DWC+M-?H#c0i&fW>MCQy~(kO^U-Y z#B1xW!e)Y&=L=(r+Ojm5PSTG3cWG$rzlTVQwvX3*Q?)VNR7%rqo(TFYvBu4IP11XcKz^ zd#DZN6!ekSXAvYDv?4bBSnI-jK^wK&Uw}Q)N_f(Es`cYd(9duK1ADH;|2G(GmzqP; zLbsgv?^)`O@`}-6-QW8mX{9@~62MwFvNw1~bW4Zf7>??KB`_OZ-aQ0(OxKpDPcL06 zUj^Q};sRj4I@f*xe!6MyQ1{o}-2p5>7g`LFKwSq5U_rW{vJhFY?z^ublA^ow0lZY* zhHn7Ub+`C3&Cq=|4!lfV5?^jvy4L*IYqqY_Td3#g{vS`@0aZovd~MIXH!q^Qrd_kH z>zda!XC;e*paOz{ps1J?bCzttEMi1aK@6Bs5JZwAEu87vzSrf%}uPlq`1QHT*%tuvQi*7VM!ZsLaI}A|xHShURGcTHu1M+2 z-5H;i$8SNtC?3l|z9|iMz{7XtK2u>o6#usH@KgEBw79P(kMlG?O~xsOqPbh- zj%~g&%o|vGALSrhUzoIg%^afLk#o=*9LXEVe&$Vhbm-50twVBH4jr`z_+e)_y>^_* z{Tfc~7+X6#TB3G%xDV{Rd^?NMbtPAqMszGf3rCjT1!h!K2k4HzItIsXtvP#hZ}%M- z5C1%1Jm*fq@t7T4tQotB&xzLpTVTdDOMuq+l9f2G30t<~*n1v3nTe-3?VPlM-PYt# zj_^}5+M^9qea8bct*8Ly^w+hZHRF$_kk53f2Q8nd4Jc=AVG?@wr}6MRX9k1xxu!Dk z^X^rlcK)tTFQ3)~UWq#uwmqAu6ej*1`6AUr0zo`%iiVmPPiCxq_~H0h-HWj*R*MWzMFDba)( zstaO0Q}h|4%QGM^iKJ=pcUeSnec=k`Ik0(E7#9P1O>E@CLZ%4ig3@)NXU6D;2snkh zEYa;VkT=El!{BZS7sf={qQy}NZ;QfCAUVS18tRILR|fR(2J;nIc_SLs0eLI__JhJZ zu|5=}Oq^g}@LoiA1oDG;$2sapQ8EVYEEnB5_4*_%>fjtI#2h~v`x(GZ@Hj`9{iDgDwM$XMwXugfW^axa9Z zrTDvOV4Rd*07vms^Gej6kjq-8(U!W zsWkE*AfHM57&Yfh>sNz3m+H=d%>qfO2FMF3f#JbR>GM{c$}4GpHppvf!G4fJX*0Xg zBI!sPxMC^499)U?H5pu~q?--(H&W}yXi}g&oGGm!d7B!hSIDQ&z|l(id>*(}a^7@! zSS?2hAVcIs@1ed%w&L7ytvqZU8oy4?a)s&jazAum*qu0<6X}ieR(AfIQ9>{cTqFm06LTBaPe$Y#j9k>r5Q?}PacwP3i2bU#B zv4_4X`+0)9B{ymeN7=Gx0l3?;>F+@1$ctBiyCcg?h(41KGaZsIBRXJMAHe|Rg?yzS z{JoS{@m72#w+saNAjggb@}qo{pB(ulFJMBWLUwEkWTjls1#YWkUk=cLs?_~(8>G_v z!R89p`8yyhRZX}Oze-hy+qYJ$8mEDTsj~T~ZB<2ac-gLc$@J3>)r31Ry;EiK31pY* zMJ)&qs)mNc?ID%LZk)prRXmd&F{=J=L5`{(wgu#vsv)yQXH|b#gG*BN%tp(SRlkjZ z=@iu)z8syZ@?|udrpmMhM<5w(DE$gx80kZ#RU4qa{s%&?W5z&pAR!Ld`5 zGGLq^EJYKB-=7DJOE&*wm}?a;$%s%+en*BRqb;Lqa8+vbntibBR?OU(d);f$@~F;y zjHk;b;Kz*iK<(H)>rr~SaC$mUeuCQZy;D#-p@kc)d4FvR-HAwvVD!m43e4mIi22l0 zqFSSNYN;oVr)^|5VY+Gqv}V-f#=x1)Z^4dFWBQ$yHVMbGE15x@6U7d3t_er>d6Q+} z=eH_GxuB*K%7qu0##j`~hi>ss4lqkja4BMGrzI$t<+O*j?_v$0_rE`GuPxV zzTJTF0Fl!cT%ZWA2QEm+H-N{#meIl73X%W6r&bhm=DSVYZ4bzHvHm2i>=YBH1G!5$ ze}{Uwn8@VYZoJBhx;?^;KWT*6(E|#5g~IV?pD5#vxL-`+M(RkhuK-*WVsbb-Af`=* zv1n0$EeQUH4F_rL<>>+Lu&9iK`Vn!CVPK5dpa*wUq%T6a#4 zpWtLtBvu^Y!*oilV9~11MnN-cWznA5+3Kk-4yaLaJR(%aHwaCC1FswEoP5EU5+U31S@w$MGDS&s=1F6?CFGg(ihB7tO;~v@4b22sAO-W~ z^B2-D9MfJ(+h&5ilFFXJ=4)vKKiOF*jkyR&kz~hBeZ`V`1RRw}&+VXIDjok5+#BiL zZRov~rknxyPC9E3QYIaFhqk2$kBzL)^vTDh26uyt~Eria$c*|k76$Vu1XXrmml9NZ>(T^JNL%XRLefuZtmQ($F_ z{EgeZ!sJp0wp-;*cR;qu-fqy_E`MkN9Y{O296(_EAnGM#@(t{Mv~m*q>PXxSAxI2U?X<(wxV*W?<@ z(0F{joH5>Y*?bVV8?rkWBeUd*ZveR||H*|Ee6DaPtYphJ<6!zWq9t%Savw&!cjQ$z zP`E4m^R3)_c$XM$xi2?L2jqd=vmR{b%ICO9`%u2hm8C~=m&>SoEGKaT(-Zk87gV3h z?ZQCvuQ-mdU?yGVorGd5XFZ za(ynZV#CyJaOLt{rrtlv=h-J$$o+lb4+%@I*;UD%vmpE|x8gSSFY?a25Pp?+a~A$h zHt>1>E{~i5^&fJbAwd4bH+cZ@Rhe@v^;6B)fcUGd8Jz{FdY^zoph|iU^&nNV3OEW@ zHMT|F3ROZMAXlpL*ln*;g*$+(R;i7!8KN>ggWej|(zD>ARQH|%c|hf{9o#|HB~w^A zq_X0JcUTpA7UYP^EgsE{Q9b46!lSBD?1GM|eq|_oT;;?`;0e`OBpvX1leX+QV^y8= z&?I~okdw#Lsx5mUj8lzcmmaT5VEBJV)v76sC8&Pmdmf3ZWlx}fRyEBW3Q4M|%bTQ23}SSOuymMx$<{TB?q^P3n?gK{l&nZ@^fnTKt8&E$Xt`FcyY)4Z&?yUuOid zOgUfP+@nt7!bXJJ=OK*kRnK4w zeV_UgXF2=T?i_3))ye5_8>N2D4)=h1`yL3R)zdhH98`ax=|k$<2G~qcf8~5OQN7O` z$RzcCMpoz4ces3)thN{mlA_+%0eY!w!7OH>dNS|UBK1J7xRt0QSAZ*3pJ#{rM(xdz z>a9A_2i!aL@q8$hsjudMd#`T8{YW3whg<>qsLoD=zjAe}3xIr5cjOGHLj92sdZjv~ z0yeAE*G~cRS)G;)^)G69JUG08(g?_JYDI#T?`qGL5dKi7rGxvaUKa>szRH4DXs(|! zo{1oTrQvr#0+cpP?*%HQdT>EX>?}Znm0>3#T%jn9VRNN&sumPhDHA#NuU1?+iiRky z*lVm&LS{l?t&+zDpLNRq0MxBlX1d}+Zcu#UA>62Jae>|@<fuV0g)p{TaX$j& z9%Tt{;Rq#-p~_xm>1J5jr_5Oe$bQAZ$T(72%AHbC${;2b4k&tNL!y<8cnA+Fr981i z%Bsx}9#+mZ0e3`s#u;;rVlxxus8YaJWR5AT_`4rhDmbM%p;&vMWha$4Ua%6Yyt4#% zN_kcZ_0!7Bmf+%)w0A(pD{q)-KBE-(2bZ9PEkctL6~|<7XO$SnP&UY-DrU=SRrDd7Al zNHcFExL}QaF1Qt%>g?TCYBcQ64r-h@89Ah>_y}@DlUfR6F`AP4s5`2eP7lX4vlCHw zT+`7B>L)bEIQpK{tW5)n)wJV~bxLzI8mD<$lT3v;jdcX9#A|{(;vCLsnlFKRf+mD{ zs6@>tcW`Gl(OlzB(zxZo<~dCe@1A5$Ja;aoXlioCm#VqN$RkY?(i*1IHN80FJFi*J zp8bNRhYT)5Gt2{Z7c~d?v5HHYdNg)f^L{xXS2TJqgk9B)n*rf9%`(o^i!~BK`w@^IG!_ovK5B-zL7`mZ&ENf#W?wdp zRcO9H1Xro~)(MTM()88?`B~GtA#8rp%qao)Rr9F=Rj?VTZO%S2NZXGcM6foUy$yEOd4sIf9%%`(N?Xhu zdbKu!%Qzw0CcKH(XqPkqNzf(>2otqQJ$bFQdHc|obJ}3uA<5dgP2ev@>vk7hsQ}XG z7}s6XzNrtAsXf9)@$1@!j7D#08*xo9OFNlY>8AEM@8nzBC$Xr@);?f|ds{n!(OQo7 zhbzb(Z8v6#?rO96(T00khh}KYeeFQz*&b-S@e$3{PQC}oL+vF#zK^tfs$lG~c3V3j zpJ*2`c6+Lw!+Cg~w%0{)&$JJJ1DCI@!4B}b)|Kmo1=`P9ATP9)oY}n8UgNFtO8W=1 z!mqV=4&YP@wecIk6={#Z#yJ#giyDI~(Jo=kSE{XB4cr@TyXN5DYQqXa-f8zS6f4uV zT7tUw+JX|eU8^(aR+DwQ&JR$xQTLX^*(Ti^PVqPEdPSj0p}MR#Xv+ zw_R835Bz>RbR*89W#PJ1?w;7KYg!$3dvv3E!DfW+-BPr3pU#^x-+modqtJWnYVUwB zN@vF8Lx%2y2gpU;e)cbyb>CY+{fh4B5g@PX2JC_9Yq}k?Kr(g5a)G?AE4hTa8@h4K z^=9eDXTbDLU0cTUw{$P}gJkOlbKZDcS8Xatj&4F1n7*THyAsH|y7a>!_jDhI!_j@+ zRE|{-bbUB)%+*Z_L)}B&&1eW8=_>i4Kh_N};M||+o^eg(sV=7yHuH2H_dwy9&gU_> zeBJ$VfIQcwP61b-v+9YKz0l=2fqSV-(DR4W{mDh9*Sd1P7gVV0#Tc_l=bsD@#kz;w zVpO90EfmO7-S}BB_C|Lm6IQn9+cD1&rf=C2kZta z(%b9;3D?hP4dib96DAk;=vy;jjnGG$g6!4%)dtz8@6NgQe*M!+P>9q&=YtoepUUC- zfZk>#Oh@aNGuL%cfBXo9hxC3e0XeL{)CJe&h+Z28(=qzN%)K7ff03bZOuyhYY#!IU z9DwkI{$_ui=1KkIba1iyEpMQAO1~}*{!Z(^o5FOQexfC8#_OM)1muif%iKkRer+X4 zqP{Qt$g}$KxgbgU*$qL?=~px7o~*YW0KF7_=|><_^^LgppQg9VK_k-j;gP62uV3;v z>MrP?FcFfW50?SCsBeE7>X-CSxEgR-AL)QPY;9!pdsT1K35~d>PxnIuGxcXKqVBrB z8Q(y;q2J&PE=xb3BkWE6y97XP>61@^%htF03#M=Df8#n+j{fx@@Nh?e@HddV`gupu zhTjAlpeo<@_;UAIu%Yd92v-@>8-uJi z1k}al2{D)jgRC(`MuMz0^yMUNo#AK*n!DbxjWf56hH|C}HyL~!A>3>zy9u|UhCi(# zJYleC0pUr*&JiG|4a0dVafbTQ(2F-*;wuPe4C<~R2?iJ5Hi?EQ8GxKMtc?IkHhkR; zl4AI=8g;1#vjae;88&e2NH>gdL0irnYK#GQ!C<`)S!M{m2=d;LyB&~^ zhWgBFlp8Mp0S})Hf!Cp4VQ6R$uF_DeFT7V7oY*gYHrSqlhcAY)i@|+0e0&S?%`l%o z>UYC!Zy5VwSbPfHPs3*hy1vGQY;b&p&0AqD_@PWqVfgnM~Ta8c`Y`ny% zd4(~X&+SU%Panz4fhHZzUxmm$1v z9KQqYykS%`&yZz|;>_))v5cMSE#v7$FqUmh{2kZ&wsGoeDC8Ko_ki$@@ym6PyT3 zjKzEqo*B)#WR`C%WD4rJu?g2a3XEY)YQNwoOVKqOXO0H)mGJ=MiPuIX570Fm4+aBS zWL(BTx7av15#CFT_Ah`eHCk{*_>FNJmssB#ZFxQ48GBlQD>Ftj2l3wc z?z=H%DHMJf)eKdB8u5GJJ~PREhEwq~$;$!6-{i!0kN}elDe$nzH zhx&1oo80Ao!sHOM*(Xgx*ki_;oMQGa&ZL+LhIo@0hKy%S4!S@+!KC~OAc-a`7sAn5 zlcCIqB$*_;p)T3vA6|kKlTL3yQcbSE0VK`D5Ch0#lQGP@Ju!Jf?wQHy|NV?6d&xaF zvB#W7U0~8X0FW0ZX$`==G$~8Qm3U=R{}x(SWa7e6rr2a}6SSqo#Eh>|l$uP}LE()_ zD_?MLP5N+^`JKsuZ-9I-X~T8pk0uRIgDW>#&QAQ3$$D-LtT4HF0>Vm@7)R(;nS^nY z{l&!gG8Dd=j9CHl&1C;bG~&BS&)<;i!JoSM{DCOj&SC zU%KLWgahXWBZJBy8QqQ^-I{V!jr+MA=z5%~i57b9$b@8i&FMhS_~$4jK9fArs#z~X zQO@qmH}mFHV;D3yKOD$;y%wUJpT-&Uf>z~_FWfK+z80Nj7GkkB5t1d{`8zCi9uCa1 zI`2^~KQR|tzM{_m?n)8JT#>(sNrJlok;pt?pjfsY27*Mv69|LF0uID0L?JtnaM8Fk zAiKrZrqGMPr>fAXy<#dO^?jl#vjqD^T~4MW@nI*pixShg>F9uX%|+K}v2`od4~qM< zVeF9jrw-b3Sls7M$0Onm$M_hrbtv?X3hM_T$Ar-zkmJH>J`_%fhT%}hKa+}vFjmw( z0O2WdjH@`OMf_NhI1$IWYP{&nm9{hD>rJ#QK}=r@k|?f+f}9m?nRH7MU6@2UCx#9N zGFh~5hPo8dW-;pUpX|6NBu&f>1D7tAGg3G&Ui60Wg4i35Q^^olT7bJKx->x}E{Vxp z7=I!r@b-Ty7FL2h6V+)fUo7SN%X9H%D)b6OCTGzvM31qs`BF@0NAOC-&qUp8@!k$? zDHP*3fh)p)0fTz6=)DG9iTL;)dZpr@5Rf+_j6dUBai<0p-idFP5SEE$lW`93h3`Np zd=M+ngZn5pZv?VjY~oz?lSt_V&R_E8N)&d&GgJ$b+?i_#mO63o)Cy_#ZP;8XX_>NJ zCG}%Wfsem3*4ieWQ{%+8OZ8fS+bP*_jNc_?Gr9_w7EXfRZYd)ZR`y7(he0nwieVz? zxMT=`@Pt&KR$`@=D)>7k&1eO3T1vHsl{l&W0@TGzzng$NBb6~@ksuAJ34%TBuKeL7 zGau9?Nw?#ncTO_!*G!f!b%$Pxw5cJGsZyQWG4!C=g6-R~pQumSI9!Sc3n9h}&ze1}YN-js?_K{SpHMqyp^MkNb zBsJiYez9aS8;(k)+&@wGMk?d<>#cO3SN@$8%S}0D()8*e@1@mCQ1?Mvz**);$@?0F z<&vHg-%pZB72H-xalb%VDWyz-=_=`$EYy9L=InyP7pXp;HY{>Aw*~T>bcgeV@`*Nq=P{@_b-a_G_ z+-EoH9?88bKpx9uqoDUh?mY$Msa(a*BTw$S3Qc+@*S3T(U$*7w^;}-80V$AI`~Z0& z`!xgdrF{J$th|yFcp+cQRS|GhDA)3Vut=`+4aj2Il_y;ykLQ?FD!*dD@J4QF0@MDg zu<3vVsLB~}2dP4u!D%=L-7VL5X@`!2z zGY>H;d-es#RGv0aIIb$^`t=Ewiyg>G)#@gwi&gdi6E;t&b})5!T9w-!b#bbCPa%v~ zDO|!hqiQx6Btdmd2Y-pGJrB_Mv#RGCAWTvfGi7v66~(o@WR*L24W+1-@r!t=s-rhx zGfm|;1;}*O3?_oktLhDa@V@G|!D#LSm0Mx#9Sf@Vu7R&9Oa9rJF988~3 zOB11YQeDm`E>_)()8|v_70fQ2R`)jo8K7oB|%-(4Z=kA2Bvq; zs;$>UJxT4#dGk57MSYxfvidp${S@^E&eu}a^XEeSzIsR(=si#$j0Ezb`j-VDkMLqK z)E}$O7}`Hkcbf_FR9zz;Bu{;CBov;h^A~{Rt1mkO`CM(q9nl5q(i$-RLft$L$d~FU zZnk-)_NxxzYxP+^zJ=v8_2E7taaeFDaTyV-0jM%mhiAcvE*I1 zQ_24bE?TM0#vfF?d?7rn#07ynqAcaB2r-IoI>=F_PZa7>lyGJRQx($~I7(L>m^nJH z%wpejK`HS8GDA7y0pvwR`vYz-DXU*Ycv-P?0pyBenTZp-sQG=bh{Whx)^FG|bZKz>!k8gSo~)7-G|U8(sF z!XHWvXLw(&v17Ouq8T<0WUa=8|NLZ~=C5a{Td(PP7XCJ9Vi>9%)U4wRVTUxQ8IaS$E>Jk8aXJ8UTvLr5{0U9F&uHLDjjJV0$7&KwaPFrxfAL+H)0#io z_rz&_<+Lqc)5{j*jAk|6CTNCoM_i)j-CXFM)g&?QNz%OKl{lxl+!4Z?ni6Yxzoqe7 z4x6_%E7>Z zE3{L&w!Kn&nQ4rj+T)y>?b3Q!L%3V}r8k6ow9dRP5!x3AQMXrX&8KmncF$a>@7LC6 zf;v*$!Vh&(+WrhK4`@$YfkbO7IPW^Bb>&Z$rai^HN4mC~2QK6Vt${s5hIUC5AQ!bJ zbbCoViJ5@Q+I(JuE82a$y{~G=??&AZ5AW-A zzrTc)^}49dARBcz^FcQ0l9&?Pth-bfkWk%1TeNJ8?sOg0h3Q5aVS1~sKGzPn={)N~ zxLvn&703=|RkL)>69Lig|)>h|hBb4=Z* ztF;znzfQgmVWh4a(`-??TKj=KpzF)YRb`KpxR2U4mYW zKDsX)UDCf}-+EbpLj&%r{yG(|>Dw^plc~2Kh<0Ar|Jo47Zs;v*fy>hWHVuuqsZU}j za7+LBDUjLvL~ilAtzSJHkQ{x&-w@u>Z<>y_+|@T`O5&crXaKnT`XVhF@j$?x{Yd0LJq4rYoTEOkbbZvqIm5onxi`B!AS; z`VLHPe9>oj2KQCpaVN+({gP>L^j$xlq0tZh`yb$b>i2~}&)2X$12+8(RrjIiZ}^qZ zQGg+vV{xG2ViY_C8EP}G3pR|K4!sqIC{rL;8deA4G(!z@nR^Q}jGhRx)lf*`Hp4s4 z`?ninKEX@DKaXbB2!fAWSw` zb3UD7`1l>_sfI=8p_gWe=Wv#82tNblc|)!lAQuc~wrF66p(UU2iw0NT(3cF$*(=^P zocSHX`-Y+HDjyhHbpSHg5W;Pu4-M;^0`kbvjPumThTr?Z?GwWq=GUGY=5GVZGc+iL z_h*Lg{^0TrN58(6#P5@#@o@+%3}3iMveFRw z71z4Tki;27u+gs++)AUwrLt8<-8^_-ZJf_oBgA-$n^D#n>;4V0)_CM zjDegi7aKFI04Xt=t%5?Sacd!jZ;W#y!WyW33sC#dW?TeOuFs@w# zg({P0SRStSzx-ikdkES-@&c$`HAY{3HcyA7?@=|bPXG2?>M-q|4T)Loudr_Zax5ek zBbflUtTzRCE5AG(4>Oqu3y#$|5Ibv@;uMERoJ6h5f`O2@4q=}>B4``zj2sb$<5307 zCyegKX^`6^E@8U68iDut&do2Ly}9Bwrqd?Wj@@|&wO&Km-HnU1hJ1W&es+1nFtv@<#$c|I0F1UT``Fz7_`8gM3lML|9w=p%iwOTw_PHv?Zr0 z%U&`>Snfi(ugF)!oSz731ew2>)EUA6q3Z>UfnwGnCeo}Z10Wwxx<_?Wh;uk*o zr$xdYkT|hB0VH13;oA@w#PefN&k)TvLj95$+XdWZ@qrVTC0P;}eGbDU1OgaNuC`Oh-SS)(vK%qn=RKY{3*fkdlpT(wafP4`Szk+-d zyS(7&yXeJd;fLtP-)OZoG7}_3de{%g$>ezBq(f@7L-7^ zNji^g?!V8_xV4aXoAxI(y9aO=N00P#ko0U74q&git!Pm1p-lJnS?z#U^>uHG<9>tq zB=tYToW1E)Mtx?R_)M5D;CWaaZ2;W?G0gASRK14Hfn%8I9kga3j%|Yw6aVWwayZa^ z_A(gnoBR)s`#qTs>;30(hGOdJ2ejFb6R0(J;4NtpmI{nz+)ZGt+Hgd%&h1D~^?1+Q z419}nU|mL}gX(iKY+Ik%O1nr}7#v+2a{F;pVbdX=Qy52wm1wcks=KIl4qODu@O7Mp zx|pEX|F?a|o`dh`#<8T+G(N+f+m=DUi-QMrx~_i&i{0MyKJ8x4h0PvT{C`V59bWJ{ zZ5|AKzpe;v{LqIynnUD-w>0bE^Y6Z2c>g zj)5H@aeCnfE$6e$(2ZDn68Mqb(@;C=6f-NMyVruQTWAAF+<&rP^eAA`-ZPwwMq?s( z{C6fQ{$Bl#PlitaFZ*H3wD1FPX7*p9Y2J~)h(&Y=YAwe{Lt<5JD@yBL49^Bk`30rT zneEUTIH)_2gChE%9k#*#IJUd11#)oTPOxu(juS)&Q4ai&#Ey^*eLn)V!#;6w!tqyb zW_P)PdF{Vfw;9Ln&OQ&&>Mp-kG2}5MJ)1Jl>(%iF%HH=| z!AhTp?clqwCqp5#DI5dMk0RXv*X}8%vpOv5fVzJ|MgiS%nF-2H!=|F_98wpxU1F`^ zyX*BtSm`-&2;{w_7ASj{8PJ+Ob(WyLeZTqv)6X&ulK!`|pk;cu45itobo$HWT-xFV zM>ETUXp~l27O1su;{&Y$L45jb!hQfbFs~mZgI=*qw(V&Tq}`wUa6GssSH|p{aW%)m zW(&Lz`#KTFj@@jbF4<3L%xR5x7}H%7 zgSnPCDSZI&lc(^Pm{Q3NI8%$WfuCj^3HYGW0tt_IranCQ_KjJ}&k#s=+YHT_`6-82!bHw05v^N<8KY2iE_- zgT#po%R%CWG9KiNXk-S31QE`kGf|i_?{-#3Uq+W)m6bQvtV zI`Huyae=!)Ms{G!N0mIl#TY%qfR?#sZiU2M-i2C^6n`K+m$ySX=F>a)7~3iw?e<#5 zIp#Q*IXE6ab2IQ0_HPB=J0cgziJ#adP1?@M#^hDJRi-%4M$4w^-=cQfkJdm=KOc+Q z8KqndpV^p$f=_%Tkh8{(hRxY8*d5OKvbYL35M4TQ|@5iAZ%nf-Y5pMo7^Pc@B}xD z)e)!*6_vk(go$1g3f=Bz{zw;F~@P&<#*t(n*;m7 z?!Dqr_PEvuCVIMYgzMGL2CjPFKZO?diED(~zBLi_sLfJ1#W$a~5J-!M%uQOh6hiQ^1$ z=u_rDhUJd>Zwxv17p$9E=zuWa;SYp`bq0J`zT^DFY9_l6>yJBt8E}Aozs;RXusiVF z2Ur=@E0SW?K+up%ZNyfOaDG*6^nrDcw{N+I<2aPy3Rj1f_8CC!?|`{$WgOf zEpHrm-+cwvdvsy?tLF&D9(^BjhS6`&BpmmTpUnATG zb>>sqZCGUT8e5i|;@HYq3;Nc(2Eh7&l7*1ld|r-r3>;Gh`Jk>$1KO4_YixI70OW%| z1^{FK?g=Cg_4()y=~xWR(3$5@J1pK2rDJ_Y(oW~PqXo|Hxxf$q5Do7xyFG|7f)#34|wmf zGVDy8H4t_t#qB}+C)+dTn_?9Q{M4rGgr_BQ207hRkK-9w4?rik;0WyaIB~W-tIl2M z&aU7N~T%0;2xz${K&hjK~aiT|$b5U$`^ z9%3fLY93#Kux`e<|vrUaG~Y9aqVFc)SPun+a9i-QhiL z9ehpHeg}Tig89Hq{={VXlx6r_13qjp1CnXm{sMCPY-TiP{5lK1Wq9FmD`nMs<|a1iWIuEE{LQ%0&`dFqT9$ftE;8e+QD9kgvm zb-sr?bBYsmeLD3+Im?!T*6bXvpw5}K4fwf%oOjH-%bDu@sHc!D=*=1Z!rH#TEbC#G5hKj|WRef8uVXOvTB@cx*( za{|g~-MBG$dbTT&GfbjzRc3Byj=`r9Uu&3Um&WVl#AV1iVceuNw>_7i=C$NgJ7391 zx!}ZU_+9wtLf{vLHpTJc<{Xiir+A~*SJY+_-A^pxFX}I5CBR64a7V)G-|Ljs3igKm z!+D6~p*|>`a(Fj5_u;QNd~HpXF0I*LxXunkIimeE_!;?9jdE1CzknZIw=KN6-Oog= zdy^lKcyxP)v+;ao2g_rQRYEd0f^!ltUw$=nT+?LKj$g$^k_qSdTY7)H1uqk=nLV4d zcNFYQeyIRCrTu4Eo*Fb3_-Q`9P)`5IXkx}oOL(7|HVH_dsw`;D@;Ha%+50#zoipVt zwC0{K0)AfdFqHF)Gk{-EuMf(F^9*RoqQT8kE}qOu!}0|du;44kagg#8)+ylp#S<<^ z;a}M!+x+i!y2=1`SoLeL;rOWldQO%dV8gjOw>A#H$Z*`H5x=PHx`4U85sjH`8QFUi zjz@Khfz8qLVo|zHVy@JE%W`OW^k7!bGmAaJn64RU>DXL8M_%jMpN;cA3Y+8IUZZwG zr>@ZT4r~nFi4A5!GHC+0AWeS8Hx;IQYyrvC{hZNHi<^Pt=}Wufc*X+m8=X00A&@?2 zxoc}yer=SqE7n45&J3KGdhQ-Bo6l>@S>pU6W{Vg6fv!fqFlQ@{7d2o;c5&`5v}E~2 zjw!z4Av{DosTfCI#)0hsUo@455$gx;{(0nl)4$kD^81#%H;XQYO3owWT? zy8Ol8&$T(Tmm``b^E#n1xK2;qa6GyOv+8as{ctVax3Ty4c;brEQ|8?^<{e|!vF2)E zyn^^_j=-gG^(ZYG@+>to{Jfrpn9XTp2?8$t_ zqO8`ivv_uUDb%4uemky^fX>V8^M-1f}!9-H;5g$GN#n--p1sR`Ro` zBP<`m!pKp~#f<93XKM6_)+pU(R|nobDFaB47T0j>IhuF;m>6$Z80&}IN9}cQ0wm*1 zDH-3O6y=05+`#HxU!a`$&>iI@d(L7ePiH?crC}i?Q#Wu&>9qBTTK~O9^_Y+8Yr^=y z-|cxQ`xlP_+VqwiO0%xqf@D6x6>^LC-=J?<6oq4}UBQrBPnZMAfHoztVDsoVcp5m2 z>s^B?`$A$nVJ=F$yp6yN{@4Us_SJcA4t1tLKID)!EDT-igL8B4YKhw6$sD^}mL$W1 zYs6QSBM!HN?#R?5I38uiZ`_PdJqC>194?@_A2Wxp$A%h^c#h$99}~*{U~Fs7D!f{v zhyV8s8!)5QcV2JQ_1pCT7W)Tq_l)Vo#W*(Ww18)5$@tY`FIR6Y7jZ+8)n-O|)&@p; z19CipvH7$Y76x{6gM5(RL&$BHN8^0#hA_SyypQ9r{YJLWVM}YC;k^{p4*k15&v5B) zI38ZWl#q)f`*PR!Y}JUg8t^sJ5RBtdH-DlW{q-DtxmEGLa}VQ|M2~CiuRM#*Pq@uQ-#`S;!>zK5#c|8u8foZd$J1CYTrENXl+ja{%Zy#LR3K;vL z%&Iz!X%DR-X&NAh_GXXj9Le~4_%ZGpbonw3$F5yJ<9Nha{+=VRMdNr>w|hX2jtWJs z+r1E2bMMO1BlQv_o+e#+hEur~I5vd6zL&RF{eNeuW-Qk?gwb8Up_724#7 z^yB?AcuiX%?O!xP=}^%Um?8T#uspO{e;}Rje#h}}PmX0Svkn07YFUiq5m`DQM^?uh zYU)w0>`O++jNorr_XSG#oZlhw_?^*`=UM*e)G+~-K#tAgGwjv7>3_drT}A~~yW0Y1 zUCEw#fFqZ2Z8F`VKd|Ks$Ok2HeaJR}F@xRXW3V!~8|M-BFBma9So1C&QowoJ(8J70 z4qLGX$ByponVhQe$#Je649W0ZZU=L@{|7LxOTMBUA#ol&(xVp2QQu#}%jh{w0lH0n z5Bu&vZBTmna~0fkiYZz(W?pyL9Dltr@DqG^1-)g)LKB@$p*2bW4{9fSupgSz*8;jz z|K@Wy&7M!$^u2t7X81Y6+RV9J(D(7@2sP^^d%@YJ>A=si3&1(fEgT80c}~nx%>TmJ zdBN~U|Mgq9a)C2V+5?=~NA_Ok>jNRNc-;V2EQi#E#HuUz1zF$9LOI|FpD~-1hA0PS z-9kGC?TLfjHfJn++pXoki^0XEsI?Dc4CT;?S@0o^o1%8;i`zgBvtd@kadkadb~@P@ za_0#xfgf(pc);bKG8~Uu#u#n1vjb|~Ld;;neffH{$D^hWrDp=8kuesT@HN(z5vSM5 z9F*e*XQ6id5Z+l67V>i)-h~=qCYla}WK#G_98Xqmz}l2RZugk#h#u}i%^bj@E)bt{k6c4^HYEv zKi>l71iP28>Afrh)+PpE{`K#fZ=3+>8FBgn;0Yp!F=V2s#V+uy$l|#siBvAnof8*a zQI{-cGjK@}y8iHzDndKqWYR>7t>Dtdp<8Iod9jpF(*==r0>}&zcmm!pibe0hT@q`V z2fHkGUx(fmv6!>RtD=J6IlLyi_l0_<$YG#zT}bT#xgm}-J)0$DQwVPgdj(e9_@96rPKe)esg4=@k@Sh%`p6 zFU8!gP&&7E)fuJkpAcfy^YeJOlxhDEUTcmS?a;0O{ioS0LT{U8IzG=(mh^@ ztt`n63O$Qjdisf>g84rx5I$~&cI2cWl0DrSZ=T-qD~;clsWBDg(LgI7?9kjC<| z?v>2fLby+=$EBkE(iAR{M@p}luZ@x%%OE@;O&JU>TI$9y37^;H)jcHrRH1=~rNuh| zIU*fla2q3iX54sG`nVRtW734q&^s>m$c4fQ=`o+#lhWavsEd_$a`o?&%tr%RQ1rtyZ8=|<>Xkakx=FGKo=z3WBEp3Cl6rK_G0UX#KvK|NDS zXAF5=ivRH6-dD3wO)3BYobL&KBk1+V@vW%fy*TMJTtlM^8((Wf00NMM= zHs#;$Bt^P<2EbIw&S;berpv8B#2BA(y2T6}()L?yg5G zuS%m{g1aWQL)3}pWj6U=^ZsD=<%ZPhC?HwVM-rD!8axNOO({BAkf z7Ik~%QzwAjD_8iUZl8Q458QrP_XdzCdAJo!ACP}H0g0AF&Y%weKXfd}VY#LU+HyqR zv=enlWxwj+j>*<;AjjqET(>(ZpG2Y*-w7Vm7ThU$3iHDFKyWG`@$$e_aA)MtPJkrJ z`JLhKto&;!NRnKGbH-%3w<%5~MOK{!mn!?L0ZEsAxhiyCUbO|>1$n_Us9%(eI2>J) zXN02evV3F{gjeNcj_=px!ccJdf2CZsyDlH;2*?fjfC+?I@>2$|H{}EE;pmp!A{Jb> z96leWZ_9O=guWwR=U91HF1G-8Pj1XP_)6kzelnoPxG;Cei`Jc z+?;caJb7sl$TN8s_nzg;DO{y3kPDsQ?}fa$0K%7Y$W)kqEk9}oWT70$yRJxn5DuUhPZTZWs{Y#@=m_<3CJ>eCd2sma`UC&KFFifQCBX9j05>3|Lg=| zg}m(-SgDe$3#fmVJ1&Coi=59%%2(N$^U81XUZy0z%lDXd{UJMXBH*i;?|TjilmZDK~EYKwGXW$?|f?%vFc_Hg_O`#s~BYv^Uu61Bbci_xq;-h5d5K4eCt z-_-pm`#VdhHTD03(yZ+#=$g0Z3z8N?iLspc4z02}#s0{8=QLOwaKZ+qjg}ASz^?yW zOG@hvU$!sffUyg?j&m8jh1p&EKNy!g$a)+P@nV)~Xn)=h!^W~ZbUehX?9`4cR?gmK zur_?L2`syO;@$w)O+331ORUkJk?)xK8FiPB;phRc;Kj{&1*N;+B-DCriiF&AQ9IZf zU5J7RG(=i`wyr`TI_AW@f;9egMH;fS^DG{@KFm65>sipiWz_=&hMp!`KQ#%}?_ zt1Eb^`Y!q*wL2r{7#XR|DG1M23P~kck9=3>k+=G^mLBhp12I#xRdgjUZh!6>o2!YU-8%{nkp4ul`a#!Jg z@sB%@k)kG7qN9YG)71lFXaN+W#gamt^g+?n7Q#bfA(J|XMfqZ=9}$!IP{oMtH32y) zx^V(>OuSzO?zm_?9(5Ub?D*UYYkP9nGq9J!noD;#ZP)`<)w%}4kbAL1`Rb)NuTzI<3 zO944AVo#&)f^e5XGQ^p2AQy!nx8+{TMC&$?v;(cu(v&2zmL~n}awSl}PqL};67MX)kcU#;X3%wjMj?vs5;Z_02T`~D6 z9NiPYEdaSM?AeG1qG5NOSguH5V(_7mnIL^6{A$7UV^PWdbx*`@E|@(PRtv%9i4AXH z^O<F#XY&IswIh z@`blT3kUdrei}dy^#2T=bcoZ7sk$8OUvW2zAL8G>AFUti#~yHs(?_3=x5NE6Mv%AA zzlOr#5&m74qxg~j>nTGW<$s0trKA1tccH*B{(ZR-c#;497x8wi|B`P2x!6C*FpcB< z8~h2CE%B#FUXS;`nMAo0{0Gw9dZK^A)9B|?|Bf`+F7scr~9YV1$>78E0U5k{hytN%2xOn-Gok@<=>i$>)HN4 z&%@g}{yjEA3+MX(!ocS9{5x|V&iAi)2#*W=zY`LU|7BR*AWpA72#FW_o3{hxCH~KD z$Kz7}{huN6GXJ&zMhlnwcY73&SNLyy1aDXRZ>BZtD*vV}c)Qwf())Cc|Dt!0c&-1I z2+CdO#{u>fr#ngOZt(9~56Bz+D@gKg^6wfz-p&3aD9YaA-#CPFxB5??i^pyL;CaZq z-GBNTJnr!SnzF*3{uO7Tmb?5%E(OTl{?GY+EB!wkKtuQVx8D>X|MB0=__TZdi|)nS zef|$A=-lr=crga#0sp5jBJV-}b6cPj5Bayc5zRjAHv`Cf#Qz&Io=5#(&^h&(fBI3# zd)&XzF?f5z|IX2Ptnxpw4T?YM4?l+jPx)6w(86l}BV?mb`}_Wl$20z2Taovy|5d6P z&-oi@5P9DJ8u`Er{?)JG@uL6o-vQ($|CKa;CKqczeTN7)I4^`p+f@f6M<7ohxtqr_yKlj(^`H@b<3%g^y5RjlcV!DDa+t4ees@ z`=b}4^$+~>VgUKjf9)G6@R9$`Imr9i-?uHA{lvc=Jujd7Hz6JT%)ja90Qua1{JALb zg+E41^_Tt!=urB~Kkhr^eeK`oZalv6KfWir^sWD#zvA(o-%11Id;f<|Bku?Qts{8+ z=>L#zfS>%Me}TLM0>wSi?16!MXazhd@F6+L!GWFz&;77b28o1(j068qM;VL8^ z9(X8@;tKgBw5|0c#PUq86fk+!h;^@FzG%+6&xRa}DQDEC?NIW)h{r{lc z;=r`e@Hj4Tz{lvzlECFO)gK=yPD3px1hytZpBUI<0~A;qcr=E@Wq})MEjuaDJqnN~ z2Tr6_@{~a2=NOk$1H1G4mItmp8x5Tnc$xOi(*yGvOm;?Kdw#>2f%$aWt_XCzhC0s* zq|QR?X9uR8jXKW>_@JCXwfJc7`%nJgi(}8ngV6UH{vWuVw;O*kT zHI$Dp32d}KI&o>>9#WLc0#BTe$K`>8xuf%nz)zhhaAjaSj>J`g{YYZ34lLpKT@%3e zR;nG((@K9`;Q26y;QGMHJYndD!1aGe-i?8`iAy&Ho?n9YZVoJc5yfu_e9Zj}w+3E2 z6K}T%Wr%Un235z=sq@9}RpnjP@Q297n1C@jwG* z$|nL(UV_J}z;kb->L&xKCbaidAjb(<9q9WEZ%+rd-x1+IS>kRJywU4+C>0-aBz>Q4jF%aHh4;B|`Ep9kv5?Y{_28jJS63|vFZ`YNzJ zjagp@cH09W-vlnE73AB%Ce$Rp3%rs>L*EC!djpRj0{$-%D0CGLto!If0Qmj*9<4=pSU&Y(s9q~H(VAo1kjw$!6f369zck5hxEuZPF-;F9TR@3df? zHvQ9sH^)%*8Nq3^lb#vemMZLu;HYkN;;i5ULwGwoIQni>c24k`%Te{Y!K?p<#Pfo6 zTvg`>KcqM0g5W_6W4JJQ7`4@lf+sOx<>KHwzefv~1fQoX^U`3~amc$ocs~Q~uLzE! z-SNuc%}elhRq#p53|9xAO5$-%F!CMpt_}W*u8Qk|OP@sI^}$hpMJ+c3XOY?57`*>5 zUKQNsQ?&PF@RW@K z`Bd_i7ChbvE}$F!&EQ3isO+s^<9&F0J2*du zI^V%b@OZo%{3VO83GPX^;(Nhksdu~|JdBI^gJAumDDYu$F-g!z!HNBN;LLaq>nFj1 zNqGA-`0Ujv{#o#sG*5gU-0&ha^hNON-{bAe;KTRh@l~*allpaVzh$WGo8Ugwz`hMW zLO0xZ!MArnv)>1=ITCL_1po9HAb$)#GY?h&6nvYJZ3h^y{sxZ&4fAyrKghUj0a`fN znE5Nza)|K~EvbhZPgBf3%-HSs$UEHFc`9l-!nl~M;7DUSwb`SLE8js2M;l*KdpXAV z*-8{xWVBGWIo9|+-F=IVmKjfP(# zahdTCn$}J-)=(8X*;vT=JH>!$2}{kGdJ_7w+~_68JI$C#=61UA&P-H&hB0dpT{_da z>VJ{A!kEf2JIjb4fV{Jf2W;e>WBlPd^yOTmfliI{jBz&J&Np5>79bZGn^4fb(D>&i zX#FDNx70T;Hm3a+AeR`wov~~`)N;LX(XRkeq{SOql)9AeqeYwk6vnM)nx3R-|09k2lMKj+$ z#^Vp-?LWri6yEMNI)4L@`;4Y~6o0_Dp23d~8so;G>W6R{Arc?P4dv+4BSzvQygh1+ zIv#nCVM9N#;Bn*M8zJurV_+LJyUJK(A@50JEFGgyLE1+@R~rMb1LSGrT3W52F(#3k zK5HZyf%hCXBBQ|b#$}8?c)_^hX}rB?wC#!lFBxY&fW()LRr}%bit&3o312l{dJ_%3 zhFwDddEL02^YDi8-4p29o5pjLRNperCY^ZO_-7+3d&kJo>hP|y#b^{?WBh_L-+RU{ zo&x0i#;pk?eqgMj741Xg!aI@okugYh;A7+UCOked&i))ApBke_;q5cyN;+OXHB*rI(zIzUNOGE#nVQ*RJLb~Qo3Y~cm9w&#M>g{r-e4S5s9aVmWT0nM(BQuO=pHyGX!u&=!2)wiL*kl?tnVa4t4H~ zX3q)j|33204K1W)>%7n=bUK|Mx_J@uE(jezg1ieuhtn{7QRq^xxQjyvuSVV_p=l4J zvP(k;)B1KpExg?wT66>+D?@GHq1X3>_F06-e?rk0Q10H)|Lljp+!q?p z(Y-&^a1HVv2n}tH$Ah6WgUEX*bng(VemLY~Lyv@Z{|CDJXsG8Ad>AvT|FK8>0`V-6PiSS&$FQ|Nz$JS{qkxg zJ|DX9X4LsYXz?iY<;BoBFQdJeLT}N@^>XO<8{msx2|fD+5?>7^kHX`%(D(mA@i#(0 zkY&9Y+W3C7@K)%Jt?+m|bQ2}VcS0}FOZ9GOF|l<`Xs_jH;l0q#TjKG4=*)$v`h(DI zlr=sK9mS>nQRt}ENc=d|`UDa`3H@nD)beTQ>(S`oXQ73(HhmsiNS5_Q=;T*X;LFgx z6zjhV{gK4#>(H-EwD(Qurc+S-+t9NN8u~6Yld%Rrgmx8jWN66)sPm`L1X?)`FqgcI z$ARWI6sZm}KcZ{yV6*)K)Om=xCGDYyntN`DE*)lG&p_(K&AU#=+d{LQad$_U!AsHX zk>-b!P~a%@#_v(t(Pp|8k45H0nv#z-=hmaW#b)OK3LIx{9LHmcIjtX$OU)mnI6a zX|5hbfve1CBk1+j=5ci>aE{cW@wnc+gqtdEFvmQByc^9WM82EM z2RYU^o5wJe^%isQ(P;Koa{{eYx0##0i>mK1&!ErcPV)z1)?McQ@8RukbIlEStTc~d zAkjVM8b-R{4uuAQ+-rVAq`l8Pnlj)0X5msi9xylSL<kNR~|Mm>_uN5 zF#{(e@lo^L)6tj5%{O{c%M<1mltWgT^Tq-4N%L@?Dfg85Tq8hMn^$r?pEggVb?X^( z`ZE}bXU!jp1<#qMQ44wA+-eWxy5&pd-#UNUz)4{tA<4_=G6SInrkURvd2gBLrvUl3`HS0-_>OtqKhfPa=3GwPd*&)3 zIhy^~0`dd%z#Y)}56u&Qg~vx`eFBgln=ieL$0z2M|3raL%|V*xJ~O{t1CY`VEhT|L>o^ee*)7}{`EcteJ%T^)X&Zsu#kn|_M| z*M@)}gzPgo|@f=cD0sk3-^P;ag~G zc|5!?Wrioh?_YpgR)xQ!CHTqkM(?4Pr@}s(0#}EZ(0}%HxQ9&Rnedk@Q102VL51VF zaPMD`_Mez^9@rwZR zVR)s5sy_Y?m*+^7e) zK;Dv3z2w@*kLnBHal)wkiSs9pT1*?#(oyS^Kr9<|3|%)Tje3DyI(gJDPQ~MtQRmSE zcj~BPdjYw8)GnYzbu{ms9y~UTlrw_ovs-5dznKc?6~QeA(1WvrXOjV(6MXPaB%T|z z$O_L3uD={LogX}bQ+Yw~Nt&cD4E_&gn2Ul}(P?o>a2e(AOM|z*3Xsc!_e0qdjfK~c z;BNXiPV{ebzeQ&Ko^%k!p5hjdU%WvD zvi$nm(dfqLX_T|Z>_YWn>`@<}>DV`2NQpm3T9&x_H|SX7C!ZrdnLHBdO-E6&N$v9` zyZXV8=+gf#{|Yea?#Geae8Y77&irOP{${VCm8tdcUjuT&UhU{`ZsOzk-G0(R_&spm zPN?VKGm`jwux~4d0UhEy0quwn+G69&0kh?SH0Nx!`DU`mp%uP;w?|mYhrX5Yc7&ey zk9smx7dkF*-!&+_G;r$`$Xg!hUxhYS1QIE~tZLk=HzFbWX) zFS_^Fr&b_$@ZulForm&!qn*3HJNcF|GIxy!0%swEYkn| z;l(I*ueiTgMWDuZ-)d{t_P4K1DpJael8BoejJI%1%6G6cv9e}HoTo27$PA) zGXQ}ZYckNvxZ9fom%WL%=L22qA@7C2Gvn~~df>B#DDXz$D$0;=2cp7J6L@P&jM=Aw z8;P~w2X-LBE(vbai&{G}unI zdPVS&?Jzvo1b18?AlC)|_8LH*4c>ed@?H!c#o)(RgAHp?*_*+=$Y|aT?hnj`&F%Yl z0P;z2*+VG)Mey!8di{Oy@+1oU5c~n?4ExwGUIFA!!AVB~LJ^L{}QGFkt6eBh-w3UT^$ye)%(@eaw;2a+k7n;M7HopI zmBvj)wD2FpPYdIH#vtYAhm5xlN1cxvC-U1LGuj^qslx}WV26^RKpWkfMZ%^}k@U8W`w;E-Z+JY0=I@_v42-$TH>Cv` zH~6Qn!rP7h2kAAu$$!0#FS^;k6`g>$_#dQ4>Q?_aik-Llf8UP+5BP6>1_SV*-`)m^ z5BUpEppnmm*OEjXY5Zl7JuO)=s@rz=QKQ}d za5`Id_|+7}JAU8t7NGx_q@$|gpe+Hh?I+aY#+`f%Qg*oDD%88<-8s~<)2=k?G%vab zJ(&103@!qR8lGiqW3N3`EtlW#w+X&xtCd_;+qN; z4noNtH=#7PQv=K$qQ30wx1#>mJr)Cc=bafI)n(J}J~c_XY5KhzBK>bWkjM3}_b7hv zeiH5C^NQTAy!T874D7c}Bhvr1`B$iCq3<6DqLHJ0IWqPozWpePFY|4;1$wmHw-t5K z%X}yN0QjqXK_42p&Nq21KyLEwegr^n_uWCUV5M&iS2Hea=N6(zd{>`~p;_hIr5WX( z@ojnnYI)XoE4{!k`QBfF$ICwJ-$;DJ_t+;&67fH{H4@gpk4oF7e|tftPI{pq-OB$& z=2qDGJ+xTd`7$&y`G~vmcghg;{i&Z(@tStqL_qHHY6#_by=Dd=r{@;}=C5-Xqc6K{ zN$uEPup4^WcORA8-5+hh@0lOm3dng^)89Nih66bA8j)bHKhQt?&kK&k?*o0yrlF$4 zeGlJ@c8>AA*@Fs?^Ib{mf1)o(%sl3g0L$sqc1_nH{92 zGrvNaJA8kcp?cY|(>chV_Q_m)!_XZasAT@KKcMn|`Yog{^!@fC6g%H{Mi}`Q__iWf zy3m)rS5cZb{hgF`-tv#78TniPp=YDh2L;x<1CK?4I32EM1RB3a*$V@&Uk{M01JU*H zc1vJm2KC(&_-qTjJrXzxf+w8me;td*tAXB+@c1t9bGk~;56+;-`AjfvUN!6iK)!GM`(nKPU<9Z`91>a`!`q>u$DT*e4iEi{%JIU`ruX6Ph|t1cBk#yi zdLC*yI`k(Bl*fd|l7%k{?be4Djtz~u3W>*sUfvdOOF~aBM1kW&+focZAvA`9`_jo11R=On!s zI`J*!;VM@@TKFb3h3w_q(EQ)x@m**EN9p^}5PhpZhU!Tze+p%WP|E@4-W-Ah&H0oa z7MTy+gUZe{M-LhiwRu7tFDA zEWT*|{7`i8CG&soM3-JR@1MRQ9_0caG8<=0c+Bo8}WY z>ac94uHICo_7fH)|ibA$a~Lxe_Q0eZ=Og0{s-pUx1;J0&C~vd zsy{LlTz;RJcix7#Pt9LlgmRym=UtA(&&}0`qxcu*U9?zzX?{vi@>k}?3@-WFTt$25 z59T)XoE;MW2a)ga@S1~A^}=w|mUufNyqvy|Bg0=e0OY9f%U7fIqr>M;MdGnxV>8sb zB;4>TBpx5$Ydc{13E{;wTrCS{I#A%G@M;<`P7a^?2Huv37to$`T6pd`069JU$Aw5- z5q_3CrOpb!!v%eI_~2eteQx-~5ww0@_|m(TaInqPV=%I#i;SDGX3>d}xRt7O<6^Fn zrhh`3{D>$>YFvc ze_;CT-o8BshX(#Xw}OwVtNh^kBZITbQb+a}oYz0McizZ8<1!tETwz>J_VNE&-)0TY zm_D^o-==5-~ZEbR5QFE^v5g(SDdG%@B+(E>2$+cJ-xv_m*x_1A-?!EJ8 zjo2gm%pDjWy9ZWyojp9#j{(LDc9)>Fk@>@R-<*Dt4H>0x&b$G;Z+3L|aIkLw;6D`UwMBkjRMeD9g@dftKz~1PXO3nb)_gZI0xzLi@wYN z>B9>h`Y!w$o*_RB9l@LYF8q++g&(Ew!VA7DDgp7!a(>0sFMbW7u zAFImB7gb!os2b!;Tvj7r67H8q_2LW{svhZ6@FRT+cBD_ijr1jDfswu@{X>5MMpyL! zzf|*bpi!qBX!cv6q(Z}u@D55r)aAP99U2~#KjpHKzx(D4?LIgYzp+{d2L=Xq=gI+* z=>u&b&PYPhJC7j1d53@iM3W?d3=H)T_HqG|Jh@+n2WAcQ5eooh4-d{99?&l%gR=+r z>Lmgqi!Z}-2Z(9}tazO}vd27-dsln98t%0`P zd|Y6!ogtBz@=o*l{xI%}@q;^Vu|;6tNZ^+d!pz^H4CXeUFR|I|z`j#wZJ!Lxk8B+s zBdRZEGImRTa;BJXw>$IMgxx)7IFhK#wb_Rr5>FP+>J%x0>Xcvm< zVoxDbzioYf@BF|_E4gh$bU`8(jan%y-P2IlQ_Qs&>|AFto6q-j7wxu4U3PL|nn-GG zPfxJ3lUpoHv=nQqYw1X5>`WVb(2Sg}Y@|NAz?wfRvcnFMsyr5IsI%=E!+ZD6wUYJr zf`$m*<`2#q860w_))jLd*~#g4yVzy(XQ4ZrsUO*E^vG~Sq<>E2pOJd}8ne^LUiR=n zUt~wT?&Z90U&WkOw%ed*~eT1ok|^VveS2rTKzMxqNar_uSd#CPUm1-m6( zOk2)}$?pP=H%8+Ld>4mOd_F3$J2EkW%~q!*xKBj-II)hj_F_Q4uWsbW03)i9D|i;Hj0ww@17S zimnn1Vu^$o7Q_;9FDyW^#)1+-i`uzZro(P&p1>vR%(X&dOm;LCkGdxtxNc`Ui!KV~ z;DO4L1d6F7tYzy}ph#4a!c1GbvoqUnXImx|@M!KTw()ls)I!qAngGrk5hS$&tc+ZA zwYCB~f!T>D=a+-l6!RLhCd-*w_sWShS$fPmCwB<)*4k6Z7VLaiSBG89c68gMutWy5 z8%fo1*^pI`nSg}w!|<>~j|ELvM5Z}9-XSgHOSH4Ot0f+{yIHIyH`z)~R0UhGDkTTv zd`Zp%Qc|?RU%K+5?IzJS8&2DqiP=ucUE*SyPDxMBHrtt8CX?-K2I5)dES#b83^{YQ zJ#PSN#L%!kviD%$0GLbf2;|xs^G613yS~06+qOYCNvs@Fkeyn@Z!4ji@ywGgmA zRJ^0vgdqTDo1T14?j*8PTk6@XdlhMz@z(}`R zpA+WInLiimNc~i>kiF&%_BY^H^?=Ed9A5`one8mvQ!>S_j%FbOWb5aS%tKl67_$?V zqp=u0Dt7kXeIs*b&w%3CJ2F6iJu=&#JvgMX&>HWBg;vTN3$2vKLXgkNO<*?ixw9gn zNa`&8oK*U`b93o;r|b8mW=xtCNLnD$kg-Y`#EwN`905xvKnUu{*zJYph&A3}@Lh#W zPkVPfHqmyLmzAlrySoaxsWt|ID59yw1|QVBizj94li>B2;;(s*mM zwS?(~?wrlsX1k*R&M79?k_av3FVN0nv3ar!Ux?FXd1Z(uWjD>T?fg`^YGa!5TctH= zaZw79Pf2{TBbl~BZkMc(DCiX7f{yt*na~ROZ^v6 z$^WKXanYVLlO@tgR@IVkndU+rHH+0S5`_d|pVpaa?kP-@f|0<-@pX!D5+7eBp(cfd z>f%|BXx`f1-q8b=Rw!n>wNzb_?cKQ^vbSd~VibtLt?5EB718uD7ORrMgv1lm5>J_c z8;d10fg}aUN@Y$Fl_-cxYf~!;%aj&yOweyI=j;?oylg8OsrOD70{}+pl`B@ZJ#*Ha z8NIXY!P#?RcC*0?v}nT?RPz=Th&oP2EJ`9uWN0mPC?chPW$ms`HQX^kQ#_+a*wxX^ zzIuq@iG)QFoPhY?sNE{alOw)4qIxW9QF`MSC>4ZCR5GC&i=#1n4;!9{p*fl#D20X^ zs>8yy>MB$nkt2~eUF^oJWgF{zN6#4DH@bf`bTOS2=^Y)JF*?$Ri5VRM2P|Q4ELx?! zDET@TZCoe*0;ZuZ-9mw*yQe7T60{Y}hul6=Un@sXrf0sGO|EbkZvb_0FuXd;1HC!vFbAG%tgnzP9YL=h-c$fT7lkrX1cGv~#rJyMjmVpSxu(QfaWQmwO#WId{tDtn_` zBoI^6-ObIE>G_0QPuV`@T7pu|izYO7L?w2_+g*#sibpFYMzv_H*!XoW8o-p4j26&o zF;3aebaT5LDXUIP6@rpL)J?Z^a2U&Hz6Ow(d!=7l(Wc6d3X6ra=*uX#6-{c&&GiFa zN%YJSETah_k24XtG$pU({HSA#X~{yU&)V6}mhLXhq@BfTgGmOuQIkUs-6omrc+f*7 z$>%zAMLS*0PR$h~5VG3aM@Q5v2tlqmx~`)Lt*Jeo>kuXmDWkTkf28U{W+ts~pWL49 zgyIIAvn*}T(;Y!;C||O%kmy26ZY{QU+jhiq6b_r(m{3~^#V#n8FpCKpQ}e{}o;t($ z8al&xM`tK$``Uad=GYk?hg(>wsNgCv5^?UWl2Vp|qFas$d2b+r31VEj^EoyX<=EhN zw0x;o=GI#7Rd8$o0t{wa2n=>dt}{|U#%5P_N_r}Wbxg!krZ>({37?{Z8A|cmkpar( zYU)Fft6|8|9~lD{bY&*m)3WKj^BrE99-rX}CclER3g=vl3Y1i$t<6v?$TL6$qVZ

    $D;u2Ohe#G`qzFL(pr1OF)RC#eAl_DAiZ!zfyheXfEW7T~lC0pbWA$4R-d7 z;dvu-_U;?jN-UJlM5aS1pLlksly1r2kfNkDk1z39>7|fx{dScoxJwk`5K7y#FiOH! zo$2nWhfN#*%Y_6+!6~H3DU`3N0F!GYq1RwHmVc%V24X%e$VvB~QxEeBB4UYq-37F1E8L4psYTcBqtb6-_PIkQh! z=0n=iN^Qo4$Z3aeG;6}qY_}9L`CKuVfpRHq;?lmO=!!dAj9{4&$N>^d*d_BiVl+mZ z2-w*n7B-*4o?YUMUOBoU&yu1k64{&*+Nvx@B1_VO4TF&6X3< zb+hFJ{3gQHpcx-EGl4V~1ok|P=xfW>V_OL$hLs8g){QV!uiTJ zq0-7xX5(mRh|u&l*cXu|5v?m(FcwNJUhuy;@ZLH6FP0Dv8%oD+QYxegThda6e`^Dg z9af}TI{So0)X}D-vDBR-iwJr}`vORreCQ$`IkJeRM6nX9t`;_k@DdeTa;>f8>@HeX zovAtljWyX6NhYOnjE1{hM|XR+118XHi!fcaG)qy4rmIXe6XzRDSF*E}L>r${BST}c zXSP6P8#dRrblxt4ugm2kZH%^KiHyXhtd?tuYi6p%G^+hJ{2S2t!9LodXWPKu{yFn! z%o=Ejjg7^ki9|A*7$1XI%ZghAW2|JmP}%J6W-CFIZ?vbt512@nN#n^XSxhhcWJw;Y zWrDG2vg1mZ9#g0(ZV}Bfd)5@tYd`?c9j@xNwAj;~FF?9;#GG0nvVWjo1vu=cVnjPBuMI3fMC(ul z!7alhkpk}E);6k?@UmMkQuK-wPVOk}$(?QQeSlf^XSn@lRuo}COs zK?gi8F+GNk+d|6pQ(@(DzF&=C6^QDPcJWZFRD6<(anaV`GEI_mrWbVuRRT@&p3z(x5!rEGZ;GNSD0wAoSN~){Q*6r7TdOvK_q(=m!ug6GD=9iqy}5 z5dN1)JzlmGFWRax9=u2>Il|CsMaH++m|krpr4Z+u8c1>?{*G2T{AzE{Pm*k{QAzm- zZbG-yPQOd>dGS<~4iV{&pEFbwS;0fSaWU5^aSqCkHvo}U#jlWgRId+d?Ty7KTPCDz z*$(R~NN>yFa4*EtTz(Sdx{4Ih)AVE!rUMyiXVhCkoY|cU05O@eo6;cEHJJg4%KTlv z&I=v+Y0`SCO-i8PqOZ+Xl6_5zzG}_SomQdvxw52-N=`O(6nE%~GdZPLBP(hpF*%xM zD)R~#heQ3N&_{#-npXDow6do=KCR?hND!0|?@7ybN|)GLXO(M3nCq0O1lAS=Eg=|0 z5urx3EaRO|%2wHGW zn-vv;G$RUy_gv#{NlO!sB?!|c5Qh|r0V`k8&Y&QmvRHxLT)lQKXZ#TF~Q^r8Ww_ z$?+s^G$R%Uh8Of7aeSaPXb!D*w{+7@k%RZij>HoX03=iHEYfa?%AsDnB)jTNImT}B zlhQYeB0dKm3?bWSnbn=6X}w2DF~oYEr=#*4E%}YgTv?V_zS7GI=#grU#F?a1<6F5=`PK+j_h);yZzMvb1 zTw$|{#8N#{X9WFiE~g#|moql$MimGr+80}qUjE6=(ei9f>m4@UH90>w{Zjs@SNj8OoxsfchV4NrTRNKgV`gd39JZcNX6eTU0x`_xdjecGr&J9)d7^2Z zR;L7F@0E8s<>_2bC7n9TqIXk{bD5tGHBuUpN*f3qBa+L8>q@ z7Pu-5LRL>ZIam!4QJfR!sez>7T9o1eZ8{>FH7g@x=V7V1-uWN=kjb<6OO9YClTAT<(Krm1TD6p`_c%^EFQJE*c!p^5VTM{5~jA8^U z6d{a_n);`sNylXgnyM&&Qp$lY%;nyROzQ-Q*&f>_(o#gnx}h>#oCaV!GHcGzO!)`9 z4HZR;HVSS*rffw(xLk2gQ*xaxT~iR<1(Ta+vi`{GOhuWc&0JXSI$9jBuO1VXE-3vr zU>76adN^I{T$8*$)c$5ZG;0mQnXL)IsRJK^xVjX4S zW?KMAf-1i*p>`2rsWEs6!GA7R)se{x2}fJ5b<84@WhML)S#x`~wFs5ZMair3WDy~Y zHd`?V6_SwzmW8677D%nvrlEum$19J_NjSu_Y{`S&MHdVtll{(;x1w%I$|B=b;xV|Y zjymYJTM>WIo+}h9V&->8y!@=e;Ssm0*r?WomZ~bL1UK4cWCSEu8$DW4NtC;x7Q^`s zX&Y)G40e*LQZb`}MhP+UfgKWBr}4~_BT55D3JW6zaYAV1q{TMG?E*|_oKAu z3sMh7RLb>04>-Akb#R51(k3Jfx_d8GRYt`CSLOqW-Fr`@*eYBrHdZeTDp2of6o>nf z_Fu$0fL9Zfp|+QVoAI?`^HS>$%}XIN&#jp;Bt`8SDp%=T8JgI6loR8GzIXOO;K{?^ zF$s$7?B3C{2Pyozl9?Dms_QeXXf4m66^%O*R!y&FG_FM6Dfwo4@iaXx&8?%wNxGIv zXWFu`kGN1%t9j)B5;;MsvD$>l)gV4nt@pT)xTtFii3_YbA5ZK& zb?REY7zD7m$FlZ*PXV&_otgrK`#q)0MW~C)+$FZ+LY8vH6FZ`26^Mt#wiaN1x?*&Q zIKhsx|8DyZEHbev_45(d7J)0zl0T)+*9^<0SRKv}v;pIh7ZZ{UMPPuoyQxSIknKvQ z2*k1yQ5sO4@4?dW2n2K?v(ATgA)SG1yyRG{4Wt%hrF5eDDk3pqjHTpmGk$gV@_+D0 zNqld{igg49D0uC;ViCc}(n1!o%U&ImFxWhdc;b(ixa;-Exbi&a*b7QduFi>RD*_b2 zY?qeND=e!$g*-eo;B}ZYI3o2Xn)>=Sb@+!gOu`1xg!!Ohs&Y}KZ5ZGS#g^u>%|{h` z=Sx6xw8`p)O^APFh_Ki%E7>tk-U1>!mDJ7dDt5rCBe1H(qpU8{jaG7rl2^M*a-CqB+p`FBN+u#(&6>3prCYT}8i1Cv zGCJf`c-VwMRm;QUWUBs(vmKy`){0ob$q(T5a{3_~KsYboRf;qLvd)7WcDB|o4#2pN_ZZ$_ag zyLJ>i3i%Io*G0Qpz}6YQmaPm>Qg(z=zHC6c{TfSdzl_J~g`$7Sc2T|iRh~}=YVs{?#<`nEAT89zAixsP1d1hr7V%P=G0E$#R zVx^=xp)62?=fo&dPs6YlRFtj5V|$htgrEvEa#n79CH@HWm)>V;Gsrhh2LY^X(0SGN z(^9ggJ(Zb?@Ibk=C+7+|>?uRVN~^IF9*5~z*jrEHV z!mvP7jWjAjUB>APh_)3{fNk^4saXT|>=`zWkF#eZ2n7JNK$I&~7Go^r2_AXag}c){ zXhgUJ@!N8H&@8ycWQ+l%JA@Cl$&J!ty(P;QP)Q4Q2YR_<4Yh?yPfWRtDGWwZ!7FqB zd(wf7paTpUaqP2hqTqyZF%q{%hMqsqY%z(-GNg3m$-+71KDL9a&dd_6uMP-1kHy-)B&af*Yo_%$j^U;$Rae?|ZW4Ih6&Ebupmka!G_!U}98 z%EIK}#BWyQNP<>CaA7i`_n*v%zXJ-@NCUQ_IKK&k_`_0ygx+bV2y{-290b>Oz&6nG zOkiOGE3{{`-FB`88d$mwx;z#@d6FKR@(eL74il|7_{-6i#YRSbuoM}l^7Sew5TbHC zoIoi$fe^7MCL@w;RE9b+_|-vGa>_v8B@cm%-BM&82U1Bli-X^nyjo@Npi-IV~RLtHxcwJ`t_`&D_ylprTiQN)UKb~)zr!W#@Zz`+o2 z0EsBhSc+(y4)GUVAz6odt~@k3gdXA$5y6_AvqQkI5^|5Do;}7N(rJ?~_H>KRF+vsH z&Sel-!3Y&#H_WzW&d%y=F%U9BNrdQm#J^E%13qWyos>G9dK01yc#AaI$ml(~)k{K5 zLT=DeHk}OIN)x&ewU+A=-wTE!&P&izTAcEkcF6LWCNPaWnT!~s9-LTH%tv_aPh?w% z8<7s@pq{5Yv8fo$NN&R%KLN38h_}m3j51iUBywRl5l!PYcN9hC9s)1+YbnQMC0n+n zFBpr{tCSdDff8!7e6Hl0EKl~(vnv2tSBVd6?&#*l68A9o&gM$2)f#&%g-t~IEW|RT zV*wU9G1xKfEpWED3#Kl70wk(J>cRf*JPhvbMRh=qpc)JysSN$)RA7a8ChK)tnJLE2 zSuV7T$+Ik~c6B*nDDM1<)z*giZgd(h$&7m|l~cVtUlt|CFk5K?7*V}jUE;FzgS(Jj z93PmbF;9si6~_l?*OrhA9Sxw&Z-&)cNm!-dOw=k6JCrB_$Gz%DvNK-k~^%wcXR)4N?s_WtVlg+9WYLC8z-Ru5wPL##I&r zlx)pVadXhS*`5d%>muwGITBG6e{oY4>Em-1tO+BlV7&sr1-VAh7{3E?h~1Di#Iitd z<4UEK0SS|_n#8JRQ5B>xS(H-_ofdjk>Cw?Y9F!0gLZ>>}9yn10P$C7z$`}OKSllY} zg>^t|13RESw%ixy%Fy#ns=$$<0aRp*Y1Z5g10o~`D*E}1+v8LNLXKr6@+4JEy?46q zN_DR23!OMEz$-4GY@sxSWyxMcR}LVD40fJ0+&&$4E1b~`D6KrfLx^wP`3{@z?ygP% zXAAglhsm{ z%~(ckFXkdsXsAkCmWWC(I>|;$IwsdvEo&rt<8J85jq!?qXnQ(~0vOw!XZErtw`M-l*id8E7 z;(l-b%rXSX#YwBP^^mO%NHkKC;^h7sp&C?AFVsqEBBh~*Pro9HMs58~rPevvDFlo| zOii?7CDt;bA~UvD11bU5>P;m;W^L*-0F>1nodLzKve6P?T}>p`)x_G(z-VIaK4Ai& z3Aqj>&x;FjTP#%%2PmZ*c`lkVSz@1c|)EsyyH+*`!UDL=AEFPmYIlRYvzF zTU&_{f?0}4BlnTig4;6qI6JjlYB<=2w*dd!wvw*Mf)XjLm98`bVeGZ(X0BxqQ5q}D zA&pfz9wf0EMv}sk%|Xx~N!P@(Q7$C_$n$?l3A8q)?A`5!wz#x4;Ear@_$9Wo3mvZ@%y)@dX|?wagdq?4mt&x`3%Msw zq-z>cl`9B?mIz9L6s@^-2q6$}+^PvhRp&%m9>6~|)3tMp$ILp30#~$_$cTA|5K5aS z`%#uH`r+okIH{8%yI|GjEWDJizp1*Es7%OTdSem-bhtGM84z%_A(rZPe9PEh@XPnRLQPtPqu>&m}4?IEYZ}fDvV~OG>WJ zl)R;K&S@CnK!}|>Lvw1JpgJ^19wWk@(S;R*kEa#^XD?v1l5T&ZIsJe{6B6^JSUG4S|2U{XB1K%6!bk0v$6jID4F;#fZ)?5l<@C^&Bes#q9(CVCWaV!*qXFnKuYJ} zbVa%c4p%~Oa3rI0>{oE2D8^A{zvAr>8n-ngbM5_gA@EwDG$v~~QdpG*7N@kO#d0)z z5M>n^Pt|BjnRk+FUT926mX*5X9L*&w;}%@IEvUG8o`R2ve-|HcnJ1M5sAAi_VFapG z+n#VnG1jS6yj=xd#uPQ1@PK$2loL&gX_ssZIk)2LPOcnaMr&)Dtq#E~aZ!;e`m7_H z;yOB2n7K;6D+>{l4*h}l<+69VSXFt3UXc~$M0?5-AS~NT5~jHcS>=60|w?k!;{`$%c@%eUh0X&fha?^20l#tfD@}X#9_&4|j&h9R@wC4Z>V9cI$ z1&D}o@?K~4S7&(;01qH`N$OHKpglR>ab#LfSf}fFaS#D*Jf92&N*Qxe1;duOs^U$N zIQNcnr&Y=x;iY6a*t=h7^`K?qEdNRpGJB|QQ#M~1ClI5=E@ICf6ac_kv$_%tm*xRyZ7Ij%lGHKN^d z(&8gTHf01$h#f+e7WahSeKj74qL!45Nyty_#twe|5i{cuZh2ILmW&bW*J?FovM62B zDaCGg>{J&gwkvAnm<+6Fj3HT?!nV))66{hffU%?`3Sp$Gs=(6h^PQI1S z;4J^hwZuNkQP2Pq2escE*OVv&UPUj;#$2k0wGA*PVq0a^+W0n9^q>YWhs-tkl$`j-YWEEoUtmbF2yO zz?w)hre}>NFTHgMPZ41 z;n}#kmRQNy)7lE&48kaNN5xJ>Fs<^F3*IM2m(HPF%T$c9i|k60EOu@p=91j%}hNEgqXYZ&ZcMx;V z%S=e(0VvXJ^kInB6yfuDB62#*2LAJFzOW)*)uD)wotQ zP=KsmnG_&vp9KLTXF=Pxxc$IUQJkptWK@I+ssJiWuM~`{I;TMdU4z>LbF(-aJC8tX ziO6Er;fuRrs>R-TN-|Yu55Nu{6VWbjB;hDkOzdQ z+G!5#Al+T;$!D>6Dv!L;#F?ks*4n?}%JU$09yN%$l?qi!ck7ZQAVwjuQCWv*e^us3_A*>1EzzNh*hnhQsB*(}bMhp{an_!nvIMN*m zk!+TpbBGUmguOsSS;gqF-yUope_dV_*={9(DCH<~R-<0VLLG%nuXdtVWmKqhmH7>@ zV0XepTnd|U8QE~Y9-QZs#L8C-TST5x@$&3InYFHT>rPzs0^P`J0PubM?Ea_c_H?X1qhD(HMvDGn}NlHGvCTI~@P zF)ciz%|&^t@&sCnn^*1K=MVLf3$$=kw0ve%+#`}&3a;NBuHV2m;cd@!b>prfY-XgP z0LMA>508w-rSd#s4L=)rXD46FYzmwPT$JFV5>R+$nwtsV!w#h(?^FzD|Z3w4K-C6<+#^enGfrlr)UY32CD&Dc;;#!Z;f2} z9VL?&cAa(LBqb=Ng8D*s1)i1NVA$-4X#9FF01w(#6OIpl&e9m?SyrH3Y3yUMs*4$wUo{phMdQ~pI0DA)qqVA3Dpi+N(yD4z#gmg<-HKNE z5Oue3(aE~D&BdbFHdjHN9So{S_duLV2#GI_O#nN6V9F^cbEqSpkU*Mv)ROln>87ibs<5bp=s;ekcwN5pcCs$&j3e>MGSAqJqkagX-3RHE8 zGRj1vObjyoRrqD&I`9kTV0jXWA~;QMg3}aHQl~@IJKR=L7qN$ls5WR*G&Y%;Q#Avj^sJTPT1Yc6&FW8IXT>V8;Dx(V2b`}JLxb0Dx7j<}Y zR1i-Y5lMtbL?YBDIJG-*mc1hZmJqruUm#d*NlA4T+|k2NlBA!6*6DOE54D@->4{mK zJtdDlXBdDw>Z%IFX;m&yWdhZXZP8dMM3T`OIK>5R@#_$ljX>_!4iNxEBe!q_q%ABk zHBdZjkzb@IffS_bgjYzF32745U>GrkxZq97lu{=Zkz8Ineuh>)Exe?mn!Aoww@OF2 zRAp3fZ376>L$7dIr7Rvk@D%C>?&Q`0KjxsTnGh&3(ID5+_2 zJyzJI(40%dBme^O^pWcxIdUBnA=Kg!s$2OneDT&S86kl{hHz#DHqSdK?-=7_skk^k z!`UU`oL!Zlim*xdk^PG8xX-teFtRstyrKy=)+Bf?nI1@Yh8jn=oxx?N!Xa+uJcp8& zaCNnb(@UjIn$h15wnkHqqX`$*II?3|Y6YH_6`>j-V}VjCB&d3dhQ(G}*Xe&V2S#wr zqP*^0ij(5{Cn`JgCMft4+!sSZmV{Q<-`n3m&*jw8B9gEnxlP%aR3!sdXKCTm3qUNN zGN}^^J5O2!;q^?`l~rYmnp#`1zdm0-G#V#J;j zg!*e_n;j$j*IVKszwL>PCxc%^VL?r&(HC}l3!fmv12N@CPX8wtR{ATVC= z#eBXC3Yy~}bhD3gAX$kU1+mu*;n3XYuLLiB&5bvZBvS~!E+7WNb?+Ha!BMYDVyzHh zDc2HHswMdvfNVpAbl?ncwd)|6Q1M1_5n6>K@Wfq7?RDIiy{CsjUDmRYst#(nemo>bAxB~nD4mGXEB^t}$u_F45XO4JZ3fkc#PfP#k*BGJcWL?> zm?OA|*z8%Lu@F~myF)XW>%xl4EQt%1bU#_)peQX3EjU7~RL1Y|bv)5`WR5*+K-^Ix z?o#y7GUSEHE^oNfK9KHwXLYUzO_VW-Zlb6N503VxOHEwLg}@Vtht*3+hjnR@zjQpJ z>wqW(PC;d)*xOjeAw+74JCu3L8?hBzBuMI2am*5eXUdGypeRw?cMpoe5mG6RmluCBtl)>%z|JsYNv*?l91(?tu!?t3*WM z!z&praqOKq1VYq~rx|#QAHqOj=Wy#pwiP>OS}2DjQ*5IUOA<$tx|NV7Y`Ft7R)GlAx)6`R zhl7QhUecc80Vg`;A@w;PHDP0@^2H?SQBw;(T499J3O_BUJk);|*%@`fwn`H1$_j9J zZz~+mpj~C5t<{;;Ot!T^0i+<$(5d7I{*bVB3^_io=)m%*y`v$_nQehoHq)uBMzG{L~vTM8Z)a>C)a}p zzd+dz%HmYLX#t`0<$Ea0vNYaNx**A+a99EmqwU)D)!c&(5#Xu_s7&X1g9+6UP!(ZP zDP7U05LDutG1)R27p4>utOoVU>vB+jd*x8#SS@M;zh7;~-#3 zvlyP_*p2j&$sn{4-CYu1Ef9&3D%W)imY~CkqmW3?o}lkd9z8)z(vXjR6^?2q&CA-w zUzt(PjMJ3`r7u}3_Avi+wfBgPH{$9|=~MR3)AWPOs|rGp56F~qm=U$Yjhql!gps}~ zU5*N=p*Z><3Jnf&a}BaXTH)Ps%h5GqWQBrqPT`qntq3J(n?lOZ0mjB@q8~c$akQ$- zNGQSwuCri<}^!CAA#K`SIj^-k{_Nwk4fBni0kpeIN=JGGgHi6SGR>th~UYlr5%DlV0bf zJWL{@SmY3v&5xXZ5oU_+z~fXpH=IUgev5VpHYuM{B$V1r z6W>yrGG$4TE5n(zlA|7m6z&*O5=oP`_?HPJO(j2cneNlnqy-X44@5Y`+~UALUZeqC zrGQnSPB*VSt5?jCiV#8Qi9?e)Go@q?N?!rMZ3G0oz%4RuqSiZzAiSE*1X*Ak0IPEdB1l|qD+rxKj1p#-NKC78C7 zSTqF@t4U0OmNqOU6FGxkW@01J5+xDF6pN1caMU;+SLx2sr|Y2W<0bV~{kEcdD?%9t zTTYNgnMjRf2ZL#~lUOgTdGth<2X9qp%I*@8rIS$n zEn5Mu^cqXR4I$Tn$N67c!xGyk^+D3)@nWYjO++|X77lEZ)ADHJDsM2_fN-qm(tSK6 za}Y*{`w9?3$BTRHPKZerH*gFM>^;`TEgVB5c=QhS+e5g^S>3pbU1FjGc*-4uVxO!! z!pj{4%=1X{6QFg1CzK9>ER$_qj6wEGEEia(^;v1+M0rvMm`zBpI$=b0Fj6rxuH-~q z1Z2u64o9YA4i9F6KcLH?WgWM!Z;cYLON_`fB?@ zS$Mb%W0Vn$NxJ*h9VHcYq2q3n$or8zyrf)+Rtnz3rtx(cBn0lLlhjHtl^a{70v%mY zayIJ~p>-=StG6yC?WL}G3YTSEt`@Nm4^g2lxmM6*L|2PG#vpjd5Qz^Q)|+4OEO1tx z_2iZ5v8X)31&N*h;yi!rK|J?5Yn;ojO z_9`(9;SbIsQDp)gw$X5mK;m^|T&#-9J2|O!I$>f6ITI4d6m^_^OEKq?5~W#`y(`Zb zeQ*T|&;i2`0(LIaBvG=_g6URb@*q?tqg!`xN(86tSc_x?x1UWfYkcNTvh}NNYOhgkh;#)(R>r zvvG1UP7S0G1EYi z7KC404r4JV=B;!;Ukq`CLiKEp#UqW42#*C<8OEU^f(SsTp z`ddA+#T=BxfW}$@{cz!~E3&r{abg-*#O7~_fH>&Hh1=c$^0R;nT(t83XOFA%s&Z=% zY-?A(WbIZI$UX4PuT86}AUQ}b>$gTm#&LOE!6fK>C5-GUkWEe|mLY#+qmBeqo#oL% z4X^9zU5pS#1+XGeLyr!71OPR z6L_R2SDPkyza@;Kg6+0PHupcNZrDf`DxrbR=jE87jMEvn` zd2KzfNG(HR2JorF5IpoqmXy5`DiQlpF+pAsa%!ZCu1_JU7+z}O3BmmF-8wjhLC-Qu zFj_Y>XD+Uo#(kPy-MFiPH#YQ+o`H+f#E-}fwbck0$4j8=TvNQAOUYJ!P!(;W`clm6HDn%Q{3w#6h+T0O`5&Riiy*<|MsplPoftOhqqGC zm&Pc$?w#Y+ApikYWpBuasOaXi+~+lcSH{)M(%q>9C_`uLRZQcILAANSrQFeLAo2vb z;xuKxk#3`9~f zq6&dk`c`cbTIKRHlwEY9-EZ#1awRG4z8R$ya8$0osl(u-3wSh*=&fM<%F!c`z(G>S zR~5~u3%|fOXfDmvLptrl5tI>Lz6W~U-E3LzC=gtPn?M){*SlI&?gPbKYK_D?=b~fC z$F9uMVUM7J&=ks5thE4^Xo}eMA>uhoDzY;Zi9|*(Jyzy2;=xb`q1wZKCkoIQm57QU zJiRN`DhoM5TS}#^OfR1?+*nvPYLx5XZp(BO5O6a&n-|wQbbukkyFdeFNfL_TrZ?}f z=2+vpqf!B*tg$+-8L>ZH<4XVI!9#*pV?&NSx59P8WV~d)tA-IHuT1mFsw0FMSC?#b zM71*CQnDi0Mc4!p>*BC5O4&M5u1I}$V4+*PUM+R~1<5>bRCe#;C8}wUceFK1YAMXc z2x)ixK1uq0xGE%ai8IO_JaF))Q69D=ubP0BQeP-Pe`t7cCXdv@$pA`MQ#OTkP5RP} zEcrFK=Mr}o;fPTgOwG+%j@7}!0kMvDU*Rxy5iYHPRY0ivhe!J7%pbAmk=)ed&zPNT znIwhB)2!6rWN}~vE<|t`bS)4$W0b)) zt*Fx4iB-Cr+PJ5BO1j2>0@Z6tC$9CN{S)$_GUpAJxG@nZn@TLydy{M55mXaGi#y&m z2~^;>ssI$J`qv(5#XXx8hSdgia7?Y)Vg^wa70j0?Se7jcm0zIByQSe-Eu)HTReR{W zwPr)+o}c8;!_AsBT8fl7^(*_VhRZeb2d%d{q{yp0P+)CJI%VH}CtN+ouQtpa}>XmfDx= zNW^Zkpi5gEKD)&3uNw=xFYs5}xHS(QHv`8I}z_o#C5% z#w$2@&*}3Q$G|gc(bD}wP{~zHsm1?tgA+5&!b# z?!)8V$6b0^WRNpR$rei?KS@scpmJ5Sx!@3_^(e+Yeu0f2?6vNYpQ6fV*l{iqikjm{u(6Pmo2lTI;e8dx3L_OZ3OM~yPTvqJnU!_ zHB3Yk<(ow8ZHGLBkX?(Kf=N#a%btUO1SKO8tu|7(MI|E_i(~{HZ??j-$`D=^RR%&OozB_YAJwHz<%z zwx<+2hy!wl?h(`SR*Z}FCgouiH7r_44pQm%E8&DE!8!Q_D`xfWW*=bo-8|U3@{ikh z_@k4Tr(jkHAe+`fW&@$SekBoSI(@n9hS1lA-J12nZrO;O7rgoi{sQ?i^iuiJdHk)h zw;r9h;WtIF>NOPg!1Rji6;@ZyPzL95U=p1xQ6V$d%o|1>OJtf1@{2zTjbq5w+~=F~@zFtI7aTA=$L1tji(?&Y>_;#-LBT83q((mAUdn9}l5qPl8Kl=hk5 zDOk0%BLUMbd=+6fprHe9{(N~dI|mv(64smwX6@L#N$9g;KHTI|P65f}7!f!kz*6oU zVG%#-N`pJWvU?VJVl{Y)X8quonokA_RWd`5p0lQ_)AegEBSMjjm4xJ=0zpse`U~s~ zT2E^z7e@fr#eaGT$eo&ARs1HXw%KGJh3(bNI=wm zM8cwZuC`k}+BO0e;F?ObMP|d|vM1qF-!U0S@?OQ+!z5gV(rFONnI-bzHTP-L1@{{e65WY4swTcvN?{x&{6iwVvs1h$b zDRc(qfJZ`b$%+`5d<$MsB}=s4puI}AP0l@fr^y#^rz%c+c*j@Ut21wF)lm00Lql>d zM~MZqQ` zKN^YRCC!es+S54^IiI!P9TG-;uMSF5?|rVWn6Ki>ZB7bAyZ0V@V1r|4Q3C$5E9 z`xoC)dswo#+o}8V+H*|>j8U9ehaH)(mz`Z?e4#nq_m}6>yVp}n`k+9EOqP5=xukH6 zvn^Z!2pIP5Kk&ma!)mb-^Ij?lkUJ*@?e*(U>D^ITZK^H%?O( zS)V%h^hDFedvViEi%%k93BVet#x|*p#duOEqsKykVeOU4qn49Wc_o61ZC9?)6xA7# zMGGP`%Vx>Tn-b0NYB0fJm1X)#RL`d|7zEK~+0S7ZN!wZLg?ksIdUYb8iAbH$#o zc)ZRudB7;rl-;uiZheFjCiHp21Vo9Gz=aot4S#=c|GT2lwuBk;h6oa>y1xu8;_4J> z*oiRj4jQ2bmYTIs;bXM9P6$I)SJrVmX>1s$^^j4I&%PM0X#e&5$Rk3~f|}bgkUYl0 z21-PL^YP?myZqlL=}Wt-+mC%-syC^#!X{`5Ab-Hb?|r=a_4eiG`%jObUJUsAKz{dH zv{R^}$n83Mq7?1J{`dwS@%QT9a=vwSWXKG}^hdSYUvEFX-+Kg{#>+&H+oiyi(JrifA#LfO0obu!njqU+Zywo_Uq4)xj9aNO8 zXKFR*pswR?zIp|_A0QdKg_xLN@rg0XEHB-PHo)_u{q>6ZyOFa?st+(ZCe4cUIK7|=8vKWqvC5*>X7ScIf}QAYxOI1^ za_7nk~*b_j_pQ(v7sLKhCN^W1`)^+AUDv=r)Nm-LRH`JccwR+pwzq*vEzwR zEZO>M#-}63P!$GoVy>k%y&51ct|F>KP^PB&>6{F)5=_XZ(?-(0lI1{QOqL(S+9q<$ z<1_fVy}Ve3@c(zH3)akQ;uXn%a!wdthPuGw zYibQU>^79<;Sl+AQIMAwV8F>TL&ui6J53%VGW9WmI{GaV)z+oel%kdJG3C&9uldUt zBl?9PGMa!bWE#90Zi#E&Ovs!uVc^YsP*=#LQ6+dDiw-9M7lG!UOkmn3gS`9?Ukt93 zvf>nEE9c_rh`&eolkzH&?KZt-CCyjVm0*s!84)N5fzX!d@4MaS$NS%yATltMt4&)n zVaDl-!OIKsO-7FxG;*FHJO(--bNR+otbi*2;OF+sh^`y^9q}92u|nQKu4Dzo@7&~? zhK`NNdhC1ZLb!#4WKIsB6-3SCP*W>dP72ox5W*{D62GnOUH$eeRv&Ys2?Nc>9Ksbn zz%2}i5@(21tm;2-?&y%(s?JS49>{)+5JOkQJU(b85c_Ds5E-K z`}Owz;ma?3kH39>`33*|_8VZW-+dbV6NQWT^}GLg{Efk9wim*b`1f~%JxmXO|2did zrJOGp(hu;$p9gpE5mpIbB9s2_0X#UxSAX|i=jQ+R{~+B^{`cp>|Kk7t@i#zjLaFQ| zMIyErD5Z#hV5mYUl}Sn`iMuAOqF|gRx_|E+U2FOY39v@4c5)82_k6KJxCsWV!AJ?3 z$?%#i*}5V--FA#)uw4h|N4UabI}5MUN&^49877o!58M}~T_(B^eH!HC&>+hB!gr6? z=u@T{x=?=*isS#jXc`0My2bH}6-h>P9Uwzw38^_0(GfhU=|Yx~p9&KGOzOraaw%Q>JJG&V*%1$;|c)oloR9rxPB^P#Q9E*6#Qi7$kCuWOZ@W+;w}+@}IZ&RuV%)PoXTyD# zmE<(`mdaBzir(PcB9N|8Brgra`F5hxYHOPi9><{fBa!d^S^ zOeVOvpo{L1Gm1-LT+D#cN5{C(q_sA|9+=;a85x&aVvH%sK0Myvy?q?q z+b_ZG9D=ym`qhPJ61qSwMvGmQ=u2g@5G+lyGGVrz2~u}}>*f(dN)py`x1+a!u+_&9 z@$p;5oTMXbxja5TJA;C)rW2hBJkLcjb$hK9o}J4srz@WcRPCo6IqAOH9(*EQr^E6Y zGegFmN__@?#!V0kP{4V2f)Y% zLC=;BCi0IPmDI8=OyTN{7NJvGtE)xwxq|ZJqq?Vbx=qJ}X>83QoRPW*d;}oEdnyoGz_uAe$4)0yt31 zZyir1X-3eu-Ykx1sBGK0VcB-`cetf3l6TBCnQkdA`}YRDz>;(y{`TqqF|P^<>Kd&i zB(d$ztn;x6t@N@JZRayWeq@1`(JN8CNf7Ftk%~<3&f!hP!7Q6e*BYEjSUI5?@Z{{W z?l1i&)XXKrrjAwy8G&+EJ+vgvK=q21UeO@O<0+YjGVyt{ulY<{RwBEh2%(p_oGhIP zShQ03r4d6o8_EnF8I=^L|I^>s@pL#syG6JgkP6gy4|aYuM+nfGAd|s}L@1*>jLQCI zdQ3?z@FAvvkuif5MYKsTs@8*DToyNF@yq~pDYSeu9J*GCIZV{;ofsy1-N~nFn`DZV zg%ohc@f!=2eA}OyrBp!X7?K9{R)tDXj7H{wk7A#olN1M3(iZn=NRaI4QcK|yMU!Bh zm|N~uH29me6~e1X8uar#={R|WLq`WsdYlL2;3qN?1QwrD9W~yd z^94!c<)(uY7$JA5VhMA0tbI@pHFW^IvADrE*B6^`rptlKk?u^<6YV@&%Ni89*h9)L z-)eK@dFrR&GlGS1;k~MbP?NNxZ2Z{Oy6O<+Z4xCr3$)I{dXk;(hK{7R=a?75i z*WzIA9rBY{ognLM4=*Z`sdZaW^rd@+4>LOi8Va>p>Y;^ZBA6=h(lM(nB$bWvo2LJ3 z!(#s(25cMvvxEOlmVAm8$C8^GJv>Yc_X$?3N-TVz=~IV22`3O~#e6z7#=z1H4?U1{ zZLmVt)oDuF917p-P8=fsEu`i>hb3G7d_Fsa%$#jD0RCfu+8`Lh1FkM{bgx#3UTEPg zRH0@vf<*x^S<#uK35on;2gv(MmBX^9wth|8-N_fPf$z-^U7%JmJ>d{ZxM%MiC0ZdU ze4Z{AG&KoJTU@WKYB@s})W0scP<&C3jtGG#R!(8dm!L{#qjKmW3lS_l zg1K;o0Qu>1v%3Dqk%MG1)HFji7ame}4&eRb6uD(Qb?vZIfa}<>!{M{%4oa17q9y%C zMm_YHU5JfCj?AEOqkTeEW>MamQqNQ^c$hJN)Oa5a?BQ%Vf_j!@%m7Vjww6WV&$HPE zHRXfK#Lh6{6v! z2x6jD4B+vMD8)^m6TNMoccNpCg$NeMJQOI?cnzR2+C9kTF1pO7LrxV!l=)|cDx8Vb z@T&K{LFPW*T`gDDvsb7&3Z=05fC>(0aeP!Q(nDUCk85MW%8isJ74+@x)4R*bBm z!TDcI4=L{tUlNKo{Qg0&#_9xO;1{O@6%y!7(T(>=a~C4dtGGJYdAXw7`JiA2fRQyt zKOscsRJTZxc}xzD%v$rbA~?Qr3hb1G@$EHjHAu4pBo~8!)bTH;-o3>m_bjUh4^h|I8)q5YI zV)H~Wv3m~COGf43A%Fo)C)LR%x@4XR5(^$CswA6DB2J4DU7SRX=y>Kl{VF==`VKyI z_kdM%fA{JB?(vUT_n*Fez5_Bw0%KDQsXc(LxqJm@tp32uBJ0f80?Dn^!eapjhoycr zDcS~nMbH^E`0C}{*3rRDX}H}`VO$ntHs6FQ;**2@(NrTkPIKP(5*`$3QhR-kG}*p7 z81|?gLk2>Q_LQ&c3{_K~oU;C!IV${~xX<+2hnbq@{p3xaf0*fX{p`a4^WeFq!IWp; z8*`q29!`4pfkx=i@yuRf%KB^Oi29IwI|$BTZ8_EZCiVb8k6n!rsX`lp*Yr60r8#(# z#H8lCa|ESKeo6*W%q~ECp$_F6@Q~mX7Si8UW*GLu-`Xi;A!=XGV57H9>mK^1+w?@L z=UNW{W|2M^pB{0G5+_Ya4bmpPSW22?EUBxQmBANd*M2l}i;EG5V2LW$pYlwE&rrJd zuX~;5y;Eu4t2nZg!B{(qscf2PVzb^L$nBW=&}g-af2XN*qVbip5mucjYJ&T9GR#^q zFLUCy;`9A@i&|phXzdXcT_}8 zlnKp#pElU+Kd%mA4oEO5gNHLmK^2Am0KuNfDxz%p!RTDq!vXLAY`vyS7;EZEghdcU zKiFS`Mw0E+Sj>VXP!8D= zc@hB9Jhg={k{tr7qBLL3`5oN8n)ZXH@>0gN`*3^n@QBDpTFeELKsRPM*avbbLWXvD zOu=El68^f{!H4|huv76fYDwD9xSnn_4(UcY z`_<$N6bN3-Hb~n;z&-4F!PUDnEBpW4&1IpZ(i%Rp^DeP^;KxIgg9$ z=D>6UiJ@zn)f-w;0pd7FzSPD|6bPakVLGlRdt^L!{$t#O;c)hiCSf6d!vf^+2NDTH z&#(`5ok+=zi-h2PIs5xr_A?!4ntbEVU`dyAc@$mhE>x5o76}TjGSml^^3_94I}*Qj zdTCWg?ZD)Ay)3v?q!QuJS%KN~>7egfZHjCd8KZ?-1a9vbPVeqDzfCzJx9DGLsJfDj z@Wc##y+~4kGIFFhK~hMBWB(+*N#OC+EKZi!Wb+FI03jEo(3D&1r-s>STU5x{--G{U zYQ(Z>mat;_oV*-{llP3y*&W-U{{3P1@VA?LWV(F+{r5~4=C$3;$B&;LZys;=bWAU@ zg_!_P?vMtAP~aG>~QWeS{*QYsEZwQwh?DwW(JmpJf$F>j?i zp``9h5F_G)e*SWQE0A_xm02*Hkcnqh zgr|CjingR8s(Udd87YE5*a||SHdv7Z)oFY&^%UFtE)C%Uu{x`phA&t4N+PPP;e&$rfT&;Cx5`*$+iJ6P*W5C1 zQ$-(K-Ys*a8d7~yc{&r2%Uo3wwRLz1RVloNYrTr^Q@tEFrK>5E-<_T+h}deqJvqHN zmu!k={T*WYr$0*7k|anR9f4oKDuz*<2bc`$p4?>q<1iYSLXPv%&@C|t*x3?|Wsskd zC=5|6TS)7Lpsp3?P&s_wtODZj3jMQGwgA~P|7)# zy?$!8?s=5^A+bt;HI)_=UkA3R=GLfyz$QZ8UtFDE231)dF|><@Bc@8rT>+jVmUvh~ zY)oQiQrfOggys2tM`oag`$kt2I(5x>V`jjO4hGE#j%e}|K<%Z}dN_C{6aoA~J55t; z8E=O?QDp7vI_o1+7LPUm~&m^Lc>UZiv>x3Bt*KObr<%dmGCbEq0iEcY{Tq#wB1|e`LxXNQi%*p`RWk~R-aW6lplFZOC!3Ke zHeO4Gw-GTRZ8}1lR3;trp&p@7OyZ(;-RCHd%u8i>X38kBZhIrCSUmOBh8nR=d{)>H zf+kce#3I3AFH57a?vvrj-TUR&Cl1_l$j&rw&F9vo59W*|H$Y0)B*D=nhqOp)yH#f#*5umtGUgmqA3hFoDFom8uY2 zNIy7Ixf4WbsD~eG7$ymC3_E+~F>jQ+J(oL;&QTffgZV4ZniRsoRP^e4I?K{h9&0y~ zkL$)Me|K@Lv4P}3*M{wbuKuThh{@~rzU^1MEZ)@(dHTxEu8&Ws>OzVC(cG1rA?HGe2(s*ox#wubap;Fy?8|i2}l0s z@ok9v3EJx^UY@udPo>Fm^w~VbRr6dPBDzjU7`#815#OQ#_ot>zo}cn;9^wj3UY^cW zTs4U6n=X!3mWmAilN=(Eo#~jZzxq2{96sm%Cw1jbV+lSs<~z%eQ@4> z{<6Ef|L@)H$D3b1+@fKyAk_So`swZc{g=;=Hu4TQHIMgSZvQ;k!9O+Md%<7yL;O7d zPSIj4ksV~=Dead4j|6=T{O>soRdJi)HO-5AxkH8AueZOx{q-|2Cx316-$4KT21*kc z%V0YE=7@HoxMm0Y;*fWq*o?{&;4soY&n?+>i_|(i1TFV^YA}id3+^6IN{vYtN?`9a zWjTpvLNQBO0-mr#LLjP-E-zSw#O0y<+Yy^p)E`^{1fg`B#nknlAbz6Bn*I!YFmK;B z?rrQgezvb$H8ET+t6al|r*TXQRCD%3+fZIk~DCtV z#S)bCK;S`-0s-x0gxW4B5L`iIbf=L^uC*7z9&O*8EH9-ss%W^#Hi0hZh(gpp5=0?+ z(D4``u%k!;$7l`$I{@*#U;>!^70ZmW`J0q2a>(0>?bNW!}K1yk% zETYC*K~6et(V$@L*y{0vuL75;Jt*Uch4}giC*vEq|GmW;YM)x|wibN~_%x9ev6x^C z$&&Rj!siQrV3E#FHj7PQB2=bWr5v79^EU9b+`%Q-GF%iy-Oz2tGl++w%Zv50J05Zm zL(_VamYZ70H^Y13zpz=Ik;-Ou=S1=rGu>K39lx>Npw$)>uy&j|z;PHuXPRQ5P{GO% zGTBrs-9+^6Hg5p-m-&^t<9tw86-B(8s!is4{P z@XX_%cGk2bH#&pQ!Kop%Lx}VMZG#zD@{c@PDhJQ!67mioS3y*pAs=M{t5vXC#XGby z^{#j}Jv_6ln^9;!<#`d7hWn@aGnbp$x%qUFe6Je9@WAn_Mua6hJ-NJu!yWl+W>mUhg;@(ecD0Wbx6_T3<8T>whVox;9q)!4;KC~qLt?} zgozXZ$~h#O&68z{F&RPKLMSY7wC<3s{hz>he!BrY>I;hC$|T-brEmtAQ_5kX=PBhd z2~c;2luNQtb4{Trp(POKQPz14&e3u?PYsgJn4VJg@nK(>D5S*;BEq$Jfmv9uFA+w$ zL@^b*rsK&6(<^?@Fg;)`Joun&=vJ&1-CoVDOC$V#j46GuN&FZ`TW;|Lt&b!$? zfo}xv5WAP9{4Yi2hi|?V-}F&wwt%7ZBDEiU1UW186eTP`w<-?V3PH74oyAQV6pxic$gzO;5yM8Jjf>C zs?69D_F~~z8Xd1Kw1i>@kPn4Dx(q3eWmyLZz&h=ih?Tyen`-=XwhMz5S|^Q__mD+Y z66P}?B~6q5if33cxFsv%sUiZ5mOJFT=k+*+Di=CA2fYfYj){!}5^^Mi;(DP~6(8%C z?m?n-fmSJIcv^9@@*vA*yLXpyLJt?3YGxV9D)%b$5r6rGFunS4y9rz>n4 z9SVsOrcs}uJHUgdn>1FS(ikPa1^|H`FcTnY~ia0TJ$ zS^G*?)hZ)KDVjeLTbYC11zsGo4CioaoTVZqeRZXIPS9Hd`kM&gL;`ntoh$bpyAhiF zZgqOHWGoBpi;5u#+#Qou5e7m^=Hc!I%8dtTVieEDXbkY_AduQqKIu5cFZv_RtFq+1?|f?-6TdIvKZMU1j$lD z#*&EPfkLOt1u9%ZoU?zDE{roAT0tG+rtXuPs@YKv{4_n^B{NONV8d-sUY#T2`yfr3 z?a0F&D~3VPmPXL$D{)2fz71HAMc0^i$qb)4LgkCnGBlX+)O&L|JU_@EdWbdbN}&2@ zqj@p_eLb?i1SVM$KmrYCRnQdw(PA`CYu&7pP3W7VTVOi!@$CE@4vp3F75y5Fw4R(p zfnL7ybT9D_?*4Ie{}Gh>{`UU%CQp>d&1u4sHD6nu~b`wxle+t{5U!E>tp268BGS$&ZDE+XaU0jnm;JQucb9{x= zuL!88B`jGf@J`%~@v`5kJ1DoBU9Ra{+~2!-`OC|yz%LGNUJibFId}`V z-OIu4%K@5)8Gobt5!^DG%r3j%SZ1raatgu$Z!^JrQ-e+?>qO>~D{`zKE)1O7_66x<_ldBQUE7*t5m;ZiI^aq%vrB z`eyd?7MYQ_^`MQwRVEmzcuB{YK;W+9loKjs-8+@9!R*W{^qWR{E}{lmcINczSouQO zC1?ilbq0rjc0FZ`@b&U#`NdRt;F$4E7acDs14|dbt?apC^TXV|+5+Go3!zOAQ#{&H zPdTVw_f4ZP%0DGLhGXjifo_)v+XY(bdKnaMST`D=4q0Gx% zBGV)NKae@N0Gjy183j9NfIqKU&buD+T48coOYh>{@8tuDNR$hcs1 zFR}Hz6|^6IbBbEX-P@ZFANFqEy}M_z?7!~)_UY~&beb3P%ZnE}@?sBPLjjx|M(2nY zS}aFp1$M{uEFl*g9-E6RCda{7D#-xRqrJK2!JVBgp3KYOXfsi;gB~)KDNjS1$&{yo z(PWBtY;fg(N@x>g4RH)t_y7 zcfRaP;2CCQ0Z4sC?vKI2p)egw^i5L7h3{yzW0LC$3UkIpXh|B8v**A zAtwhe*tuGk_1-JMob79bImEnQ= z7cU3!v=Jo$y(qv%aODM!2IPn!U&KGS`^#!`0inWS=L;EG#gAqdo7&JF(6y2nQPyaF)TY$Fg!JG}nimv^3uW~aQ^)PZIe>0;j;tkF z;+qr(D^+Xq0e!yr_n$uBeuUrj?Wa$Fzq@_8+r9tzVt~TD{4!L)_a9#l_K*@KochHJ zEdAd5&z~N4>4jwYg&V%(D~S0X?3&*RwgWFGY*!k;Pt9Um>gQ*n*Y8)%LX1VG1(jXG z&F;789vLsOy+pgdsA>)SP<@->6-m$sxJ2wu*bpjg&_3z19w2abZ zP`6JV4ef|Ai~}h;pTO^^%x<$-9RCPYs@Fs%zaTe;Z~9z(h9IFJEz<}R^`7A0KzP<3x5%jGpzE|Ydm1@rPTzlAcvS(Y^A>~I8j zh%dBY@u4Pbqj3`su>&il7Ynb@Fd0KgXnWl5ePPD}f_T7x^RF-dB!9p7&ju(S&i3>E zE`B;49=>OmoX@IO#ROY=>+6HM(Po*~k$(XET46; zJ(i{c*GQb(h`=7~4-O`FC$w-$9DIZ8+&FgYn4NNAvUj#7dR)Agb(sHQioR@as>lZ@bU3VkaV^ScnnxCw#0wB$jsu%(kgdrI0w|OCu*{V94C~`BazKxMd9Rg2%7Qf z)jEv^k^-|aCCtP;|?VR=efc+-gOvO7IFL&FEu zqeku|OorRFM711D2r=7K{b;PHRD|vrs5cquQgwk!1y>8^O5T z;HW&m;J->jUQr(wegQR#%iiYsIdn);GJtzz;r?*l&vj>%j}em7=;2~Mv-eChg`;Ua z$svmfHlZ#n&wUt%c0iY0%ItnM__*Jr-0X+oqep1F9b$_S zJx_Kh+C-&2T1`d$WLiT4?8t6owi`^7C55=GHIO#MlT~%A4C%K;ZHT>jp$##?DM;!V z!5c1GS5v6CvK89DzCa^fu|1KShDsun8f|n1P~D1>G0ANVBw8Jv^<(LTI(zS9kI8VdO#0j7~B+T>I<3gy>A!`2ak zzk|rpcR}_J%u^Ao@r&8Z;%teDDd`NM{h)d|*B9QFXdU$ly0KwQ#EQaM(wdL#p?5}Q z{rl(Y9;ExjFPwoA!7E^9Rku2yQu)6A`^np>7EhZ}*b|su*!D=WP?Q;ThD050!F~FC z`51iCiHh+jYtAr^gp`!fx90m=og?ODo)dI3<@xL=E*mI6$r*O(mD`vN|j;k&bMxkS zHyew8t*KtQCtA;VWrBR0Fb!}Dc}{{9Ttk&lq%6-~?tB(mA5mKrv_+HGJ+gAOnWLO6 z*r?(BdZ7>WVSu*D_bcBPb&&SwZfW1m-s;r(V%gDaV$F8Tj$RAE8r&0BS~77qnakwR zxi9CQlaP-XOq@^zlE9jUYw~p1zH+v_3oj-S8JOwedDF}G;!@j3G#=0V=5+{sv#bvs z-$uL$AT{K+E|F|-rFx*&3vTKS0#QZwJrw;n*P{{x30Uk)f* zk{1$uWF+1NYQHZ|f96j>uI%-X`Px)x$O2JVnr3HGrR1EH=+p5EoD-bDB~P9{mJirs z3Jmfv13*HC+}JUJ6jwU3GjKF?Uq(tGzoXOg>A-qE;nU_jn-8T&0=yI{!6_xRegJwkaQYaJaSHcVE+~;XvjO093pLkBTxA$*9{i?b;>qw@mtLOW6e1S9y<5L=Oz9WKeJo0hN(9 zoEbB*%g%XoPNRdeQY;;Xsd)k?2ug_|WXuGSbgJCfK)0(#RMs%)DF7gs9ij=F+CSz` zQJ+D3I@MlSO*UKpu-m|^o0|1BOYH#JQJK=(4?2V&5tdB*Vg@dqVbg<2I;SqKm)trZ z)(j4OkD+==4u@yC^IVlfN(s?wfa0fY0>xsqLK2GVpQJYl-XY3iiCe8+Uk%#!8?XiB zN6FRo45_B#=SE4LZ@CxZGD}F71uy|yq^h02`inF||Ml)8bM;;f&g7TDFYs;%<}>2Q z;7LWV!*JUiB%tjD322`M2`H4Kxr?yQbzKP2OC58Se-LmrO=YJV%H~YyBd^)@Fmimt z{MBqtxa5<$w1jMs26mtD*c zLiPcFM?^}=1ac+;UXC*Q<$I1EjpLeSIh?G1yMl!=?enq zxDh1gcmKhPVq6d-P3(ku+j>YhDr4!j{H@aIA#z|^QL1QpR>cgVjFU5f>0KS|eSVZQ zEdIcQe7ya~_ekl!{rLDjKtqAf`|IuQmoG?eHJw)9MZJ}z30Ic0&V(HB1*m*ZmLRyM zGmTXYaI#Qmftzd3(ZgYnnH|ulBpn2%&7b7=?{~Y0_h_|{|J@XSxA+?$`Sx4+AsIdC zZ_i)&C*y@L2R4!S`6vFY|NsBW09;r2&<+K@%P}fDSF7cYH%R}+lAEvf@DOe)wK8ydFc7s>5RK}M|Z7f zG-PmH$XA+OUAmr}D!b}Hiq-}&EK5J9r{f~U-edNCfwJasq{@AC2idU*`n@^hz^jmP z7Ha0_P13H1#pB9Tq@o-Q8|aS>@HJ1rVTqX*lxw9Kddd8b)Q8`rt9g>aKG553asCN7 z0#(xy`dW-o*oz8x$?pf4mDSlUQ3R$Hw*VCK-(Z^9HSB}^He)TWdN$gg{DyjGqc-L@ zP}XE@wi(uWvs`!H)cKTfCPpq+Z+JKSP{lPC5mW~JZne+J4deEaRuX=^kb~jj;iw}I zN4mKCBb0?}3c2WG)Cc-fgY;PGsGbb&54H!pBSH*`4DN0jGujMy3raI2xX4$H->&wg z9XYzn0=32b#^F@pZQ`5Ba%HfPMR?V%=FId*Rws$*5cbWXr|IgkGwpJ=LD~Gau3Z8Y zUsX_>q;E}KOBRb?bhZeFcX7R9YL_#(cZo37u<+{*)C>*zIBZ84&B2bGPYDI-q-i>yi|Fliv0QP=0#6A16I zn-NwF$i_Qupyyr{h8`O%CcUs+gzj}G@$RS)c!((bQn1*kzDSL=QL_V&bb(47XcA$K z)Jr3C_6C!E2g!lRsNz{)E)4w=tyr|)uYo@cEI}X6ITT3#Ik}fg9bmjK! z(-ZY(krCp{Y=zx$8SZ=Jb~ttpj0Gm3yOU+`C@J%!w;CQ>K$|Cv8O>^o^U4JKdUOc{ z03{Y4M_$9V$h}iXTcDK~xC3B0-Om(%X=zgOW#y25jqc|LsG{N_bj_U(zMzIRL1mDf zCa4T%lywFQHLM(07nZt74If9m_`!pEak(BVw2cN>06%(c?2jI7JMqLH-J$df!a%T8 zn(v!~$@p+Qnhd8cG>C)A?RSX$i6FEUbN}TKw+Qxj+}AB)1V6e}(#EU+3LFKo)8i9? zP3ObbHy%DJ*?9Nblmq#qbUv86vJX4y5~f=o#*7J`)>;nMAsC_4tQ9DwDz1{y{u2@Z zsYLu;b62;&I^#U4D$8w@)#CyIGh((dtN`oaox+uH-zX2oim zM4n$QPEUSZAtFj>z>6b9j3}mree)ZWN=j?s)fpBc=4_lo5{3(5wnaZg>D!$6V*)(# z{^rBOZSGqQgz;Q61ag>ronbkI8SJa- zLOJxS2Nj^->eGmyKd_f*#VostQDG38vb+HhMp`&dSzoj~0XP@xJD@>3swV(v=&i{g zyE`t=tqc<}YPtup5EY?|GBS3vb%mV%uS6f$bqv8bRL4QWI5(^h>Iuj=hn_g$dY*oO z4c6Vl*+*se?(Wks50Zz6k}K%qP=(J37sHL!+BP?eYe{O%lgB$Svch0IAb9axYGY;B z>k3@@NqL1AmT|+_7nFLW&;o`EJDLaWWrRrEkwJ_xsB~UJQO8{L8-#{xCK3fIB4$Z;j*^QhnfOR@7@itCktG79+GDU9G?S zd83=Kg|o1Qv-t(ee`5x%n8VmK9!$0!(W7)=GgjDS(z~(0KpseihJgoVPCgbc16kAf zU1<199SOlJ)KW5LA=AP6*MgT4NK@mbNs>}NPl&X9P^G;ImVN_d(x*n{U9f-U9J#HN zWOSXrxzd>8D5yy@)SqJy-Dc-;;RE_*S!{c8FC4)UQzQehQqB*`M<<2?j=?yJKS!FE z1GfXRM1=eq*ec622#z9y_zwTLD+y0xK#3Q^X6VCXOI}T&h4cl+F!+jy^y-lWd+7iY z$c)2hWVbw(*93~}8}YMI9oGXQWH$+ZGsNuQz#A!Sp@>b+@HeC) z8AffyzpFBy9mSxm^u4BwSLV7=%(^{FHiDzX^X5<$v-RcGhHwW9aT)av`Lk6f$g{ce zW0pO^_ES9M+OVFi80%<9eKkSt==q``%!y=!Nh)tM%*;tH0Ol_v!a z!k|ws;D4n6^!)mL@+bUH^6M?bj>g2k7!#xMsTq6HxgA-e`V(ISZOPTLtPXB|0nSLI zEU)pScf=x>i{ljx$<^6v!)(-_^<<{JO|vn`x)Aa|N{pa{|K)RZ7cv`ZdxjJ&K~Zp3 z+zE;$l0s1Wuf9d94@YqYGyrHbS9DXyzH36MTVW4} z@)H2mkM_Qd+7|=<_C|h9l(|sj=cTeCNg?C4Z5ftqiw`EwT@i3K1o1GSJHs`DirOl4 zO~I|1tVY6D*McwL7v+#|S!IH37fDWZho2ucq9`Q6+oOUK+w;Steh(b1}YZF~mH+@ZEFD>y2b? zD!R7_;VAc-ZVb!!If-MD;nW?~9x_oa7a=pB;(iXT`7^XFvcU3##&y`VKiDRG( zZceVXe~WbXHvKKl$w<#qFj(a!p*90Wdpmv_sS6X(A%IG&s|l{92g3>W6z}y^l@JD> zbaY|-$;35`OO=p|f3wUj-04qdS=XyqG(4oGo_ZNVP=VQp8dLzT9<(5sjF-DXa1PQ{ z7zDPIk-S1Sg*ze4zwE*~jth~85DCyn5;SOJUAjalt`|0W@v&&!qhEOh%?)`k#nt>~ z`Cu1V8l0zVbdnv>vhK4rJ4!ZES?#7rqH;ALMKmclUg|q(eMF@C{6LYW88;n}H;8 ztMT+yGMvSRp;*=YJk!sO#asTKhCxFDpBtHIYn_sRgJ-r-ofKCvCYgm7B{e##{NbBt zr?bsjAf>(u6{_l;sstuVBXClVp$8*)v>t+fPc;-I+Ht1P7#<($bWNXOslRB~eQ+f0 zLf}Z`tl(YjU5o8i)eN_k^qh*$0aI&>E9YnUJ1H)i0arDSH{wgVlIU0c&~-kcM^50S2je`y# z0eL&!>daI5sCG?+IyBP zzdTB_?=K%2T)TZo#}%&u17 zyvDGE>^9eE)>7qXaaeW)%sH%EP;`a>u7jq7+(!$$<{4Khyp_PQ*x91M_c$MgnLbiY3h|^VN++HB`8ga9aKWWp%5Qqp(55$WE@2-t&q$=U%-fDR_ ztp^>N!FcShl-DQ7Kuc3@u&G)qi&&Mfo;tG=_YD>3F#y%!D87B%-FyIU``!nzfR`U% z-h2S$_}<{n{qC2$#|OPS*aM8+cLO9!%c%PT8mOvD`jP|L4#wy(z+-MDP-;qS*GrmR zyCe7EkoQHg!AS<0mYk^SxSpou3j)cYv?uv+&kX%|lH>q=9&H-0P5=)M7-EF0*4u$a zVi+pWm>hppZ0M1;BrHTqfTCrxi-$4Qdew+HohN z&(G{QX7%-1L+u#WkcdVF^PJ%w!SG;wU9FJaB#{JH<+%&+O0ZqV9GtCs*^fYFkeZOC zYVOnFM=yzX01`*xde%%rr97*$)%A!jynU(w-OxO0NycY*CAB{ z5GfPvhM_(v`FsrGWfyZLAB~aa&Ex~HGKDX_y1oXKb6+3?jO$f1IejXMAyf9BpK^dH z8Z;13?CI>}EDekYbd&uf={OfmRYn$)%*^RnxV4AyfH6e9L)e3IDW1*JQ8JdE1%ti% zb*GB%sB8sI3eq6c>6ikELK$QNESMtC-$9IfkH5Wq1TMPt3C15NoO%3hhdN9&2;Reh z|M_S9WrM(Z%tk-*@Ba%4yu)GMH8@EuJzmeT&p~7tBgz|`SclO9WEr1PE2@>rS&p`6 zrf1r3@Xiv;O5w};Mtzxj?jUQG9Q+O@fuH-YD66*T%$V>wN&-%MDpmE2=?q;5Gvb_-W_IN1IH`1 z@1WI1L44V`A*P6V@E^tWC4vQFe7A~rc@**RdFJ839%0*4O@u+*C&LM zaE;th2)g6)hN?MOHD`wDA?qWYv?43$RIka3;B1Fj?U5C(SZI^GbftDX)=u8<9?_`o zJvwi_8^BEWh7_@VDLt&u_*bE_ig#5{1v%4rdWJ57D1t`)udfW65GvE}nI;Ql=WXhd zCrQyB4&c-w;aKXXWmy(`qo>2-hXmU4;55&sQj9tMbB!(RsWVV|Md1nCU8AW)p5UI8 z9K{LB;ke-{!m_r2NXT)%Wm(J;dKAxX9+;baNwQ zdwzKwTq@Pkic6(FkKc_5+2!sH(uFqgB^uL6(n+kaQ5ixjvEmFDFO=ILs>ZTLE}#aj zNqU9_6dt!aT6x_1JbPTY`xZp31)FC$Z+P9To5_#`=Y|Kch+>Q1*BDZzNv;cxLb+H;Cqq zV5?4G55=fQdM!7H(&@n;aFF?;2ShLBt^|_>KJM<8z+|Dfi%)MS{k5iAOid3G^8}$I zw7(iB*{!txXg;{-QdxD1`9kMPUK!Axqx9;FFl(egHUVa%QJ7xK7a^UVI5=@P&Ax|v zh8GStM^`Lxf^HMiLRqVJb*`=S1gk5|&wcod1-8ve!@tU7joeZm5jXLUwr@_B2{WpU zRnB&8madeYoROOV8prXg(P5aycSfPyVYjNFfiVC7ew&(ixiSr*}Nq*B0TyNog|ATy4}##Ovl2{A6JR8fy>qtzjv zJDNRl6a}{oSG^Iu3){PJbjl$;G$d0$0GHQS8&A6JT-WI$A;gwMiyFcaWKuH8kC}>? zXcxb&a zb=N9*VN&CULfm4YI2GkM>;%&UEp}Q$;B+IKSjDjJl~G;GaB|_}!eVaW7Kt1)y;eM5 zGGvN~cpin2Xe4Q#qMlmHEUX-K=S3V!&uwP{{g9+wk#;06q`@a|Qx55?L_-Q}Q@%2! z+-ck?k(Q<9(ui*?A$)#?s_u)E#R8bBSe2l)<^%fe<~AMbDsI_o1737z?^S`tbSxoE zWbbwoT2Y<3Y$+Gt-`#$A_tK@~-rg6~VnKm@L}xIK_5EoeQ&@mN7W889AODeD5tfsC z$sC)H+s#FziiXr0fL;iB!@T!^15-YedK{i!*%Er79qT7qReG@Zr-OZ9T2ou;BqfKy zFcaOyK4aAm{9$i+}zL}fqleiIHN z0wUKPt}K3sZRCMr#7@<(u{@J=4>L5w_hjf5uD{71OCm#VwqnTM(D}?dYaox=DCC;rmDTN^oN$qfBbjSQ_tDlxHr$6ngZDCO8nq)F$ zF0By4!o&?|rjlkUo{P61Zg1}4zy8c-IQ-#WZExXQ_HcYy!3@Lm9SFVgI zJ#w=>tvc>8OFrb0RqBO9BW*$C=pLkDJIQvAdC%TZNAGR%M%*KrpBye-bZ;%>n zJ<&4ETC>PiuyjX!Gb-b<6`iyO+QIY+bO-dQsIhq758Y(W{lq7b4ph|O^0RVBJyLc~ zV_%kV-(;QQXyxYhd3?!`oE!?H#$Z)fETk}(U>mLf7S=!M!OhXd$@%M4=497xNx(bE z(S#6i=mYIWt^_W_Wac2{hi%Z$qnOVw7IHovOPCNr7$u$KN3h)~3ouT%$3yKBg?>>; z{YJkisw`DN60p)J-pOZ9me-6~!Ziceh-mtO1*JnyR9vTWf>4!nxg5O^%|VcIV(L?8 z$ZuMiAom2_iIXK1o~X+OV^9Qz*Rb8<0+nC(Lk3*IZY6dJF^dKO$7g3-L{EB${7EVk z^zHQU$6h^VEFK(<(9h|RyCBcwM#mA263bVzYM|PXe{J`(_WodDp6rm~Apl$est9Cy z^yUV*B0i&&C1g=0$)=p4Bu$s5)Zi`_z`fk^B63{Fw`IS<0VESTPq*j{%X7o>vtV1Z z-xr2!_MeZ#VNS*>%2u3itR#G(Geuv{Tz*4wjcP582PKhy!_WKnEVy%QdzJT63YUG$ zI-ij@FTzgy8g}Y5=|mn0ctBV^X)X}l;9ppZc#LQNpnW*J36CmkV&#t#)NUc9*eL+T0@ z5t?5on6Hw4DZ)rWU$Y^-Em=??aBa@UfkD&7Z9WJhrL;N@D&nL&hkNR28SOOG2o6~z z=!&{Cue4c>zj4wuJgoWfKs7lsTxGkvA6Gxy4$o-9#^cil2>swB@+kKB`S#iE7*&!e z$+%df83Vj2+q2M)VX*ftI%=W|16ZsnNygC*r+fldv!5&xHFeOSBgl<>!!;=uh@Cl9 zeE%ffz3w8jLaiI_I*9pbR85M?lLNh(7zZx6!-0x2cns43Cn_j5hA#Yi$()2t<%uJD zXLDXPhgJ7>#8J$gSGerXt4INgR6NOIV4>1o7nH2yYS65*`-&O~B7V z^giRqT8qh7s!x+!itt9kzr`#T^Kd*mBbDRPoukJ?cYG8oR;5B5H6j!!OE{gECt7Ta zw0q%664p3UzBE;_NnKd!Nzk&qPEeLW-C1Nl=GpR5NG5zv7zEFrYi#9ZBRfaOQ!^ID zY8|aNj_5~dQbPiPuOQ>sR2YjD8qTpoJup|93tych>#i#_4#+p?_pM$<-+w~k)lmyX zEJ*R7-`;29d@M(!B@9k{2a)%DLM*L^$c7VJ#@Qs56=KITDy<0CvOr7x#~us|+>O?X zZVdTS<7w;Gw7zFc!DHY9NC(ZN9E$v4fYaE8Ud+J#B#U=U24is6 z)K!45PDD;IqWcut<-S7&mE00q$aCPP`zV%UIlyst zueOpcFR0WCl5 zpd3S#kVPw92#%ov*cNNb+D~*j#hSVWtUBk}?EFo#{oO%*2-ygHdCEhO{U{v1I;I~} zrBJ+wl6eF0=*}fq_sRiTL38cW!k8iH6LvY6-Rsne4`yWUCH`bgrHkq!d{ulhLE56< zk)P&p+|xmmPHjiImdHv}tg{eO8zL*ZAL`1O&#sm`{)6nG|TV;Cq8^LpQWAR}~Y` zK22U4hpW$#&85m=mcQ2Ot}YtsdqhQ(d*;-JP!aN0$b+YlH+$Tcr9BN+J;lwJiL4EeCbFdW@ zWWiJX+o!vC2-ko%tqcY{I|-{O03RGFPvh@7GdA@Pn*0i)$x*jhle-wjZq~xG83_RT zTsT$5A;(bVTvaCN$-={ru3@zF<;i0Z)yDmBK^R{>Gk(nUcp83Sqr@*DHw{l;T(3NO zIYXb@1yulX44Ro{3Aq5v%&2yPG8vgDRobZdp0W*3-@CIU93kC?&!6f$$W;x`UtF&| ze>p>+pQnoQ%L?g?jjK0?r;av`_Lw?4gA+JVW_DeP(r6rUMcPCNX!jLvV4GvKFG~-Y zI$Nxb3tLpl>N%NV>`YD3BFW}gxo%IOuxO)^Ss)d(;dvpo?3hEXEq zKW|3R`7CaUgc9Ia#v}vHx0a+tMm*e5$u9fh?GDPJqbuF?F0-GcQ(d%f+ivS?cLt*S zweJx1i5jp+JA^avx7)XmpYAQDsD=9Ad+Jbu9$GlrCLMT}8FK5O_b#D>u&Hvgugm}k z#v8h1@#w?e-Tvd|GrB4Z4pEvpk^Ign&3=y%~{_2Da5 zgU>A1;gf;K%av1BOyE{%N!{$VM5Qa9bqTK1AGJE4kv~SZUyLY?liGlKgsL~>55@e2 z;6Nc+g2*_H4`VV0TyuQ^O(LyKm6$;Xw^-aM{ATL~l2iR0^lmmb;pM!rFpmZcm_#i1eW5NlJwl&&2G-dh_3&u|eE$$4uT}(hqFYEr2PfPVk z5OH`Ng`o_SH3LD;24XHa>8cG7Uud@cIqX9@Pw(k9QaH1)F(RS;n*GtXRl zBiS6-RpD{!!x}7E?#@?l*uf5l99y%&0Ot?cm(Zv0ijt&5FZ-$1zHiDuH zmL7#FOqk4(EVLt;X!2YD6KH@Ypo9W66E%qycw4?EF};U}Qb1!wBB;;S5>0oj4Vu)`jKhflO`SZWYm zCjcU{`;F{&#i-mT2o0WoYZrs{v`>_y@)2hms-b9pOj2MfOx;5ftV2g=CLzO2v;+z4MTT*~^d~p?>7q!7B#X!7=>~xX_)uw5>PP*B}f^^d{ z-EH@%heJD5n^KKQw{*ZM%yG0OCv0nJ#-KOxm0cZe3*nHAD6Q7E%JA?Y(NTMH`j>&I zt5cYzJM^f$`|x=8argGa|F||G-;|fL7*Y6$eiKV_TBIO9pMH#$iuuRfMJPq_0`^`F zM!bu9NKuB+ea$drXOdQ_Ms0&0;MC4YE-5Nkz0y%WMC8RaDT8eNj^{UXa(FsFUe3v` zb048ta#r6am4IHzk=RUaM|p6Y379?4+Dyz06z{7iVTD2j)M02!n5Dlcw?4|Zw{MEB zG&ldv>Y4|3hx#JTxI&_-J6qHb%#vmA)h5C5B7iVnCLY;?fE*IVLX%!B&Q>}WV{gWe z!|{SkhKrznFuc?1JWxh)y+R%345bjhyK{ZSPb@87Gh>t)nx|d03v`jYwxpAhXi3*=0EC>Q-Nt%z;VGkt26%)3d1+3Z z55MMxbaO(^p)G|ZL)oRUSDC(^0vtlP$ z&Z~|{48%$)ItDA&qC_n~J_CGgrXI`fm|Dw5hKUktOhIg6F&p_$=4|hsQ8`}ybGexX z|F2lGs;fw;o9AQw}5?7R_8&iiSp6W0hDoJ4}pvY1D3Nr&!W=Pz2)<765 z6NKDoGGr&d=|w>8v)rN4i^sfX)i>H&1MHywY3j1(-V3iiX1y3*`!sUa4ARcEmh57| zS<^8DpWc7|^ssvjMxr3GJ_$Hra0F3O7~RfKXXigcLGaa$Hgo__3sYo$olRv?aL<*h z55_O$8A4JptFXcs#)F!U%6GwT-Ct@Z`rh8&6>a1{$)7L&v-z(mUv#@S7Z-v>)4Y}j zJI)7=zK}V&kF+{fzRUG7RhrckCkGmB(#&~7+9pv3X;ltX&QoU!zpR^+%jztm%2?&h zQcr+uBamIb!g@au54@^N3-$2Rrw_Zw`!BaU>EO1zxxWp#9B2TQbUM$nK}#{=x(sk2 ztd_Rd{fn9adYDbl?qg2Kf>jq3O=~9ZPR1x7nGdx~zyJr> z8wU9K0=Utu-Rsqcs5dA$X@_#Y0D61VRJoRtb6sLdW{rb2HKL@bGX5V52B6&m`+~0>X8JtlAm&7GqH;HqMPj)5*2F<&D_CLYK(+rDOoX z%SJ+Tq*A<^xsJpl2Y#amkNG&^>ojP>aDlcxCc$ddC?(zWZRD7eFw#=JY)SkeLp#;z z6_Yiy(h1iC;ROs@CZK+NABwW@bY1%k$D>OGO;u^OSyhTU^RjEXYLO0kuoHd6&LaRZ z4RU7f@IQ*xuDR}`Jb_HxIy$|W0Uih7nLGRkHE%Zn%!K@gP7aso$A?dx%z#8g?bh02 z1TBzeK|EIxPhc6F(k5q$`x&6gsX*e>9s$S;y@K4X4_Dr;XQIBQlW<_iVy~?(!q@ao zsC-fHRQ)P(i7?((rViwDR6%SIlo2~gG%I$iV1L>*n2sAX^0!`ezM2p5 z`x)OY7{QQxWK|tOeUJ8_%JArE1oDr>8aMw)GrbRnO9m?Wg{F-PEcdw=5R=(B$`ah= zuEXhu(~m1M4jJpK4FXLk+e?D_f6Xj^)tZauM+Gl2*2U@z_+m)^7|xOpe|4{J{W z-?j&X7EMpLe>_~?z5Tmr&(HqAgplyq+> zv~t#LSahE4ty!9IisL)b3J7zMAZ3fMpBKGW$NVu}tkMB$pO$Cru9W6oBPav%A590N zkg(K@_Dh?H8B5K`%eHdY*=XXfGx6#|PvCdQoLVI_t*+f6!|dJN`}e4^{hKB-A8l4Y z5rTpz?ke&H%7T2T!WJr%_^vU^UB^*t>Nsk+>@RW-I00x-M2rN+i~ja+P}I3+(nRiT zw^_`P56g&iqMBg4<8?iUWb8r~^zE4jDdL$#<1RrdgbkbAH{x5|8J7)?HlPL{f|MKs zuKpZZFKAZ^NMKlSkPzVlu=sBt@7@X#95jOisA;HC%xYp>Ba12>tO~TQQw9R3AtFMc zTWLcPFDV2D6@;2%;IB8hMMOnP*Mad!Hg1$O@(?%c^lm}SV+crJJe}( zZQsKLU+k+FfxO0=lPEVhM%Bws^yQ$?JP(({aLV^ZK zzPbclOWF(}FiMe=$VY%9Gzq%94#)xB;XqN$d_A8>fX#B?DQdLO${pe4FpJrWG1f4l zRKTl^SenW$O=Yfo1zlJ$z-{eWh0G-|Wr28X8VZ8Atb1}#&XIbd1j4Ee+wwvpBV%E& zZ^XB+GA=v#_VbtB=8<@B` zM)Z{{<4P{+z8|KsK5{PN3y`*7aoA0T=a9!SH!+aXW;!*fj;D zv%MBAS)A9(gB{_1|EcV(sbpsbDvptb%MJ1d1LgHisL)^URHeem1A(ol`51vkHZx1B zXxe}jzt7%Ox|pm&#kQ?t##3><@-*cPeF(kkiJTDl$Bd-F@P>2e9GI<$j66HV1yN-p zzeGf?`EoQNjARnh$@c-(K|d_axnp>A01**aL3@{Qp=kHe-#1(Sup?@;NluOMN3nah zR)wS$i_2-K>GN;55Qxu4qR1QcBo20Wzp_&tO|8Hyg$>a?#)IY_MAQ?gQ&&Jol_PG? za=7;D1UvWw4NUBDICS9L?6w?~G?mjIWnF+ENwon#$Y^8e$ia?~m{TVHmqYrsx`E+^ zOj#d7QRn|4A1nc+Z92dOQXbdT%j23*9v2U3_>%q-{s=N5pi;K9>d$jElsfK^r{`>~ zPRGiClV_r#q6rN@C)B9{fSF_?n=n8*ErLu<3^KLWmD(1_LsBCRNdeT@igSk{%@zF6 zL<_qz22Oz2R^2zmBb$W?9vE8)aQW5dXqjfuj=iJ^kDMXHmIH;AGAzb59Z|tR<|jbb zz^{R^QA^E-Doms@N%@E=PQl_kwmvj0*2!e)InVp1#B<$0S(@O6K zmWjoFIs-Ww&dYJ!6CMGnE=nB&U@H7pgWYuM;En`yKDGsW|(SXZ4uIa#(>=NTasZV8RM{f&8R^ZT%wNJ# zQqPYT?}}!ie_?v504BX{x2MQc3lWl_B9=4!O?&Q?kb09cZ^VHz2o8K)xR@Ot%Wvdy z=Z&dY`wY3G7M;(qX-^iN?~i9dFu*%MS#^${>w1)vMJ-~_h-PJ=o2=cgbo&T|!4pb03VFRMx@9L1_l5-rP8ASdMJWGXMD!d9x%| ztg&_le{xpOS?ay3ohT;pi4edELBZK@0BQ|qP$bL_Zr&%HiX6?3fbdR9h||2dCqco& zl*;4BQ<>%2v6g^bQ8O71M}tG)9yw?=bj-agI1yvk@DN$Ukeu==)Vs=F6xoQrh-GIS)`46>*Oqfl!zfr}Y2HG~k@?~)p``B%V)EZ;BjudImD3)SWnMebk(hy@g> z0n!ax8j9mZ-P6|zki>hZX&#bGn3;3L0Hxv84Nkd!oLKgOaRFwH!XWCmffDn}mAxw_ z*nX*n8AJqBU@o`{Z}_4Sed`10;b=3RJ%vAnDSv^3-o?SJon+!5DwQp?E|PdK$2zW< z%BWHX6&!bGShy`MD=vrhLq^9jHDd{MCoI$^Tf4aw5bFk!1 zdzCy$)cc~vn<#@5!y5rMi4~0`AINiCaZu<)M9)rq6Y5jI#fthE9r9hOOprbDMVbu{ ztiR0?{s#-Ir`Pf&N~gyplY@@EBiS+s^=z4gkS!DYHPULM_2zvW#a=@d+2t#|YmPxK zK`=(ak|GY^86eLWs>zE2P#pQ;Dr0M1X5tdxh>n!VIM(OhZw~qKn30Xh>FO z*tcJSt^;r#XY_p`ohJg~eoO$7>IL}=$+9kzHnP!_%b*+&B|&iZGxO&FYtDxOD4i-H zo>m*YKCy_tT}YRTnyuV8$RY0sA?;W`QAUs27h2f^p$ih>GvJ5Aph~2@d)W!T^BE!c zkjA-MZ%H0e+aCVUK)t&*%$lQ%khHSvUsvMSY0#ex}JNbH2DP#BmrH*;4 z-a*?YKnJWykOq80BbQz2qHv)~7{0^W7`5C89F<0)rmhyF8D5B1Al$r6wb-E=0(eU- zM%|N97RIQZ*gs?Y+!WMke#Mk$Z-Zj(=Wc^3xJ?i?YGjFu3BV|(t^t1*8v;eb*C^?a z8+b%ztim5^vy?YbrwOMgN31W0^jwJ&WYyV;C5_+>bx4kStIaH(2=N5M?Onpu+OF-r zOyL}cJO*SiX3%*e*3~mgn}Kn3e-ot_!LbcznI0E#``Q#eLkPozKIlMXiVmN2qtmxk7D%te-Dz z!i|jr7TkOCN{cVsW|<3gxp9V z4mU^4sOWPg5#w-w%sGB`;VCXS&Fqr1z$LzB8jkqaOxquS?U#PlJbbIoi`jxaN!r_N zdn5~`5WPIEwq=m3LH4Tydo~KbCwS;*%jkPzsL|6tn8C9_LMk+16SJs(w(=EHlGv!) zX%T(I>0W>BMkhR3Wy%Zs4}4blJXHQ*vI`W^`tst(1Yw~zSmAutX(Wgka6;otH>xOE zQZ=$1D0RtVT+badmf)|kA4w=dNu7(5Ptn7Lrh!~{igmd5EdpK-fFu6x*#sHzWDJ03 zL6!|un*7WqZrQ;1b%HzwDME=Q10P{aX`>rzJxCmb!J?09p%b_&Df0ClVT>9LH31v7 zprK}p%1h`5;UNsH3q|I%J`$WbMgx{dTKWjLtQr`t)P@~MH|6nZKqUey#R7dGFJi(9 zgQLW42_qFE>CRCKk*}IHMek|2wss%($2Y*Td9P+E-oZ~1p_*7DB)X6Kpvpm&qi0*h z`n8sK?+QFEI(JwSPO^1<8Di4i&%~ngD92M%qNt`YM!F-q`T9e-h_>NBD>Zj>5T|t1DB6k@E#6&G?vV85P*ut&a4@JXH~Z8$6S&{>x-I~$I$xKOb)U>9u0>}q+^(?LDvnPqKQiGD^{*OFLW;yTAerk$vQ6&MZK zERGl+E4x}gRyv3?7jv5`-^P9{J*EP{^DUi+aB#I_nX2>Uk(DZxU`(TzWv%q2Z9MfZ zD?Pzwuh_5!Q$;veB!)u*jHSZD>I9itNuAGviVo<6Ag}n9;EpupLS!vML;<)^)PtnQ z6uAu(CPU6@8hTEEbRa#Gn6%Si<#R%X(R%I$r+wadhV2YSOKSjSCkVdp27BKPzn>g$ z^k2wet8~+J8h}}yDVH2x$sQUYV3f-egvC1UkO6%A`we0$h%8fepGIbRLdafE+G3JQgf>mu(H((2y1cqiTIQkL= zbSGiVQ3m*2GIL8%i!Z8&kAa2xj}3H(WR?3T>4Ka4uT0^=^VvuxP~=1>l*gQ@^YxoE zV@Tvj*u?RIaOkwuP#RJpvP9pjtVrz@hfJFBE^V_Mo_HBIrbM@u^l zX^IJ#Xx!CUI@ubqQ&;wS$)Z83n^@B*7&>OiM!aOrArvG20p*{Fy3(hT`U#L_U@9xF z2NDp+hD}4L0R0sl;uR?S1Y|gw9OA_C<(VZznHvS4{I*@pBJNqwctz*xo5^F9TNkcc zo&M-quZ@c+iMp%eC_>&J&~W`OfOFB!<`eOy@(t<40K?~&5MiqQ7@|5`gxE3No95t~&AZ(% zcaH)`sb0L7zuo|m60R*7c-R|AX#6D(Jud_G{q5ubKxW_%>H|ENv&##_yigj2SdEle zq0E*fLFSxV4O%R6DG;`OW$NbrPh4uCMa9AO^ercEe>qE1l2CNrB$Td<#qK|MKzS?ynzFst^BUQ1RexIQ9MEF%V6T*KA1) zqD8o+hjkye z6-&Icu&n+5gi%+28QGyMq{q<^vuvVBttT0qf!W!s+!FTM+FD77EKTmffT!g zdPiqSf+I8t+>UI_iJ|jpAqLe9+AKj?@YPj?54SXo$2@;8yQR&L zySkP>rGV;kW96afTXR4ZaMlhYLF>cq&BN`U6cP@0`1|qZ9yxE7QMaDe7!33Ud2M1S zly-)ccy29{=DCdER4fSi=?bYRM?XK;Do1K1W9ekxFbC?BQqC+ur_EPv62QoHb;S3g zGH&bUCYA(Tm0W;zpHQp7Uq&q+?m$ps74ja82Cu3BFR`U{8P|hk?8X8qE-hkG&JWLt zoz?OI{K9ojLG2vxjn0k031a}YnYK&^G7b6vXY5V1+eor3L4683>YP4QB_jrog#^1g zYX%!drnn^lQi_~2gF{JF1Un^BMN$!psml5GzV|J*xjC7UDUotBINZ&iz2&|QEtgBP zM>2UrW1Son7zOo*^`98zcn;?!>NIG~bM6ob)kKIVE9^MyX9fto9tKQ;ksv1N6DSaW zgC|ykl(KOYH2KahD$#O?b?i}H+rF&{KsnIM6ew?Ct|eTswFaIz7PY`G6(4TNs#B*O z{FKU`KtsS&jSCDwwi5M;xPD^Y19;qGYz^b6UGB-%R+nMryxQr}J$)gDhde<%4O!hu zXQ3LU03M5iyje=nPS=cz-OxFeM2nrGiZ0utC44owAS>528XZtZPd66~)E?|y@4kE4 z^MCZNcYE(d+VDjxe9imiahYisc@u=Yk00UlyZg99nY(+sJe@5OxD~^^eH?6@6M8m7 zp4Z>WwC!-3G`5aN;iS*QwE5)|b;pODPpTrC;%NVT+53P0+;c@Sux+wMW7`lLi4e&L zoE6kQC+t9Y1dMZ87KYtnA1_ZXxRq*5(gm1EH3`KUNwe~H6LY=p-`W0DU_h2f&hPVp zHa=nNvfrv0l>5Yhinf&ES4Zh0(QmF3)rM3?6KR4PNuWAuZyVrp=D;gpHt44&(uhH^ zvc3eu3^K}tVXLE+Lr|Y5C*Z}$>j$`=zaf(R`!19IfBbPrlKy}1{m)(>ss7vT!|(81 zZ0~nK-AMc1?d?DC7V?;>w24=DQP=n z_`yp7fy1^tK1%UHA*{x|%63tF8N-FOh43MBaqgMo)ZxS?@=wb?M(*G2x0=W~^0yxw z$#wC6s8MQFvj~r(jsDy*wI)zM?D*&EAtzJhl!P~zbs=*oPKy8_l|vCrvp729CTJ|) z=Jy2tXni`;&|cwf0N6B+VW)>*A)E3D9~*8CX5yQFV#=WZ{FDY$BFNDZJr`nZLM^_I ziIoHDW=Yp4{~P8XN=heYLVbds6=4E|_PALz2hhq1wS5zI>?*p)xf%4H zfbQz9?U*NY5eazEnceZ2Sc`k*y&u#G2fTLXVC<-)rR$spN6;4a=j7-RQvGl@xV2@$y(1xN-XH~S7F zlY)Z8L$L8Cb?USS^#$N71w{Pj!(&iH;eZlo#b}+$4k-SII=PqYqvayd4jf>}95y6m zKl*J&R>L{#a2Tk=s9+o--La&e@10)6zMw!x3@}f|0w2w=0Kp29qiC$OJxAY_ohbRu z*YEXZ`KI==O2dtIL}za?0O*zuqc3CE2UV-yYU;em%r+w zjB*z}-C<-waDHW0dbsYqtu?BgjXB%1CeuR^o-$&XWunV)Q8GI|Fd%pe_~b6yMK?Nx zji|_E+vm|o-hh=|a3u51YKC98r_04L+-IsBid(PL;SfoNnuGwl0!mh%YwL(7o(NcQ zZ3HIAt|}8EzlVKD?Jk{!mVr+QI4~1eLx+;8?M|4G*g_c+b7$(q6$PqeBDYVe5gb=z zfFt-6h<2cL415LJ%vZ1{a9(Q;k!~57ZQz+)>@gcr#+v4&Kmkm*+N(z%9RzD(bxyFY zX+n3J_J6iy_&#{0L&x;NM?sQZRot*j6O4vL6p)&RXe{S&SBmVq?KfmJ7E&lv3ya5_p98DRNtJs_x z#$}Enf@Qt9U}ebpSLL&^W;nz2y2GJOr(1GhglEm97jcc__JhoXe)u2-J|>VE|B+d1S5 zSDPS@0vl;~#@<|A1{Fu2%s%7$WdAv}a?s3q}d$7t(m_3(S6;lNzQ zEUIzL00OwwHYoJIqNb);Q6J>=c(=pu_0~H*{fqf2@K223k&1!6iL}kz?@Wqe% z1Ojsg6$)A9k$vO94PZ|?Hwg-*00j@(YN z^}%>w(6_+YWBD6LG_Oq89+sERJNEGTDiitoMu00V(R#MJa{YmyiT(s_u-a~8r)K>K zSR+|UW?DmgNJm}zm2+ed{M-h65?U<6ZEg2EMsHvG;fv^YDoDkGpRXtq7Lt5MU^9|0XnFn5yyfBXcDg>rzLL|z0@CE)W83X$Pn%qqmq7=lghl(C6f^W$ z5>3&Ov@HH#&Mw&im9v|Hb?2*zBz_IoH*+)a>z@M)mZ+ z(={Q=Wh;ck8BoWlE{_aaPYeCQr>5as8PShalu8w6C??`Af(qJ?SVelA8M}vK-6`xu z=!tB=5>RGM9>O-32H?!^1NF6RD4u;Rfx0FJW7kb2bA{XhoX3S*DbxAU(U3tRq@hYj zhD#n`l%vzcU(LkOAs13D( z3Z1?n0qhAF6Z$fp9KS~CvCHF=4dmg~bTwVQ0-DLRlHnOtv2J)|@C-UKc+%61o6n`d zgGne;&|}Zg2FF4U6U;xDb@F!(?Ii;h{ipn_tXT-mAZ!N6tlTD#_eL1JYW(R&`GG(n z<$7}=`&cZt%4dWDB?O}SP*PfU1{g>J22}}wNCeSd05}S7N8KP^uDn|@f#j+>omwn( zFX`h0m9FZV{&LhG@LB@ySPgJ_uVK0};k|)Nu<>2;a>@FYvl1FbKGw)tyad)!#aGm6 zfS5zqzL;}+WqvWqiSs8rt&zE$|(-Q~19nbNv zJ8#N<2_y10T{h^mVyy@<&5yR|@9mWx-^^D?G(b%;whY#W5Igb%WgXMnh`+zTf4uwr z4y7KiA8*Xhk2>dCmg#Wa7jId*1n78SvZT1GE6P_=M-!3XL;Mx!rae@loysJopQKp^ z6Sh~NNLlqHnlUUdmtUJDXwb3SKZm|6Y5Wh(5Jf{{wH7ErlACdKeu<&etaM2_;5^#p zNB%j`y?>z~CVKw-Vfy`j;M|lxhC8!bw$UAP!nhL4i_P|Iwt^6Kn?mp5jVHs})`u(W z+c8nsI5t1jJiGqe!^4XoCznU7?EAGC1$vXf^=VRcwx;)GTtt5^Rk_W1 zEP1Ky6Dz_!Y`=olG+u?B6^B~IPB_zn<53}d_=qwtclU^R0}Le5aUlGna}IX=W$(ZJ zSz_UW=EE=3OaO2JoM+`S@>Mf_)rvK~0*y8OVG?stU<>>)ag@123H zY+_ivbZcBsoapawR_Ha%JZZ=xqyjV5Y=fF+0c@0#Q~Mu3etco2dxwa0>8hpjon=x` ziDWty2Ld@&R(6ZU;%!r7%p8ht(aE{pzQ6m1Ocl2P+?j?zk*5$0lC@8XjKtm;n<6IQ z)4 zZG~GvktMzU(4bq4dVRM*ILHX_kf8L9FK5&LCxq}S z5t1FwB~s4~qgm1n9`K3KXix!3-Jz7!@#90V-*%%o2C3YcPuEELb8&Ge~;LZG)I=C)nE+<#!^tr z0U(FVa*v9T@@&kPr1j~r7@74NUXt^(k;*H!=jaQ%9BtW8uqGiLpB(n*?VKdfz4eL- z+B7H2uFG&h57P1edqoJEr1{8SxNXFF2e4(Yj@L-%4eMbDa2%3rgp^vKgKK;pqmf|?n><2&A$a=`XfzLt5afC?SXqba zG+m0)3?7Q{Y*fyWB*Hu%Ug|p5et$OG-(&d^0AP1tzI^-ii5Wz_qPB4yBrroZLwSG3 zI#`tW}mJ@-+t$^{4me<=ObURrczXf8Pq~ee>cxqDcoEldqx8`a? z|GYSwiX>qvdv@B|J|YS5_CxPqgSp1KNnZFSx#>~>bOd85o93wmwgJuV65bl1Sn{7N zVeIE8is9A5vP01}jB6#>HvGZRqguMqs4szcY>?pvH}N}SBt(K0st(A=_PBzOxf&Jd zQIaL*>qJ_1O|fmoGZ5Lbx_>GGF5JKtElBhv>!af(sO6<17B9VY8n$5b3Zp_QizT|5 z9izD03M~sdvK;Z^+@kCEf3LM0*Xz=5oSS61K}S>6U|YKglNjj$=1iv znCmUmbj*Sq=d1br=ru6FsLdlEP}aiWo}jgc%{5Jm0P>g~>7AQkaT|NbJ{Xw9nEFjaV_7bE147+K( z33XFyfsxJF<0Z2=LtOSKyO!DF(I>gHw{x1jq!X|&`fLlZ5BTil6lDIypf6q56uG%; z1J-US18%*RAzaeIUNMhFjVlNuZIRr=mc1vT+(Tc-e8Gkxlv_=Gl-o-K=d;>-v=S%d z-emOR;n%zS$B_2#4*6D-Gg3}Is3$+zwZv{!2*mt$e#n$Gz?aO1n<3(3F_FcTCv=aLF8lOi12h2)q==WQR~K3lvwjdW43A|{z#Qi$}{PL3xma9 zXc#XaP!Ee-ot3Sgyxh@rFmQJe@4D{>v|0tx^QpB)u8+ zU#?z=1ZIAOFpj*5CFGq{&RxB=rzPp}4b_N*XL}V{7wdg%kEN`r%bvIKh%S(vsA(C% z9K@?3aRUzJD4X#0%QMF`-PJ-W3O4L;>^Uf^C4~VXx`q0blyhv2R5ZZ$9B!k;i#xqS zTZl2Ypt=0IZh&bF5}0jJ;EYfyw3_HJRe+#9M{gm*Td`+UHNjH=;4K|oNhq2E#^nU1 zK^I-H1s3>4O)vyU7$7D$r_oDsq_tCxK}D}(Z&6ytyo!B`Kl zHPz`q9K^5;!!xC$5xAVjAA8&qe`@>&Pft zZa>_7yk)7bT*$?~5Qi7e>TEMJVVKR6U9ID7*oLR5U6p)rGeYrylXHU**E}=P0Gat= zQku622DMV|OdQ)BpC}@Rf{buTay9igc$xyMw%n@1r6Ih**3)^6JW?zJ5zRi!%Cb%m zHQO(r;XJr}288_}UIam^vWT|E1doIcs2)_K%*z?s$Hli0-XPbc6*Lm_P_&mmTdzTu zu=g5NYh=kA;(hMN`s$N9;0g(M$qz0AY(Ch|w^$E+7C&yfx56W~j6fN9D`ZX;#*v`JV*&O%B? zGwDZF6;&!-6C0=j=K3nDR0e$$n<_da;=0xvqNrWsgrODToYCI__z;_j$VQ1}Ml3NI zu;DP}CxwiHIZgUebK0d&ZAghn4lo|jISMPxhwZHmRu;B8O&3_XGToUVHy9Mt50(H8 zybi5*B-=Yx?4d?`8!@SY7V+{p;0sav93d%gC5c-^R6v%Z9~j@uEtIFeSV?!c{uZUF z@cSTt^>Vc9-COhQi2<3iy7d0lJ{!Oz9up_tV07ktHH4h>CnJIbU6AC?0o6hY`!;FHyS2KNVZ zMRKC3Oz5JE+EgVCX0qt9kshM_7EeHR4h)yS*vhM{yx4vt%5IydqH-MHA6QE&(M*g= ztDGeI!X%E042?qq_&^>YjY(OF50;|En@XaU7F5?2CYkUBh+RA6y;FCiSe)O!-(M0~tUNKsIr60T+ zgWfo;?~r6XK=1@Ih=Co*cp%qc=oUnG;n+k}`Ue;#$p(+R>KHcT=L;EPj)p<7Y(D?^ zxtCCsXwmtwock@hh83kGrNNK7Gb#C^RvbB6iuHK z6~8*JyN-iq>0E!q`FbvubIs+9d zL+6#pK$^Q1r-}%pGUgX6L?PC%yTopf%K9_1^VLjRGM7_y@vwu!+E1mp9=8fS*8t{*m?K`DQGQZ>#rR*2X}FKtxnnV^Uqkj7bU#TD z)KEdJBkUq`#oF;e3>Ol<4{$u%YlAbnT)si$PN}BfPyz7ZD0B^S#Z!RB5FphaDDc|y zgMt`hxeO)0I?M?$9m(JB35XY3X9eP&kO^c6-TV+4{iqdmv1|evcTK2JbLUhgYGLy& zq{TA`J4W>#jrbrEe|`ZIL3w@C+hd(7@Ck@K9BAUW|8XxUm6JVb%H4Tl9lwJJp4MIVEmTkY?sC z1$3yk37fdxWaZc_ibySPzlO$giwiNYCSp9MrfdFJVx6 zoFGdILJ~!>H4+@f;ushXWuJ!PV9=oA84}g-)E67pA_$dF?4LBPDhA&Z{`yltD>_F> z(3S_#G{8dWwd_5M>Y|Lrg{*$h#s#+@wvQ2{PqtlzI&JdWB*I?&|U;4r}&5xjH=EadjA_LtD}4L>wDuP_si6OMB3cLmFoxt|eJ~xS*2mkc(%0~gEThRY(m6AdHWsswx*|7`wiR_`b+59 zjfUz|F}*l(C?w_2e#j_ zR!V@@9HCK(O3O1*!iW9S8U>vutLOrfa}SYDI)HmfEBaXXO;Y>x`JE|${4eD>iqlL{N@cmU(oR}q#t8A! z`Za4gX$#3_Kc0l^aMzL%C7OyIrngiptW0E{uu_X399bS9`tB9*6^2zB0z0|@f6snuawV08HFNEz=AhYc zH3MY`@P2FrnpP(5?f2b5Q)=K!cZlcd81OwWhm~lfXt0tJt78O58K?y=r$VSd02YTF z?+-|g)mV-(x;u^cUAR}BXfu&Lng+4hl6P(9`{?lY7K-a05L+vcvLB%97{}ZorIpkW z9NrhJ>H45h|CwRgpEGH6yo|rM6Dp69Om$?_37?5xv0SU7;%o!=v2FQ&u zcnhfO&Q&V7D{(lf5rlJpi~`cI!oX2`>_tY|NF^$JZlv#y65spv54!ml$=^?$x zWwr36;~0-oT(5|vat3!M#_bAM$sud#vK7dBM0_f`ifIj>qmEC)f7^rlRwQVZUJ@kk zyP*1nQ;t@j!h)Uv-?<7+fTq~0`jov9@U70Fk}#9o3uRU+l<|}cOLkm7R4C(%9Wt3M z%3uiLEo;+CfXbT5?JVtv*uDM*O4q}yv_N%zrJ-d1uVQHOypTB&X^ z_R7VlbeKp1vG@_G?(GI=_&|(zfd6eu7WVt~S5(yc#ya=Nj6<+9qSMfsC;eRp(GwIl z^?zlPWB|Ge>}gO-^bJSTf?nbwh~^`LfU_ys{93=t-$ zCWov(K_5LXCem+E|SfBPhU8YDo zJ5nqIO@!ay-|ect=*{fnq}`s(0$)i>-bb0I@f}x4P%1=T7|>-+UJR-NUJoqsXL`St>K>|QfMm5O$=INTDb6gEe)w_9vfu0|G` znE(g-ZUXoN*OC%FK$FV1OGnVT>?Lzg6ea;=4=?5=7OBg9h(S~^Q`aU`s8&ZVj4z2U zJHR&UAEX!{lywE!TGw-+tsM4xtQ1{Qga_h@NT%j^=3X2pmU5QtV*!`U34^PnM6Cw2 zTLN#;*iUB+uvV-}#=(pCU%zc{@Bd?a`>?(Fa{cbp%?E_(qXsvd*aASIs)P$@p@+Vo zJO&}yR|@T6+e4iZ1_}#^CVvGeKrK~cUiln(frLBC^HCpd3moH&=?p!-WO~Uhl7eCW zwjJ>gq4&se50%NZUY42q@HJUr+eoaac6o56cQ9Mf!zM zV!Qyj%H|o%9D?bd%8~jM{9qbtnh8G3|sO2ovL{^D9p;dAP3)MEmPy=XE)Zu+l zcEM>(eO%Bhn-1SC8-;PyRzF0nh*h9~uWDt&h7`w!6@k*&G6O%ES4)33!=G#tPc`lo zmc*h-!BR+aZ3m zrUERH*qUvL5A(sqVuHJXw2ugj<0)4xQTI6;4VTFftfn;5|!FnLR5QhO#Y!IVD6)$Vrw{1gIR{4a7hS?$(BNx%F|-yh&|? z@F3BjZxy=(w*;rFu?L)Q0JDdes70Dte|Y%^FuL2jFFQZ{bbtN%nqP6)_MpT9-1;3B^I7ebQt#TGw6o zY>$eRQP~E+YB)yFlugRfsTvp()UTxaE=XBWtFB?)1*ofk-C8Fyjm;|j3)<5gHSmb0 zpcq*{s$z$S-ahi;a-*UwRBAx7QjzS6rL!syjh1nM@<)g%X36>tS_l+j(c9-4s>M}( z1>XpFIjmQRHi6EWV0QDoIf1mkAgex|U;Ios=y-b8`+W2H{ntO_lo*1yxm*ifBxoX& zVJ7{35QcuM0DdOMnnUJpLUaF=$I z^g(oWdzxL$b?t1-efP6rXkc$;DxA)S;2$n%{TQm&Q;+Ov&9O6YXE`)NKm^0`+%+wC zfVm=A%#s(*P*I`~(hOJB`1Hc9d6zUmf zLBzL~GlDr}9I6r1B8nO@pT_t@P=5MT;WBpGin7#th*=$s#er!Gw0n*upA_clS4s-_TZp_4=Z)oWB;I zmhpB;N>R^;reI80Fd!LxbPQtyT^#2Zm&Xe?pa!9KQfRW@MC~9qMILw{Rw(IE4T%Pw*c$rlrK-bszgruID=SMruFwAXt7#7%X2|dBmlUqnWg6o&<0bz!|^^<;W z=l2f+H_vd*{R7{5sS?cu4sBmRDph*K!=0a?jsRkY2s}fEb&sVq-ukqx?AKrac)0#> z-?N`6Dim_?Oe?0x@DfV$A^p?I z{XuAgk4{55gT$z$>N^>a>eD}N97Gwl4WneE^g6;kR5E}NO2hf8b9~*pX3EmZqglZ4 z^6H%C4#-U|@+bddGR29Sv2Ru><0JHZ7~13oS) zVH&pxRV64#NkE81BgpBph%c0;pPr`q1?@pSdamfo**Qq`s|GpWse>qLUd^NlC$dYw z!W^g!AUoIYQRR=-J5)faE3K|>x;6mAwHBg4C6K0|=74GNgl@4cmJzztct~4V&=?!_ z8(QgFd+s2F4*^O#I1!a2SEorgL%D8pK=kW|A16gMJnKh^L9CT1Xv(#CBJmbb5t)x5 z7!W@lacw%HTa!w7Uq(c5#u(iC1p9D7HCu-CbQ)k9gKksw2FdQ&`?I<{e}((ajs{Dl z2HjpoE^^{LpRzKdw}uJ^gS{DH7lpkLL0ceH3@KI6S3N=91G1~~uQpLQjjc&mRAs$Z zv}O3>WIY=$cD}*?-9s?!NPl%kXrB?>2$fp+tN_TBb)|v8Qp&f7EE#CL4Q52UP2xN( z+1jZ$U{na(B1**B%Bj5^Y#{UyUIg4g;?rVO{}~$X9Rh@d-l&!8V(HltGq;PihKc3+ zN>o$jWYN~ytPZEg20#f>dUlsMGd!7+)S3_I?#0&~S`{xN&hQ@59o~$jJ45`47yc4a z5t2u3CGM1HkZW7c#ttadm&Q#m}cJ7|4_NMa?=DePZ+so{&q+s`-m*B?IYJpT4| z_Z$BF_8a|6#T`hJ+GPhCO(${AX;l#d2SCV3Iiy4+CqSKQ?pq6K==`1} zm7ixY>@om~*(-YF6E;{G)h`L8A1EK`a`M*P&ORJkMdL0={iBsCV476 zH`{mD4>vh0#ODJ)Fb4z93^?Jym}c0`5X#6q1MaP;2~)zZZ3#9*xbJF?MNX*aiCIcT z2{ZE6f@M$>;+XUB=g9D+@RWtVhewV4j{fZINx9H7&qJ&~W;R8ik)mjSzqgO7Y3{yc z4=fm|>(}u2pu(l>yKPF0?uEwf_)&7vcegzh_<%fv!`2KFO*Dd(0y%FQ-2kXOBKjBF zx};Gb`@Jz_7#2E4Py(znL`F)7N^^ri=0Jk-hA;M&YRY(em=it`NYzAD&5>L$<9g9R z4?IGKR`#44=ac^erG>t-DIDbrOTW?-&#{_R5u)G58GG*+kS&> zjZT4rv+?HLHBynl?K!+@8p>?Shq#VhZ6|N$(j;N=O8!ud zMVh2`BM$wznT|m-imT1~`#IzCQvm_*r;fd{x=cVbea4>Ejl|q3a1T_2QVqWF5d(`} zUV%MaZs0aWsBO)UoXv5CziwkIaKw1|uX{0t-IYQNGdWu-S1KnfB*^3yP;{y;>Gqcmv zw1A3hnii@}E6kE*AtSiFfsv1;TSDfok6)dk5;tnqJkclg;r5p=*Pqbq=VK9<1V3(; znc_GWH-|}M>I#XxpceC*(gU(PPS>bk!mi}2H+aNXJNGYpKm6&_4?X-M)@PX(nujl^ zqv4>jpq_7CIAMu68ub@}wM>)xt?`77;VBFny+R9HkUZq4IeQv4jWbI}*+giH~dR zI&UyRY&Cton(AifLd*y@uHe%%H?wtJA5BzmFSmHHnysUS5b%}#{*+CHq7M&};hlW-;%DUB+%?uhWW_TU(b$Z| z4jT85MCf*}%V)m#yvuwK9&??*9Q&2b<(-mp{e1V#7n4US#B6kGa6?iZqhbu zhRp)2R<&dh)DkcdswKgZQ4W-zjAA^~utS~}v@F;@f7Rgmv4dHAErK9Xqh6#FLq^o& z;Pfl>7%0^j=h!mTo|BD(N`vRGKm&V3Ruh|k=|HLR%I4sR1wU90DX>YBU8Lc`pyi?V z%^QrvV|Q-e04#lb1AOwAn=kMGuu7c6v{d2fBp88N{z`7Mx}BI!5sG#$g1FQv8dR|2 z;DtzR>Va?XK7M?-c@(nJQ!NGhW7*7dF`2YDml~kHaD$*AM&C0_X8Yri zY0RSDgh!*G!2Y|@E#K#qKYyy%}X>? zsSTq^Ooj!iw=+Qj__f)Xl4@NOQWujY`3SoWc}-N5%iB5xz%e_xBIT#V@VLED`aH`iplnVo z2`q?oXZ!YKG^LmsV@ndfkVWY#njbVq6xgN`1U_6DmpzCpXEh>UK^~ip6WCu6_A9&8pAB=h4~Ve(b*cvwMayir#!a-%nWjJ_aQ@^ z$-HFGta=+XU|qewte!G+JE3v$%2*63pi|Yb#@=w%6pP)i8ZboIfrH+aQ9b{;(K)X?B2%%_GY|xZ2*m6iI zDOfdxf2D(Du8+3IWj)A3U$$D7wOq6gGYJ-)dP@Tr-)VL>Q2`9_L&2;gXWNkeZ58Y;UOdoT5fBogd z2!XqzeZX^!dN9gAr~Un;in#+ffSbuy{B)S#9cVJ%51f~Gne`{VQUArq>rW3i^r3?h z490ZGh%Xs@@rO^Jeh|?dwkN61TIUrPEsHL}IhzJdnX+jbvyrEHuLZ1OSp_iwG_3)DWEI2Nusm>R*v@KR zuphxO(u7~iS!xuZ?`E(Egc;6Dq5lDTTDX#{1$ZbSMCX@dzW>4&HHV|FmuY?~JBg&0^Stz=&&BbbzEDByC7%Vp?*@+<^a z5#ho;v^Of{WVzRXVDNu&PWqW{qL&WQM|PmIi^XY9#}pT{2vsJ`O;0vr2Fe>*oVHs= zW(o?mBdDg?W4L&*(E<@yrYVg%8`oTXW60<)ba*%%GY=n|t)IoF^OvQUG!Q7GKIAK3 z`K&BG4goz+h%5ycfu&qHp6+iRzI}Q$bWrLgr^{J>13Ya^-{7`@&I>laZ}MW;OYFaTtXBcKy(1}OumiWcYM6uf`@{}AB*@OP$pM+pXpbv^2R zI0_0iPjD@%a0rt{g;;5Y;_DS~XK@RjYpQ|DPb!D{$)Gn;e>BCDoit!rW4nMF|-JyYsMW}Hw7hzq4osA zP1QFYst|5vk`gW{TIn^Mo`kF_qe@lZz92yN!_IFZIQZ`N!%O^=k+%|ey91n2(N8?~ zG5pmCtD6CG^xCctZci`Y4tsw>$`6C1m}8<1P$1+`oxK%z=j6aR0dfPyz0OatuYwF` z*Yrsx``#0aG%q2#Wv?{#9zsJ^pV$ycJULBo#1w}MCiM(=u6N(8nrF0}-=WY*TnF@_;*u%EuQA=FcFaNzu@bLFdm2kH|mIy(H&1QA=HY zdF&3~)^%MN6_bdwv^3JnfkN09{k>M1x~b`q4Ry%%9}k;bN zAVDjg5M-7FS8~ge4e^IAFY7boYF5sKmAL^+>Z^=hR|{{F6x>kV4qPVII0+{xyIMIs z`M91N)1w3>48X^!t6%CD><8zUT=xg-E^6}YI? zrZ??DT_od1a7`B+Sul_2Ce84Tz2NosQ|ELcb)e4AvjmzLrL5U2ED4V(VUq$;CHdj; zaiedBG=gj`GcqmKBrVnyW2q~Hek^LAmlNTJXcNkM!~hZcf{mk3V!7SD9vl|7w;C0@ zdV7(UMq9oRz#NAb{jQ+aY*~-0b;+Ns7?6iTNY+Wa1EHJL{CV-?usJz-{lc;hfL@1I zzbV-%VI*1t873;T%HnIsXDsCRF=IBDKP#_$YBU=$BAqRJui7$rNExdtjA8-#bUpmP|Fx zw#m{KSh&c7;v0}`2^=Wo;68gs+L%N`vHqGw>j-(-3n4Et(Mglt5(ZWd>F!kE1!Yv| z(kZG(RmEqK`c4hF$Rjh6$kPN=x0z3)G0&1WXWO}I-&);Tt-u~ zAT1j1gL9svOs-88SM@E|^EDitYjG;mk+O0YUNm{ zi-mY-J2_LY-7#!l;pFua1-qD}?+JZ#-|}ElD*TfA+JBd{*q8rz|NjYCgu5i8*2Yh> zCjZqrJiIIym&mAQ=;?>5kVWa$pvH+ub9LFym=pY2ZO0fjzQie(X?&BA-YADOE5H_2 zWBTK>p_+c&p2Y2X`QpRfHx#$ay@YOTYEYXj48UenC_&FCYh?W$Wvo_C)FBi96j+;x zS-Q5pYzep&im8DX5oFG^FjUmd;+`v`y2Z+w2V;s?jWHh}#|p+Vqo_`xyC5ckB~d_& zO>aMX-2;DRL7`AK6(^EBmS%f67dR2h7EyW>@w0&+!|4QW3(=PpZXL|^Oe#+d-+^p# z4F^1Mq}P3~$YAzh{NyvlqBx?jh>+dY;ut>RRI`j^heGHDn>D2gQLxXE;~x0AZ%bY+ z6n>130g*P4>y=?S_35eJyhZ;D*6TuO+22e|F&H|X1Li8E1Bb?T`|I@sqTp{oJ>Gs{ zL2<$o&sxpieJoHMZZll2k&3-U}NMS79cn|mAW)Zt)D4xoWV2}p z(9_EAizA>SHLz@yYnVgR+Z`>+=E$dk%qTEpWvOtgmr{Cu z`^%@hcSxs#Lj>04x37<=Jn!}CwK)BblC2d(g12>nibo^wnR$R0%~Z?4q*iayIoICU zA56+QRDxh$AgCr_WzkQXUCiZ3_5nkZsSLsMnQW&3%ywBf(p$?z3qMAOJh}sxKkh9K zUu+&8>Cbvl4OLuOkm{=s=|yp1z3lxr=?&nd;wLM~svn7iAA2F%V@c{FIPn)!Ny{Kq zTymDGWFO}WA!T^DWNFGbkg(CN=!N7Eyg>;&>R;w4M!UXHUmC^o)DuD_Q{1;eXXZ;3 zx(o7r*jH3fnyR}z&o1WsfFQy60mZcxY4Tb$?m89XVH8IQ1Vk+waRPwnP7p$H@Z#&Y zN4DXhI>ldizVG(Xs_yRFW7HFb2$3rve-etmPTe0`UK3RKwfkCVIW(pf*Zj^GZ~`Wkq>7^3zAzZkChDS?rVqzZf1$8 zR5=ilyl0Hj zKi2-T$pn2>Ep>K)qbH|HAV%P_;%m289kt*<2lpc)*ae#mp^~WcLQ^HEoZ*XmdpJ|< zj=NZ%odX_+N(a%iv!g)9%h68|{hgd6Q3xmS(%f^*Nf)_XY>T_KZ{u&Vqv( z>1V$c`fN+LKYo^a_Qx#~+HF0u%EYo3)lr2FMxbx8_d^+HKA4P{S*UHFCfoTATf>@#6-xcmdxB~p!6XgcfTJ)&CkTDb^B4vtZny?))_wH%dO!44xa(;7psB!{WC zQflEC+Sqt}0NSCY7bI?ZzE}xtG~>P_hGmV}L4ic;6JxCwwc<)-YoJgh%W=)GF|7`V z6FBR!ku{rjeStFae&^=<*ZbYa-9K&~cE8+FH8DtT`~KIvyN8=C$9Iy;kAHl1a6R8+Z$4k64ynL4s}x0|HmC~D@;uu`2hb1}+F zm)i6PMtq6Z$miiEdu|F!az8f(dMVR8Nl2y;>W`@Qlp{6Wr!|N46VE#I52i56=3HKc zTRSVrhb#B*n5bW3Vs78XStjKmXB;ukd=LAf9J}akRvuq}sAG07a4OZF#K~2Aboyk) z0mh@5N0uhf9cJl6yQwXOaKtv7o=jJ#4taGAE4N3b`|0Y{TKzbQlolv%ELfbJYqT$? zHcXy9YeLkZ9JgU_1ZL$(ZbSpvFfdUs>l5@TC>zWmnw?C~UP~#xo)qeCU3NCp`i$@y z)q~2=OMp8a1Ae#4u-wlTpLU}OQG5`3q3(rT@fn6_Nc()HpI%u)H)3_TgJtI`VU5RkDX~C;r^R z%?XUgy`m2^Epa2TXj7-7af+Tm=O<7|Wqcw^fx~)|7^dxH`PQw={?x5OdFCL#{<6Hl zr#obu4$228Yp6uwz%2>z=MgZln?|DnYehpwp*`Dlc8p-3)mqB@^%3qNXB{tW3aWIp z^3x9Nje0lnQZzZyrk-eT5CuBUQPOz{`a?UIMttp3#{xW?aG)+F=I*R-?qMcJOanwf z6VFL)NA zNQC6J7boXar%+^qLvyh`He5gyaQjpeR>#=7$kX+v#c)j=)hBYtRT2Il{ew zXFy;q_xpbVCH3%YOV_mF=HN-^An!2fKR>0xl#G7B_z{Azlp0>q!U*+Pp}PS7__6=f z7!`=@N0eTksd)<5nnIiCVMnvgtO1-rgkvnv6TVd$RpN&{Zbzc+*|}vzi${#d zMP)|>GM*vNaJ8E9eJDu~d9sCz55M)|x0|d8Ri@=_(%fUCC-fRaiy&?Wiuc(H2Ms>F zWn>SN0Ua04v8?E&fbpHmzJ{}_F`zFR6VFYSEhMCE`*scO#zHhTGaj50aVa)Sg9l!0 z;TgI8vK42^4m>75{<~iBrX>MTx|EiRt_{U!mPf%R0jn_m%*)hP=LiXBf;Jw6z!E#g zD@?I#Ccj2yZJ->yJ@`Oz)QeGFQSO}Hc=TKr#6+?nV#IuO%-WNQ#jiqeieg4th*#GX z+gE@Cdk4KeEAWw-pq_BpHcl<+(AU0kRtNc%)FP@6SJt;8d*+pm?wI+jnG-1km?8esPq)PzJMF0saNQ;gVZ_lJ95X}Alg3>Y}BF`B=Mm7mb z5h>78%QjRbyq&0A!g) zXdRTHTf4U&yaoSSJ$P%kcdHwvQb+392X6*R^Kt+Wmx_K1#*V@t{Uy#QYsM_x3=*s2sA-+;$0iwS_en;vNo({GonXNi!yW_RnpJYaU{P-^8y`S z;%Wuj?=d83KMf(uu9f02P6x79DZ(Rrlce%hiu7o5iD-q?!#8hX9|nPF&N)@VWvC(& zE0eee09@$a#huCF%8eDoH&q9HgXtnlxJ}m-D6CiN4eN~1Hd7BMMAOUqM2>w-&(4?% zcBDUZJh(nb9?7*Vjr=@Z3wtF|(%P4A!!Zj_8sRK77G%EUcFQ8NU%q`65${_QSlVDN z*S)R4RZ&^Q>VaH!mKKQ7T1@WE>=u4-uE{gFW;MKPz17Bm}VIiC&Ef8zOYXo4~8*ih+eH}e-wiXSsOT0q*w^Ys;8O|a1|>V$)rF!E`;UF zCEXq-bs2=UWd5BS7VHiZcu#t3GYos;9}I+ic)WhR zec!{cAXbE3qppt?L&HB|a3m=`h`ScwgLz*NVR5;Ja268KnpmnlnZ7uY+A%mHY(!iM zFY>gM4B$t_k*eP{mK=#Rqegh9rzavKs_6o*Y25;9jDfb|MG%RGgu1pKw~;uqoWa$)1vwZeHkwS(ds6CoN@k(7K#|0M204b>=^FE(-l64IVrHFT@kw;EGQgJ+C0{c@a$rta-?G>;ah4| zIKr~-t>MaH?wF{@+GUwX#{j}$MSlSGPB<3zN?d})?&aLFiy8LSIu9mQ+FWXyilDHF z3*-8r?l@eahi5=bcfMSIzS;eHfA@&EnVo;_@t=sh=D#U8@4h@<-y+5aaWUU_A9g=u z&VTlB_2Ds4aW6#9?Cd;{AOJ#Q-oI|Q-`U3VJ8JeY+Mbk@ zkC?4B@9~`ozxn*~<-h#{l5Kjr-tV71;l|+bGj0q{dB%+)Q`C(Sk=d&YkbLlsX4iE! zTY|~c`6J$h7Z}0g3fZl{T}<#hoIUn0@bmPau>X>Ou1DFwvQMt_Pp}%^mHoGHs*w02(8D5F$tM z<{HIV=Bm$LH=f&!HRLLdQLc$fnlMBT4NZa=u2&Vq|e-G z6B`n1qML(Gf(dn~v_8T!hf&=b9v^=eN&XCirZrNLG3_}jUO>Inn+w|mMaI%)5F1`r zv2HhEA@=ZM{K#g$2Llp-6e6dS=;~-=4JQq&+o=hm3FjA(aTZNv#R!oizd(%-$hu|h z*h5Byf5(x^Yqsa;YZhnD%mAm~5I_=RoD5sTn`dE<3dBCJlM7eOA>u`SOa3j-oa;ApfcqAJ>Gm?-Jlr|G^Fy9N16@LqopXWAO`oq z#ZR`5gFzD12vBRf@Jhr=a$_M&lN2cnBL+sI><5fWc%;^mM{+iNMMxR^89SP*$Y_x59*TV|z-L(2gj@>^pNG8zQ5$h@vhh9n8|xXNReo)2wDSJ- zdHVJ$ZX_R7WMz$2O2CEOL}D97&L@jgjOdb>o+{#(Zb^g5>BvC7_4ecSgH)kS8YV|r zUkhF8Dk2R?LaF}xgbji?slPsBgK)|-Hi%4Vg;KdX&r%i{>E(_tP2(iv$%EBNIJKF& z_&EGhfq90Wk!KQIxh#q>f4TYnr|ta>dN}>G{rv9!(+$8WfKoS^olX~j28*!GOFY@4 zoE76PW;E z@AucAK0#<)KR({yzWerggREWTyO8oZ0%2y=1*Dt%T>dU3m!vxey53ej!7&jEC3Wu#Z&-$02wHED~Pc zyvrN&!@?;g@AXnN9H?-{))ans%edG85z@kdNb=@V_8ZhdjA>U$q|Qn_gBCp&r5q@< zpz?!76sMjR?lXR4w@6<;%h63w!$o_tEF_PXgN&@6CXJT|IMp2k&Kau1a!03*B)gaX z%oy5G4EP-@pOgE^5tE5{PeW8b569on5Q@njX&Fd=fvv&51t zJlXh3^#?S6#X#^Ff}-C(#iqMA2}NcDeNMNUsAEUhcBVBfZ_4L%Fj#?_pm`#0z&%2J zsZs`!{N_A<`S~_Rrux^*IzsB>waVC)ZIcS0oseLgo)_-&2vTnbw zF~;%ac1Z^j`gelineGHs95cU3ys7|*7APAz>r1WEJ$*~7U6o$Tk4ie7tM91@Io33x zqN?m(Ek~C(;F4`_-`h0ItPsD{fiYpUDD1ujg6!|z; zsv0oL5r;$~%{oT)nfOI%)Z6y#5IiY@m$$%dFsKj;U?v&SKWIs2*uO(AQ@2&@YlQFKVN_O1DN|C%v=D7 z{->RtKVJUWynOk8;HM`)G-G!oSk@mtn-GMN9H0b`4Wl45eLv*qLN|%ayU~dI)uCc8)`~#F7u{R#1z?IJD$U>)@%x5Stcke-!vZ*8q zE!(Mo53CnV`+B;W>8N~xzTmL$wSi#(Oc$tkCw2Fom)M#PSwQv@7ty!Sz=HTM;sjjO zmYNe)gW70KKd8>*(?UsrCNM_$EG!h|II)~Y3fT}5Y%^{)tniA zvp!-Ito0R=@lMD;aP~_kUzsB7CpYTDqC|on_7ElYH^&69EKIBwDbF(vzQpYYNM=SR zehaJA8mX*MdycJ+n!aQ(GqgTBenq#3%SZ!e%skHL$g3oEJhSkC?7H%#c>c9(XSvOZzqUQl|nXa`k1}4w~&k~$c|uF)7zWGo6xzUYfdYX2?~57P`{Cg(exLW}Xe)Jih?;kxCl4l(xw#7w^F zq-T%RiiW2OtWPW9$Y!{aZL%nr{K%3%@)6@7c@%ANBqRm{Gs2Ug_`YdM#Z|omCl8oysaqU5%MU9u1Er#3Y!X%00X-Ry5u$TG2z4|T|eViLbC(0-PK9ONa0U=}$H z+d}M?eUq1@^Oo0N5a~)Vnj+OyqM#DBJ|*v-sBMyAp5&U_EtmrRMr}k`;f>O z>_1G37WT)%&5i4Ze9ZEzxlWo7Ms_U$fvp4Rfl%R`Vx0w_OX76+T&hpbkLR#P>GBhE zrahp$mYtRbGEVS%(1pNFVD6qi6`lj`yP8jd)U!U1eJquKSuN{4-6k9Ig|2^AR-4Ee zi?~Y6A%l_dX!t|kwmN4wiQfJ`;qg~vSZ@qz0n2GsAjaS;lxnr0myQ{Kd3FYZe2C-L zV&`NLtaz36e_@Q=TMwdg%1(St?q5n_YSBoD!1$oAQ04Q17_sjz1N#(eJPV!~GE%xE zRY{*Fnp9?-1%|VqC?04HT6*z9$YWIWsAbpM-8EyR$i_z%%Q_U|8h9Xk*i4nyv%%1< z9ScbdIuY(hB2C;%CXmanFDr!ftkK4U%|#?_iXvGA&Wy<`VhAC+23YCn&!4E)~6I@1JOqu!k6F3$0e~niB#MLof?_Rc){x!pQOgK9pK=4cfvt=nLBU^pK(cQzH^&S+KRKU)&xzNy7s`Ks7R&$Z z`fWgu$bPCprU3;0bXWobPLQ;v}OGVWNiODO1+>X!=%8ok@%CE6Oew z?~#syU*S1|vUv#uHMjuNoJToW@z}yelRNnoeLg$!}F+`NH%KeOiY zAy;tPpOxnX=VJw!ZmGf1rxiEO81$b~{$z%ToftK{-zAq(4)8hrWgo``bs48yE9$Uk zRZImUwOCLgxWGT7CV>b@_GCc)Yo?CfqbUE*R|4^Dt@LsSzoX8^$4}S4JoNtipL_p5 zeCgCU{)<1(56RF1tYeK~5r6{?TIn13=6r7Lb%tq)0_2dgs()UkATXRzI}`vz+QDv6 z4ipEr9M3Y(#Z^AA(te4ilw5bxXpbssl!$X0dt3LXdiytmaV{IsrnjME9yZrnG`C5g zO$Aa{mW-yvv7_Aqi@DC&Y{Od$m2$Ti`l|zWSJd@6lH8WL4@R9kc!Rpe+ zo%ldGDjy-k86FT0(L%-=p9s~#azQ@befg#L?aRaMFJEpx^bpsSuI#W_*(q06B08`h zXeR$=it2A^?pte&?+oi$703o~V)!*nOn{7mXD3rDvSwTG_3}jq2sQ&cnrv!Pi7qQR z#I21bitIPENWsH)4mrVgOprIpz-8H8z#%0cVB8Lhje!qDu|Kw4`imee2k}yQ^1=ui z!sQ7Hw4=M1aidU&QIHhXY{f`ZS1_K5u@WIn`N>)S#*x&uC71<02Lc+5CcVK3hPs^D zLBQHJZ&8<=3<`=bdj`Y<0zak4{}X#BX7p6fdYmEOnH@}xGU)D9nvN^Er3WDbBWWiR z03X~uJ(Ai9RFFRC+3wawv&buNaKqryZ0GYJYFIUu=!_AAs9med>+vYNC>W5wM`~ZV zno<<%cKaIHIxfyFj-7&HwYdzvAWVUcW{rW2k*M37&U~rai zVBp~Gk4T8~Le}qWMjVsLqHD1Frzskf7q|ESfw4T z(Pm11hLx@jR+hIqO$pZ6VZir=dmSRQ3O#1OH>ozLRF+k>h#4+c)}qZgVw{_BjyFZ} zej_#bx&l%5&|J)+xU68UyO!j|KzdjfF^ZT%WWFV&QzCk6J@*%Qx z{9n@&;tPvg+21ywAs?%7d5S2EA)J;Jelh@-pg;d&4hvj*6@OhSs+Wciv0Lx}xHO-s zecd@?IV#UXa#kHM)xF%muKozC)+NuFAd0Y<&QC6x)g`OosjDRaP(+8`=JkgBPu8>= zrYXbnFl|e(2&HZvzO&Wx;^b#pJ~Y--ix3*C8(gnwBXkq$*V!V~{pEV~l}@1N2dBI{uCrgXFQpsb6e>#9eOW zyR^z?JqT9;@A{bUEKg7|L)Oy}!~HBWdrTxZf&%C$OP@uOWFGJW9pV$xjF@iD(VP?C zb25F4kP1~kO+FWnW8p&Zx<@#r>9?wRxL*mu5zhfCO@E+TL%NVzkTZ|8#+v+&4sU62 z&YXpKT#FvKFA4A5j`u7^^~FURTF^wNUzQ*!gL|-{pmpGxS*|6_xzR|Nlb(J-wu6$# z<1b}LTvp1+$@wd%XQ~mdhqen`Cb~v3NuNIl^c=B0KJ(+s3dC`F$O`g28mzrfonk6? zKmhZogKSlRB_z~6NuPGnoRUlEA=Pq=HL>vqSFY-YY|yon-vM5)Mp30q z6hRehjHsYC-rS20C$B<5o{Z@8%U-7;ZF11a!eeXJ(6Q;{WSZ9fb6YAKkISN8Ce9i) z@}M+yZQRi?H*61x#VCdg&UO>pvpQOI4rajLHF!pfh443 z9{W3NVl*vlBS{BwblyH3Q97T2-issO9bs23DxPygcpYqp5p5Fbs;6FiY<;+j7>PO?!BqDf31wH(PAlrfgxbL{PpDO*oHl8vSw|2W z)Va5qfh=Wd)ElCxW?0WdXysgjG-}jBVqd+B8Ia zt!HZ(lC4OMBi3tKrp+|lgZd3dxoHP`G^$LrreRk%!)7>sUTYeH%Mv`Gvd2bmqLxGu z3nyrJxR9(;ygwn}pa4x-MjmBFErKlS2gXW$Twepq7Xm&Zjq#}^YsP7frSDW4YazzX z__gFM0JKl?7W7}8c?)Qs!;C%3!d6a$j5*V+&yY=`!qD``2s@>x#bEIw{(0D(ta3-f z`T3QSbyDh#4xe^B2Nzp840g7v1xob2xG6jz@y%*;az1~p-!K-D6bnMiRSh&zyAGr{ z^If6nNUrK$h`KsI5)lN!cdi-6YzH0Wpw*Z*abXZ|dt6qmNH&$gSWQ<}y|XWM?v2+6 zwKp2&{fWHs$@&NoBU{g%u8$h|EkHvtw~o+`E5Yei-`Vz2X(%cKMC`>mP_J%N3w7S(W#uhd#Z`BFaLR%2?v<219$1qPxg*^1z zi&sm2r8;AiYx;!bY+42|z+^G4M4kwLoo{Ed;u7s=)i+jiQ5F&20@X9Du(FD5tg^^|p|WkAQ+4n7Fn-KKM!bhdcr8A)^C9VCN`U(a zf;LP+m<$`C8sAlSq8lclRT8%8l1THTuTeiBM{7D5AP3b^kKm0Kw08A&wo)0X{c&6r zP;>^dV;&^JE$Trs6SRp&K+FVdz_CR+Vv?SQY`68Jk&3S%*WnEA!gr)ISf=X}VW3~t zb^REa28!nfXMthGhKWa>B0A@IwOMu=`5xxEz<~jrF6RW%#(Gc+kW;}0={p_5`Lsa9 z^e@ANfs|ZZZ-!d29Rp8tds0n`7|Pay43HUbN9TzdN-4<#NM5)26KV$}jy`=ll6kt2 zw2Vc&*-7@s%@M3!yv!RScYI7?4^3-hIpa1xF{h`OdwvUPF zh(PS}6+D9!y+5A=+y^5>Qw1^FWStHfgKuHSt${+2mg9&3bfK-dEJAu1IZLrn8PSbn z*bRH9Y~M@~aC16cy$+<^9;&QhWs)wOfl>3LCCsnQslrhLwknOxj;EBrLaoD`kdfQ7 z#UBsv;Xy#eriQ@jkDv-VziYWGAc`tMKTB~}z>d_7N6$=lOBMfsPY=QN%|yzQXPnrx z1tF;G*Q*SgpUMtclBbl^z~h0|eJZ3$s2wibTMp{2;XqoxMZ;2d&+GjseA_1{aFgxY zum8X=dXc}$D1M3NtCf@=#~X%>7U43j3HrU&2cF2T*0A*pWCnur zarYMN?nAzW^$FxtZDLMbVq_)tqLZjO=_fN|FtO-l!cM0VoJF#c49t)aEg>!8m%vli z53d?n$6O(R1bmvb3Oc&WZvUUCalemLdqZ3M%tDiJEsA-424Jm#O4i43x3Fo@18|Od zJc#-0qhM?u!0E(()H_ARvI-5!TTzw(0UK=5^7%5QoAkPpi(o`Uwl&pFlzN17Z5$F9 zPkX4scq)^O@%(cA`SZ2#3;dP_Vl$F#1cg?@V6{b;UUHHv2IS>Z>oGiGda?3s*|0v9 ziN0p&S?R&`MuKfZdC#nlCoa3}<1H1A;@{vX0J2dUCyh$T2K<#OpOe?3(ydI;lkcK2 z55^gBOf71|0?MK?;4w^jis3S5yEjq?hUxj}VFsjCzQTPB7pv(y^5p1b=+9WLAU=(- ztd<%#z(E1LDQ8T&wERj&9mN; zW%I1JWZ9^OY7f~aSC&#qDHPNl%^10M!ZIj za1$g_u49H0WmpWV)+DrLxlAek?Cor1>je)Ti!?v?ALCPgbx@a9Ac16|c zB64*tAe5Thopba7{Ky9v{HVt>ACzMy_NxWjNaZGA7_j>UXEh}lSgEB>w@)_`sk%2% zt+gjPL&lEeDa6w$-zl}K0#*iI#MIYUDD$MT!HlEglB(^fWdwpYRt{7&vep!ej3SI_ zacCYT6vANpD5+zL?omqfu`DdoYM{TWny)oQXHel}_Uz{k>gU@JtY)YI2gtbS!)*~%9Z{zQ;J0Y_v;<6R&3ZnlzJb@zyq7w#vFB}w4CG~x|5-%hVo%zRL89L zb&Nl@_08eob2@bENvHFQQi;_Tc4y%MGCN`V9W@?M#_t~muJwapJK<&-ob?= z(LcqHF-L>3o|rToJbxq3k&vyRD=1zJFE2fK6}*@ux=e{}Olhr-u09oe+Ow!zUgF|< z*}<;N%!M)U@P*oC4tSdk;uY1|u!%4SVkkk?h_2GQ?SIz7ZcXmNda z?%DB$kXbyF46ZYj9;U3XjWSvroVCL#LpqT$nPe`!@cxt>N1N#dqI#1J5%zwC>a?v5 z@sV^xR8kPiz?Z|&LSFJBR7e;Gw{McXF`dp|8_z|}6>UR^Y>YMQ-KNRsl7Y^|^8_5j z_pqV?gntI6#mmlB+nnQ z4u9U`&jO?{R-Us2K5SQdE8Za|k~+H*D9e za7=iM0`Ac?C_J55fK!EChXT7cSyv+|1iU%tF*i|dK_cO`E|Mwom0`qe546Hs^4De8 z9a{6`HY~7m{pI7Q+rQuJeEiIW$lZ^(_YaT1UEkyPm%X&oxArW#yZ?Os2(1S-SLCDH z?QYLL*-Jl)GwP~Z%MFb#m@V`mHzOOC?^hoL%A4C0t>iJqA zKqk?EI_KyuQho)ckq9w<clQi0tS#NI%qAlBn41^Nuu;0>C< zKzTmRixYda0zDo1pA?~I~C15`)6SCh1m!)ygD4V{C3EYDAzKl&@E2R=2A`R(B5BM`753Q#zSEeM~XO_Tv~v zJrV+W zz>m@CkKZihH4dU{j5P?ZHA{&Np^o!q_eiLNquuP$ln$Blc-_p0<&w*_p9kj3e-TI?)v*gH_ zTj!JET!c=0AMj3LFG*ZBESUa?%AD{UAPfh8$B}3;B|!8x=$tM-vUk88KKvPx)<35MpOy zrmFey1W@tOvd#zX>wa>2qjE0_xb-XH@#|M6jJrdFo-_vhZmrMB4XI;Dm~!`Oxp7cm zc~K7&pU0#)sB?R}zQ~ln{-Ay+qNuw|hCrc&$A82LB zS3~-N#ED=85yB`216CvSv1DgK(Hi*-rFSeB5Yxif$zSBcY3cI}9Xq^+OEiSm7qo#kPJ91cX;pfC<_j3l1 zak}x)zh|^CbDi)=aXIlR$^qS&+-(81^AhbVS^G(KBi8d@LhL9J)8C(+8l|!`gwtZH znxhT%s69_N%wnaPCle8b+buGCcN?-&QI)JbO*tamjpR(zr;p}^e*%lqK=K~aiguOC ztx2gNjQl@Tq4$!OPw;{rDpqMt^vv{n5wTn~m7C zO{}b}6##PgeX6xU9^7Ok77omaL&&+0aslBLFbW8UCC)@JH81MGkBN9@ttfW)-sBzX zr4YU8{8Y7u^h4Q`Y>?l3 z@i+KJ5#71K(S%UPK}iEThgdX2P)N`DovSjdhr}S*!fiMtrQ~)h8Ab88L>cvr8Ng# zs*~9q-kMxxM7N9j3$!%fp$xT~%tqHoXLMe0j0EI6;FY4>CK`MEwk{_1{CKCanLSV+B|>mnc4{ zlw-IU>l;yYYk)U7u>sYk#tT11rc0wYs{22!mJRheAjaX*&<_)uC)67mLP#LO-<@iG zQ8v9jM;;PTSk#6@wl)ivuo8)DAX1!7d3quoexZ1aPdaJvJQIh}4566M$qZ%6$eNw*_2zNv z@-%9(X*pN#i2kWW3%MIvK2juNbYrWEEY>0+3ouWL?wU@Z@kaDVtCoVwxTYEPLtRvj zvN%_v8_hyF;*&!4TAl-Rjb@UrmMb4(bVbYXd3bHaco77_X?JbS+K;Fp3d!BcqIy@2fmN01}xna z!g~(yB0dgnK@#ARYJv{3GCUUjT-n~~{`U1A_SI;n74}Wvg!V%Z3Iku<-0Z5ZA3fAn z5XM!b){uUvXH!_F3H&(breM;M@l`VY=q?!>(;94SOLLlTO3-TR4$pQ1R|JztTRX|9 z*PO-K{_Mp`R?H>8+W1z>fYeXPE5nq9O9PS5%jF3KCgkoJvN2h>(K^4JjH@zu7V+p^ zFQXpyFu&)^o*=FL+xwqT7v`-p23-fM>r5eqtg0e8hM9C4s@=c;;V1U#Rapr^b^#wS zlYr}@he9{SxSV(Lp>!Gq6&T-T(hjl#Wv-ctgH7mq$cMaXh8GvMye{cl9$|B964RG^ z&~oG@sq&?s62baRmYlqBIKY^GH-Bgc*s^ab%CgBxv9e(6*J6&N&$(gcP~6?KTC1m^ z0306&8Kdks3@Vzyhu$Ao4(RNzt&`Z9)3aHrBzlNkdOMb~V5`%VG+apU>9Mm_l0W_z zCAIl98RUeW*}%)8h-qmPBt65ZJDiOUry#KpA3w185Vfib=@Dc5Jx0O%Xp%xdkR+$& zxu4%gT!{5PDP*mp z6-AxQr=Z=-vUh1u(DzA7o!QUEN8?~5;|uTmkYEU&04vE1be}UEnc?Xi9 zfI87K-~!EczR7T&)JKrM{Xq ziY*xH;gK^|S&6)>1s#B|-L|GuA+|OA`fghT0=xvW8`gmHkk$E%9SYylOQe4_9WR5k z<>mA_VDF)QGtIu$Ic)fb+hg|%#3CaBVjUMXXz0FB_ZkCe#FU?m7g383hy|9ohm#rH zMKOl7SiKIHwoZ&81v9X!O@OF-V@fx+3#cAiI}N!FslvL#A)z8^l9jCdToA zJ|SEr03*AFAL~8b?5c}rmz==rfwTq1aq&E3(@Tq3YLAz?d_z~!goK%}@*&8X5`id^ z-3z!25Ln9_qPVXiUK~*H9QD~8*JT%~F{KWijRd3q3wc5KG{E)2fD7G`HQ;8FSWW&z z=A=A0rkQku)W*~fd?e%3(u44*PAbCgJDi~2e)kzaiQR!&WU*}BeKhq`WiI?lSq#ET zM@6iPNkZYRFLq0& zb6J!=R!grt;q^Zy&(rk$IOdR~@3esqp|ZZxCwsrdpq1VwADEe#v+d=lnL$c%*X3ILK5 zp>NUh`Wgp#efm5?-g3gyXUs0}SpBfAb7c2f60gN_WpP8&WM^S41m= z`vI@zt^{TS^%YE#34baPqTn7Remv&%Ea)L>x4c?jNCEZC>~+HE&Cz40qE4OR(h5aH z9a(6eT!1=rYu2lL4yI`yK&+vwDXBcU?6cZds=CpAVM5oK9rx=F(Eiy-Ofbhwp?8)0 zCHdv~W#|!(!Db0v~&>hCqJMc`zW{X7!Oa% zj4%=V+!X|91nlw_0IQ4DN{XvlSp(&j+*%r+@EqH?aD;T3QQw^0bh2F4=jK#>nWa`$ zj-2#rM5ia~qB0U_A}CC3+_^c4GcBFNov|mrPCzU7G4DESfD}K*#T0of@B}-EG>-S! zwg2(u9oo;^%xZhYm&&=akIu|*9}$01t*7wf@>iE;XSBaO4) zpQ9%~%PEmE*ruWZvSj+Bt7w8f5;C43`4wl3>Ne60JY+}Et5UgrlEftLr_#Y{=OY_b zf`Zr9Qt?_YTpM#2ZqG@^a7?{)3?M87{o`yq9=1=jByvBpcoXvmR9AD-Uygy&c3B7V zPI?P~teO#<1Mai3*1pRvCI69Thqh{cOc!SMdwz}5%Xg^k>#bq}cuHstJye+ZrqOE_3%f#j#i!@w`eN^Hx_`(b z8XqGxKY{`P9*v6R7p!I^)gIV|l%tZ3SE_cIpo&A6TndO6(51k$Q&+ZR$~q0U#o=h7 z&2D@NdoB88VWUHzag7WYwO>+gJm212-`qKKr7KgMJ<_#vB|l%R;b&@G^wp_{3c^;? zp-k3uqR+-2;kWrL-a2?gqG|D4U`Z_~6;6x68UE-!>X$po3y?u38iTG_?-M;=iiR<1 z&AzklRJG~=*c&f_pw+zyI24ZsTN4BKo7iwCjez8g#y180Z;agLOn?d8p^Vckj)=Bf zaQ`fR)&<0Tj`%;^xd>`1Cyg=+?5-YCF%!97SX2B*=%y($YP-GLAli9zdWZIix^PxC z`8zxhrO_$=fCc`_@R0RoUvzO@6oazh@HU(I0MjnD&Z;jfm%vqh7btD~16dsoKT>tB zF+sQ0eP4b8(xj-cj`7HulEe5_VpUEwovfuA^m_+mpO#PU-XLEYwI&&ndur^jAGt%%+TL8 zpNCJQh!oAk-{lm9AUBT$WHZVp$!8Ve&1H=5X^dyZO^o9`7zMcR70UQBdLksi#$6++**9 zfIM)0;>opy3~IoF>}Q+2fdS`X&0%3Mq(%WWlEG`3MfrdgigQb6r9WpS&`;BL zB^G@H+bk(RfE0R$u_YMCFZ2SCcX3_NQ)k)9M4lO07vKY}qryJg)fuZ#6Q}4|a}qY- zUZL#HJI4zXBIEjoy-s!B$7d|zlRuG&O1l0OdDeQOYKlIQIl_6`PQY9c=Gw1L)czhE z@?C69kUcCSpVgDwGbFEpx4XCwYSlD|hju3r3LU&r4bm;bFcIV z$7E;0T)ed!L4Eb6g?k$%yS8ulKfHVRth&qBzr6YUcP5giA7!%8a zIz$!}Sc!6^z|W)_=Ypw2B@5;dqZc={cXFEKQLtTpg|6evOW+|~_bjhFDfUP8WQGD` zbseNPoob-fo~cD7X5AyOZZ3h((c{QNP#0G4ULXDI{=BVE$rGER*G)WxsTy5ec8p3H z*_=eHs{O|gaD~2m*rVK;>X#}uEn#qZcYCw{+vO%>HgT>zsnF->`(b+xM6l2*A=g)^ z>|hSmadxe8pk_lt*h&bst34aC z@V?2()vCc{EsztJx3N9Re}rT|K?GvY*=rs7f|91Qvr39`u&HXn$jivnqZB7Lq4<@+TV1Wu%A=SH^A58ygyv65<$%bP`*-bUZXBq(^eEN0L};tyMTd*W64y z57~5!I=Qg|;G>kB>vl*K;oCNSfZLyk=SdGxgf}s(B*vFQHe{gVcM{w7_ zN>2W>|2X>ZkXFC^@F_K%Xh|z59XARVHaZCRDUJnQB=MwhMs0V!m90#zHht zj?N7beuHq82RHMJm2l2KP`Vqe1*vWlO5MZwV%-}=Js@$1Ofj8dID$edISq=ktMTUYZiiE$FA9WQ@p{hr4Z$y5P54`O(7fjapU(fL7smc(tsa1zH!wfo_1fG) zfKrHJa`Uq9M{m%GN@MX^RgGo-C;=qxCa$H0^5*!d$uwMbl=V%38=KA}U1GgPGStQG zEi~ix^(pKmg_)T2z@Cs8JXOw!N- zH5Flm{^QItAC;riRQH^{wg>eM3#0?)4NcjA74-GKdjmnP~wp26sxh7D}T%Cs=gjCnldT= z6GVg2-!Nx}tsMq_MmLygXGR}t+Qflcp=(v%O7f9e`Xr_y0JD%)Dn)S6)gfkUxl!%K z6oENKep{kcv$^wOy?IvTAKj#w!=#n|;rE1G1w-~$Tw3x_(lX9>{Kfv&?z}VtvsV$J zRm~JyD-2H++jJ`7FP4jeW+F2EiCOyG<`imo_{7Qg16~9LQ-{wzS~uTZ!Cu&{zuP0* z6lB9_Jd_RjKM9G|zwd6pbFl%axJW%(3V^eJ2hhs47I@%5-E2%l->H4sg>O(?IUqSx zQF{X=wf8=#XceVx5_Wudax#o(V>*G{9!CTfU&rEJi}4|Tu*e*i&D61|npo>;M_nx5 z5O+L+Zi!YH=#hrKLkA2vG&bH8A|Zy+(@=_dx;3tbSTG_Pv&3lmTS$bvAWZY>gpDQ= z36}##1X{v3Z!m-E&VCludy#Nlg8^pI?UvoKW8VbYNl~T|JW@5;xS0nv(HFW6f_p%= z>(dnnTlwxO3+0ozrjdQG+(Cz7-ln1$jgNC&zP=O&Lo>OcN;akY0q?6ZE<{aATN;UD z^KL~VBAZ#bZt>?ZNjDkMG7{r$go$M>|uo27+laWvW`(V^gTK-~`cA zdbzx~Ds<|zkxOq9ASXSVC@dWI7bF6>ILw5^jrev81Gx@qcu|LsvaK>~rT5m^>+ zS#CvtT(}R18hj}G8cUpQF_Mg{Su|0stLX-{_c1Qp~ z(L#WFLoidzD8`g3a-I?v+C+dsVq>0kT`VjPpeazr#ZsIU9}vfY2A^7Hv9fKn2ZHuw zJ`C}oiTSmA#S9XqIr+1r@Eq zmBU2BRKne3I$c&r+;WNU;@HB~=$hPI=+iDlG-0%!Om7NR%OScCo?eSJO; zn@Tw)WX-Y~ab}yfAiZFtnP+uK2N+VYRttftPC~)N!Ol>ni4$ZOdz>JRi2wzf4Px*4 zyfX;b^Z7LXn#EspEox?@l$C?cxLLM;*#xC#E`gPAS3k4JNMn->h||kXhZPS(EAL^p z5Q(4puHeH$(Uk1_dt>_5N&B%#a2y$=)Mu`&&Y}#UJYhk#cBF_f)^+!gG%_lyt{0Mn zJM#c`8L6HHCUO6Y&=0&ZbX*WKu;~{d|E5Ll1*GXQ$ktbMFHOGf^YFquaDxIRA#bV? zT_2uYV$VS5A21M75{ zMPTU)!}H|4;?YhoZuaMSr$%97&C0=PMBfd2S(M=^3h*@D8xrYd@XuL0T2egUqk8*l zeR+D5tz~mOh<(xvtrdNwZU4gjbxF|Q;xxtH2s(;vBm67l(KPdS&>Xo4X|r z#v(Nson-5Qnr^G{+##CK0pM`}+-rzagpF3ok{FwgxpGHZtY-*0DhAk+;1Npy-C@6Q zK=VV;Jv65oFCa}aEwQz9fz-3));ax41-yd({A6ftyuM$!_i5o7jHx|;{aOMe7uRPu z`}KEs0LK8m0=NniC$RYZV4UV2%)c?J%xEv|)L7??h=MzAye?Q#%?!+xd}(*SB(ze? zXoOHU$s-7Dlw#@*ArMIrYEbiT5IxwJC}WmT&2+NzRI70@G4WmGO(yRca7A=%G@K<7 ze6y_UC#N`l3{8}c%s9Ee#O3Dd{B-vs_H$@LgRu-w`_Y{b74shkqGHE}E^N1Qy)&j%VO zt~W(bnrn&3ho8fBX3E?K2>`4(jgEG7~z8%;4|T z7<%-7;dA(O7#!1Pb?0gF*caiBX;gPEEQ^TCA!dfmTc>@dh*(o=D^QXCrNt=}CCT2| zYK@N@RM3X}j0Fnh+ciK162yn|0vXK^CZDB;?dZq}ZqAw7SEUM%K7`2Dp%>^e-dY+TTM0CX&)6&8P7priy7rSpNq)FR$-PoS96)IKPXd!%#=V5Zg#SkI zaDBB#RW2@9ROPDBNwK}v^~T=TGjwC&F4`T9{|e$93cGN)JAM?!!6}pR(^EQ33AHWv zAMf&VGScE#C2Q&loEhtZl}w{bC)5={_p-qFEtJY1Q{#)oJ&_U0=3&3A_<{XX>K=p! zit^L!3uxG?1}${6DJ@KtV7tZFlJ?ZA2TOtq5{s=BwNhca& zxo@xv5KnPlOwACRm1(@#Hmgs^)*0j9#iMHDvQwstL30FJI-{1sIZ88B4rDuM-x>%y zs+~PD==;!`BHN%W#RBINfoTxYRM}B2Md9~RE7RO+{qfjodRR-FiV1Yw$JR)C>fX5A zQCR8z41)I#+QjPeZ^9XM3B|D3S>(OotAuT%=?qW99W;`>$A&VU9BQ!qPmu zIAC0Kxw{(u_VN9jhj;JqM+blU$4~G6lrkMXlUw^Q1gCQ)w;XN|^T0&DsOt1uWXh7d z;L$JEoEuwBkR=*+anhkR@?qjS8jc^c9Sql0d4%$VHt$ubH?RlV$UYUdogIV80=UO4 zx*@KP!AHa77=M(XV_blHGpFFy#gL=m&M z?>Qqq`YfKtCbav@#7*{Tl}h5JHyCbAq034r$SK$a3I(N_t1KZWZ-AeS zraFMEx~g~J3yb`-;9mXKbaE^tjpT=qAK#DmC-^DOS%%*xia&=u5u@PrY$rqoJ==KI zMEnA5ibY4hI$kHlkg7sQK~z+0fvPL3>sG6HaMw3*+<;b5&0Fi-x()Jjs|~UMNyI7%uYqV}Y+kDf_w-VRVuTjbnk!r9C{uL@x`5h>BVF=HRhde43=M>f4AcGc;o~pwemuB+ zHZmW@e~oUFq}}h~iuiZ9-Y#|b=96- zz6Y`SxN`?9uRPTV=|qn><9(U8kF*%tOMAE>83rbjq~hyyTr}$00T-7I|EQctddOP-)XD?M_Q`8JBrRHUq6OBQkd|p?SpmP-P34BL-wEz&E z8yD8-MWuJ0?ouLKbI2cr8(2+{2dRB#m^YUA;Yb1cuE0=v^&!7!{aLx8g9gytqGHH)SAzl3mhyy0dju+0X46pj*gD+XQ67*XKMzMfGeYCAxgd${2u0 zTS>a2j0P_In)E*-t3tL$vrf#<1x!zznG%#r|K6-S23Lc^vfP}k=(Oi=&uFvn82`?A z(Ei7dAOHUDK92g_x}0y~C{E@U5mm=_vY4evjXbW_=263DxkYOaH0Imip5I-aKvWQ; z;%&acEP(ingW4ZzEN^3yuIj++6;Jf$^XL0d4_5N^^Sj^f+jU`enihuTEWwag7E_>TCKY|#ZP znl>5m#x434l?l1~NiX|hh9U&|#p8v78+Is^0Ep>1WcT*sHT*nhC}UqX>r{^#Zc3`l zz@9;JDO`xql=LBTT_0#f(WueYZ+*42)_zBYP*`i50_blgb5kP0*}aZ>Oe;JCZJh21 zs?pK7%mr!)Nnt47P0Pns)|gbuS}CL11i-d-0#3%#uT@)Q`c~4ZlH&b`J$JdMY#u(H zDb*c9&8@bOZV?2U;#jnY8%JYcq8^VV0n~0;(i$Oxv?%=d$ShqiDMlozK^NQaoR>ns zq8^efp*8Io)i99#IImg>ucBD77?Xw>O50Un%OpKdhS6b9Z)iJvef z-W?r0NlU!nDcT*Pn5n(Nk{CDLziFVSZeODskLhHo9}@gk;4aD<(CsBpj2ca$%O>hR z8ZwfOp0<&Wu;zSW*%oa=0L!RwW6^BXw3|4GLR;hjke%Dx(FA?bGA3d$6OAH~e-2fi zb{ovkL>Zrz2pqj)u&B{&?8+JJYkEO9-z@IVUjQ=wJ^U;PsH2V$&~tV&tfY|w<+2(P zt{abyo91mRCdjz&?cE-yTs=0kGT3Z7;?2vmwIn7iJJ9jpz!7WLnRhrcsqg8vh0gTPLPEJ!@0aPr$Qu@+0W*s?dX1b_bnsLMBfXHqXYNkF^YVY_*rl1JhYUGVbw-ad zg>#6hk5rCY4}g(6LA`J2IKfCzmyAZZ)0)YS-mp-bYX}Z!6V>5vBZqd8m!|~JiVest zYFutCc{M>7nP$h)Vsvy=N%T0ZXl=mtQ6cA*m$0;?=a5bb-vD%kqgM1IS~p!bP&}wT zYaSVyxsNhHGe;u;7TwaJaSzu@>Ex{jW$E_&pTE5MgzhK%AO5NNd1Z@~ViXz^l_Fw{ zcKb6HBDghGBzFb?U~Vg~je&mo_~C!QFAzTbNLwH^VY^hX2(V4$Fbi+D_NMEXH7OxnM^xj<6~LD6Mdr0Z9DqvA|h!ifzH zoQrCbo{ct-y|{j)ysTyzKtF1Kmi##xBZ$LRu0IdZE}jh7%-DlPfH&Vg4!rs9Y2eLw zPXlkhn<((+HH+np4&>K<$#gLL^Dg~;lKxz!KTp%2YZX$IO@t4|sWvjD0E;mpPZA2b z3223QaoPxfTW^tlGce#fQDfN1J*;ufK08Cn36mYnesIA(aU5x-1WJiroK-bMCr5?F z85y|C-ar+RsK+%T%(tvC?#SX+m7qQ5uS=S%XUO}4$+f#gs3q#2RGxc!uhmBB6ws+K zxV`>DRMo+QG;DffJfo({_I2)}4Oq&oUP^S$PxlF$>3bjIqNVMz>&rT-A6@`vw$>gx2yHl&GvgQU$ww-Jb0{v)r`$8A1`4w zTWp@3vv~S8i$8Fi?(pgRV9wLeGo36pgakr6$JU#|XQ<&X9}G9lvR|?%o9zWp2znoG zFJA)a1v4>RT zPlI4S!-g(^22t0pfE0QO^h2oArx(xtEK_sI6l`xq0}Jiq z6lt)7L;g^W3Gz(tKHUMa@?YvqMIbz$RC;ll4#gC7R%eyQ$w+b+i^b}o>aWmM`a=y} zr7?+*BzA`LG(@A&F-Lbhqp7}@=Gw;7jbPaa*2y?ovrlA?h{ek}vS?dINr;2mqiUr7 zX_RQ3eQ&>GK?=U_qm4Cb&(me`M%3h}nPpCLOTl{x*G3w%gySL17?(LlTzqjH;T>u% zgd_6U`>o`fzG|*bOD!XDg2a2ZhZ`?8Fj3!3xRtwv3jL%bFKG)gMTxlYHZ|4B6{Rcm z8_T$2J*2NFt1?AZWb+{zT)@0Yu+i72`*YyAGG)FR^FuZ?Lx@I2yJhkz|G4$4M~^yS zWtQAR)(M$}_VxHH%1x_f%Lf3E1X4K6+2m}UTbdxMH7qZ-C?x)Jy}D(L0{2DWa?iYG@L!Ki`g`L}~!U}VO?h^+1*Vu6PWW^kQmU*eMa-T9Di&Djl9 zFF9$*3#)iNa@!;vIIXOGCh)yl!sY9vp=)iIeXII|vcfJk7QHe-A~hBca439v-QviR z415jxd*ugZl7KZ(kWIx#bI2d5_gQ%&_n(B!Fh@$6E%L}LUCGR0V!1GAk)e7b+&6<( zxgqd}>~-)Ap~-T*cy84`S@LGKKy>qFxkX%$YU0rOrH7_qgF(sx1p|naZWbQrpqbm( zcUuz_(@auyou@{H{Pz%Wmy*j!q|K_mjN*rJRMdP5s8(JGsxpf54`{q?=hc!o+vSe- zM;&rI8cU{>F^i~>Q!qu1^=oewfI@!x_06aKPapOV`?vQ$z58(gRw13tv65mkP?q?o z9%e?GYQOLKw(W8$5ZvA!&W~Y2a7wb(C_$;b3<1A3q7Vi5i%r|U9>mG!bCiV5p;X31 z!B`M3b$Q1|1)x-dQ)e)WH>kyubf$&br|MvjIkgr#$)t7*MpxOm|*Ej{+Zo zt9U|hR+%GW9L1M1c-B>2k<_!h#5{+495WeMQ7>oquhLsbm^8M~LbG@jQNLyjI8-2` z)&7}G5j^o%X;scZnB~!7-=@Jy!rr)v@p|y%;BPm4(>Amw$)|A&HC$_5c>vOI?M@HM zJlF8hzM!*)3Fw`0sDDO)Xa>5J*e=#mFr*$OBH~kxpwa;QYJV%Ott51Ahib-fz*NEk z-AnV)@xj-NV+W>rFkcoWa*M)+Z0?m52sP8H`s_%D`DJ%IqZsRFrqgu9XZo zpPpqqK=!ad1pDO1o4CNFVDiDn>olk7J5lffuzL!(rwS&;*&~dWi;Rhv1Qwh!Vk?nu zUk#LRf{fd8T&$uBoJZW%I6HEg&@i0`9pi=XPb7&@=v4yQFsqzeQ}Cu77CNOY_H!VC z@K&S*;s~{2r2>VcL6Bb}9Kt+GxEDO^J^jY{miioe!}0{VdLeNFrU=>x?a`Z4rY2h#2_R{#=1`zr|@t>1Q!K356@0ryKp2dV$A|eSiRsalY31}SiWfusR}rr zJ;H+LOOq&O09@Nn0oj^-ho_ZBr+AIt6qSXh`iNOd%_r!(9%#^XZwl8+-PmS!OIc{{ zx7CvAU3MPHJu3%&-OZhxCyyBA=Lsbh(Pu*z0Dnd7)*0L&8$_oqR)df`ycxlKc_`xb z>w(7W_onFUv-;^7D?bmZTFwgMixZ9duzt1w$J@_xu0k^r3j=R7s_IVi*yk6E&F+zd{LHR&m&W`M|*J{aYk)@>2S@Wc9(HyIrrT zADobcX}qrwetr1FW`X?m&0qE(?*H*Es^Z>%c=#3$w&;GOf|GH2U-~c@Qj~hS7@xuz zQpypB)*e~{_J2=@@mIU^)o$xB!%}x1ffJdAFm-omSV^>Zr?ZIN6=Bb5G^WewRYi`d zts1?6R>WM$Oh7gCA&(;npIicJk7DE*$z{a=Z?#&cxvCzWql8JL4ltY1WD?%sNz^Ya z-g6Sg)(g8Hm)))x*g&$~PIjFN4wktH>3=jnvkK`b5IjB}p(1FuKC*>8h82T>8q1dv z$FK@bICW%BbqX6ZoGU!PzJpn?g}wD1eC_|w*T1~`KDKlVa#)yE;daqm^VknzDITx3MiI3FVR)l?$$3tgk~S zDK9rPl4=6)RUpQ)ikivE6*GsLcJAviEbpGInvcup;~q7@{sZi^diW8q9T`a zZO0hnx3mS}SEUMu%W-j_l08#juXdms<|bVFE}X8xjILx0a<44XT-Zf+v%QLyY!5e9 zbYP;ctnhNtuE&yYI(U%AdXUWToT85~~0Q&C#;YEF~RtSy4Sk}ykJKXC(#7Mh{f|k)$+2G3r)a_QLN?C{eF>+1791mDo~o6>zTi2BR(LG&R%@rFyaHVBjjr0PH^rhj#(qq zc^wldsq^2vi1kf84z>*0_0VmfjnTV~-}hm+S73PAvT2Wxu2^hQh1R z%mqx>jG;qoV|5*y)X?#OE6gf9lKU&4Ilbw()hI_BFOlga=`KQz5Q(a?kKLyP-ynTT ztoUVnli}g}ii`w%j*!7}l$Gf9p26xR10dLHp4Qh(mT^)I=U}tRyc>cPpiqd5?IEW= zBog@#`-U3pQK{8^i(a;qIR>bbgLOEO>{O@@zH{=aBsYtwqfF!35$%p&K{pCs zfB+^KFvyKi<$^9}vKLXcQhU<<2DOFPRs#jeCPAL&kC06g9-5F&+R|BTONmJ(l`D|1 zX@0BrxSNU1S@H(1NKQOFSZR_DK`E?@ZW7>8MC7n!T7t{}W>FRYM(gzRYI{q`ATI^; zn#?E#{_7Y%yj!ShE~S-wtVq4@#YRgsDVAA9BBUey>cJwLqYeJjo~LYbzrO;bWM}~f zv6Ze-@={ssMp7J;WamN}OWLaX#mMDSWl_`7Bxj$dOvd8UB-yGkL8-6naq;*ElqjPe zqwn#wBcI;md6&zf>oCiB?EvY|NZQu+SY zBW;S4R^;*$?kho(vCC<3FGI{4Z|x0K%(WgD_p&cOtH$Yj%mUV86amYWIWe@OxXvD@ ztwxnGiC@;i=M2AN*YB=8sguW=t0%J<*m;eD4X~J#aRMPSz~wunK0d|eF~`DPJnx9e zBSzhd(a84~Q)G#KYh+Dmc3Kt6%uE@YVr;qWNv{j%p;G^7x$&v|GaDR{QqB2^!?If+ z)n$o!{^{;Jk)Hq?ob0bRuQH@THQ-d$_?*0Qt``fhcl)eixGuEmJ2XGs4at8e(m1Da zYGL!OA>D!}fOOUM2mY+~2Li{X0_jU0cgrCxq6fi6aQX4W&lUnh`$T%zkEDK{=SZ>L zuIkj_1s90^pbFs$EFOgjumhghA4J%}_G2+FLLt4>+XZqoN`=>mnSBW=gUMfL`}$;C zbS6|r6-ZtY&@xF0BoTTaY+~j);3iLz`2q#x=(+}INr0k}eQ>rytFc-r8e>~%aT6wt z5K9d;;BJY zP5^23M-d05mM$cDl`)UuNxEc7*MO3M-pNQ7RZXL-m5em543o)KNOMMAB>&}RJyjI^ zr!gq;8kO_X(J&=J$P?^7$W#4)jQD6D7j-?M>z>C{X$RQU6v(c&-#2|qNXHBTFa-fMg0fTHJ1Pn;jfi%@ zh?1LYLTD<=(*{gnQPV!ZSZYAU2a={;SOB6R*IJrc4>INwwG`(zLufLC-O=B+uvnA_ zHRY1urJ5_BCpjWB>HrY0H^01p_xa)3DE^f4$p~Rj_J4(k@N{(^#AfxBVxY19y(vm^ zE%L?};%g5KTm~U9`j>yv)gSPM=zUI> zf`>kjHG={+uar;pF8iGtpP|L+`?W!MY6rJH!g~PK3ZGhh_0#>QPam_G-mk38`dP0Y z{F)?L6Ke)0=|ZeM?oT#2OWu_lkx;YnQmCIHY?3_$ufF)tV7Ac4VawVOC+$Q>t}Dz$ z<&(%wBC8}uRj&Jk^+uHr_ns}Dl{lo_+TZAS;AUqwmL(})gYPuMyd_0~{vR>&jgQfwZA zZS1oz8}LUbIh3E12Zz0kk;&*twKY$jSh3K?)%x^;EopdY8Uudk-mu)**%E6%*!~++ zk&0#6LEKo!!Q~y?-haE?2=_z=MItz>$CUNHic7G%)CBjNKR63!x@rUcLF4Zkz~UezC(PVT_nv92=WR59)^C zRXQn00Nq~!r;~#>U;prI^agsv55T*gZ-^c~K)jn^1+1m*01hs81KXm1$oR9-zw#)- znfweDpe~<{um^ZFO_n@6*`b2+!RM&%N|@A-e;2#y`bv@{_xLSd6o8;^y|ji0VYre` z?#*?SD1A=YMX0p#kq%wFvGf*Asfx8Sn8usYY`>7!b#aG)k{q5%nQE|k0*9Dn29)y^ zIL0Hup--~~&_k#S6A6Z3hty_z9tctP7A3XaZ!_3y~ zO=w85!Kq|2MQmFXoc+y4!hV@^E#nErlsN3NVRX!iCXP_v9h$xUZb=bmsH*@6E05D; z&sK~Ol45rQ@h<%+s@@Z*y{*O6QPC_cY7gd@UhskO;YARst7lqs$e}UC(VGLi~~kU6IVaO>TTKQ=!D0eH9L6z6{IB098}FC ze*?XM&F95By|W>I16`W&-Xiru3Kk^3T#u!?w7&6Z2|{$^R|j7p z)X2H-DAfN|{`u@brvF`B3{>cP-UVJvj)g+YJ8~^gIITxbk*x&(SubeXNifJ5Z02d3 zIk$kxt0Fy>R`BY{FL*ASsl&j?OXkEml4 zDDd-wfn%2cdJ&nFAprc4N^?SUk~+DhNd>ty?cOKMVn0NFR(ki4Z^&dq(i8jazY*f| zy>1QZ_HjdIi_z>zLKS5SFiU5MN*Sx6=O%j|bK9nw^1zC=yu5gU=+oT=s%u;`qJz1R z>6^kqYl)1MXGIMWZZ?E4TWM1k`vW+FvL3FNiL`zK$mddhyT`G?k|9iS370kg%X3yv zx+A*MtgNob6SHfjBQ2lq%$RjTt%YC;e_9YbWq@Ab)dZ-_UFqp*?7=xY7#wnnX--gj zETc-Ge@|O>)uE>}BZFt&jaiSIx6OlZvs!P&0n+nRDaOnHj2A%KJ!dYyXcX0yUeAY7)6qY9b=xLp_3M!I(E?8^JH-W9ust4!j6s6In#T4sEkLk!8mvQ5j}Q{=v)Ax4&TZ3 z>F$;S9QQ}mJQ?7#rVQz#1Vm|o&q^sG%A8kva}TnJla%rUe-R+F1mY(4Po#FkE zr^#{%M>Nhg3m3S)!hIMC$8--tj6w=w}Sd4SjcX2_amMLIsy48%Gp}q%WXZDL*gi3+|BYq^u`pEz$Gpxx--Boc) z9|k3M;lS{#{$7rG_jYAHkphJyXN(2= zg*k5^h`BV-HPFpRbkFhcXxW*I6z4s#>5xBIWEf}Sq@KmwN~Fc)OdxaN_Y}k)qU_=k zk{CF2Ak`sc3_ppQG;Bh&>jDa$**B z44oUc+@BE!%mth{?gT`xHK*Z9*xkVY!RWh&^)*s+jtF*DNq`?1{dojV82m`FaSe79 z1Nu_=Az%~v<>_A$Gd%@j;U%_gAd-MLwy*Qx;r}Amxol@&JKnv;uzI|IX%~`{snFh0@--#+_ zU&n-!$rlj%M4&y1;BbAQ=(rRc6<@c+g|R4Sh=TfCNI_X#l2fijjTcp4c`->}QPxjh z6HwMCV7FQTG>_Or6+?w?INM*-?>sc(WYHWKy5Lh#$>K{;vWJP2yrW3$$}7u<0T=7w zig=7PmZ3jKS7E>F=^>EmWw;qL$>t3bEDT2Dt6(tH4Xm)?OPBJ+H9b+>1%;%bAv28g zGi>Co{F$s!Ri=k$%6jDo3^WdHLCPlDN%DdN1|vv?5b3T6bV0i8`_mhg@8TKpyrc`{ zL9vlxRFg@ChU%j|qu9!hc7MFxLVEUS3uz14Ln25$IQ3z$S8I8NaM5@hsiVHWO-`}! z25^dE##R?J=Yaz*OI(zLx`|4w)*M3ms3wa%#gC>dNjth*O>s~D9J4|n|A2&-gU~A? zsF7kgIG}BtWDEQf^vPD-X5#sTgvjy1xLG2IX++?i>YQ?%551-W}Y6BK9?#bBuZ4?# zJt~Sr>B4*MM|=D0^5XXP5`b%g`|bDPzYyQk5DkX%izI^S{^DwLxxPXe1-ABo$$?a2 z{W|*_PBbN4g_5#RL&v)4eGO7p1?6O+IquF?Io&K#_g95P@~C_%q8wf-jb@`ZXUy1c zu98`PLz>6$%kcb0XyX9r5V<7i`xnA|doc=wLM>CJp#=*PoL|E;MCfpJ>a)Qk+pSYG8)$ zDD|0m2Wd1Rlm%>Ys*AhX-rU}-ZZujLmBZ)|Z)x4#j4lj=Egbg}qy%0u4s*QlydRI9 zg}J&Yu(SPU_qTllr5}PtgT;ZNPsYyPB|Q-NVeoB5^x|@Zvy`nvIiRnv_Zc5WWtJnB zh8QA5UCBN#T3=6D-65@b#j9jozp!`f-Ah)XLjeSMSYbci~Wj;sY-H9TEsSUfGegyZiV!#=Lg4Ekzkd7m{ntNz{qwVtUmm^rdi2BBqaQ)1Uyts;9{u$7=;wC15AVx3 z{(S~|EqH63H=HrlNXJ`ii$7ug$TtjPgh3NIirK|VdgdP}l<&YRXVwQ&%Lh@+J|=uc z_eZ=N^kiJFUxJ&OvBV0z3|Tej$fs*or7RckGcfQdi_7#?6WyxH3c3(%lF=um3~MSS zb12uZH;~;2-*jw5X{#!^85&HWK!v7nmDY!(H)%`{yxHfaVjsc)p$jk5gpE}|5F7Ty zV(D5Lqs_);p$cs@C~-WOQgoH26~(wcfNU>^WO(NfaC|*A67UtwsyC*LiYw%ZFYBExNqp$0=3F5$=fhbtO!KS0t^H5P%ZGx5M(KC2t#cOk z@xwiOjr;@1oBabaK+aI==Hdq3)r_k$fB*=J1fuYQ87=q>gm_TnQ{vym(>E{sqqPR* z;c`3?HkQf+dI3`1NS1Uh%AjX3|_JP}z_*~l!pMp9Y}JTo|PHo@14_94T-C`6|B_T)VFYi?v0|nRgGL4@SH)I>0qx zH%E%L0@!NLR`n=m1WA?e7NP_G?0{C0QPrdJ;GtAUm`1uoh zc>nMjtM=yM-h9Aq!Pt^;T+-4J+M1<%Z+e^f2F1=`+Dz<;zF2dPyj@1uP)=aEEV)qW z*f+-urD!(Fa&VlrS-3!imcaxgf@dv;{TZb|gg&Nlx!yc^PC8d)rD#8mX5RHkqRMz9M(O75z3S$wHv}_N`5(jY*`K{KZ*8wk z+sF5B9^SpbAN~08!{-N4XoJS3Zj|qw@h39tYC^qv)w{aO5`-d+01>kxzSWUi^mZt@ zq0U9?Y9chlddVl`OG~M|-n+n~-9@FykB{rmOLp(TAt|s?@NIO8`)zzXLl(`;k3E%F zT^iTTvsFc2*4IldxgO3Gj@^gYu^L}cdR~jdlmB(EV4*a$!FP%Cv{6jt}yA-H9HsKD%v4cye~^xUm6uXzOa)@9Gmuh*+^#s5a2U z)`pkYNpbAV60I@TH=%pAhvjWcAv`dVPx0)la}?1FzZ!yq^4}MSy)4HQhzUIDOK_Z! zM8LVB$}Nw~l4MG_-AF^w?!$jJwnu*9{7Gt#MN*Fcgy1 zgc>9I5iygN<0H2u#9@vywdyc;q#-fPvAo>Te4w$gy(zk+TDuSNTR_QpNbHT>ObAv8 zr*l!3v^_yzV84fw_~kw)b0=4)7|~|(f>r@!tRm_dqC~<{}|bbWLO}bec3_h8p`M8XgAaDP_2YVT+=Bh zkEQ&|l=;(lnLmA(!>8|Zh`WHcH6LJgzD60C-35Ss=$?3Yrbz)JB$P#1L8+eWHyO_> z0X+3WzQ{7xv?{CaAEApcd% zlA`ov+i#++5y!uunhc?Qu|`n{C^8H1C3HL0trD+E=%W*$phbi+=+Or!6~oS}Jpjk= z+OzeG-$QsdYQ&Efjm^Ilm~G)b1Nbc<;*z$I;(KtaOKmaax$jP?)DImS8Ge+QWyXqx znsx@D0tWq1IYRj>)|4Auwo^AH{9}77a zT3z8=ul&Q6La-o=0JVI3VMyvsG$F8rVoZfM4D$<_y*fis1eVAKAuqEL&_a)FYH*tD zp6qSWL?xJgf2hIk81+OtJ6Hso$@NHEV#B-F&}K!p%G(zM${tMSdKMInEolxnN61vH zSrnrP?=C|sX3n9e0Wq|b>CtF{@`f#k4unwK6Xa#fu;Z=I$!1%`fmyMF5Xc;9p<3vf zd5KC0H+g`gIj%3OuLP|q-UGT2=b!DaOpmd@Qc;TbaD%=ECMu1ES1I!VPZ#)hU4M@q zhORu$(0zokQVC=<8)X9}m3jM0+^6`5trWWY4X;#kbycSsXESqPOY+~mMsf4KN}m}m z`~t{GQs_V(NH}q>R%F2WId{;sow#z2>^r$x{)PSE3HGkc;uJvojKLEi<-G?;mTrR- z)@T25h;$ng8^4*d;r2BYn02G8Y&PIRrSUm=R(b0gl1*n!p3+i@tBWhB8|pSZ&QycJ zVvK*F79&eBa+h2g1hfl(hSJ<0QDUdw?`|4;eyq(15(n`byNt#48$hIL(?EP2-+^k7 z-wCi#P;dVFM`l?!X2{#84FdP@d~2=YkUV3uMR*pm5v4c{mmU1T)bUpUnnNNY36G5S zvD*HX8=IxSujI_8Hx+~=8Q{vLoXlz#v(~KCz``;h#(U+Ee;H`uC!GDctex(V~czT_~hExTkJ4CC4@q916}|*OTG2N3C);(?4;Px zazKf0%B#z*Zh-7q6&_hEHt~oMW{?4Rzeu;w2K*sg!#GXhz{L~ft|4sbbO9X$k6G+H zlOxWU)FAgA|4u-q2;7_9g_IpA8Nv#~WV`A8GMBrb5K}Z(=a?nHRfIC!e$(Kf-E@Tq z8c$bDM{pldw2c#Al81x_MwO0@sf8w`v@5Sl+ya0qU6DL99ZkS3w9ESmTw_BmrhotC z{^vJ`vy-XV|I3$Kn1fYWu;r~x0@{GHDzF#cK6%I0$s&aLee3WU3%s;3%9Oooiqp@tsLM~A3%EY>r8caId^ zoJrA5;*(?niPezg8MK?HbDA~7LLEmfNR1~6chD0odMGpG%p~W*T8%p~wD-gZD39O& z=SUuhH$?@G_>@}bP+n2}lfDI6>XUI5KmcZ}5Px(y8+#ZtAVpm{OEFT-{A_vA_Ql4c zr4|X{D(>nE9B%4$h+JkWxgH=ZMj@ftBt62`!^pxd}R0@{>pMf z7y;%tZ&?AwkRFQ4z=xJX3mzeG{<-hz>z*sf<}P$Mp?G zi33|l5lplc(b@}I`MU`8@YP^18`lwq(S5%x@_Mro0@4q92?QaAEwtrv>^nJnf4H&t z0~2-sT`qvntX5eclO~WkI8i{2R94IBHc*`Bt)0&8z2LXF}x&QwAtEBSD&FTICGzW9kn?CPG^OT{HXRC*J&1eI?pJ1J0i4;5+yIx%P6|Y8R zwH=FrF(T4%&aYQ%!(xbnC2*`O{tW3C`eqty$f(vVer3eGG}dymygS|VN8H|ob2Z%f zhJlItC5hi;=;*Zj5f`&sD(u+BIIYD{LU5VEapMDp_N*}u{}i!ZC6%Fj;p@m{ZGiA zyQ6_PWokeET@u#gXB6_Oh)g|1d6%Lx7UcN<;%aF-mf@om?l3{LI;X1;E~gCt5i;Ed z^^{ArX#)Kdbq~T%+jBGFG&9`XGf&NL)X{NNo31hDOV^%3fsj#3cRT>b>$=6$ltPJ;bwv_*=t% z^TA2-4eo3?A@YiZ#1_3+3_mKx)%vL9gJrnNviX6QlgCitiPEWI*iprm-LRCG*a1M< z52}Yum4XlhgneVY2)^X{QgRcGr|HT4!I#Cw^0|vKwf;nUoRI!#JM9thjlZjqOGt|L z?2Nb+y9jzJ!H#Zy&^Q1&p-B06agMUH(3sXZR8M?>PeT~R?K%6TTuK~^o1iX{!mDA0 zm9+Fg(ePjGd)#`ld!I;x#K}wMpiEQ@sc(d-z_GOp7ix=bSc~2!ODe#Sh*I!N)S^M zcf|B4bT#v5ZBT6#SP>kaM!Z+Fw)DmNebR7sgR;&81Ac_t!({NnIXuwFI;i-+W4)v- z5CK5T#{obXegXi583F)O3v!x@c1rK>&kxArc>B{xe*fgEspWx))5mpU(^`umU3A*A z;^@Ya*aF-S%Ej74QTBi^G5-qG5wvsvvaePB899-;sf<0R9l&pZrhpMe#g;sM4WZ0a zfGm;cP|nLiiJDLz6fx;zxn&+hN7u-9%}5l~e^NJ_OGqpTG(5Y=X0zaSH}Tp9Mbx32 zxTRj0_u{^?duy>>&(TY9%C8M{mZmBqCTX&YX6$=Q8gl4 zWC}7N7D@@**R9%B<+y%%_T~dRfHwc_px4E8!6H3{&aMxI z#BH>f%zP1pbM(;)UpN=jC`o+bv5(EX?r!4M^s9Z0yl(MpzS?@<5rjH=ona_oCi-`{R#cuX6WUh1BoI zz_gwtluZSi>G%qb$k5JV{5$cq$yhmdr(!TRvuaTR;VH&>3{Y}qPb-cX1&oTM8X--| zHs+4-u?*}hW+p5(TATWGl%#+yAVl`lo6ipb1U>AnaSn7lwI`rg{B92_Y41P1 z`S2F$xxYU+M?Y>d^y6u~bP+~A4u)<DqcLP)J3oV7_CWWB*jcNmUO>HvzGMWi;jcfj zLpW!SqEVZ9EqjrF`#egIKQ*HxFn|Kg1!rZ`gH%XM95flVcwNzc zF}5B~KKQKN`DR<6=R##$^4*=`*MuM7c@V0EMK>vf!lG5Im#5!ng0v?ofqK&ttzc%@ z9yT-7??%s?{u+SIOlk?qcK_|6mdQ`uXrACaA}39(g|k`woaMU1*&HX z=j-}jvUi{Nzutd%`wk5&ZACI4;Mo@UINJnO56)=N_wZb$bY}aUYqF0mS+dbYjL;3GBqf|b;n^2DZ=RSd4{A=!7BrHyq^D?g0)@ArBAnXE?1+a* z)2*~P?ClEF7Wj~AMBj|B?aEONGXt;aZ@179k{+J$Gw0L(5E&I8P+GFJ2n0mt(1MO2 z0?*}K3Eb!dp=|ANh}PSNq(wGwR>%ll*lWv(!Nl&Ut??d(#w+PH)>GU6W|2g?IJPJ+ z9CTdv;60pqfk6W1oQ$1;%Uq8FqsniDXM94R!)s(}G6&I0(NU?G1RxstBu>5=r(}^9 zhD1Iqm8g?(Ryc*_g1iz=RUk*2>7b#`kNE#v{Qn;R{|W#9nTT=A2uiIQg01TT($&v! zJ_6a1nqbtkGvtW&&SCvZ({mVgHG(YR2JUAKQh#KYl07mMP!^rdnVC86RngUfFlH?; z@idMJ^yM)=nvRa7WF$1m^*hrbp*?o&z6uSJUMsCM**HVou|NukscwRp@(!h-n(|Jh zLjEd@nYO+Fj3JT5O7VFGd*GwaBxwmRhS&yqAksOnA^l^`su5kMbX%huoS*fLhA`d;1pYSJDk_}hdkWNP|P=2{PPt9B4^h@1a#z-fTibqnS0$rz;DCVXObhJW+ zTp}wKsuD~z`(7-!7bw92jI_O`JaCO%cm%k>A*YMxXN5F$8Me~YYrNlXnFw|bo{E)4 z^SO*PB#7IK?;89r1{>nAbq`r-C334?rN7qKxxca=()}@)lV_n#JqvA`Vtqm5AS2K@ zXq=nsGUdwhw7VncxT-&5+|9TK^t3-mA18agxWV0KyMt>v*w5e`y#>#L3o|-~>_Kam z0X@h{QOKqVp92^;kH1nwDr!!L9$XJJHmWy;1fr@tzYE;CY@=jrst(CMOh{IP=7v?2 z6!XS_P~#LfLO|CFUv$OQDsWT`QtNn*9kskk=|ci`Adn77Bi2!pB?9O{IGX*A#b^yS z7Nj{XElPr(ilfP4i!G{^$x9qQ#2p)1X_4{rPLpces!4Q*jU(RWXYE&OB4(s26e=jiA_^WNi|?5&|+Ch zfMFf60-^AtJc!ak?XhlfrVKJkO=Pxil53YA;JoUo+h`u&Y ztYfbu36va?|KXMypK4g?ya)16Kzp(DP`CU`>&t1Okv~8wc8jiyyHx54-{*PUAr)K2rN5UF1-|rY@f=NC+wqco_~tD58-y2v3P7j3?`D)VH13GcuIqtJ~CN(Dhn-~YDZXDSV#J& zi|8tft>;zzowW5XSR?}NGoD{958ix2ozwm1)0>}vd2{eD{8y;h^LRrB)yyS2=T@L` z1o}>!!;DX=CmF}mI;aT#1uA;!WH%FO|KXQ6?}5nA*UVR}Oh~{fF;lT&>+pzE51;{q z-(JZ|qOk$w6yIzg$+uLetqr)|#{+KwQ2CsmEvT-QYUNSi+_R2z zK%{_lkE9g7Q?Is}(rXp*Wu;GU988Ncy?VgQLY6srA=@JI^P-GT^0xqkmfuXte4SX0vu5;)| zM|t8a>T(~MXRUG^SvAk;S1V*3Is8Fdr6~Xc{K%F8N5n*iD)Mk+$d_qbVLvgaz2u{8 zL@AZW++2eazJkj&qw~h7evDgn2$N3FQMBO+djjrUbIeX=sWX8qjT4~vjdWAwq@dmI z&_UlNgy=A6m;AifKncXPFnVSE06xBV1yc(FE=@>a>My8M7r#LmAbxE3b~^v->(_`w z3~f0lbc;tphce~p=_y)5FU4#v{bwo7pPHA0d6^Qy;t%XZXImmnF%@+qPc$jyh5CKB zL`8=0i&NxKsv-&TcL7TiVeb=K?EY!BZ(R>*PnEl<_%yCP6gi|%am-3HHrruwOXSyV zqS&|<#BI-!FOzoxIZG83pr5xH+4jctEh)M@a41SZ0U|g&J0-M1RhtPyVv5~O{c_cpos4AA$dEWo*Isfc+EbbTPqa3jqYp*xSdW=jS`SfQ z4>aU_ZwenuHGch8$%7TF7v0Pa-5&+XSrkHc2T{bX3lQ0OAx$R7Qf$a&7t)C`LW6nQ z21=t;-_wLPH9T2y(Cq|iwXVT}CjxB|E>R^T=W4v=M3C-g@OIBqo`Dsiue~V2oLiDY zS{IviB?E7JAjla|xuEdBBJ(cxv>s^eX>W?|sdMH4<{?E5S|cAr>5j1r@R^M8dSgm( z6a?U-SOlVAif}m5GH65wf=IjE4eoOH2X+~AGSpyzfn!0)&?_^IT(7K5-I!#Hl0a1` zP;Cn2S3kUaK*7u3?mvCL2bBK{E6f}m5IRc)=(B@tcsj`kIP=-)FMnZ88V#sAA|Q`h za8;Ktp9_P6(u96?P#N}6lm`Zag)~3M_b`x2;X`E`D~5@M*HNYRM*xwU!~F-m-TvX@ z{>NXxu&l@Df4un>ulu{}_>wL~O^O0-6Iwn{hx=Dc;5#mE5c5JK7ydv%rLb)MTZ26E z4xYj=_MjeQ+ia-k?)H=ybi|3Tgm|{e4TZDzab@kJA6l*Bp zgSs2^Cx0N5n?r2%*=j&T2>gcwJPQ4qg zDShy-sKWj533a%EKK{qIpz-?;58v*8zJGwAzh}V&kZlqPDCu7*h9PNm$m9<-=Z)K9 zVZ)>fo8mo}P^kPMi%YB&0>=EaMxZP18KQl*pD@q}PKoGRHKLE;E?d>E&+`sz8Ul;< zYJwrWym_uY#oY?5Eb?G31LF;*J2X?-jB8#|VPC*Ljujsm)mO{-=+TfW{5czL|6>wYAxnZFY036L>F6mnT%{RCx-_&vl=u# zjcX^yb(7ysq`tSgFATO@Ia8-+NWPJBq|jZ^ICzq(x%BHl$j+nqgAzo!^W(#?Z-}tE z+6k6w(7e|ft3AN<&#b!X6VYhpapS|R9r+>9kq`|YDMr0>)a!CXMkSX!Sj#y=1Bn9! zS{Nh|2f97nIL`wU^*HY@HtczPaIyVP8f>A4FSP@t(n33kKV($YfeDa|SP|l|3ItYQ zufRQ;8Md1%ck~R@vrQzbrkZTx0V37DZ)`KBiPc(~R5)qZ7{-a;lRGT zzBs#BpQ1f)oc2yM`Kc=Mj+e=!zF{)W%EUse$-LFiY+? z{|d78^OyGz`@f4kl~u0}X=yBk{4@}pnKAT!ilQyS;n`PE)u^%ka1X`PR5tm`9HKeY zFzjZE=U%gRyMK=K)OvD&z=f>M0G&3dGO55no!s0Y`xuog3B{#~BqfwV>QHeuS}qO< z=HKyukW{UUo$S9$zSlxswM;<`f_AbFs^?pR(D^IVxTr4ZY2Du3T50f(l^ zUhc2guNXN*d4e6FGowFEzMX$N{!=B0ZuUrRD5VcB$)n&D)B|$kzH&lEA9)Nx$f2Di zu47XgL_K&r*&BN3D-<4-&X-ICZ(ol`uRkTvt}lc%aAD92#&T1h>0GY%Bp}KVmCKz_ zyN~q`P18%q3p8^ERUC;bau7p^2cts$WKji*xWkNXcWu|s#d4tTinhDra2k3LBf;F8 zvI($5H+6>Fbv zx5O5nqrw9K36PKae%EtkyNipjwzmp+4}Q=ZR*sO;>0`@gzLLj1Cl43$I5~I<(RCau zN2Si)A%|MovU#`ryd_bqoxw@2;#7Y@Y|d$Ns@$wXWS3xB_GijWgL0^N^JLd zQxxwq2W&EC1fmg}J}}jscy`nd)xk8W#%KJcru| za#skKs^`Dan>l1$VL2l^Ss#QoTX$Fn^#{&~PIaCm{mM*lnT%&Nt}Tm(iVNC<^@3bq z&`ROw5BG0JFbKhA@)iWbKJ3Uk?}%Rp5;X-Kl)M?L!!~+oAaK? zc2|8Lx z!NtEO#6LM*c0Sm6qw00s&yg#SO_sZ`-A6yxg=u0C>hodi=HGS6^5B*~`dR+-9ExuL7#Z+VRUky*Nxf@lDU z*BL#zI>J`w?aRu-&~ool^a(WC=Su|99vc;k$02Rb$&M-!mNxrHw5VlnC#ibBBpYsU#Vv+!qOI8|c}U5naIJ&VNPH2@Q2tx+{x3=HSmB70TS z0cPbExypljZZ*sH$E;k|V6JM%jS*ylctocltQ}RM9sFciROuawevdzVe2=sJ=HcPf zyC1$F)VM!D60!{L{Y6kbRrGKFt=z-&1KsO|q>OGP@CZampa1y&?@ImTBnC3iVmmWO zLH5XEws@K>X27aYUMkBqoH@#es1pKiM}{F96Xc|(r|8#q@|srDC!gUM!S)@(AFCA- zSo(eTJUhTF@pU%q_%^oa*XzVBfEdEClo*xIwBWs!-Q;qGGss;>e&^F8GgEZLiOQ1a_Q;n za6UxjGF<})C%P;8haJTbmY5-LsBHR^(GK;-4Ucng+%dgzNzWfk+hw;H)EDbLYnPd|E2+0$&EQ)avS(d!iCw`*arT7^7$n4|r-Ihyak&2*0rfr4d$@nfY`OO?Gl|?&0@!&X%BZmfX3pvTk z_D{4;*aV)(lH&)4VtD9s0oL1mDNi8e(HUs*r1rRb3B=_KuNxBA2eWWwW+85p6P;&C z8>-aGlbE^B6o#A22i1C9FGDhIGh;GLn3yA>*UU}JO-KJhGZdIkYw4a`$5IaUPcnjA zjOSQO*5-g-(eBuJ%Te^}lmonD3aWSPn}W)})LpsvpvT5g1|e#ad98K6Lj3U*h@VC> zaebLBIN3K#mN=;a6USY()qu%#uW>M$R&0G~x2lj+log|wnkf$X#T zYaLgk4-LkfC4G%sXw&)a+cA))Ah0-~%HR=cSfT8NoEFrQjQmD+%|=P(igq^{h#>G> zhs(99yQCR+bWJMH&B)D_LV3pJTzQ7)K|sq8E%+_5688#{ zgO_t;P5p4QFfEF9cKm+eA>uA9!p(3>!q703dKu9B(K+^>u%w{n?Ikat!?phH{jjHCJly{GTrw2nlX zy3?!NziA**BshA8m}y!yL``!mcd@`s>%7Gjv9UZrqleQgb8jF%#-vB>K7QP)MVpZG zsNq$dgWJ()ID?s}af>1r=c*i4A^gWj%-*4MfH??-r0FuudxmnHX}2G}<1&5(j?69qoUqhDwXgO?lWWDuN5Swecla+<6LWpk^18uQKb z$WGrBT~7Lgz}95{;YAH$*ScPjbnO{@o)j);?J{!~fXd9;JoK8WNUk${0E>GeR>*3J zZ?ROunS`Ad1NyAR*;CChjsvMH7}0 zy;`nOz8N8(P=5G*2&d!DSFhw7+155e*9r6SS|z}!C!rytnDzzbVZx)t z1>vrh{mH9SNb2-0p2g$!o+$LpFS$U$x=VWe=Q!0=Zc&E#^6J*x8t`n;2of}L-{Hwu zwr`Feu%?OPLsO(!qE25NgDmBtbq_p3zjOh^lv|@rk=rGf$uXT2)wet}$g0ah=-Khe z$14QiH&Y2;R)8(#$w~3Ej9^$#)ZTGtkiB;iI`uVB9RUA|>gH4;^te^#$Y;rzf|#ZD zE0umReYIZ8zfxCqzZo3w;qwT^Xw^b8VI`F~8BD86kN{ zDsYUD%#~4nr1KS0J>XML9%kZc=vnSaOkVKD=-$3p6K}O;bfxF659oJ=QYBb|w=ff( zsZ~bwBbl#(`-4{<9J&REgkvc-&`nGovr18APtm*!0=~pN$aYG%hT?@`aNnTPFx5Pj z>kAOyCmZyI$hr(>KbY3&KUG1c-qyF5L+WI^Pu`Q!9>gW+DC-%6oPlbUAz zr(>Q?k$d`njodAVO(_bC)hFmGE0khEI4Y3I3n{3r(MW65P?;d#>R7`Tt4I2G5+s@& zOQjme5PqZE6>@c`=J7w!cxI#*ITj4s4xE9?2+}J<%7gU?rO<*uU%Wcyi!hANlkLzk zRB7NV;Rm>DiLfn(e7h>2mA6dqVqJ>*UIky{uKZLA7}=N>AQ&F!SKIEmd{B}t2okp~ znR83z+Gz5tEdX|U_+;hq7cRxCND=uoAn(C5pke^%iIl;oY^yaGFD_r9St5xeXEwkQ zIXFM;eh?|{A}(raq8*M$(I|>Wm)sLSTu79l#?c!0*Xf-V@5N7_h<*wb?MtK^V+2U~ z^UWKrAc};*TO_kc8TP};vuFRoGVJqDz=LkzfBn}Nvc>0`If&n$p;*EHcW{7z6A{PP zgLQ#!K1U2?D84opH7J8S-jBKLp!}<2<^KW17 zJ`a$Z20aU1#Q-Y|jhDV2baUN6EojvbYX;-8p;>50AO~OVs`U!C(V!-8|Ckd{eFjXu zY&pUHWU=Qd_XtJ5vMh$!1z1L=2MH%NN7WerWg5QTZ2bnfoB8>vJcwd{oR~|2BU_HF zx~}e_X9+#N16_rPbe-O&`$05QU}%g$sEfEXXnVo>rYI4$2{yEf_CQ6Q=u9E)Jp19p zzrLc26EH~czJC43$NT5o?FXE{58u9&mj}$|1>1dg@a!3q;5qC006@jBkK62WKFLs7 zMQaBq>@YXPU@4~vNy~M2qs9IYm!h-k8jU2rEHc*>R%MSA2Iy2|>@klQ0!B}ntDpxm z7WhDO&O(J)L@Ma92BV%r{^PcZAbL~gzawLw3G*&~Pf9gTrEht$6u!k+k$A7 z;WX$YGd_z66*}pis`Mf|g+)>sFM7m`F z=?(oI?XT9iE0|F*7X7eQZGvo?z(>xO z$Q@D7tC*nLN{7IZ4PhaukbNn|D}~@QENnR{_e(kuZ-~#P)cfvGWl<}WbU7(CTq-Om z6>yolIzpFBoz@hdwAQC5u&Wdkls5xtQ0^vuMX00j49NXvUeO6HES8faJpO8F^_*(e zoE|1ef8IXcgMkNwW?GE4^UeKq+wE@;P;Wkb`uLCggAbnxGJXEx?G_mt`q4rDS&$lc7X~;Sj`kzOa%ZHn>FcmP^fjI;w7e}fe|Mj*qq>fGk9 z_f~Ju&=&yjKLBfla$6pWm~*dl@UA~{7%ocAJUHdfWt>W;N&9TZ9zx5WDD6pLf@Si1^V3E zR9r0e-QojEeyn$cvZw{^#WmeG+VNR*619C0o-&yZhcx{QZihLG8Jc&`^U7$eXU*Tj z6bA*~q!yrIDoQ5vunDgja*EEOsWI{H3t{%CgoG6-FO>KXQJk}elr(L5+6p&MY;R|z z!a%xnbaS(}FN@oi1G<#if!EN95CSUOnKmNg1n|!ut&hM^erq5;xsVw;L?R2GwaseM zr&M|kve3EiVzcup`HDoF7PW*H5RH9wu{$+}vd0#h?@Z9A&upWpT?T%~-pRBFh>O`Z zMQ$P6Sw_r)v&S2G z6Qy{%RJn3=)G+0fB<6AE#pCYn!A z!Tm%y#2D}k0HUSRVS=1*K|q9!a6fYzuVjzI^fSy#o`SldM}|RE#8OWzKZk`@v#MQO zJHc{XxC|%a~_h-mjhahkX+p!Y3ltfUz4pv-|+I%6bx4h3dj-N@gwolhjXFjYC z5oxfUV^LCpmpB*|g2z0}G>>o+3tAUxYXd#RUQAJHcaz?B4gb_C0fbOWGa5mGp;+G* z^+?{ZBnGsam-Ag(4M&^FPF$=^*`1srQ+6k3*ca_Y*xEPkpK~}D^@ubo^dV~nU!gd} zWYfEyAr<1^hh8qh{%X-8TT00wNQ>8vv@&T@8`clH`Gc%u6-q>RynMAm1?2P_Ph$cK zN$HK#E7adTUtYr@9lx$%>Y>lhM0`gE?7k_~}C}>o8Lu;@?r`2gn ztFbq6CXgT9$% zrM}s7JmUsXeRL%gRtxB6au_qYf%T`jK#h}gGx^i^Bd~D&nt~Nb!AOdGW?ev z%ad2h1_nj~cYXYD|MkNG68;b9Jb#9G*>9{${NdBxua8jEQ9^Kab^2`ZfBd(>5T+!R z{&wzI0p#U_Q`s{4(q@S;ve0@=0;Q2x@)`+ruU7Ex%vHN@4kfX~LTRI35ZMT5T=!x1 z*@~Ex?765}!G=HF(~?>k=FPe^!7tjZ#i6Q zqK%j)G^J8vVS7dkDKC;KLt=LgxkC09)FU_!MX|&vWZDgz#qnjJu8nXGxIfKsaGb?Y zh7vz13dV%OX!T-5`g*Htb>o1?-EPF&lvY%p#jLU568Xi-3Khe;JjpxJTPFWIs^qgs zz8y9m?VGcU6})^Pmlv0}3$P4;qj3D`m>X@SG~M=RwD?wXlvp3Vvm;c0uQ+W-jVD?a zKyzI7L;;nxK*Y5hzcrSVuUvl^I<}-h4cYV#?usTH7mYbClw{BqlhG>po}uRl%_P6c zSQ~&9=B}ejvH2>4u5WL6oB+{wE5bDro{C(hvp#GTXiF5Sq?1%Ru#)wBI1Brhg}W8U z09C)#dqVqV8LNJ^7_z7`Oo|BeqQF!)nR$T_e&xBh;9TIdeB@E!(GpgLs--?M0yo?3 zFJHfXd5_vu!iuiXmZOXnt&VHQzrt zJ|#%bV$IrXkB}?Pr{M|J&W@S0_y+DT9RAyz%^6#vV2}F-g*#w5Yg1%BGx&UPKKSJ4 z7oaKpy&MfKlN5=i_S-ssTjJp~&vc0`(BI&V@@q&?(`$>k%OXb4M)ckjV>4SN*m}st#)CMaYr#g-6QY9kVj9?>T*$XK_NyEaLl^M%7 z0tK;Z^y&2v+t0r|K0JN>*Sp8<;}e<)6s%UBobdcOy&12SmXUh4POvIYo@0O|-4bk- z|BA4j5^~Qh*>vZ2QmRA^pk(He3l{)Y&zH+#7E(W+kCmnU2>wC`xH>fRk%a~K4>TfT zWh@@rtwPm@8q{DZpNC8eJ?;~rFuWAPDk>9#xD}X(^T9@hTFM~#SD1hWCTf4%3Q}U~ z8m4v1r^&6=FOt4Ag5? zXUX$89MxrF=7e$$jxVCsGDEF0s*m3}BZZ1noSq8T>3mvl+J)!(L%N6^hd@d4Zk$6; z*cYm$qPWIBp6=Jn$Ka|)tI`h_*}lXyjBANC@xrpUd1g)Jg}ZZjhLp!vDl?YaBnc8+ z76hi8ir#xCvCehf9x@&m(xU9Pta~LG?zyN1*^XCKhnS}KZehYu0>JrWYeYco{0#jn z5wq zwfw41r6ORw5|*mtB$N-9W5WNqP-*MJEXH0rpQp3l*jnqQF)2>4&9Ll|C4u~+qw(k| z%(G(A;08ic@QK*x@f-b-*-q9c=)0;0Eu90BgD`|I3dIpe%RLC9(_Lty-hS-02t5!% z2hHycQN}7~qH+g$IQ4nAdUmnzTE+v(Kuqf}L(uliz@go7*)zVj@Dgf{E0RNz1j5R@ z6X`zX$4W@Qa@^v&E+=?~h(q|2BM}QX58rckvGg((ABZdv79R#3;3e1)XxpF@Y|s=c zJ0hJFly|eb4i;1$tt@$c9+yVFTPYzkbU9c`T(z7nRGizecq-2A6`k9{PfyUJX)*~Z zm-4NNNwj^%)w++*DOR8-QLbRycb-KRchFoUdH>)yT9{+H$SlU5BiUx^=OY z=-i<(G^aj}CvgZcHtBbCp_fHS7OeFfN7i%Nh%wmy?fhC!>{y#}Qfxi8>IcVDRefJm_e@J7z^0ojIwH9#shZDQp(Nt)$S5IkBWKf zAsNkp4t2-Ryp<40ma06GeLb_=`KFv-UtIpo#>aAWG!a9p<$4n>$FqS*10Nq)>Iz4k`g~+=r-2Y;}#+U3E^m|vP1R3N? z|5QwdZtj;}_q(+X4H1A)sOdU9LbC1Agt}P(DnQUVe5^ZE;mVasd|;J>g(@xS`bhAV zbEdiq{4f{JVY1AKY*1d_V3$p|+9W-DO~5e=7alIV3r{$vCuHGtv~2D6uzaU;I;#RC z)Zi|=WX?oM-@AXYtnU^L2nn}`>;K0e@y`$R`#%i+@4;~J-(ieBN~J@ehYOhaUk4f_ z_`iJmZ-4p|R*#mNH$%Sr^zX-ykCvP1Goqy6QpT6m1K++HN*X73o$3v z(uA+$_7cU;PYR8eCq*40HmJ*IPo7|ED7m4?P=u+*tLB)Ys_Y^Z$Wq&6B&N}TRyIoiKZt$DgfS&*v|cCC!+YqpQCr7*XO zqu|)*xy=wy?a#@v&-gF&Dw(lbO%G8Aj$aTA{X7USsxOPjEI_ zwU4m?_uC8ZTH?UP34{zZ=)haem&+S!R)R?Gp+OvJpT2^iQdmj;EpRh9F3cs4p?4$$ zGtfIUWAr&EmN;9uo>SncITGJ9m(6G;M~bIbKMi_*L;}*Gf>rJih<;qGRblctl>BK zUvTm$3Vw(@wDkMnfB!%eUh2|k&%F8fQMXzMX1jCr{Kz-~JZIL= z@OO*W1Yw<|6Y%+$YHPlGvMrV!oVc~Dw*$Fg} z&E@qCx8-Q4EX38b`Q;|Ln#|`;CQ^*^<#>)KNPd?eM|LhJm#jML=6N`yqsmoD4*5j?U3ojr+~j>9%?G@^8}go!cm@qL`mhYjeZG^K3Z$+egy8`hEq4z)Uk)ae~^qoZen+}D#wjE5L6HX^5@ zlbaK#2nm8+|Ag3k^|F6qXHdS1M$ULT7z2ES-$p}J?iy+` z-tKk(hW4m@0S}CML2dwUReKXyC#qwY7iVIz<7XyeTO)cvne=nEKp{KKB?^PyIWbVO zw4e64z91x;t|MS^*La7J=`y0?^CZYQGSASP zHSJ^1481v@o%Zz>)gP0#SzN71pK_e98{5mn=y8|qA#C&WQGEcj%F!UM9kmj?&D71Q z!YW5AIk(E45jz&M?U~&X5yXji|08U<)tOtUo$LCffEk`J;phdUGgD!)SO-Lp7bk7@ zN_jY$l_}xPp<{&Fsa4HkD#*+ea3B+&sUj%9vzk>j*+}C@Vj*&f+WdTS5dF-p16+UtLaMU zJiy=?CxHj+=AmGD+Mkg1011v6A?R~WU~s2n0^{PA;ITWJ4UW7*nzhL62iEB!@hwxn zi}ygqVjyNKiS|0+5~KDxeIL)=JzuS^m-y2W;*z@?qw=Bc7YP`-27q$5NLOE7C=ea8 z6sTP(fZRm-GK@unm(7Z+Qe|9lLde@}6JgP_=&ZgHW(<@yN#dWjvTc%l+&^ZQrdTj&pVw&NM31(Bl-~*!uk9gTBkg0Ki_};<1!ht=dQ=GJGI5!ls zHacnr7xfX-r(rg@`v(CB;V8Qo5ss>6dETkyT+N6kWpWmF*MuT z@5Y1et(=r_bU5>GueorraB%G5FS$KOZ3O66t)!Ci==S`T5RW$!K~uSW@ew)BMs(fD z<)Pw|kq|K~esN(FNCHrESsz7n`y4@#F%VB`QW(KFIgO zhH3#k!L9}m0GBMdo-2PT*zYQ&E>EYL6_iL=FO9pN1dM9p6etbr@hFx^Vqookpc{5F z=L7QjhZnq#Lm@EP4eh7#0~l zLNA`rs`8d3Gh)F4V9K?BHS#%G)}wU^7+7_;iP&_QG&w;SI?b#!}N>uTeHn zg_ig3OC$RDlABWa-FaTj0qK!|o41=AC3ny7G)KQYlK3*;Y7G`i5QH8$Jj{JLhXVa5 zE05AsW1bVQnM7^ML*bBUoI(C8>lp3?ISC`Lt3YQZNz*ZF)@V4me`Tz~`)jk5^LI$i zyO0P7u$8P7-&z~2d~J1_eXkhE>YlN{weMtiL|2I~kt>SR9z{{FyFSB#BPgoswfV< zg)-uVt`dPtWH~HLjy#U{U%w%I04{Qkh!}i?*SF@+1Y$yg9jp!JV2gME`R(IFU%Se? zd^AvwmJ=ylD%L3DV9Z@q7S;_xRj27%k%-_T7EOTe<+F2W&Y1|Vjw^+z>lX!Wq!i-m z202Lpg_}@JTFU6ps68{891bVPaMO*OadZD;13cLVjmLBjY zt_t8s4N`%Zq7;RQ@03y~^cyxPy*JszcL(*!178!^n!Jy82D%vld!ybnE)e|sC=hb# z)`al9?6TgQo`YlL=^g*DMMm5Snu)7c%Bw)P98x8*W#{n<$Cxj8b z?r9LWXiw-iXVLJ5(JfV$+{+gyX*d%9{B$;$9*Q9X9|GH|*X%~F6^|tqPM$4s;Sod9 zGvGX~IxJ^S!NtQN>srjDuEhj2rLM+=1IYrph`3A9oxJTFK0@^;(=$Op(m07~wrJx! z;&-a>ET~dh6dj}y)@)@|DTMDNT$LnE#FO(F3t@D}<%R9t0^Y0Y0PwxpclOTFZ#I{i zBu$kC%WaPn*coeuPEz8YY@<4}A>SqqNjS38CdgCsW%TTr<|)na=(4<6U9jtQiJTZR zo5w&EVMs_`hY|944?+q_7^O4dCAGM zoGWzcj_cu2GYEzYwZqY4Ia$1Feh7Sz)wA{y2}@wJR8Q{Gkjx}8ayiVdGn zjdWIkWN@pFDa<-x;5DF0ev4RHjMCVOrxFDbG7UGjL{cXnFf068qe=8K3~Q243bqPf zeZ9J7c+aRL1Lug<*_kKA;>u^RDAi=Wuo6mpB(Q9^XSr|2Xg_ej837JsS zG!RN#1L;Ee27dmO-XprT1NT1{Ke@sua1=<0@m*dpM>`t70TNBLzne3t&~grx1Nlaw zJnnLJKL2@p{*!G2slI!vQx_=(g~CBK8=mr1yUD82Rmg_*6@*0IgsXQ(h1c}YRepna z1^f-=htbaT@&&YW7m{g{QiX_NYBwC`prt3{WwF#RrT~}pMK3Y<`AfVxLrm%0R;xPrt_D~K$4{!9`jJHDSM*Yus#z& zq&VF`X)dpBiPzm4BEwRp2ummx8uF)XP}Z4w;Yy{<3Lk~PTs+jOPV19qNOz~V?d|n? zgD$xc>gqGLn+s4Zw43Z(WuU@rI#c*)W-k7CFoIT%RB?$8 zoobbFCI6tMygJnKJ@jqSOk^di-U}&F1#> zl~w21s$1GIY7Il2RaCXfHZG(basmpd8HoqNTS_5R{v$O8!WP3vQ8I9e+ zf-N%DC%@Lxe6k^$usA1h^8De`AA%Z7;6TG1$)Y8O7x8XXEa3K)ad||S&s-X5YZ1%b z8L6yvcaAQ0Fi(pXirTxJ!>M4_oa@@!OUH7pHNnwLno)Ad1nMe|W(ienX4h@S?@Aj6O-zoG7 z*ktBQE7CChdr(UkN@&uenU9xY!^uV&|}P@yaCG zH1%>7Y4Q>30)E($FQ^05st_a@&|?S06drzTR_-s>rfslHm|A;tVQoDAORbLwe2RB)#(lou0ssjU$RrD@;ht_!UI6G)Y<+E5 z^~#RXvbcVU6BA@s9oLWgl1_c?3a3jGHB!qZod%dFlp7vRXb{`W)Ae5i2s@V(>z94^ zJD-ug_a_-TV!n8i zURFY0dpO_MP|M2kbx2NsB z^lx|%G~m16zHL7~{O9)LW4fr{6kP+LIN*2Xj7LzI{(kp}TfO`A?fv}$tRNx^vrF5p zTy&zqdDPtMWYMc!OXMa4l<8)hOylTL1?vT7)IqIE=^zC(r}Cd6JXR0lQ;LVjAv~bx<{MvpC;ebWdt~hVCAv96Ywb z)xwK|kcoG4EloL8S-M3L9k*ScT;C_F zbmm3tPIdsRzE~Hp?g5B$hQ4~XN2_a;#Lr|b(lL#ph|}73R;vB@m!kWDR2T=mO+gz{ zCTQd?>OwX3g)?r^t9iPsk}rc+P_GqPhC^)PG3!2HKrbQaLuy5#H$&DC*;| z1=JVV8m(yL^?BS=*fHdg@gQCeSYv7adJJolS@`3&W(jd@Pd3Z#o5f315oVDH5_P*o zcWNcq&YV&D&b((h)|vN=G!eGTOJuHsK4FtA6uUY5;dU`*Mc@JA{|I2V^+g#yoFMf9 z3gwO|usQe6!7J>YGi^qaX3<`)mq1!>5v?<8o-ftrJI0pqE`1|AIaX3x6q!eNdrK+^ zC{KLp>G6V*wXCx2B&ub5zIsgsPW>!S6n<{00Ko-eP?9weDg zbFR9=WyP1>tWQz>E55Gdbm`2LZ{-?*fq5U9?(2_^Mih!cPy9ZG8wi{*Fl*{Pj zk0#-(LXViqdAe`hHpGWiJr45#xg?4VDz6Y>2_or0tsH4Z2(!Iovm@rOW$nnxU9zJ= znJ^UqK*887^mN!sMD&6ESs;hV0x6W*^;@`M-4Lqf=wgFVTl2OE34wdbxxWEQD)-B! z>rV2uQQ2}#1)&-AGr(=ZCF{c#HrX?g-$8sIl(_jj)va)fkbEeC1@(4Nr7E0qga~l; zBXX(hGSYaa(1wJp*6@HF^yx`kJmhUAF-4zOw!bqs)Id z*^9{4OnU>e5rJ`biSAx!H$Mvo!}RJ=H#fPVj!c6jE93D|z?|doRRw~D|l+X6ne)@D@30h~W^^vw6;&sf-05oN5N4hnH6>=gZ!e^W~ZiMxAl-M3w$tc>@GF zRIHFW7PU?oUXla{xx?sIHmBwOkP2^>zZDuYLK71GMB7z+Z@z|-Ya@rO?js*A#7CYu zY~?5!i^Z|oi^0Gg&nsv-oET%LPNphDdyvnZV#ca=3Vi4qU@Pt;oK48TfjV;g7C{xn zdRxV1z}@7(R&g0_fZUDDi;#~s{JB(F)#X+l@?+KctUO~#5CR5o-rjoB1Ow~EUgN7! z`|iaH7J2C%O5as}HonSjz*E3LUuUwOk5P>23bxd+kSVR}6=Bkz!6#4)s2NRAUo-XG zit3>Vf~~HyXL1d#oKSf%`b_wJla}5X*L_)vhvKNLOU*n$>@|hcl0T$ARqkTZrDJFu zB99SQB!B|Re+;nAhH&)sxpO(XJM_;Pqv0->u*_)a5r$%>&(d^SX1EuoJ>f^=cSsRrzkKX%t9h_AoUgcP;%{) zHSg)DOW=L%6nQF1x(3jnGEbQd-^cW}=08aZ zam^MK4$Pd99J-9nd2`zV>KO$>K8Z`$^Ti7=(B7g z-ZscYU^{o{<2Wp6YY#-CR{XiRK2jvKUPrt8;-BzBcsCb(YBMSRReW+&esaT4R?8}{ zt^mf!gafz0QszTN;}m~-Km`>v0V@-#{qU`LwX?sje`Izo(rcY2vm$x`(!mEI3)C~J zUqj7XMZ!5c1kcwrBSLaG&DSRh9VznMf@Sugsj(^$Fyc>2130yKS&6P8^(bApR;=~| zAW0>cQGrTCt*{AdK;V&6CP2&Mp*jzaeyI+q-`&oKL7Zwc^o-G?-US&k!zF{V!%$J+ z4Kf!~BqwuKju)9H9G0O58*$oM?=_~Mg?$jjWG0Ok-puIo%HrpZR8H850+JVDPQOk@#Mr$$JUxDw=K zNJm<$-hhzgw-8&{nkI<^%N1^t@D1${G z;z{?;`BH0^tPq$o8gT9CRL{{pnvG1^t=Y(w-I|R|p=ML2P3vhjPmY|aRM<$VW14v(;d)sFt#Gp1z`p0!V?y!8=4Ffy5ku z?~6CC5a(gCb%%tcO6*W&5-CcI3fMDEO80>h#cI38yW?muWCbs1#-RG9=v(wx9oO}2 zLn`E3RffT9O)FeoUc4J1@u;MM)XK9Lm+-dJuMF+t4W#nw;O_nVhv)yi`vms-Ï zv%~6v0g!KsnQ$4&4F2SYo}nK5Kc5Z$8$O3m3*Kg=x-cEdT52i!d@=ZfUg;>cl#ZvW zh!C_$pG5SE;JzNZI)OyLR5#P?2YMuKFAu)leZGHw_wehdkB?9M3EVU<9muaq;X`SF zIWo#Fy>AvnwOEUntPgm0BiPv_D7ARvNeT<1$R!C*@;pq?QlH_k8e%%Mf|zje57F1H z8<~Zaxhb(X{gZU#!J}Tos0CiV&-~(WbG*}@;gr!3Q((`HOp zAax`!rm|y}J#vTG(eUUTCG$yp4Z?7~h9F%dPHiU5aqYf38p9(1#lr+;ll6`BDn|{< z9Qn({eIl)uI9RpO(j zk*YE#Dipp|BK>E}w{Ta+##P2DJ6D^fTZj1g%^8|+`&-u{;k0_hC%(fq6z8itkp&PXD0C+Ke;QOcnjfc3ol`UNdK zzdRk>|1OEF9_{e!+uvlQ?G54f0tdsj!||1%n3O}yF=pLCqefc!^Hd>7n=8DOf{zb|wEo)FUbL_#4nqxc2QWihhSy2>KzE;gnt~#R%>(yW5Z08u< z;Rp(A+(osEl)f43-#eqS1O0P#4?Ltq4H*s~SlaU2pQP|UFu}+#<1I3RN~EI?Sg1dd z+n_<>si(0GAgbXbNT3NMyk->M9#YzNQJFO#4ADQ$MIGEb^brV0iG@wF4wdS$uBKVa zsl9rQB*!9ssxqn%Q945)Y=w@{w}{R;m2UGbuuI}T6gAari#6zYXXVAlL!`Kpt_*v2 zaz4L&)oJsp37h>|6Vo}H-E~l9%50}%B~$22E*Wa@0VJzbR3};BVva74YCGtOmxDsl zAul8?(qvtdD94x3+M744)f-Si?~t4;SoPfrq}vSUG3xD-I^7?F6g9w)B!0qg3kCCb zCDbp4GbxFzKpTFGyfg>Vwuj~6DA8{g2tiXq*PSZkqai&@-M4#lZ5)MV)Pr}slw6`} z?Ags3tF@SUidg|{r~-!JJvNYd32Yb!U>Li~rW$ZLT2(ar7vVZN^`kx*6uAD_VvH+g z#AA6KMs<(HW#{P32n+1}m8Tl*3Eh4@AJ8DFaGOe37tR$tKot_3i4L*|%R#<6u znsQM+1&|An!sAZBSzWK4ZYEq?IZ+-SR_Tn0fUi+kDhgBuB2i)g<Fn$ zv#@md3M$XonxIbx>ly2Q)Jb6h{N>>X^f=$Xfu;rTgB5Ka{w{STp&+R7P|T16&lf>w zNi>$kVxsT;jw~#W0zpsiOS$UR?gh)s-jZnLBm!n8M^Ah<@a?YOBFr+WHa_N)YL;*& zPm5ctkV^CPc#=tla$p^M4K3J{jeQJ;rB0-^)EyfV?MoDVY)5spvMcp@zB$+%hCgXhES5PUc4063>*bjXW2qK7z|Q+fWzIlOhSFLn!g&f z`=|fw{_Y>*HkxgL{se>>;y;#@-=N{c&{^1pbeHzTx>~!(T`Km@l6L|7aQ=AYtfIp? zkM<*U&^(I4`qq#uDu0#H*lb8Qo5ES66Q}^ePr-$Q7t=2oM|E|W4AQj9pcS2g zGUzaGk7ufU!Pc13-#V*W{Ih;g(*icfoqR9fXY|6{Tl3}^VVaaScd`V31G%sytLQ6$ zg`K=0)rJP00%2%Jz?^O;I|s=FkBFolH=)YlML9?4lHXG}Y~YZwR?Dia11mR~%=t}; z2bfM096`q5`$hF$)a?~dIsYY*;2#Gt1iLB=v$^@EtfHZ%O=IPY_}^^m(l}T0SQl%)6jbmf;yz~^aC`VB&Tm@12KwhY3+TD4EOf^H z<7`;(Vp#~aMpGU`d&i-$uzWD~Aru>55UH=sPik!Z4S-gP7WC&~yYIoD2B5X=39zZm zrJr98CSzFMwDRC&P(~T&P5pCY_0KtqNqlyCt#!(>=@z;;8I3&G9m)iFf(m^FzSH7V zy(-dU<|!XPBv(aQAz7CZl*%M7H+iHL#GEhwiVZ%;nM$wM6sR#kMf@Gx1ML@WjqBl+ z{iMQpdx^~U(uR$KZuz)!JW{uL9%R4#(0WX^Gad()$I0DjLMII8B{=O=EAZ7QPGB8E zZ>YWo<#{V5Ay_JJ`x0q1d5Ij8>p6JloJ;z0eRX+t zi(KJ76a2_mrpY#e-Yo(p#%p_T+r#o1<}l39)(Z$tIiV|ZBJjv&dYhKJZ4W_>N^Y{) zlzf)lJ6|jf2j^27}5`ADx?&GkiWR5mY=%1}QBUUP3{<#y1ui048SZ-z z3+FpNBhSDVhRp9^pcV*cRt4P-ii!YII~Oas9Uv-j|L{e;X43Qsg<7%o**?&pmW}9| z@=44_vdv4;OOLfuKQ}j28x`eVs1dwSCOzwRPa5&4k)Vyno`hS-l`b78#V! zSQzZH<_A>7S-)N_k;kjG-xJyoMFLpT8<9jEqLi%qn{sw56?Yw{(jCwhLe;I+i!+pX zf&&ssoo7VEr4wunGnz;*B*)5*V2O$ox`Xq-3^vFyDizkA8TvS4ADj%Eun&$0V{K2Q z`-fW03_bf>kv9qa%dhKQ(s?n@*GVR+{V4g69Fex9?o1-VLWF|IynyWnzj(-lUr2tG z=>`nvgGBm9oEO!`VyOV4k9 z|9t=J-QfgtJTdeL2fi#dVzZDLD$`(Ip%)WyWYZL0KV543$~?`e$@`X?k8+gEUsIKv zGk%OIT!?}FKNvgBK#`nxK z(4*anZJSkEmt-rc^Dh*j5TcCrOOjrwCkT6RXIbhx+{mDP+uF>)(mrGvyOR{q%>@cD z$ZvjNcFfTCq+D+jC zF%kc#NK!Mmj%aQf^E5>(-T_5qc-9b<6Wg5_SvAp6Jx{fgALL}*URvo2Bpd=w+IL2d zhl}|osDIZ9kvZeh-YJB3Y65ocoE;CZ-&`PlW6un96W>2aBRf6EUcPAj9k&_pzs=E} z2L>Ht@%B8iPMYn0ElxVzv&NWnINASYhm-x^b+q%V$T;y{JNHxO?0#aMGP&OM<~qj; za`o(}&H0}DTx%N2&Kn(l$BmA^<378oSs(g#|2iMu?p-Id z(n>BOyj{_FQE`y{)2ObhOOG7}%w03iE-w+O7k{}btEOoSwAI~`NfXpe3+Ry2;v@Qe z{POW>`*8oy`-jJSgw(%Lql4LuSiSsS24chHFYvvA^?^HLv4|$42?J!;RR=d$1w8yn z^16JnswE8~$eR0;)LX5-CJCLv>xsNPVzT%{T9C+ZY;sZhekA2f)ntA>QNZDS9-(cU zE*bnv^CheZ^FDV5THfdOc)7U>x9*P@H4`yaJ8lqWv@q#W!CO%0kw>KU<%2>3wgosk zAu?`XM<)hP%Qy3jHYgSb*(kD9_~}+76I9G<#ILJXz+Lv4CZgyfbI==u5i9FSp*k-P0?q-BK{$gdZF@RnM&#n>A@#X)&Ne_756SMOn(ZO8dxH*swE*K$q*&;s9P9sU~8FyB7^>l zJQ}jmH|rDp3O~|j&i(_6rDWkYQ!i2@D|pUXt)93b_?zfu*U5a0f+M*z3f`#2khz}i zT1dliVRuFT05_oakYQ9amO# zbR<=bbo+pGkxWQ-F-SQz4#Jnaq!2sZ=MQm?p6Ej)i_$y-Cz=Q%wto=(VZBGGLVM)}#Li4T31|=HkMEFX><)l#jv=PK| z<$#2T78OW;?*=XHlJ87t068*4f?IG4^K9bb*xCb)@{rxAhm8?FR7^mPq{|monXt`r+4Zy$M>haGsGR973<;Yo*4xjKpaX41KZ&`o+RW8L zJl;;gEEfa~J9Z>w0=uY!8f_iqa{wo>S_$Nl{)921rSx%))kTavC0ilNB?iYZmQkN1 z1S)&0^(f@%P&xlILblXsEhn35pw$`i_(bpUp?PPeP_zJBVr$J*-d$3wl69n@j z=_)3yms{4dqEIF48=+l|vv`L&T-{_flHBlvb3VE^=Dp9di(t4CDi}B~N@L0DYQ;mn zk};*9f7QI`$L!#ytVeVCMfr5-#a@WId*oaX(9~DU^HZ6XE0Z^i)mcc^jN9^hMXt7I zaM$%QjDIF>mdckf0ZGLgp@bebbUe5}XgMp$Nen3fKdjvJ`4uwK-Wp?Lv0#y@!udeb z*MK*?h}Y>3RokjINjDE+_>~A)hWyNZ>sB)LS{6Pc- zA#6WwedLvM@#J+izc+iDY<05<`b2)~tfO3|>`-geRv2nWFtu@K4T1}Kf!OtaMT zx{%Um7woDRI#S6rt{5qrE?4Ipex}rJHMx%?xD<<54tn6GhyC{H>lQ6JsQBYg%+LG-Bo7#}?>_y{gKsRdg}?s$01cn5 z#j{=iuc+m#noVvh4N-Cph)8=N;!of?$i z^cyD5)Hg-H(cXDD1=J56`j8AC++*7DUb^%rw{(pdPE9jkJqcZSI`H$(+J{j0tr~?= z8q;5|Z?4vZO#75Zcko__FT&N&{9;@G%*INCA+)4aEdrpT%gqf(xuOL>_Kdt5?KbLp1otOJk*$l5#|k6f$nlk=hO}c*Yt-FF}lp^V=n$ zO)dq@Xoc(8u)|>QQuHdX>mqI#aTlT*R%bw{AL~^4oIEf+Gg*t-%zJAlRb_&`sno9* zQDOVOL6D1;V5)=`h5me&(i;5(pnEmWS&BbY1Py&`HBv6eo9W=g1&}oA#lxQK<768#BdO zZdC=t{VSi7ElnEi&`dGs@@=Z4F?J+@QRf_)93)~nldQ-}TD^r6ZTa)%`~qTZehvu? zj#iY)hSy28Nf3C?fOCiTusr$}k`Ac^OUT>1r>BRHzkGYTNBN(F-_ZH_{{8d8-6v6u z4w`2;ahb2Fn@#5mO_ovDtI*6KVlw4pWeJv~E)=QztBadmQ_hlbFSy*OGG;r?Bpjsfp6G z320b#0O8PO4U|%lp*mVQ^!0hgu~#nS%e2^2TTR|dCg}>ptHE&g&T4RsnC~oFq9ODB zQyNSGkDXtPH%r7E2KWv1St8$Ubmz_Zap`pA`Cz*Cm!L29W@0TYF&)`n1d>rm_x0-F z-KV?9$LDBg_zxmXVn%))jghw~ut8W0v=}xjpmxcsMf?ZK7Ln*?Fa^NDSQdJiavR4i zIB)40w`iX*L{y6^`v{38FkPvs8h3SLj0!|Wrs*tLZDK#YVMbr>==U}c^dHxO{76Lh zbmJoFH3Rb7b5f68&rwipi+&d*2-tD@X3cmoL1N%GiaFzbw@B$7zHP2yWu3oDzX9>$ zuZB*Fe0sDynV+DFk3@9zby_2S3M-$KV@S2mTys`mQFA@YYkrsZY(2@4ON+B5lvrR= zE)YmO5q-9Bt&NPI)BjT8<=Dg(l!A;;*$zgUQ^@cgb1KJr*K9r9@k;Y#iJ(A%dq!N7 z0d>!pKikgnuBIEAqNDH`8&+%YcJuS%<(9=<7cW&?o|(5l>oV=R`)-O^)c_Dhf<<-4 zl%Zs=<~*rPZWj8QkD733qcjK55J*!4I6w;BfY64&du8ogQSlkI2WsRsn0nwW;+lRj zeGgIS!PWn2JbX{M+^MSf9ztH3{1D&&)I;F(8vCjC0wR~o>np5=CX0w^--Gaw-t3vE z{_yqT^WD=H1yetK{`v)y`|kNK&mxAAnTHi5M6U3u+T)XS!ORad^-@29A|Yp)Hgz5{ zjWRZFVJ5c}B1|M(`rA(AZv;mi=41SF)hN zhn^$Ft;Z@T;q}y)8cmA&Qjw1u+{BN0j8u3?caCxnfBsIiklQ*+k-|bWj3VI39Rxum z6pA)Ph}+`Vc&y4;<-uyR^wC^Ac49Gzb%pFqO^ovtQt$AX+0_Qhe7*ebD4x(Y98AV)*zoP6sSi$<{HYK^$1}o1|on};*2Yg*7 zp*jN`RUL0ysZ7fxUBk=Q)Zgx(Uf;ia`uYI%vAiIR&mdH^5berg?!)o6)%bB${1t%Nxj@QNZ&BV%l&(0r(UwlddkdAe|}ptM=|ex`4Z zB9d_4s2l&J$uE}fxnfN0!%_i_t-$t_BbEK=&e2T?Nv(|o<;3u^0Xnke zR8?Ta|sdThw4pX8B3_kHS1r z_PHBgjgwm$tDN21EIqwjA%N|t`@6@dqYP2lNKrdd1!)aG1(Uu;yY~V9 zKrG@65IA&%#L}y`5WdLl;A2a~XtMt4JW*)RD-n9@%Apx_$8y+?pS!wf|Yi10L=}~!(Mn3+}!QJ!0FV6?>L~3Qw0sw|C zmlO0(CmaFx%kcO2_xG3uy2ZZ^Zr-I1-7xR-4&5XREFgN;BHw5MwNyESRXL68P8OX} zp$WB|ieh?!1_EvZdjtR1U?z3kjj@p@tgeFmAx4XV3hj5MDB+X!YQmW|yD8)7?NNE$ zY(oDTQxD*My+7JON>IcCkcXZAgd7<&G!~26tNom%EGM z#Or9@0P4U5BVw`has5UI0*O>eVa`0uFh$eH?&T79`;$@BXlBAS1EDZ8rHfx z984x~kej&1^HN2^^JuJ#&u^~ql|KJC@#L^g4Q&S!k`^h>3YZ-l90FW3`6{&UUAu5s zF@f~>tc+KOmSc@m=c8G?1{7Z)e|i3tBLcEQTOE(syarROUiZV$8I`k8Xkxr6>Xflw zy6ijPt6Z9Z4T(*N*9V>}i&5yKY_69)pxg7!;9$p~KTYoxc`DKlK@kW(!Q=-lCAHXt z*I;~lN+-X2Z?&w`_2zHzS-3c-cxth?+s!)1)l^3-481;2_f5!bJ}h$m#?nE}U^cF5 zb%Pf@XdAwlJ265%qf>7@3h%sE-$SfNQbjwgokkAcKhdI=0goQ+vIl}n3I9JieD41T z4WN+wjxLNGwKDJ9?c*t+Tf7&bR|`p7?byNDezyvLxf!x!hO(#I#rPb-E7rS$ZPyNZ z-!2X(=SV-biV@WrppSi1fC-l=&|TVD)l9R)jt_15xWv9y>Ws=`LjrYuxc|>@A0O@? zxA(ul`}FPc<3H~Y{`}`Z)2v_weS7!i%U9~?XvJj1a{Upd9OQHF54PLaa|o6fC@l*% z;RJ67?tHbnX4|b&m*|-!$0{G2i#m1d>7EnfCWTqDRk>*4>xFPn{M(}lj3WiF-=M>d z8=mDwlP2!@7?lw#9B4DII|D8Ix;+k`jWkbfsqoF=s{*G&RUg-!)3j#GLXg%RLAqq3 zY`KP=;rNgr=-hTXou8en@ic}SaJE5FjO}aWZ6jVjXpWDQr$T{DeaAJ)OKJOg;YIF3 zUM6I9GnDERIHZ7$>~2HY>~BNZXd6OJGwYly`;w6YPxMfj*@!nu5krxo9`y3+^NXK* zB462r3eWAkmk?I2Cp5E8OBe@P9Ukx16q-Xg>C>`XKS2fzW6i@^JzNNAgzt~nrU63- zBZF?+?bYC)Uq9VFef)Hvv<4DLDsIRJhby(CL~S<9JOQRUuBXYJM2f$6zM~Yy{6U1D zN*b{8;Um<{5wQY7YCno+>UR^C^vbB`ZQcrooIbK-ZjeYc#Kuk zA2_0qC75jH3=Mw(3Bv)gy*OFlT)k1?(dyv0rw8$+(7uw_g|j=3|G>_ z)7O8!1B3#?N>QQ>V4|pj>NQn|$v=3yGKBtOy%0HqpD+u4-r{F?4=6j$cVpG^#p<%e zxDzIiEl1vY;$k; zjJPWflp-P6#G+l$G;!alc5@}LJGgHq@fIVh#{3?o0Eq{Zuc(EW3S7kQjE*w1$# z*xHqRjNzdTdZGhGML@Fq+1#C0c-c~ClER%kac?eV1}t=lj4cV(s04HM zFbkK|24)E^*GltZU2kPUwJ|;Hg)(h7Z7Ck6%Vo>yJ)S)&kt$(!BTs;`UG$VOOmZPG zzkQ3YD3G5BA!FqL=}j0DPP)lcrk(0YMoWo24)D*Wu0Zah<7R*4GIrArb}1_DuJmF4S|VJ-(CqRsV+W znB1RIYC0NBStg&92BHM9!;LCl3nk&%?K9vi3_{WS!Auq@;5FGO0w8uLd+wd z@7>eGC$$dfZea&jbdn{v#w)0ESP;LVmUTz;xrm}ig)jL_n>?YFn&#a~pAqF9{!Tb5gPk?IroQk&sURcBm#z^60|*$KD?C{j7)cDudNh~CT-qFOh+Zk|cL@u-bvpP6u+M~f zG8Ao&7T24pBlvzgn<4z=eDNwja(z?u1xdAR*I?bUf^rhMqPm>4Mso+=FtWbI_z03k z7nEYR#AiFabX8%lu0$>%mASO7+#?zj-~=vRr!GbdgQA(b?ovwEX<(~#4-FlS8i&>T z3jjy69AnG>IqEt~M|*vx=JpJNL^?{O^%aG|tndOQ`1MbdxLl^p_D?y)6bX()p3CF5 zo(Wu*2aK+gmPj}0ibLE?h7{>rxcJscLf&40((!M~wm2my^P16rdq_6{-x2dcSGs6& zn>d_z=t9qcYXa?I?75(RaE4@MiA;c6!4g!PGy1wgi#=n0%i0XNZN(Mh7P{gE z#VyIs8z*QAPZxN|yR5Ryzm7G(xSmpHRK z1-n6Urul+mAgT@Qn58et0v#am<#wUHD)rkZ0@?TbhIWv-;xs!y*8y+d;sk2|0i|Lx zPU(sZP0^bLI&XOw=}?77sf-`oTBZsY7fbm+&&PQFZsuFVa33Q4_G+{4s$l*uh9{7=7cS~D;^zaoELbWB(^)14^*GUagpl-d3% zyU|Pdq8H8HFM84J{UQJn_C3o_`@iU?{a*wDgfD6b;uk=TuDq>1{JE-}P1O|?2Pjjo ziET`(@O-GnhRXs!#l_YU$j6G*>X0PrG2X!psGuc0lbVz_pr#^l70(_~P^grAbV^1d zbgeRwNSEx(YQxHMsthhlDQH(=L_5Y!Vcx@1LQ*ODnV5l)+FW9Q`%yC`B!Gq^dnU>8 zLA4O#RN&XYT`yMD!Of$BP;sk@n-A=d#T_CTXFiFeNXH(*t$*&9kKIN>P?06G8aQ92 zTd-9t7JRXrY(+JJkTliNiioMtQ`u5v8HPd!O$I#j4R{TV8O@Q%;K)Hwx9kp@?Qkq} zIYT!&cl|{u@8sv3N*QvhvABgop5LmD8|HL3J3usEybercjlhkXi1AFlCZ^dKrf#Ae z^9tDo8{x^?%dl+)C=%2af}T-*wGdmwBgELV!1HmNG*?pN9~$s-saX6uO<)G=_su9mrDeO(Wa+} zkFx5@an51U5dsWWD4&al;uiYDeREw07G3t^l#nF*B&Qfb^k7@XF8XMNZPn)~+oGB& z4+d6$^H$n9Gb2J&Cl-0lU~5nk2kj!{M`Ja!$G4;S&CW{Zy#@p`3Ss1z^_=k3 z&9G;^b-`1=XAw;l>%>!ZFAw=XK=!s$%QN?855q*Gyxb?MFXE$4B~QiCq}x&yjcVpoiI zG=xISV(8a9{VpSeQq<-O${s4t;;mm^q@L-Os`j5)#s?VQ#`ATGTobqhpO0|F2u#ioG77ZtPG9N zo2wTuiWV~+pAa5O<kEuZ#tV|!$>8(-ugs~<>144;xx$q?7 ztTAml$@uGPi->0DQZRa{`I6@9_tXl|vEFMuq&{QSD3p1{mTIB(`HQR{i@+MFn2?|4 zp&PZ=(B<sq;=FpN^5xxhA5ANI(j9jc$)c$<^VgbOIbZ$+ z@{g?}yC{b$-%y#P+oflV0qD*B!@IAa!!d<$i~w*DYHL-vcbKC8M1}j&ElS+Sb_^%d z>kExKuOKhY16^EfZ9_f=3etK^qX>nYo5yX#kyJ*{)DFSJ$}VL^M}UwvC3F@Mev9zD zS>LeEW~N^Fi7qF|+G{$)$;BMWtpMV$=Npihm37v|g3y``j|ip>PANwpG`zV2^nV~e zfzYUL(4$1Fm<#l|U_{Wy+e{0W>P$&p(h(CCHoZm#z>ArWs*}wzZjrCBK8b=PPk*t<4nC&L?Gl4k3 z^Ou2?LJ1ILUP_Lzocd;{N%ha)Kfize;rXx6|Ni{r^Z(%~_A)9d_HdXyAKX75e0V+A76~?%p)IDmHXPQ-c1;@b#7Uc7(d-~;*g_Pq(RhGiNe))*K17yr zH!s&n>002ogW>>tpb5O)uFg-{t)qBA;B(2WAVr*6m%Sk!?%Xq~bYC!~#VJ~5q6x{h zS#}dg)bQ;r8k(J_T*phH(sZ=*#yfGWp-!a-(mW6SoAhT8o=%QAs4v;>-BEoNKi(h_ z5wt}3TwQ4uNY;~`!xf84B#)o5imFP(dk6B&z$n-(5-eX=kfnt8vX*%!j_@sInU>^^ z1|@g}ewdg_5t7!)lYw{RtpnB1DkW`HfA$7yyzK@lm2)yaCVoh3Q`3`{btGw7+3uU) z_XHX5tWS4JD?wO-Ja&Y7!PVUELXRQ-X`5GNh68lrxQR(moI@hP@!=tSLta;-b#X{nBVO$vt< z5|^q=bv*N>YE^QW-x%LJ86M_7Q(*GV`wJf#52CP^l$Ihi7uaQuWrXXYKo4fb8%rz! z7ol6^SS1q>C1vZ8s%AReZvsw-!PAE4s%GT6%9am*0H@x-ZBF}1gxO(gt|t(Nt`;7;JCrKzFmo%7;j7wOJkSi)bTs2se|+k$tgIXc+uAN%1gFq=nF~! zC@GL98xVR|fjl9yFrEFmRDu_hN2#+4#0S0{;(v^OoN+Ld;sg>G5b&HC=a-fHUX3RWOyk%p6T|k2Eq?>~+ zcO17EK(-NG=1|W^YTZE|&`x7VS8!JypGTW;~%mkgm~3v$h)N2Wg{RahKqxE z6M$*B5M{K5i$%6>veh$|%uPAjMkwuP$iFnNZ7Qfqb!;=5oKHFjgMQLmoEJqtXmxYE zvZ8HX=renl^#)peY+7a6IbQpo9EGMdDKqtZT@25~Wv0i!u%`<_?|=_KF`IH>e~P1}1m5M}1Rtn?$yFy^vGZ3xW8tB6RJ`zE0iG z1aT1w$#aFA4I}@=oW_$O+jq7Z3kgNp4rOP?p1d&-x#jZa#W@5mpBZm$OEgZ&mcUWL z15qj%UO(zJLj(E^JX}1goQU0&U{B>q;c*+|NzF1r|Lm4#$~?hIYTa0bhbJS~w#^A; zrY;K5s$fGuPgy{0nHhAF4&&W6H1etC%fu*6r>#AtJE)}zkJ?U^XM?-JAOH9Z{=CDV z_xN*SYFL9x2D(YThKR{euph7WW6!Y_&pKW0&4pKW9 zL#>Xr0iVYhUY|q(KIa=*dcO%m1dJ#MD-(pqY)eK_m9nsaMq>vZ6~-bi`<^5@I<@95 zg(OW##zrNnb5e0Npr!BT<&_d)(PdKwsh0^Ojg|>$4y_1@mHYO5up+Li)3^>@Xrx4m z(T)oK$L#E9r%CLuY?cy_uXD8%$_OC&JrkTI^iGpqQ;vtS&*XTA+U?OeUW1^BgtD|G zMTcJ!JyNm-UF;W^^W1Noy=WS@^8sd@01>a@!^ZxgS}L(t^Rx8=(eU+A#6<)VO3@%DwHtzX?QeI7Z#63_N1?Y=VIhT zD%R&8(=1?SRiKfQc!EmK8M`g@Z}qK)znhksEmn~Nut2V?yj^gvsGaSO%F2j-1d>&` z8GMlJ@f4)q9;lFcX9^zzP>C!GsH;(!;kF!f!;pYn0%}au;a!=70nJTXY}|u)qr}Sl z&_c~f+Rv(N!*>CJkj%Q`sxF7&SLjZ%Ix1TA1dd>#9P2Mk?i1mb+z6MX5L+FJj{JIsGM=g7GwW@y!nXwYsj5BI{~pVTU9u#lXPWVw%i%roWQ%Q zbKnzDc8T@PivkVpF+cv*PwPQ}6=!&AF{EDtp}fWFm^_v<0QG9#>?p{`(Pnpp0iCiF zDlb!}JE1%}W&d4v1A^o(1pli_i0bADos0>F+OV!2EI(jMO1rNdlZ8_p0>zC04v0el ziTmeHt=DMJEV;w>dU;Jaz}fr~fJWp*yXz;#!^?sue##8^DraQ(C zcZ@;FR%VKxbVzqw#wwBJXeGe~OA#u;PN<{W;U;6wGW^}hrj98J9&49j{SuJhYk;*z z^_+k$sJ1^91AhlNc_H9r-3sKqU=?Q~QYYs>JpTLf>Hae-(ceEjeEaQb`%h^|Q{X&V zoEVYoOiRes3>#H0hC3r{e3NQdBYj$nL0FzoD6s5GzwpsWvV-7s&=)~i z3)mwguu-A;l!mZFBof1ZGpfvP_| zM5lz&(b3`kpTlEw=f105inehFhAWCKmj0~FL;xLLmVt43I}6@L-*7!Y|l`tq0XSN4deHk>Rjp)IM_iig_6M& zPbI1(mCh6{Tlh8dlqz-=wHHYKdgM90WYB~UXlQ`UbaW$#+n!(6*Wpz?JrXH(r!5@8 zeIvf(wekF5>hlRdVvHJfCFJ>3cM^{qkA)y5l0-?{3EhwR{4N)8AHiO9N^g@sa?>zX z_6Fz#Zlcnm2U#_3<}^`m786I_N?(9wGh8BFr4IzlVQBLxXAS;9l5{f_80L4 zYCcxlT-Mqv`dGDxbW1w>I~QBTJU>jc07aW-M@u9zBm+$V3bMMQhb;F=mA!$)(oC!C zv516@kc zd`G+J$`0h44s}b4`^qk`G`-Ogw|h9!?N&Cf$Q*J&IVq!`ZF!L0uYmPb;UQw-3m@+Wv%#P?gei+kWMIlBfg*YaoJTPqT#XR8#gd(2rE;UFbZ1BDLIBY zeJtiQj4$|Q#Rxm0;-`f8=Rg1D@!{QH=9|;MJl=gC{^k7xiqk(l{zYx0zl3dM7m~5` z=XVS&h+PHX1?{S-x+)bHRa|R9b+YNo)H0JQvE=X$;v^}^faD^~_=0VMEM+ghsGIMP zh3s)Y7Tk_+t}x&5TE)fk!HN&4(^zm&r`)SZe}Sh-E!Ivb-t!1W)$fk#(-CKYkjl-~ z1>A6&X?^fxc~SVyj{`)v$ZJX{mQ`<2X{I*C+S;PEMFH)jBZ+Y9JeqWpIH+KS)E!Ts z!kghav$X1YWWc39U!P!WFUZjO$e=9hUSvZZi`XGao*M>>1KwknIvjXtWi$yYh7#cO zk*qntMlP-8GMHb^F3BO_`B1;k$=Q6vDmZ0qq&qGj%eZ(jp$?}4mx>*rkU7~R2=$Yk z90(qbHi|l_nvA5FSD30oC*kUq6!fkd)^jIWQ5<7}uspb^Jql1{;ucx>eIw2~y5sWd zRG>^^cix!XnYyZRSEGR)sLj?4rGoH#>uEJu=;=_P_qe@TeB-KLVBF&u7>8c~1|PCp z*a3cX1{xCK++6?IOxF_1mW_hCzP^dQzzXXA$>oY*Yfa!fK}(Sx9(iCM4oL)iQOL@z zC}LkwM*>KJ=h-iK+ z^oXR7eE5)2p;sJ~xMO(+5`h(yj2d)ls4EjQf0yNt4>yidX+ z2%qqzujw0xDeJ!njqILQp_x4&P#WSS%b1F?*=QPZ21|JH3QJD=sd$8alY1Uy@5l6U zMAAB$njtwHkKrE|l?`{1qI8*wX=WytYkLZX4xAg}VnC6VUrHjYJp1T65O3ERsk};e zjy`uX`ubQFnh(J-g;tVCb!zLttbe9D--!O^bR1HJV1|seWCO&zYY?c85TFU>8sWN> zOvo;l8bt8{W8E~G<}XoacR85mekd%Tu*bshSMuHdF5kv>ZGzHy@>p02eX7pnM`4|W zO4TeH`b{%Qz((t`9{^BTw4^;6=iJ;i1lD94Ij3hM`b@mYlZHG3)RkFKvO97wznmsZ z5QTryg>rfQ24ar)=v+?Bs5K~0Sy!6#m_tqjD!nhDtlV4`c5?qDePGi&u9o95y8JQ- z7{uNks!)4nl9Ics)#G8N62XOE9A0pb2m^!XU+ZTAdtZ(MauKeqk<8G94nHj->j z)IWu&1{(5hyDpQYNNV2edBaVX+FDqm9UqkuCD=oOMhwn7bI)x6~NHwFF^OuUv}a2b*no?10zW=2Tkk(v|ROySE=qu z6n*$SWPL|NWK)6kgtmk~7E1{JU9xu-8eX8rSWlp*ut!T2>ZQ=g9W#`I^$R2fmf&SZ z{)z-o7Ik-#46%I|;zYLBZU!_&N3cVA`qUI^@W%0u=9bRVt0K1^i52I&kFS-5dEC*J zP0`olkx%n$CK}_Z^iE~$$BC}}DWN#gTr!A7Wm-TuPdYL+>>%uPA$hTyB-4ow6oxA& zxqcscH;IUrx#$qrP81A8dz@+9Y858o$Xy42%d+8`-93*pX$-m94M0u}ft)CvqI)}Z z4Gk(p#>8EP$SxKtL^|eWI`d}iWjb*JXbK{^JJj&zC2MrXsMHa#lg z9rP^&H?!D{I9=tWfO`aNS%9C&OKP`z&kJ_dm+Bzgzt(~U$+d|>lU>&n1x~UFZW+>?{>Bl{rg~@Ht-ibBc~_xePl!O z{XJ5as!ZXUJ&ihBnvpYADKCypwSo6U8suEwY(G4F=8JmC(E1qsIw;$!o+0O!o*L?B zl@a|)6{gTB5y_@%xj1lte`Q2JA}`~upAdpamK3DTd##r;SDL#wB&GUT+#AAv&~ynK zWKW}wLTIVO?nVQzu_Bi!F~Co@cHmjE)r2IG)U!SX)x%$a&`hjiO2`KJt5ApibK-GZ zAM$>BbLc-JI|~f=U3j|fV@%6VsFI-oyFFw9=kzz>V<>KJeaTp1P) z%!L&ro$47)_lq^MW5sx{4*30ApHqHL+lB;{qULg{z82F)vO?MPvTii|+M~r2oz=Xr zT40X|Z&{etiP!zdSlbc15=$sDtRKWrjWv8tOtf;?F*7H28}k=kXV+DVCKOLU|}_$p9wn6ZGD4 z{DGSjg@T9$sRh2f`uHsOhKpkXP}Fi<->rA}FZ^B4d%+!Uf=;qHM8^Rk9vNoFIMJxg z2JXi{qPdpOY0+!`MI13DVoOiy-1 zL8?TH6swNSw`jS18l+TCN zX%AJFr!q;`M^te0x=0RKcv@Az`Tf%&*?i>|QVLxxrq=eyB5AO#$|q$-x6s~8mYyUZ6i}_{0o4JN<&|XqJosdo^>^Jmqd;RscSEQAFyZrd;74SeEic+%jPtIFQIH2z#Aet88*Qs=KRnb}dO+8DBt|<2}s;(hOiM9CO_CjNthQ0n{|KcpHa74r) z9>me>a4dZb$_bV8**TR{1G*N%RpIkM=IZv4xh%(MB8?^+%m-ag zm1>y}3MFgEzY^D=Vd#O}p?t8eBXY!zQ5bK`R(%N z;p)Yg%e!CMx?2By-uwGMd;b?@Ot_e`(7t7~WvXFJ07MH%#zsUjumCVwE@+JEW?;HW z!f3xCikkQaV0{GlP#++>5$JojRZvB*(_nXCbyk_z376gL^zL}|YK9(6y&e0lxuA}2 ze*oz^`D32`MV&9vx4@MSpLT1Z8y>(70c}DzT7lw1^kn`=z1Ua(4 zxwU*ODix29``tTd$#+yW8PS7W^6lX`CVm06?z|55V514gW?z(p{tTU;muCQ-4uUQb z;mmZiSa#8y!O7Cn2xjMhe7XAhay*)&u^jgEu}RHf%2}SBV6+^VtMh5qZ`6w75dtQ4~_ zV?wngY~+LfsN93{^YXYfD`nrf-c}>r9Yj~h z!NKt{D(N+jPk+9s;&>YUcfo_Bzb-e)@5jmS$`NUC1&*kHkRxtCKiskz)aUCPbcOgv zIl_Nl{&x+BB6S}2KSbX1-v8I@_x>CH;%s!1y1BZ%yGlAr*{7tj6txwoAY0D4pTa}@ z>FP6jV1Ghgg&i5qTZuJ3@|(p+8wgL07CkmuHp3X?^nBs;FMy-@9Q+`o`9lZQq z$}i>wFWaCAnrQTd2_Oedqn)(@vXTcGASQ3+$QziXbV zR*3J&U2rk45NUr_v%l4?g}(#aCL!H?6e+d=dysA5=_Ls1}gjrf77jLSAet)Vf_ zj6=|&Hy}nxy+O2GtA1p9<~snfiKj6aXyPv(yfgY|+=AonoZ_@~GLLm^V{o`Sf#)aW zU*rRJ>>w*PNyr#mo7tEWF&?;jM05gObD5Snyn6jAUSICj|? z{A&stf%Gxcso*u%10Y(8HdWf5Jlx!Dq33_Q`gr~M8b>OUfC6y5ch`wUw?^Z#q+O6n zb_3mK(XCNH!O(p$QAhxR98s(`tgDH4f?|v!nbqR;7VS{J-J{m(+1>r+{lnd}fHT%c zW)`<5>Q)}@ni?m?txJ@XJm?YkF%5dG81%5i)Fe(3xH^NvV7htkVO|>UO*H!;cujwcZcCzj3_x z!wde3i@hKI$N#`@IzNEY)80%$>5CA26KuKCfeWa)R=rkx4&Q+?#@czqC;h|t$Kj6v zZ|*mf{+KvSCZL}8{yjT8{?Dr~AFe)qy885T{PM&1%iE8?^uAyHc6~>u++egf8czP} z2i)%P1eoPaKD~jk(tL}H0Wh8c34Zz4+=M@VKS_T7X|DF2Gbi}`(I)a( zL=9FfV|kEZs0bv>GqRV|4?7l%)1$QRwqu6AiVeE^Zie6=P}hXjYiycF$gJPYN_3s8&(WDLJMG@gCo%T($$ ziC0T?w-HKF+1y6tK7U0>S5-J2ff^8OQ3lhYc(>h5R4{NmhF{C(I?xWPmz^Wj2Du_a z;z>pa)f@LKrk8ag$|Jc;L@*Q5ET=BV2iy7S`9ZIhbEDV&+1j6yCyP;2X+Fk;B4|?{ zFio!ICeOTyL+02pXJtsm4n!ZL*8QnaJ@{9eS7UJCP$W7A{1s}$TwPaG-Dfr1o}MgM z$LKqfkX`pAU3DSn#o2-iBI4HJxBOUy%W*>2(Wp(;<3Yg@tZO^=7y(M3oDFZ4i`0rKv(8Qz06RL>l;wf;8qFA`KeOyCRkN5*rW;H8w^$}Z zEJZIW>apH6ItCh|&kKb`jY#BSEM~RfkKlDK4^!V>F`|2zDl1qj%Mr#3KiZFiqDAIZ zcJh%s%2)DePb>k2EjeJ%!Z+yEJb77HNOww=Ce0%)9$+d`s1wY)d? z=x5#uoEP&>l-KhuFVSM$QP)flkYS80k2SoC00&MbjaW%RK{HxlGaRMXKmc*~1`E;6 zv-KkTcOFf*QIY~AIj(P(d&USWBXLJfrL!Efb7>8e9Bh7NZHnGN&YI%OxF0JP4Pj+r zGd2Mq9A=VqQDtSiu``N^5%OtG8-fcOxKJF;@pMq)BCk3__$&3@!{4+7^ESa@XTvW# zUrUaS4P~qu4&c-)g>+%x_|`zN^X2&S%A=uXM*SaC>`+`wV@vbbjeFdHnh27F^>Owd zX;0u#LY~$wb?!*hUY=)=L=kdSj7@Vwm1|MADOw3r#(P70AXQflh1mXj!N<~?A@BF) z>dVJ(e{R2Dei`8ZJ^iyK)`!B55X~?|#!`Sw4v(^L-4rNuII^-U$lnPzB-vXbPq2!! z4|hA4;*i46{}n;V`?|n({4+J) zR*GQV4k)&!2~`y8DRXA9!qBEW2K;qu!+aanNG+jCbAWJ;*^^fpHf;f(a~s%qO0{m2V#Vx$}%pTKt$)!qIG0ozQz8w zF_dlN-IT)D)#yStGH9t_Is1falQ-5DLRz;k_6sac=3qn}&!p6l=@-U+#ibS$4_uFo z626bpdFWXq{U$gNyBz0D-)i~&#TON_PWaO;R)(jI||i`Ib00a zA^RBwro?((Tb=j&p{5b)k8OK)vDor-Ouj%o?9hHfSRmDWl8gC$vJcQUeFHTYMBRWx zb3s~ZOtBm=%Wm@HS$;-dpN!7Jy5R&IZ_w%iqo4}zzN*inr6j*{9JSfYQxq`2=>7QP zk5Z#F9rUJ1Tp%v1ny@7DO6E2qsTh&d?o{TvEs7 za}wCNg z&twGazQ_RNWvZ1av0by|P(|K2=y&y_9rTA!@pp0{Ar>qe1lU213WduaM9TAZnz85WP=E$5W0DkVg>FY}OkaT{=!YCS zL7>2xg}mr9T9~|md<$(OEAb__3SGT5hqGV?;+8T-onqsRw2V!bF3BJ`I6cyC4}yiA zNW($Xf@<_IGM3GY#k!;+mNt8jV7<~!=e4xjTrTmqDx}0%xOF?~30;M3yfPr051~^Z z7E&(gTmusfH^9A(G+HoE;nEO zaii2HC?zEc2S{#6GY+;0SUr5d+9D1q!WHtBwvh-qN)Ssr2Z<$Ll@I7wP|8{^>-=bT z@_KuANVT+j*%zlZDC>Dl3}KRshF*^$L2jazh?rT_*(T1)}Zt zs|KkUpo>VQP+^cIYC}lwFck=nkF46L-y6a8Avc^4QBVe}kXZ`H04G5(Vy8Pzfio7{ zzNBVDsiZknni=XqL@K$$(e@?-n8ruTIdXwkKpID|2?iZ{O#QfrMjyN5(e4zW)1&e3 z=n8#laUhd}?yWsC|C~l<09oa4B(ZS@_|w)9W6E$QrP#b(XNNkWP8*`Gj(1rtEouj{b0^je{M zCPRh&MxrCY&^hYzHwx@v1Ss|=Shaf{gjx$`4UG^vFAP}QF_nm+%-n8}Dw`ax`UG8| zYry0tEAZX8~YR$qivxDy& zxd~XJBC}cbQaC zz3fb}Jt()LtWtW(90iP)b`JS1YZK(A=GU=CSfXOe-E-Dz+QA-5h)^%NK}vA&Unkd! zlhnkaJbc>HKP)uXa>*ae&H!>bLvCe-@Ve364eC^EvEdMSpjo3CQ!EsAja03U)-tVB zxp!2z?NkUAbD5lq-DhZtT_I%;sNDEpRdp_c;5t)wBdX6$J2Tu}3rG%sN||bQ;hj`j zAX|qY!4YPj`*KviUzThPr^q-(M^>m~(>VfLi-99cSyOunlAD%Gm=;s$1^C_`i+!j< zL_m}HD8v;Hq(2I7ha>?>N^CZ(H#K9{ER;o0IVz>uRJ4TcnPu02y5?V(etB)nl@IGV8Z_Ygmcbwp#wB@YIIZ`*kM z^tO$G+W_X~w3xE6$Yr3D!Ogs7YI>-XsEqPCHLf3w4`}h>-Gd2%BpFHFGmXVz#>005 z`O3LRNV}92ax;FA2U9x11UUn#qqU~PqX5`Pw~jGj_;!$*lxsIZ^C;K_=tQKDCnU0q zJ-ky{dJZ!Z(S8p*YT6ai@mBBFP_3i`y9ge`!GfkKaTqPfvhZk>NB#X|dq>_ItK8ku z(?wz<5U?H*_&vpgRXjAL=3qJ|8FCtFZhc5ji#Lmrdc4_iUsCjHr9(Ks!Pnn0UQwUA zW|DwJkwTf3P;1bUg2-9}_goup zP$u)>{#MRRZNSB)z6QF(oSg!}%@N2)iRf?yGzlh$)sGws0BA({wqO;3?K}}21p2x7 zkJWI=O!vqqGcT;yOEZMNBF39Ur?Dn#SsQT28+R6wD#JBBzO{5D)OysDm@we-zV&BTQE~JElwcr6dmg5HKiZ>)#!EKk8)J@!uK1X zC$HYj0~6YAzg*s+PGEa;{p(dPvtVh!+91tWDr137+Hwr>9}b18E5HS&4V`h;pzHej zp&E}LpywK}&_l92DMA~yM44W$`?^NK*Ogad_QZN#fn+-k=hYNw*qY=A`frs*k1+ly zoHiz`a-(}8eX?LnUjRAPbTGnMlB%8hz*o{)dOE0pga#@>1R{IJ3~Q8Ur@^pjSU2!j zl6WjXWJ4MpbRcQzbtjGXr{qyY2C)9z@E8KSQo&7gaw;foT5)o>z53(h&BGmVx_1zg zppGPkD3`alUy)jVwG)Q*I?7taTMUAFgF?cyR~vDNq#mjBcc@LL@*U1lb+mGd>hts* ziR>0;s7;!(ddsMg0egp93Ev;MK60qQh?r;S+o`)*nIOw2E*tRVN9#(6pQTlEu1r`p zK3Lk@1+0%O2yHH=Ju*T9$x!!$p9oLz79D~>H&NZUvJ`#9Y=%5sIJY!8i8_<5a5$hG z=~&%-j;<_EFp6;?arbJR&|TT4g8=_ryqKBZL=|K-U9MB!xMNz#iGyLi}oH#{5wpP zCG~tJnYT z6)=n0{P5@y?U!LezG5XVfQuFW;c$rr=6&?OMkeqdAdZE5CSsl`zJYnB;Y7o)&f^zC zs}gAod#o~>Zqbo+BsHB{Fv-{;10e^8*6`!QY#c8#NbX8O!x7zF?vt0uiBo{Ij@*Qk z)m!#1_4=O!+PzL!$*JefB!yD8c2{)30efSyIac%sP@|RHE-C9l6ht{J*?q#rl$?&% z#|KN+<_eCaPNY6c@}$7oZr;u`{Rq4>vgct}uy{CpS|C963O;KxGIP%*?vdKCt{~ph z9fIx;;2KVz&CZV@+^CKs)l6-ckg}S3o%v$&>Re2XPR*8lf}+Ga9g+nEyJ>w^&V{6- zC&)uX1u!EitE4H&3RLBVPOXSHs?yP+@HgUMAXC)c-Ckt@>@SX2bd0WoxLj{lMdcL4NYfGb8Fvu&UQ9GIR8gzVCoIY)!41OrAOFUA6 zFgNgy29IiRSS7>GGK`fi4i}5nNh*Ma!`Wq>>}<5|#flgw^>Jquop&Lr$2WmKB8|t8 z+>hT}K01-9e@p;es16*h-Xwhi18=C!s+_sUZYpmMHbEPVuGvn*e=~jDuHVox;Ho78 z3#=-g>OX-8Mw1cnl(BSqHVF8)Achp(ZD4*_JwyZP6ij~I(G#IB^=<;UbB5F-`(-BS zYxc((f-U4hZU8Nl`kC#f>3XCqlN=C-=kQ(o4|egtY@K`~dF6);F3 zm(J_%7e-38RwzeogQMU^a3~FaX*Mn=iz*!qJY5d0c+2q&U{LKrWsizX)3|%A3=uTV zZeX0`OI08_T83iAIr_BFdGehUmZPBr2~0stz)(N|DMvAectpx*bCNJBZ>sbq$q&q! zIy?c>JnG27^pY>(^Q|04ao1)Cf^fAdmyCrSryyf;FuBwE?2w97pMa$J9q*;)g!%32 zbL8WipR*Y~?(I3=jLh8=w`;F!xAvaitUc@%$;JaBV=E#Aigl*ERK_a|wc}2*KKeaD zeWuw#YgK60b!u@RbE2~$EjL)N%erGZs8njyR+1e+3_Qp?L$}_}U-v!6P2owGzxAg( zVv9CZGy}haD9AJ|v^l$&KTI`$Ykg>@ zlxhPu5c+X!j8z@2Fpl~>lHT(W8nyF@qr_8!#3nNo70nMyY$C2(W6Gkj2Bw2B12#r8 zA+=3$Z_0r}aWBVRu`{?3=oG-*4Vw^LCTP7(hqRn8qym!{FeP{n!U{p&*hWr}uHM1< zP$Ykhg^9o@H-C4cHctB_3Az6TydOht+ZXhi^LJo_u97AG4qwyIzARM zdIIqoe+}Ltwsj6U&X3M=4pNIu%{)TC&6S)V~X8b>RHfEN521bT73avsYW zdJ^egFojf-U63|rXHa19yx9}zzkWR|a_v(}7fGm$Ro7UZe?e1717zW{->o$$cjB(J zDGWhS(NVB~f*Dx&M8t){f*G^ZI*+q9nM>Z1Js)wH&dx8?^)W4@ek{YZBVsiu>2rfC zNu4J3NPj>}XJjp9*tyDBW%p{cbO$SLW((O56Xl733GJ2fx5cWeH+{319=momth8`Q z_%VZp?z@Wp9pLrAB80#r9uaC7+}dy8C46;tzy5yx6?H*>zWV<4;oJ4?&q$ivp>b?y zR8P68RSS08;pYc?i5)X&JAW)hHyA_*P?sHpIiiZ{H$+|(aW%}06SuPMtz{+0BP^_5 zW(ZkE{^EfL8Ou195VlyJ!YE+d9v)Le43Drs)BIwyK~x7`oi-t2AhLeeOkBuG0zE># z*3?OqaQk?o`&~#~Fw)+vrK|gJzt?cviTId^#@48qsa)%&1+7_eCi~jnc$W(2RmYr-Q4gP&g_3LD{r(J^4XS{&{l!u(gq z#=Cd8C{=jk+K@k^r$hc6FjGTD@tj)WPd#6=ABwhudOj$COojJ_JGK^%mCJFlia}Yd zV5J0HiSUbCizYrFnJIUow6rC}dBs^osW=AUtxQ6wk#3Mg9>fy8XNu6$(&#ewWsKa_uCG4pM`un%gXkPBw(8 z1ZV}!MCP>oED7*Q&dtbL71yu(W3)%*Z7SR`VKF#FnNpN8(e^a|s;+y=7l!@TopOKM z{&)p($~0hv;Nh7wnGa0;{q6h}{OUou^&x@@TB=vPglV+%8SObbSL%kE=;Nw)Xi0KH zg0*2KJr#g#+x&le_&vjKx03`db9E}QNf_xQRcGdwRUFiB)$ZZTLiRA_60==X2$UeT z%Yll>ZcX9RsxD9@szAFU=Pa-KR<*`tkEqXyHY7e1b)Q;;GH;c`*dDtSAkb2rqGZIs zB|AtQmv2c^rVvy^ucM7C$+aQd5yx+E3E?i(mgo@sMR~OAAS(JK!Jf_}-Cb2rd`Q1V zkKepunyQ*6^is-koHrwvlM)a|=s1aE`%`_JhV5)U7-Sk5_upYfT9lH{Qoff;K5VMf z6KqBCKLxoC??l)>*wGmfsH}Yd4+bJhE6Q78MJyJw_;7yNA!W*ibKZ-6Pk9(P=XVb` z+)Ya3Fh@{|fU3RW08vHx=qjBo6qER-_=Fy6pxZEYXa9-V!kg zLAjna|FY~!T=D{Y{JIMJt$aXtmA8h-QZ=W)>%l}2$ZXm4&`&rzM<-p`?vtmp-6x2$ zBpY%tJ3_4CaKpro?S)DM<(Yn306y&zO=lq%#kj zox|d}j-X`R9+z)A2R;g+z!8E?%3Luy;gb1)ZdY75cl@Y7i1KYS+geT#v^S$RF{(qc zqqyU_H(=4h*V5nf<7NBfzLwTN;cF?!yHe&xS<0N_hecQnIl?ZMG*LJ?E2`e_OWkVb ztFOKiZ)fi}1d%gujuIKg zM=?Rx!JUTyim3$Ik*imAnf8P8F!h53F1SBmNGgng|H%)otg=Sx-hgLC`pw+M1P@wz zS?O2=RN94!r4;X6KWq>9v8{ei_gwQ0LGUQd&`<#^S^^0qM=G9*a*{rlaIATM9Bacy zy&-VRw#WngUk}&cukN;Y$k9imuxkFZj!E+Koqys}k^M}DusB@8-;JcIW3a0Ez@^eo zWvn8(YO}Zm6_>LRdZ7>@L(IB$nb2*Orn?9RwtcC@j*&-6;Nkjp-=+4bY>^SZy7p&i z;Q{3nwhi(oc;@z+9?INohg9#Cpfa-^L-`_W2h7qF2T`EP{%*8?u#2Xa8dqUje(+N( zLkXg8!m;274VT5=M}#ILi9V71;moy{7)1SJ&4tCy&X~=)BDHci2`6xm6IM>90!jnRWqx;;GjAz!p;Z6K)5d6mTicg zG*YOEjv4w?6;%P@4G3II#5Hx{ox6zFfKj+OIWy$F zn4aeVLl%e#L{%HC&{cJsk`{dvZP1cYA_ztMo;7=EHOi2Enzm{+x|-xQYZvME&;_2A z(}A}qyVo9e-qAUUk4>VAEfY~Z3ryO*^lL?7U(Vi#g-UMcW0iHP&C+EGhapC@#Yjoa znN;&_BrA;W28&~#t>D@RM9DCUeJdS%v-Fz0pb*57c-~x>VY9n%*esu$i5gQWrMSEQ zbbSllKEWVB?z;S~I*8B!^KjlFbpdf#WnzQA)!8pzqnd*-tk44Gv|0-bJ8}N?;oMNC zI$FHeB>ssrW8e|IJ%f9IC$V3!TH2pX$W;}KmW4Z*o=UcwYOehg7qKzn1~lEX7w z{B&_ha8#V9eHeEHu4&K!fR+oz)O6Mj?0w{9dB$Li8nxR1JJ~B^UROK}qbHI6tTpI{~ zUlG;r$Z}R^5dtN>TCQOnlUo;N8{_h(PgO>gl5OpB`0W8Dr4!jCm7$4~YmnoSQK16( zJ$@(=r{X){2iihel(ITSUW95(U`^KD4#)&yrF0K!S>56c4C)u$tq9ksN?94vB`^gl zx~rkL9x!zx2;$Be6>;1>mv5F?B~mMQqL8KVJDcGLR4i0f;Pn?r7fU9d-d}$~H=Vn? ztNWe%-=xDD|9Sb}?|&ntAzfQ?T`oM}3YidYz3NU0nZL(LR*}Xc6^Kt+Y^u{VYjOj< z9F+|sXJ`Qa)gUN}384mcgQ_ulumTHh59*@h3z4b3gK~Fiv8lgX7HN+SYSIxLH6N2~xA)booc&w@~oP4bPa-%q|O zC11%7fo*1QB_{`li1H$Kewsl-zTfr(+n|}!8m+L5`aFLB^Jhdb{eJcH^&JpPE!X|~ z4vHqXw-0Ewg@3;xli_(!@){QCm-u~sbqjU+=fks~b^tSe_S5b59JmwD?IX|sADz01 zDG;@3FVMuwZfze}-Cm;AdFNd{*RNft##FzgNKIY7;?djb4TqlUXYxtNoc=~-io2$5 z0}uw$0FYs;7<)QNvNptjCVrQ0>7DoI9gh$qs4AoYHX(zA%1{{(M>7I=a$b+0+(LC66|>5+*XzCpD@2O6ZFT48_H2#G(6j$HBKYY7~a03Y{HK%+_oIY=sifr1zLsH#8$9mDQ z7GFf7n!th==uLbhTCb^pteFI$?cLr8kOd|Qn5_*FaC&qhN?uxe>)yvfbheU7sz)2u zF4<}_6NVQYJDKc|N1p5w38(l90kzNAMlsgGG|E|A$7oha=)_I}QIYr`zFKx5)DmO{ zD2-44hgtcmN-Y$n3gJVumxCD!xz{Ey3PU?U<$T#~DiBiy!A;ZCI0`U9voJ|9C?E6( zlVCpzi4=Je$l_8FYHjcLv3H4>Y>laai~*@Zn{RnGSHipa%(beN!Egwj>+>z(eD36h zyq$=@jV-;`B>1qrk5B??0g^lv{p z5a~Lvo6V33`XShV$XbBj;QGiLp6r~hm7}^Tv*WWt168T3l#Qd!c=i~KjZB$5J!Sgz zls!yQC$6OdCBB!HoV~X9Pz+aYMs>((xjsRcS*t9~HL)<)1cI*C7I)Da@tdl1A{*CL zE%iTjU)2Dvz~NYT8wp+~ED<~qP|zF= zkm*2JPLcHqbO~{! zB6eVtk>h*4u)3Ao9>vzUF75gi%K28aC4@7yiJeb(_b=|ge|&Lsb^F{}NA2+9^B27x zT<1R=N@Ip;Wec30K~s!n45(}&;I1i}y6a~wT~Jk1deCjxt)?vSIund8QVRWQ_*5cY zDx*d*c76?yEx<_2ZYxp{2%(FLRC9ybdvXE-*}Pr7N&T~xQTEJPy`1ceV*)zXYzxp0CP(6oO-y^CrCKaJ&ZXxZ z4C-ZC15ZWB3zi05UA+o*)C(zDl3j%=9)3cYf;`q^_u~xHgVPANU$zsjvlhF zX6w8VCLaq@q5WxDlc+~G(_xvd3wAt(0fd)1B zPhtLHPKf_eS9!BK3GM`_Csf$%vT(XQo4A<3_ewBRRM|5Wv~bfP`?KN7%{wOQmqcX} ztj^V7!r^QXW28}v^BgiH0$Y?M`q0=W;Yk5H3t{nOyEbR~?g4FtnUdQrx>PKg$Evor z*R-1|Bw1GpU+eS_G=ITAfH`Ex@N7*pSP*LSNW5?zMpFW&b2fYcA2Rj8p3W9!vB1Jnw`Asm)$*81*qDJ*jLI2FrKuQP z%tij1-WL%pzzMx!|vv?VAx%6fg)x?-~iTC{tdD*LI@5u zErZiZd>}jEw+0px=Z*S?bZtm+h~A@X_?A9HnEBT7=K$tHvdG1VuCN`hq~MYX3-mj8 zjYNi%2JNNor7R~4mn3!7cE#L!;h;G_MO@)NQmQj2sfDe%8R%WI_BajCg-=v7GzUWp zTGD=3pgZ6u>C3Ca2lpmJ!*p}v->L(vHc?(SF;pyv#lcl7Bl@MD59L7pLJIY-txuIN z_8~+bXe+e6v|SS_Jg{>rzbP1Fbfm(o0>;&BksXGdI_Q<+C4t}$&Sh{CiM>J@24GGi zKmwXVDZwXCm!nRk8Wr+&b#{1)>;tKW6d{~uGt&wME7iC$1)I7ZV?A7u4&^{)O&9B%vINvUG4!(-4U|x^o%h3wC?#pk6ZQL zL!+wCpl`%AwAr9TLu(J@8d_^yRasJC)_{hdFUjaN_u8JfxevM^9Bc7f@g>QSGJDsj zH4iRXZyw8hP?y`8M8ru1PYb(W9j%Z+eV)>RFXepLq=o>~PfXcu4didx`kTJfR|QCQ=iBASUw3fu<#YpO4rcJ-@%m_mE?4JB`1n7GJHBwu^O0D}$Pz>R z4re_qV{`~b07ifL*W7#sxOkHM{?q)qK_RM+UM4O{q%-09p4lY4&;+;!*i9v1goVU_ z(4kjTP$W+iHbhcQd*37D? z(jwUG1$6p(g1ha+224DO1R}YgcwC-BmR`(>Ec*adJW%vGkUyhbMdroD(Q31Ed-(tT zj3Ykb2Dzt#=RWJ!uuzw)S>bLLDD#Luz^lUtLysqEBVsEsXdI?3XkT1{*zq;<7~`_% zy|jH4LBG`^mn4VUfCE`q16&PYOuachVM(=+#^^%i6;=<)1lodeot^J&q~mnwbGS5? z7prXDxVt}Ln{WFQ{&{zP{qa{+88fU6$$6Z>Nzz;an7nE>*3D-Hy(J*_g=*7Qcas0X1c_35#1?uPkjj zLl+h)jmMkAjQ|G{3K-06=lk>C5C6RRp@&~gtey+E30XEchgL!F)is`fgArc>-I(H& zvI;W7BxkZP{41`JQ`32<(vzcdsWkmucpPp_BE+ia&|s{VYWfp!*Km%TCZu2oMQxT{ zEY5E_7F_d1%AOry%NaH%Tg;J{YboY*Z@(hsK-Y*bSam#*O*<8zz>kRli3_G|KL%5j zgtZ1<5V^+33|GlfmD`Fe+Cr;@Fo6`&D60FSq$R1TGqy)e%}KWxsT}ad@S{n43*Izt zmzF4Cw??A@qd(5nOUssi2{wO=^15q=FI(rGWa+Ye>sDz+WmFd{%>)!^xp2^0BbB4p zp2KH}IZ;`mf~_fAQ;_bJv@DWL4@*h$@I*BW0X)=h(Vs8x?vW>$BK@jY0xo4sR5&2# z44J-CBV7*aYm)?(U&c&Q{2gAtW4y8iT{CqdGCqi-y*UOZlE7hWiMNu``2vOSprR@s zU|;b7kqk8)6Duj|Jy5=}Y|*!eM5ah1_%aR1Fh3#M^z{k4C|1J_3Qv4p=I;^$vYcan zt6&{weeX;*kE)`1G_7kVqad2OQhpK__ywT;%R^L#sDVgd86QDjm_Nc!WmhZvlaK4J zP!{ypM;Aac6Pe(RDUKoUP#K4-l>so`ddd;|-*pc8;jK)NV~eea>2n?{n-EPAd%`!$ z{c7zki8D-Qh+3WV%Fa2u<7$GQouWe_>6icr(P60Rm6a*-;Htzy+=Oh-=rwDg!P$79 z>Wkh9UN3(fusRA-$UU@_njL36FdgvKtA0)&qq-+BCo2mMj$2fywUfY9WK&)XDq~m< zeT8z=wvZ-hky2@)ZCC)wm`#^!NwgHsCN*hDtB$P~_j}pwNhWDa785EjIoj26JK4;~ zn6+SQQQ}x;i}Dem9#${=Zj^)aTHBwm?xBreZIMo#HsFx#OA(mcub)4o<%07shlnDY z^M||NFTWv9x0M2w4-eNj_t&@S)k>KJs{3TwvVOP2Rw{`H#D`7bKwXKY4cpN}yTVfS zCjzcZ*F?j_IvTCy&@-yAo}yf&@Fhe_`UyU4k@UvLs8GOx_5DwVtp5^1gw5S{bjTBm zG-p3kPQ|OBZQ8)}$-MbrLcL*1_(ir)VWFYC*)Kynw(NIc=LFNUm2e=o=g5~mGrXG7 zzzr|obV6=O$$-`kSo*O&Uwx8N7g~CL5nT>$0&QvqyTbC35O-U@oE)4b?)hfag{0n~ zY3`Hp-O;WyFmd_fhboK;T@t((w4IUzn0YqTuA(y~Dmx97eRg5+8-z$$sB1;NxiB)vCp&6rx|C!L z`L8nsO#Js{`uh+$Cyy)eNX+DlVKJ>CYnogY7HR<1ncB6?MC-;F%1pWwK!Zdl?S0Hc z^RDl)Et?(k!LB7qttCg-y!!+9HuS?H00VC(^Bz=NB9}>G(1Jubk?x;1f&TWAi!*r;vFUgR zuC2d*^jno$I@A?l#LzB{#}`sec_kn{&zEzBphWu{)(p@Uj=o!zuIkD|f3WXYm!Fiy z!r8JsJU@UsjNkB%h%3>Y4{D!WLrDy@;lW@GEK99f(yz9D%JSXeF$2Y*g{KrRS!gx} zQ;z2E*YGf?G#La|*RM8Ff(c$nLYg?MONBVA^F&jIidety8(keW*2m&7)SBJhL*IVH z`nlMgLHT2mx*$&E){hM;mvH`}n2RE)0;8ymRd_{hmaz+Lob)WzK2HoIa~}s2?ejF) z-Nj)uR8u6RH;OH>zkvm9dLp$)=4x0q7ZnJ!@6sOA`88j>Vzw+>WHP}LGL=e_O~gq= zF>M!{C5{BiYTWe30b5AY@m+xnF#!NdB-Ev-y@fCiIWUzZ)y{w z*##V!4@85mOP!hk6v|#p8JxBNF=Wbw6EVd?xDn#WLqy?4r3Yq{fKJSzwTlL2-NgHU z5VE4!-8{vmZynZLUt68Su(?=CoDZL+m`*Eh+!7Z?1LGH&(D?3fAo9zVvrtUXW5DxF zt|TOOdU6U0MKIEYy}Iv06V6v}ShwcRH&cjeQ-pN*3Jz4Cl4Z&CcT1*k+O4*Z7)Yx} zU=OH`cW`?CgcX?12#3re?_y>#rSZ|x*-t#uWJSplNS(nxOLK zqJ8H}`nM0zD{cD<+mxP-D0(t1ZeLDSe>*10ix{2y(Gd95M6;_6>tl=3Fi)^8#9Sy} zhCO9#SZI3P*;xBiw%Itc8iYot=NCP=4EST0KhWhI`QXI96-CH0u|)eTU6NFWrVaCT zNt^OUTt%=GM79C;lkJl8yz{fg`ng>JuHI)?yQ%(gkJbawlS2(PY4A7dqhiYP56t;=_xNFFrl*T_R`T1OERJ|Nj)8 zBvBjB*{if^j%ggVmC7*cr@L#8P(F`uBGVY`ws(dzrV@VW3AllvumD-vmPf3!GUFi-Z*h_oBD2E-Cj}Bj*tdMSp7lH!I!n2*rPoEHb zL&q!W<=q2)J$$_Hou_l~_%{06*XvLGOFjf3mftedN}TDsn<7y3m?^y9Y z+eFg9Vm#y1dNz_}qkDH)`k52$$94S>W_Io8=^XJ1QpB?*7iwgzYLPTHXV(m-2sGLN zG{{ohd_3&;o89yaGltd4;+{BHHVK zc_P3yJqr2gsJ%Pf97cHIM7$}`o(;nPByO(*9B^RGU88H`J}%oj+tux3Tf8IX%miP zi573lqs2!HV{#KKZ@Rv{zK3h%))<+=rJaI)Ay@;ARhp%Lu#OkNq?7F(L8cK zVx3TMdw5i&VTa&1Akqi-7W6;F6&V16Yft-i@fYt|78>ojI0fio`4VljQ&%`OgF@JF zia2M-fUj?LSQf`T(V>@hV9j(y4yCT_Jy|XUe;&eWG|gJBRd;x0QiYL*{{>;CX*H zW&OLvne!Kn4$UOTjJ{WMcmg}|jVuv#+|{Y}BZ}xR@&J-}ak?B1;dbIH^?edunXYKQxg4(Tq%^)en5B-9}MjD)x`Qwb6<8^LM5nV+5?*y|8Cm#RY`X4U+>5st_%T3w>A zjllVXY((-ad{oAWYRQn; zB2^$;z=eIZxzKS4@o|4ZFCTY8Kw}}UGgDDznmnnzt@}^-hi35)H~6Y9`p4j-s5cmY zwE7+6Qv9AtjDSm3MAyT0mwl~I$$PjmpZPxGay2Mf4(nww5P*URmU(4NmNBnQtao5oY5rL%H4`XF1Xp>AY|ijm%b^rkEvF@!>- zfjx>)h=$yc_2U*4!|`bSkLXRm_vA}W0tL3eM5HDK4wL}1>}iqqaL(O z@GxAGP@|M&DlZgn6-D|@sL~=)382=e`&)n}y+XWJ+t_>54qc}MInW*=r=yG2aYos*cIA0tj>u2Oto0T3RG(-sXfzdo; zYlM#cYRJZp5pWe`UW-O?0S?~lnzp%^_jC=-$$*n?ix%t)I2ovYCPbh{!TIL=UwnLk zO2ik;!o@s4IO`E?(V~t_oy}EP;a#9&H@$ zHab_#ZZmEcOuA%9_XDXRr~@$Asqx6&x8sn*+V73fVIaW%0gs-LIR8OR97qgvb_Ylu zE)w#tXvA5z){MrSJRv|3xUyxD4&ox{A2BuWXcq97m|+KV)(vsC)7t=EdF%o|R_O0|>fS>Uy*y$sK}dWp`>bf6b%a z9_Lf(>byNePn2bXt};XgM(*6gp>^anVOQ8YXnK`{KHUS+a*K_Ybnnp$oHm{$bk)Xm z|DDu=&kj0B%Z~4uByU3^K=}(I-oZ!#i&xPhtB4<~Et(3oF1g}S4#;h}pX#VMgFhK| zIey}Gs+avn+J92^RNx>VO&CsoDYXB61G4V3!CZGdUS(9bh z{U~Le6(EVr6U&9&W`)15#a2f2hGxAYd|b1#2ZL(QPF(g_i1NqimxZOxYH({&Snwfv z3G^mQ!w->L6ds3SV>d_eQ_8;f|0tk7Bz&*l2?=!vEisYb<(!J-cx*NopE}8f)(r%7 zMi&;U9-O^JQq%?9wz1$$%?`9=%er3$2Lj*3x1iOUnEr!7>`RSfv%B#?m0jGe<=Y#^%M^QbGoS40~`gDuJRZct{ zVBwrW{4L&VN4XvO2fYEW&-3pL1UBa;xGXT;1#0-FX zJTU_~FbGoz_6bVL>m4hjIj;#R;Xj)TMzxkaxXSSX7bJ1!4He0QdRZo!p-hdmAYX$qz}492Ka&vO^#vn}ej&qh{9&? zyz7)@)T0X`d$`N$qc1Q$f7}{E5hlx68`jlGw$}IuE9UvDYA)kv^mYSj^BL|fp%Mtl zgi(h}ky-PW=KrvY_<|fPqON%{P%dAs=B|DONryI~K*!VkjkYY2v!GfC9H-}?R_k0c z47D9mR?GuSM2hraGGc)N(!$_`MDK)X1>p`QZrE}zl^*-V4O{dV()0!KMfFS$Lckjj zPsM5=#%C=SZS9Y=y+diWjB8d)$|D-;VYUWZU%ikG1^WToU`TW2^_-2CA5$(G z$@&aNYG8UKmUKudI#J!tP^&ut!(c_i*X|*AheJgK)cV4*z!dfyZwLW}ScV<;abTP@F3^#&^E5e{y zff?L!`FJAA)YRaT=bAxvihS7FwCWD? zQ$P})p?=FgZs<)NljH`z*Op1kk{eIslg0($o1$n+)*C(nN$4LDmde*GR$VB8q+lm4 z!3H58v8;1gYqFt}J?JGI%{no#CKSxH^3q5F(2cI(Gn?a1!~gGJ#^+)9tc)6`TuxJ(4a>{nA$* zJUiOVC(E6OF=FS)Tj}es&;D3%&bF%a51Wf1h^JNGLo@Z%i`=4_2H!(YukK|ouIln6 zr!6VBu-XK3dp$ZwZxg85+X7;(fasdKH?&=#T=&D*`jjmAR+{Rbo?(}J+oQwta}>a9 zX8yB_#c%<$j1E)K2lN=^%fh#_+K1k{+>z1o2>DrXbv!Z!2EdbZ(8TP?IVfG0Trj>y z>I>(_$9!6*xSh>lf^CnMXY^B5-459dIqMziTjkwk{Viw#>qODAiqne|u4d`MAvyXXX2FpUPB#P7DP4*3wh0sIunC2;7 zlZH-aYopO}hA|DZd zkg6<^C1;w9c`D<9qaMgUVEc$=uU#jAacXtYi8>f(*%ORIB|ell z+aa}-jcXik(o~C%8OrZ7kzgOEONcu`7Jzs7{o^n4+U8gROQJ}S;R%K>%#7XFj!J+= z;KDKB6d1!q(LkCh@_qB=%(6=|%M?F~Z=f|O>e zlHy1paY};a$o-$7U%_TR=*W6)ko6jD@dl}D>MuGC<<#@+Vqt}+W6DlcW~6M~Xanav ziEctEJ53!=-IMg~VNCGB=nMqsX&U55b#ViZHq0e7YZ;m%8?#}dm(WyaOUfGCe9AI1 zUv8>c)l-&oSB%o(NGeubl2J?>Ayd#Lu7-{o`VvC4c$~B?Nv^5aX2^-GoFTrGHjk5Y zV%nnt)LLjD*IY%t%qPgr)m%>ViquR}tPp=C)mFpesAW%{sAvL#i29jB2O5|;bjs@m zDHX$6=8hX7JV*(MhuE8%CQoxfh%VWSVnp|V3mLQxw_cgx<036u9J3SjAh3!L$>l;y zjVzTXe(wuDWoF>D$909Fhzud^RNJYP_X1ua$nk8i{nZ75p(52EnapnvB|)C*iK0CP zI#FFG5626no|tN1Ue*Qm^)iPt?ytFlK$^h^8p(d_!R6Szz}VAb0&t}OIGM7lq_hkeY&#Wd~_Bap@MIHtNS7$2$ z7?%d4lA&p0E0`yHE-q#!mO)E;3#ZhZgj_45y26xYa5-HFC_R4Kp;qOQVc&9skenY| zTJ*!g>+RdKb5Y9qC~!ALopbm^HT6iUsdbxGI4l8AvT3_igk-8z%$=&uI|^?KA^Wnyez-OfGRYYSNJgpRdC@CPhLUQfgB@H z%VbYA7!d}Ux(nA7Uf%Ep+OO{e&6CO0qZkfPRO`)hc5r$W>UPa76{W8yLi#)*B^8l4 z%c96a?u4}oDK-N|xvqL=auHXZB41)ATU`LoL9_XVSiqxU&#g;N5TK|HP8RWtvb1H)2vDsX1eL}pt;*EFpu2s+wJPNHm@w)A^AUxmG*0AoU zWY{CS2*MKzZ_#U~BW4zMST>Rha#pjhs!HzJx0v}@lFj+rR0hu9!P7HCn>l|}BlVR0 z;v9M2?e>?;yDdW5oHi<$q9jNR~ zYl?0MOarQ?h-Yk(8V73{m*MM&AaEI>gjFu&D>-_*CLc=C9Qh*j?G_D(?K^viB=~T)GOA4H=pnOVVOXtVIdvxk zlUHAE10)KUED$V_YYv)LOw!mUdayjnH!;UOWTYd3e|7^+s87gCFY80wb2a0jd=Prx zL>|h5#IJBje}X0vAPn!q8M67}2pP@RwaN<)iIEj-#n6FMvw2AsP$gvJn!UIbA+6uM zGLST9?_e+as-;+O{&Y#@@_P1awS9{Wv}EUp0Zu4_@&}F&v`IO9oiQpl7N>@XZ-KH&_a=cSk?<2jExZDA6IcI9S0CIolws1yC>Z zi+xROa=K5=T_p0$_69uU@C&~N;1`ha7XK3_YsYJmW zC!ZC?bQfjryN!z_lYt8ZNeS@{Ms0ON=Y84GNfy7vev0!`U!D+o;_jMd00QXXlo$=+ z46aVkHo#v9C$)1#6< zv7uMF5cf<)Ry|4HyXf)==X0LkN3R_TfnI5T4_31FIbY3y1SyS(<#6CM2YD)9?qKCX z^9buVmsLdG-+X(rfA&*MdoKq3A$;AFVR;4y*2oI5Dr@bIqT3lB;Q;knt->~e_~_5D zpCX#!oRK1v(wJ`#Djjm!NiPfJjMXS*pOnH$A?yd8BJ4|)VWX+FZx@_iKb-+mm9aQ4 z9A_*}y=mfymxYxd?rjmjzPnlJc8^nJp^TNOr;dC@i#)cdOM$o5Y#*SWJ>1^ENb9E0 z1)FZLV>4d#WC}tQX?1e$qDY(B7B%II+y8@%9^n{ZXfK8{ z#_{m4bYH~33;TO%|DwX09#jpy&W_&B-fxiS$c+iO9L&Sj)eEsP_FGJNqAX7~7>Q7s zoRo4wU1;02BeQEw6=}wvAr(y9F!Ho<*Nkc1Y5dNBbcM*V=zTfOC}7AQ0jy`xAB&b| zHiXos?7bWyJ@;BAE@kcECf%&=8IUloIz}t3^?CKFFGTP_xR(!YQ!x z9!7|hG`R$avNxOrZR!mGKepDyMba_oj`s=?03Oo=&CK$!Hu3m_FLQBZgR?ryO!do0 zbU6ZdgQ$fMj4P^WsT_vhz;j>*K}hcif~YoFkw(>NTo4t4mIN4>J8HHP?H}PEN)bNI z)U+s3VZ(HYf~a>VKKizykxq*|EwVieEG4BqahB|#9-!C>jXCYYaNiO5M`E>Hn zntwgwzblWu#&nCqLc51ubJ#CQ6G#IdBAAa9{@c7Wco&m39O7Fy9m7iD5WR}SF<-A z1J4Et?+$yr19?H{fzVFiNI8b%)f5%+_ThQt-4?H7ew#*!j$R8tY%MAk6m`90J77NG zCl}IF!O`nl3acS-B}AgkZwSFGTn^tyaUmJ@{>E)Y``nMF44@5tY6@S|w5(_;ziPb6 zP38`7Vgp*ye^r@sUQo(FLF5`q(-~#od9}skUK|bTyxZ_S126It14M+>R0kzE6+|B%&eWAR1uBS04r`DOEAwBtjWDFdoxjFO0y2;U$ivDSMlM^%>;rlp_3IPhW_T7P{A1D1_qwW9MzsdW+tjX0=Nn z4AdP>eKK<;b!Qi5nnfXlj4GYZyjO^oAYhW{Ddmt-SKx^QwDl5Jad;X^jb_=!f+wb9 zLA0so2CSnmdN!K%giZ`13RSIFF9}4O23bY{C1=os50>jpfJzDl{)>|+6aqaB3JvV_ zUbCk_x}2#iEDMsXE@mMnO~jCNU+}VKh(c||>zsT|xQ_(p6{@9L>FYCaNi7`M>m8cAP7h&SzL6|GF`Y)hLxoRI2h<%HDd=?NiE z0JD*`$G_sw-=5)LEdZ$w`T3}RmbX=31AxoeZvZOjoF(;{69$K2(w{MfptOUvBe>&@ zNBcPG6b`zqeM-JLzH4H>n^rJo8Dn&PS?y9ID+X`Bm55X($eo2ChTv#$v*`Zo=~-@v z%-!B@-+*C${k}C7X7Tm*^YzbAktNE3u!TEPC+0~d><$Djo()+rRHY>yH)2m9cZeAy zV?-bmVl|436{pZ=9v$}0dTf%s9~g<876zJWqPT4!$xER-M1sRW=mFX@a&Tv;X<7@O zGV~%Q3!9t1GC{sAm6`Zj8v3hKc*N`1{ce>}xtr{Sg?Q2W&yY|AmJgLokB$1B%QMXZox{;fZVxy}x zXeJ?8JfSp*(ot?eqn(MtA`w#e90E`tAqjd%vj`O%ZT&m&%|}#p8lkz;_{d~#kfqVj z8byAi1-#p9@ESr;M3A6VBlF?~I~NjJR9}EPu2~y7ew`f7GhYr|3yy%O z!U=~*6)+6wzDnE>J14ex$O%HZioBoFWTAbE4CQTt_)g;llIoHy3NmC5!E+2~G_18; z)<>Wa+TR?T5VPM_*aiw6N(`VO(WKA_5?khaeg<3fh=hcS8mpBDfcoSk%Y69EP)Y%L zSZW%hk+cxz`AL#T17Q`{D>PNkP;yGoQE={4)o_I()|b@605Veu2#}}%@qxE2knxE}ZC0TQI8v9fFrBD*!d-)dH^YG)utkRNM~iwrw`bSskX z8pIIC+ab`Bu9I>R)5l=QP8Jgp1**>-=rA&hm}~@6s$TZv*cz0@6uukdafl^ks~Thq z*6j!t@q9!SwI~8^6Wv5fOaZAR@mL7DnxUV8Xalh&=VICrn--9aimv6AI*qEjdC|f0 za3hW^rG1jVycM3(&WJb-8bkcnAfAWO!*>T=ghmj)+CF;vI@<26`8=6N@O$1vjCvcW z5PfS3mzVEFPl0ydg;J7QE6~pLtARuUx#snVq=Y76X?A*TSdU$Dzw-q?6j)3-Pn1Bj&B89UueWL%4Z{=rBB!5LycvqOAbc z=jqc~5F%;3LO9Xnz`17!Rdwfw&`B4sXvG96KRx3(r^q ztvG|Dp~9UB2mGAPA)V<}Ms&-hhN{0rVrF*{Re#wGW*SKA3XL|HGyDs4Mw}D0>tvgP>jZ)#@BZ1QAC!5TxH~f8x$5`w z#|waRFrCgqFH%Nq>nR!rdr1b7r%v$Ju$(KCBK=_+y+x?hgvb!zad>((-xqiF!Kj*Y+O>Gor`K-E zrGuX^>&`1H0b>CFv#?i87vcja{ z&WRxbXzV100fOv#GzNn11Ua*5Yg(Tm;useBJ_`l0vB`3auLMUp?U;*0O_S_4fgiRlX%s7qp_zJX7uQc&vcr z@Rz~3cpqVtq$br5GwrZ7W~|cIR3mT_%HMi{x5fclz92jwE3AAsaAV;Z%(DVo&%V&G zB7CQNA7xbe#>0x>Y|r7Us6t@bMpaxikzI&fr^Ew;4B9S5aRBl!fTaYbAbB56b9y{W zAfKa)$?u4bV~;jLESCe7H*QVQ7v@3~_V3;&PVJPI)>&)p~Q88!g_mk^(nOX z(eG}?A@~6lB@_(U<*7T+@U4WhY^LBPiS=fxHS;|AE(pp!e0cbrX)!69ami6ckrDHN zI+Ef^z>RZo`04;IAN?gzLidykO*}H$s6!#Qy(V7bohXSP1Ji)0w@~F_Z*}QF9EYTp zM4=89h*Qw~nc9t6Q~haxnMXdd33=j*MeuK$t-w^%&h{wVrn1XWW5`cuX5f!!h2z*hg8=Y zwg!FhvV#EC(&l=DN~s9CHnv3X5KedKD=iQ-z22pk2&*cSp1@2seAfj3UVGVM8uv;$QH;FJHd+esdkR1}>3e0dseOB0RbqQj7m4kmM$9aORtg9c zmSXlt7AgqsXNB>ihCP!7!`{f^!ZAWGzX7)-s?2I@&^cF|CCi~go>_9727pf}I}nxZ8K<>y-diCIOxn&)mc1AL@`Hf$87wTmK62CzG#%{yn zuV|iiSu+uAzRQ+@CBv`6+5!qA;OvAbQ*pq;K}}u;$w~KLZ*O+C=pM7(ez^Pn^4oC0 zzg!A7(sO2<6{pde&COFL0H4T-yUmNMx}-t28zxVNqlXk(lt&|r4l9W~N>(y$VFyCTCzgwu&gBybKL1m?=p9$BULA5_6r;#tBp&>~?TnE8a z4pbylYYOiel!=xAMG!7lLs*WbqYEVO>{>xFBa*Vit4Sppa(Y5=BOlNYq-47R$^q~W zc#;sh3&9X3x2z7;@2stH^sGj|a#y%Tv1ROJhm=&cEzaJ}&(a9NBeV2L)olPAgDzCo zk)X1gb`Md1^4ph>_up@VtJ%@{vu~1K1=_Gc4Oi(^K!--hG@*)|f!lEhV}SRjbI1v; zK7oQzIe!MY36Vl7@}w<0O`?N_4l_ut6P`3148bf#^A}T~LEZ=aO;k|PZubDU0nRBP zn9L?7w20tF0}o`Ua?zi+JtRxOqnB8mv2~m|09XahW$c_McR_RuWw&TSfO=mLovA$i z+`$S3w7n^x*e z2R=6o>0queIaIdp?NXiX$?Xc!C!8^V7z4Aql+4oNwnxsZY;%4oXUeb6326@;uxw_yQCOrO=N-4eeE|CMb^{OHh_#iRf&0 z{H7VcHE-pSaA6`y=mb3&<#Uwje(iC2J6{+nq!hUBpsciqD(XsQ67LHtzd^?R_Td|E z_u^K1Z{xS;y?;Q+;!pPOVN2xy8~0c-#+0%!R9_%AD_I33yt)H zqPWa_icHdrlZiE?60r}kre{Foog-E6Cjhh3o$u~H)#@B{J1kXwxUyUw6LrZ_#-jdX z9M2TTx;{ZyK%~p!Y{9dJGarBB>wDH^f80Z(!tEU#h0oU8`!C-hsliwEnr;x%>0Wi_4qq%RBt?yhoUv@5IQt|MQ#lH@Mc$uv5DB*;HoRL@OLf=P<+3XuY@C z+v|;g9R3IetKUre;{jyd_bXH&++98I{Ts2B|GfJ0;p)?;t4}Y-FF$<0y#4q~@B7tn z*Mdtl810RQlmF_y{Br-x!E0Mq6=*{|qU}N+U?iHhihF$48*SMubNPXSdZS7p= z8t=N!Wy&+!!R|s)fE>Lb#0yj=(+EXVV2ZU#SW4suX^gJ88{(abHa-v)Jw-C`Bo5lC=xP0DYcrCw#L-*?OP;1q2?VHh9Il=QdhUc z+TNg>lN=QH{Ne*zvb21~T|+DsX5)6XL^M^ENd_sExzcexLg|~fvS14dxzzwLMMVvW ze#P#y?FHVHZQ=Mt3&bf`%U+Q9jK`|uCGJO#iCIb4}ebzz{+Z~kpjLcAV?q` zyfkF7^YMA_WAAT&>)|+Apkk;7B;q66=Jmn<3=~b{g4JV$SUo)Z>2~M##h0(29&R8l zadfLlthljG!7sOf?@#{t+u!6SFJw;wUlg>!wDBv~x){|H=iEa4A6Zc@`F8k7#XRsJ zpeS^R0rGy($H*XL3CNqua|j!GG?J>JxKklls@$m2@X37|VJ&$efqjd3n|q@hDP||O zdD9!g=|c;_>oM`KJt(Asl9c|tBF~{Z-ny;}rF9$9r8`4Bd(=@Np=^ZkE4tf^rEV^* zBy=wenMCflTd@A+A^M<|l}GB25v$&U<&04!+*Nhvq&1$$u!74-0A!p4OHP0+ODhjc zi{Ctdb+51&D!{-rM?(L#f@~yHn7iRg{O^#NdA>UJu%|pWeBp;^AW2lhcj~ebj|Ifd z+u0ff=H4c7A9$qj)689UVsHmrGlavDotWp)lNythOl^ipWrm)hk^F>n?R~g==D#Z^ z$rtd9nV3TDAd~^Jb5#Q9bbz1#@PsBjs_G85rzc16VL_OJIg3pkpkK^@1_=cd_k*pg z)n%;zzPiYu2>$&!CY7Ui)og)`;RVZU|fB>4vCU{T*Wn!g9S*d{p-opbzb!y9&X zI7drGDx(+dwF#{Yn1iNG4+gCOtHcHe4t$kq)9Bpd+BAvIgD(sG5`<(qP?3+VDSX{< zfT?^5d#1Pc{2eOqLS)ap!sQHoS7DcE+&Fl)Km^qq3~kTRrDp}~4FtjPe_`Uuc>(sv z`V?P=-iyXEB4bazp99*X2&i4d+M_+4*v&MXY4d%!zPY~t697Cv=&T=TKI5)tc9t1M zo}$o{132a>j7m9FwjES*}p5RwgR1)XHa65 zh8Pp3$lb{R7+6A|(l;#OAy>_=DJ==Wo#xoEeU~~EGQ0`n&6fXInIK;m_J1(+(jX9+ zl|H7|id|2JEM7DlWVJ6-W&=uk)EZi~HU(d!;115}uz=DE=vaSd*_yQ(^0>+Q^5(xm zlAtXD3P-GRB=ltmGzM}FD952adi`)D`~F1Gci1W}zIS%9c=2?MC+?ysRRMmGK;*>g zYxH9dvdp%>Iz?VEN$@hOH^eVo>r=f0jxG_=&z}k81mGvO54Sg8KmL04Y3Cc`>34n| ze?FsYr}qzqjW9`U>;!#^fZBm>YLr#ADS!Zxnx;8T@X4DG;56A=dm zkoXWSr@G^g?8=s5EkPQ=)Im0B6o@gx4zDhCe0uOap$ok-n#$A(TBTxY!dRpp(Kvd) zzYid4alDD#nWrf!BP6)eyP&%P6?d_)t|(vvtghIdpqyEuuvupy#Ew&4E(q*db>SVTPM>G^6kSahY@7*VqI?WuAQ;-(tWt=W&y zijb@Z$wEl!td>OS^o9P(2$-C|WN+Jir!I*L~S@duukbxcT=K<{G6j?VNc{=+K%`QR? zjwSckdL+A%Fa2<02p#ZlOg4xAY7IvqAV;VqIE5tx&gWXgvb)CS(44G%5mCna^gDLwvG^PwbAq|+KGeO_{(HbOkdJvV(U*PGp)- zZHQ9RF*Wx-Mpn_9Q#qrL&E~@R*?>W>Ko9B<@g^uW+W0_!!DBnI$YQ^TfmAW*tz z?@rHLk7^I-8bMb@&$s0T)~F**pTodj`2X3v4|u7mebM8g_ud2q*>nUp(+j=#-aAaC z_f78|K@boSM5Kd?6afWAiXb2$3N}QVil8(F5m8Wh|CRg#d!js#_nmXkefMn6$MfC) znM`J~va(uMl2$5_R4t_+ZHYSbX3jKz#Gfr;>~JZ`)eSUjS8G(SVY>$fn=1PszWgT% zW?8^UBeVI!NU3VYNpY(Wk*dpBRdz7i0yU(c(p=q~T|Ou0qK)$+`XG{|lD)MYUz`)6 zOixs8N;iZW{6PilmCPh1dQ{HY(%R%t{TtOI6=TP8jIVw8&}U=L1lPWqx5ZN@6pYMg zG;tq3=aSmW@}{1e<{+TnG&C9>Mt+flQm^j+FkJ1>Yg)aLPJL>06%)09jrMuWyGAA< z*V$uE$C}yBF4<1bbPpQbTfZS7?E-6=r|LtSIyFUu!Yv1^-n5e$8`Wyc>}}3tJ{)?{ zv+JtwJ z7!y~C`uEF5s1$qnIGpyinm37^v=jTDSv3Cnr}e$7M#3$Rt9)?4{K7_-ob0|oosBQ;hCiE*E-#xyPO&E&)p<;Hs`0@O{`0s06Dpc4ApI( zhqD-@TfpsGVqcBzZpVH^8WcuVpw~-sMpX@6$?W244eQnXzYm=01ccS*HDy)rKj_CM7ucIMt6m^Je3*!FX`1=Cu9h`C#`#bg?MQq+^x@nCr%#`I zV789Txmiu*Df5lgV1?{xHRtb-_xY8EuwIKl@-6d_`jZ9pQWah@WumQhR;2m5 znS83CdpP@5e^*bwKi)c`QOvC){Ho5?$5X3Y9z<$h8>=c~8Ih976YBq@f--C9L@%1Z zBK9qF|LF~L_a9EN>My&@0wHEl-0phtz)52WT4tCyA8{i_dF-^xeRdxwd*n_4a>1Rt zAwZ3~=JjH7+hVyjuT0#Pi4iS~hnSgFl(ev!ekErF)!A6N1BgCJ+(4~b8UgB}Nok83 z%IKhR_kDRyu7Q+Y*{oTY2g7$QBZK5#@@n3nDn|85e{n74rC^VQK_v^RmkKfUuaJKE zB*WR#Pk(S{w1*{4%!`(SCh>d5JYw^d3TMjnTji?`$Df={~jI;a@o9;N3!c>(vdprrcOU43>>aT_S945LNXJp$B;Q z!x+VBQCID8bvT->(m!owssWBd<`Vi4Si>fDjO-@ah!S2u!>V_AJoi3 zZyO1r1_)$m(>TSex;RF=KhYh53$V|u@<)vOs=o9H(C^)!B?{N7-Zks$NCh=qDn_^q}uUh7;lTuDjF(vt|WLnNd?={rlOFK!u zUd=8+AQA}n(2|vqKU38(rm{A&mDW;cOw%Y`vg|2yCd-v9Pm0HqYr) zUrMRnxSx256k4Cep_W4HYl-_=;{GweRnz)wd1Q$)50>ix;4k$@3T=R8`2qU!6(1}$ z;K5(U@&hf)57d`0`CzGm5B@TiA7oj6kiLA=2TKil@RzZCf@S#xeR;LNjDHE{AG#^D z!TS3TUmUFEBS6Z9uOFYXG&$h&KE%7`{ zyucDKw8YO_;ukFOi*8#G5SfW=p))62D=Iw_D=3 zEb-fxc$X#KV~O`#;&(0a0ZaUzB|dD4-?zjcSmI-r_#;dFi6#Eb5`S)qzp%uoE%8}P z{G}!S+7f?bi7#5>?=10UOMKN5e{YGeTjC!r@lTfcXG{ExCB9>cf3w8*EU^?(iTN~PHTzNTjGqCII|_rYKgO3;+&Q^w_F>x7fS4>=1yBZV6Yx&b9UVk~Q zUQBG$hQ`EpZEZ~K&_0Waom#r|iPz`SyfLv`i^Rkp?YWrPs~w1mecG*<*sm4Mka+#& zwPrDK1#MhRTv6K)6Iar{jEO62*)t|yUlpxpOk7nP5))U`UW$pUYhT2~H8knUCHhxW zD<2cr(z?gQwY3E?aUJd5n7FR?V@zC6i_4s7^;&(cX-wQe8ygch)YiwujkHrSabqo8 zmc;98qScOxn`)zC;%3^bF>!P4Y)srj%bYdw`dVt0W8zj?|CqS7wk#%YqaBZl+iLe? z;&z%nTjKS%*FrII2W@^#+)>*f6L->X#l)Sp64?{4uZz|uChn?DkBI}?&X_o;eIFBt zw1PPjuP>}MiisoI=$JUFZHS4xX=h{N?pl_diPzUds~!{g)W*idy|gVcaqq+*mi||# znA#+T*3}ZngtB~0Wg&4)VJ}fkVJ&e?VJmS=;V5xT;U;lRVI*-(VIpzN!7p*l!7Fjh z!6$Ld!6I=?W|uf7vq~J3xg?Is91_RuT!~|Lj>IvEEpaYOdgr#pvAy>cF{3!Df1KB{ zd_GH@-x5D=i3?cbf|mFROI*kj$64aSmbi!|E^3L3S>ocBxP&DxX^Bf&;wLR}X-izj z5|_2a@s_xpCAL{&yCrs5Vy7i`Sz@;(_E=)CCH7fjza=hjiOta<T=BMer`zcWhn&Hn-)DDu-M*;bWXdv4?ycsw4jQ~!Y6H6me< zTHY7$a(hByzt`>Yd2AkcAmH^ne9oxT7V-N+u1FxNFE95m9@@J{u*;ChKy}j#`J_vJ znb+aB`P_bQ&}$2OypFKlXOD)w(U99_56PvhY6GH?K*C^|%cZ`{8SnGRnu8Hr&=GOV zPxLrk(NM@6_Cy0td)N^Q7~dtE5|FuH`n^ol2kq{7hszsrhr)7ujz}orbh#X%VAL&- zW3b!gNBW&^~`8*-hjs!bp?EGxffW} zXA8OG62Nwl>a+*$khkPP5*vtSb>x8`CfGrSqgahHQ-xHN2wtHn093gku z5%rh}5Dxb1*IT~E;g7d@!=Z@BFZtQ)^GTYzTtRQt8w}h1E}v{ZX&mSicG!E`eF3#K z?)XU9ZgV;$vp7P2ugmRqhMoSP-yI6LygpkrU~GS=&!8TI66H^)BiPsXY>_LZ99n&sP*ynY6f)QUNEcqqujs)d+ za~b<65N2s-z!nO-{gHsf7x8+$a_xZE;|uy^>wP|tJ?zu>XxLnL)G5bH$mMW{UA|~o zmhrgc+rpB@L6=XC0Ap#H;b8ofsNZjQ1OvX1Y%^xxrA2irl zT8jc!lW6Ote8jM$Bo^xN!CDMA8Xed$OK*6p%|LiT7_-VDeda5&`&C9*9pzt0tR z*#kCXGb2Ofk-^5=r7UnoWPe2iVL2eApbOgF?to+!$!r0aJVZlf-EN`Yy^O_W;fO8b zvdevY!Y)rlp4cj7ghxK(irNEFx#hK5ynCO3+>K{g(D+Ee84LvclFz-akUbFg`vOv0 z%3&d8T`=f%dGwF;aPWJA0m^~DF|#KkJs*x z$nKZ?AfQWERiIy6i=M*S|S;(fBT(St#I-gdMK1SF(aF=#!`8*raF($feM!b$-lmpdVx74LJw@odCf?Z@Pkso7l`;g5r;qMk*wm5L|j3iLL_XOKQr!imQZcqRwiJ-BcX(WK%*nk;-JYQAOs_j4ZK8lT zVhhOgfz{C@rGU-&@%Et097tF=&#^PS5RLf3RK=Qjs zua3N4)#j9+=yTY^9-~Z^wL6T(CGWXqBSYbUFB+1jjoof{Mx&}?lEOf8rLlGjnP|iv zbp<0HDLZ5vJzlR(nl#dkQ90V=R*8=sJ}Ny=S0XkZZb(rooOJpQmd8jh;!O0uw#RtX`tL3XUHTWY4T zKO_$%^xDI++g$3-|B|duhq*MrUv`^I8cRM|eMpYPNH7?3Ni)MC1*cbElpZ!GWvpY z%ts~9yQQHSkUjw!R2C=qIHN(?cDuCCrB&*5M&#y!QiQrBHG`5xgGTi&i<5P{s&Qp= z1ssyu1Ac#0`gTsQ^y#FB5_H;a#!h-r?*x3R;`0UMzzEoEewX}|a8Q~NE_X7jG~k?MSsHQ7KJa(vGEqjcJYBr90x0`xHrg(;sy?!%`SX_aq_} zsAPV(-yzkakKc44@m_OmY$taLJUg$A-BsB@0CVc z)aI2YOvvq$_L|iCvj6N!cKOr-N2lY@NaK`(i zQo==LZ`l1#$tUu+(<8^T6v8%X%h0n@oy2}BFWKY0A)6E?At|R_F1K`$q&pV0+of8S zs!bZ?M!_!28{K!=ukq66l9q_m>6Au}Tk=p?DjUDl%R#A`r06z&ktAAFH8)+}_>iQd zWIcB@p!&CgsLwAwFR3=E8gaZS+sh=eMb~z}eqLlVy$RSm#Q!49#BjQs> zn#(7ha($E4glM(J@pdT~<+zbFbcCgc;|oTmO(wh9CS6e3WxV9c%_plm8&mck2oDRkJ0vt*<`m<3Iu8EtIdx1WO*scuq%ilmLNXj+mkyS+aRU*liEWZk0@7O1w_#u;V)X63vgd*}x3tJSawNze zbO)rjAkAiJbx9jk4l#Xsb0b`~_=q~xrLYZ2H%l@|)GpPwGz+A`D7({5v#Cp@Z@5Q5 z4Ti{$mW(7DAVUSxT6N0qlzlAiJt=R(Qg2D!sDHzQ5BTFHV>_h`kQT5#D0PM}AXgju z!V%eIS&#IS^yRxG^ym|j$@%IlZ1Jjh7?qkwx^ObA5v9hME09>zS(i=6NCCk zRGZsnkC#fuEgg$U&?dcZxpA4~bZO?;rNWbjwI^a$hrMN7%J`08P-+dYbgI?pL_qr2 zerbS)+-k^4^$LvqY9^G&FMV^>*>y^TS@Nc|J)F``Q2&#nH4yX$_yUdx>5|JRgB;W{ z#3cJH;F0#WG)w)m*-`*W-}ymi)ej$^JzgF-;FF${>~630WJBJ7+{Q=-L)k1J zN?ww&Df#_&XS~#6PM;?#sT%Ofp=_5{u3f%OQcP;>NYtSxtK6EkUq}ru_~NCvZj+%H zNp`ifC6S~zCLJ-Ay#9#nJbn2GU*Pt{hou~nVHl@$z$3DmQvazowbYo>gOTo#QO(Np z$ou!`9T_NJ;kCu9HmJ0x{E|FD8BmkY%K`3@u96I%seY^e9Wo3h_Z}JCKcS11f?fI! z(c8gZZ@k;%miE0gy=*e7B1Nw|B-UNS)*D^^nEfaC#md|dQ=*!?y$7rrFxex zXwWB*OOW9l8KW?caOpTDMEWEebxb@|=Zp7+WXw>yFY@)O7bb_X956Bz5|-}1G-Jbm z81?KT!!P|+2A4Z0{9)a4TgFGfso!Ab(QoUY9oVCv45AMIdl)R9psk2APs~FN;UgSsb+O4)od-LnuVoQv#XS9)|67shEl3oy~?f_#ojm&hv68U zgwt^ezKT2W2%f?#co#FsX=e5P`LQ`ZjmvQp?#2)BF6K>Ptfw;8$2Qm<`{Hn%gbQ&c z9>h=a8~g$9V5*eH_h!Q~SRU)*2%LiRa0PC{UHCqJf#2cJm@1X=z1gu4mcz=}8GGSy zoQm^tAAX2u@JB2rr}Nc*a$*zgguQS$PR03n6i?x8OeNC-)OvGZ9G1gMI0Pr*v$z6Z z!#(&Rp2chU8)lH{2kLwCVJY-s9c+yeOu&iwEUv^YxDQXGnoFg=Zz9gdrMLkP;|aWo zx3FM(V?AZDA~wMG*aL^*R9t|oaVx%ypWrw66Q;~yd~Z%vbFtL+xNr>4!~^&d{(u#v zKv$ouhix#7gK#uHrIho0vxuKp=Fzm}#A;5KTHkuyiTm&neu$sqDf|k*#cOyIf5rQl zN~W2p@5_MMFb@{MB3KH`p&Kh;HLQb;um!fmE*QaHH~@#@XnYD6;Zj_U>u@{nQ_2*W zgLp(KQ%pYP{d2_M5Pwg6llXVyrB6nsd_D(pL8bgx7>g_AeVbC2FHc;BxE^t1 z;S99dla+`_w;1Q)9yC33dUcac6 z<*yLmBL0myjZ8C2E@fs0%&C;$S5PURD?(hBSZaPf-KAL9m29S)6$@ceERE$*%^Fer zP0jvL*2KD~W)Y~@_4ba~gSZb4$I&N*w4#wd)4WGtm@i|2>P&=fuabG?v2#O3AlPur;>FFm}hGO3Ak)QOyZg z@f4hm^Kd1u#f`WH-@;v}x4R^Nf23mZB%Z-<@e2NkKVw?eZj#Ss#2lChrCFdQ&u3g$ zrj~n5#q#?LDJ30BDka_2oDP+L)IYVHe*B2CsJ^^@97&)bNAfwTLiEq;>yyvRp{TE? zkW$uT9$)e^^!|jto+5lMPL5yox>#H(3+u;UF-_BtKY6_t?>ELasAk%6{8DL>|*QWE7O zUf25@c1`<^__|W2w3+>l<$KjN3(4J*-b$ImR+`t{O0T9>QTn9* zR?5b=P?p#9*`>*4ikg1>Rn)Wr>UAlUMk*_7`n3+pt7zIYDz2(&iuUP75y|Um+D#SL*REh7XlpIc@@)c3#CnO}nNHY1(aNSh|BL zM9DN6lu_yTD!Xag6Uy$=-&IOs?o{@a@?R;LtB$g_rZrPaA>TpSSMr~-pKOn^zvMsV z08JaA94O_3GEVjnKBpWc?JVL~aFbFp?;Fa&n)bGGh-@G4A5{+3w2zg;H0>;}UsOtE zbB*|COp{Vxm+#Grd6gq%JC!5lcvp_nw0NZ)EH333*%A~RIYHCL5KqOK%89Zcm6If2Dkn?6RLXkIe%UtS-O4Gly~?SwKY9IgETb!%s4Kff zSCUXyHdXf-oR5ofIj+U^xCP(D-MAl*;Bh>GXYf2;!XNM!-o<3ejNg|AGhq(Y%fC3u zf5gSmEC=nxUaW*QP%jtbq`oF@g&i<}dN~=VX?=(X;cy&_>KZY%|7YMF)Y~ia`V!)m z_%d$9*Kr5xG7l^Om4ZMwdxf>_**HyVCro$|l3(fJJIN}mm z7M-Y<%d(y-#I;aw2g&Q|nm6@5dO0n2A`W2>?1y@}Ez9ZcA#psfPsN$2m*evOBI2dE z8rPv-uFG=Uh=G^@ccr*N5V0oQO}MS&z&oUW{ga zvX*!~ZoxNkH=6az5#r-`0?*)iyoBaB-7Vs~n2d7StamaI=Rm#wk?qv$A5mS?sM4o2 z+R=-8JtXhfAg+f^uoZT|0CvMZI0%R1Se%SAa1K6)OVF&ZUMAj%uj3AU2M^*={1{K- zIlO>Z@CM$--!X-%uOuDJdMyjFx`tD|uGep39B~OOi%#@o6|98~uo?>v0RdiRxNg z_5J(t2p-21cm~hoCHw(z;ayCY##nC}%!KCnb6(;nuo#v`J9^O^pRPfyuK88Jy9u_! z4j900*arvUa2$)1aR$!8=Wq$G#Fud+zK%Qa9XyCf@ncliAgkYh4lm#pyn(mzcTADi z_*^>7g1In1#$gF8i%#@o6|98~uo1J)G>*EcQo=@<8aV(3{_0~UUw$G~**F)*5>hHHf=}PKx1f{E|$I?~Pm9CTS zc$|i2dwc=$5?qDra5I|i@IAx_@dNx6Pvd#Kj5qKXypQ^LprnV{?pAl@Q28xC7Djy> zP+m9BYnttCb=L{Cd@a<+0VQrhY@W|F+u7ZD{ZaepzuJ#V+E2!Q_-F5@e(bLyI2z6K z&1OGnF0bq3NO79BjCd_>K(qhzHt{|@jK}eFH2XaliLc>Lco&n?J~#V2S&8#tLDa{c z;^e+&#AZLIJaILwi%n1;=atWy{TqFpS7LoUN*u^?!*Lv%{hC?C^YH~-iLap9pV>~l z8xP=7`~=N@%-6)1@H*<_SMvMr5u5!NeY{s*&p|spAI4!xH2W6dm3Rm4#Y1=u&3=hF?sb9Jui{NK=MR|u zk+iglhd7A+SQYDFV>J689f?EO69?chH2WRqJOzDxOw!++udtZq%y|oD zf5SRXX3k^K$I0aL=6nXTpJC2x_=@$J^BaC3Hs?8*{fm^ehclo#ZkCtWod00QTW#^>-wH2V*)5^uq`@Ev>)&3?mY#O6GVZ-}p; zIWNQPFQ^Gqs$NWknK2hWj%GjMNn$(tunN{jvwzT%xB~{U2lhv^UoeJvGCqyZ;`374rz(>R<@k{&`zeltE{~K`%+N+X`dU{#gYu5IFb-C<(E-x0s5*Ux> zxT~BB(Z8n#%Bc!HZiaFyL66Px)+liwl%aP0{gF5Ut-mW9uUmhA5neaPg>A%Mlv6YM z`fH(_I??05yZ-+v>d@mkxDc1(8Z^iMw-WEby?6+Z;R!s87w{_H#5-t?_v`05 zrF_hi%=lgU`~!S#NU&2fGQuluno)kLEc37sS?lVvYaNa^s(!e|xci2H>N9*P~wlt8(sN z{d@Opub%%J!RN=LK3^w}c?04CUN_Hsts-8BoAFKDgXZ}zeZEc{ z^9jV$ynh}qqj{d|7vlSvntn|t)aUQSG0#9O%eBl7+YaSG|wOPBp!gna4b$i^SseK;>EZEU&c*no-f)(ydU4k zkMRpM&l7z|`~&`szhg@JHRk!D?8JGo5SGArbYn%Vf%UN&wng)NP?We2Cg4b%faZCi z*~HJ`i?|wJMf3d6Tg30+d-x%KhO+s3{`jl@TM?G~SGB{f?UcdQ!6Z2zX zEQL1oVr8s_4Y38b#{inwf%PLEg62GyNyIa7E-u1lXwF~RK>RxD^C4yb?jt^o=Dd~9 ziO=ChyoNuaIbS6?^Qj31Y2V#3}Y`Gh~~VMal}(`7S6{P z(43F*3h`^W9e3jaH0PmwLVOCp#!Gk|&G{$yh*MD?X2cwr596>TmO~F#!kX9sn`1ld zirug;n)6IX5l_VFI0qM^Ilp8L@p{~fJ8&Dm za5kFrKVBqWjq0BADt~Ojx6qvT@gA{xedK4vXYd;|=X?A}{41&pEY$kbU}iMuc|1;B z1fN7Zn%7sF^E+zudLwL!9WaRIypI0FLvajF#;4Jo&+$C*a(oFl;u~nr<9L_&2!4bo z@k{&`zsFnn8>UESq*Hp#hL7PBSRBiu3oBrCRQKjr>E0CEU}ubAZybapa6C@KXK(>7 z!Bw~pH{+YQ2M^*0_$i*o^LQC=;4gR|Q>Qn!D--6#{8$)Ep$*lu1yp)e##-1ATcCM8 zY=F2s_QN4K8YkfloQsQa8Lq_*_&UCg`|vOx$ItN`Uc_r?UT=GsSY7j^wlf`O#XMLL zi(wgbVtK5Fb+HMy#!eWUyhb6Hbdax3zXF#a*Zh+0P9d^ZT*cS)mD4dAXaSkrTrML#o>zB6@ z@4&riUdMcl_ynHC3wRaH>zD5kC(C4PS6a-1xzW6CxhQdIbf6!rqItb?W8zlW5kuG$ z&Fhqh5s$?wI1}gLVqAeQ<0jmOyKq0gj~}BnfAsw+*+lnI=Q|#?+~1wQ_o(%l=PUl| z{Q~^Xe{{Jb|IOnY!}z|jI0a|oJY0+`@MYYD=JrYU)b~pc%!hIa>aUkX^L$Ryc}pW* z{;CC4LXh>xn)iK7-%j75ou@MUC-9er2@Yhxp9i5)PAJ+MCx#W6S;pT=kLd0dV!;YNG|cjCKv z1V6%)_$7Xe-{UR(4O4JDrpIjf7(Ri;u`If<0#?U**c97fXN+KP9E2lqJWj)BZ~-pC zRk#i}qj|mI9^!-e0e*_7@jPC}8~6*}$JC5VX2P799}8nCw4oO(V=ZimEwDWXusim{ zAvhW*;S8LMi*OmP#SLg)KlnEBK0J)aQNR8@POcjwzKGZGC%lWvr9jvFwU`z2U_mT~ zWzdP`u^QIJCfFJ~VHkViKpc+aa4OEi`S=2^#8=R~9&kJHZajcT@e?$!1N@r!5?;sK zcn?!CE}0Q?U_Ok)l2{HsSP5%l18k1%uq$@MzBm|1q5Ld;zx{8ihnKTGYjHhpLGwDO z-NgIx2p-21cm~hoB{b{hTf}$qKYe~;Qaj%`o|4-6MmlVy{(J*>;=6bRKf;svC4P(F z<1PFRQ&9h;$87i*K7qxtEV{4)R>ykS6x(2Dj9_mZgd=b~PQzz#0WQH+xDGeto45xL z;s^LCp2qWd8E@b(cpp&ycDYT&%D`PEeh%K-^2CzH!!yz~tC*cg7i;Hj> zuEh=bI=+qj@Gu_7&+!~y#B2By-o@n9hv_gY=D~tk49lPs%VRaHi%qaKcET|B!htv( z$Kh0*h4b+RT#2vXYq%ZF>+TN_AH`4b6n>4D@H*bcdzgyzh8fYk&ORS;9G1j#=t1-P z`kKTIusOEFu4rCY-3sJ9nI_M7ZNYUHMkzPqIn(tUgAS|3{RkW-&yne`K!Es z6YpR$&KIUd^Sb%m#09V@mPQAf*UMKWu7i!S6?R1PI{BW&18^9Q#VKfBA3u+HF|NRu zaTChnk<>nve$d}-A6_TjZsR>n#rQ-<%z^nZ4ohM=^q@HpuO@K=Y>w@)D|W-aI2cFa zM4XOua3Li4=Xeg2?i*m_tD_ue zpWrF{8ZY5>yp8uT747wmm;>`+9G1j#=)p=@6B}T2Y=>R38}`M)I0`4?bew|=aVf6B z^|%#x;9fk0$M6K6#S3^9Z{i(HMms$%X2IN80E=R2bf6!rVjXOZt*|48uqO_{VK^42 z;7pu{i*W_MjGJ&9?!x`}K7Nc};8*w^{(wK@@0gPIdIrpnd9e_dz<6|HMXZ7Ku^G0- zE*Ql=n1Ca30zQSa@i}}ESL3U=1>eGV@ICwxKf^Ql4PLDWpm-aYA!^3zSKgV-;5wGD-co&nWGxAY7%!+xiAQrk~TjJ~%k=c6eP^B*%{cFc=~umr}V8!KWBtdGsGEq1{u_Q3=k zi4#zNu8r)!*~HJ`i?|wJ#Vz<2zJu?f`Fxwth|l0Rcm;n%^SL$}^CZ(?X3T|;qxn3W zCyDLo!zx%C&F9#(B<_IH?D&rwA9{=JeFxve5AicJ&l`S2dJ?7KI&*JmA9ACnX_y+F8cku{*geUP!{1(5* zTlgEMpdXoZ{iSidC0&1Mq{HX*pU+{^^_NDv{HK-6|LDAq&nb7$;YGZLKcP9FBRSh_$$M^+)h2P;1_%r^FDH-R>fY~uG7Qzx3k8Z4pHLyN5!?xH3qu2)% za3oH^r*JkthcDu4d=6 zjj$zlz##U({x}rJ;ADInpT*~KIlhD&@eSOG@8S{s2v6dd_$_{qx9~Si!8m7n%!ZHQ z6IdL}q6;fvb*zU?u?=>{2=>N7I0DDxG<*gZ;1XPg>u@u^iF@!Met@6iX*`dY@do~a z_c1l&e3>vO=EuTV3T^1c%2*2(MNIiACdcnyETyQrR3sK#Z|VOGq81+f^GLG$?s<%z3dU2KBp^N2eUhp`t9#Njv& zr{XM}k1ya#d|4M-LNkX#!)yCr{f%4h~{&e*ATDAt+)gC;vqbS=JTA-5?{cpcoU^K`i~kP`A6H~ ze|LWMZ=_=i+MDSy8$O0lU~w#qF06pnu^u+XHrN>>*c%7o2po^o@EKfyOK=sg!_D|6 z?!klj0e*_7@jPC}8~6*}$JDetGht55kA<-m+R%%Yu@*MO7T6vG*d6=f5FCw@a0brB zMYs&t;s$&j-^P7-7?0!Ucn&Y(HT((hVshG>=`bti!Gc%}%b*j>V>PUcO|Ug~!Z7y2 zfjAt;;Z&T3^YH~-iLc;mxE*)n0X&MI;3@nXFX45(jrTAW?aqvt1M^`Vmc(-C!Ae*Y z8(?#6hh4E7_Qk{aRt7Nn{XTM!u|L@evDt>SNI+NfIs8! zn3DEp2F#9mu@IKPcywb$tbz5h8MehP_&?>omS^}q-{2Me5r0LE_GTK)jJfb}EP_v> z9er2@Yhxp9i5)PAJ+MCx#W6S;pT=kLd0dV!;YNG|cjCKv1V6%)_$7Xe-{UR(4O7tG zOpn>{F?<4xqh!CN_E+5BpTGQ9^Fq_o&d7qfu>cmu(&#`xR>eBl7+YaS3}H_kfWvSs zPQjTt4;SMKd>J?4Hr$2#@qPRlzre5XJNyBE#@{g|?TieV9rI!#EP?Up#)?=2>ti!a zx{rl%Tvwr;QX3m#OYDF_?1BApD2~C&_%uF?&*O4@2{+;!xD)?>xxVe+RX+Z^zV}~s z|BvO=Giz}@{-f6&f5h@9@k{&`zen?WJJ;~kklVG(jlooY@|a{ zf7nQer2epx4*%)>;j;9LU04CDV?At&ZLl*&us06E5jY;F;WM}Zm*6T~hnw+D+=B=4 z1N;(Krcb;9OjU%Wy4jz}N9@+=qwpIDU@j@FHHrpYSdwr+=Ofvtk}h%8!39 zKVBl={ndC>8q(j)mpO>@qIq7>oTq1wOWAopDSsOKr6BpV7?wdNmd9#X7n@*f?1W+L zg#&Roj>D-q3+LktxDsE%*Kj-T#sipiy|S@Clde}b(jn=3Wg{Juu2(kFp$F&P`r}X> zgOl-Td={U_<@geA#5Zs!zKcijBRq*;; z)z1BQeeeI&^9o7*J>xj}clGy@+S5k*r{cV5M$CcvFb+#%IrLy9OuGM%k)BES|1r`b z>Ha@PI{dru|MS1K-hGMjr;Ydq?!{2=>N7I0DDxG<*gZ;1XPg>u@u^ ziF@!Met@6iX*`dY@do~a_c1l?@=TZ$^J8Hwg*NnJWvqn_u?4or0CvZII0Q%IB%FbB zaS<-VwYULa$G34G9>(LCbiWa!Jm1arF9+}_euAg)YrKTl@iyMWR8rt4kJGe_m;>`+ z9G1j#=)p=@6B}T2Y=>R38}`M)I0`4?bew|=aVf6B^|%#x;9fk0$M6K6#S3^9Z{i(H z#yD_V%!0YG02am4=s-VK#X8s+TVY2GVNV=@!*DE4!KCNC8~HbZar%)s0iVLz_#D27 ztMOIbf^XqF_#S?UpWzw&2Cv|c_$zA6i%El-F&93LMes?qqYtZKZES=su>%IN2lmII zI0loR_ip5$r02aG>5%licOxB=p7(B~!++QF-le)tx?WJ)Vdi+&zq;R{aRt7Nn{XTM!u|L@evDt>SNI+N zfIs8!n38^22F#9mu@IKPcywb$tbz5h8MehP7{xxAfFp4NK83UKIeZaUR1n(VjJv?5$uhF za0HIWY4{8-z$Lf}*WqS-6Zhaj`~W}2(|8^);|=@;?_+BEcbPCJ=EuTV3T^1c%2*2< zVhe1K0ql3%z^nZ4ohM=^k5~di4Cwhw!^O24g2C?9EB5cI?lm`xD?mmdfbXTa4#OhV|W73 z;sv~lH}MW8<9=ajF$?C#0$3DFqXYd|73*MQY=s>$ggtQp4#TlH1!v+sT#PI5W!!|@ za2M{!_wi%=0>8rV@CW=Ef5((OFChbF$GlhwOJF>@u_D&M`q&KHVi$~JA56fJI02u+ z+4vm3h^z5c+=6f6JNO=ch@asZ{06V!kN7KU8I1l*8qAEj@Nq1HPof=tSOsfiBW#Hs zFo->{KMut)I2oVDXYqMljxXUxd;@plyLbdY!jt$Vev9AZE&L5r@Z5y-m<=DpC$Kn{ zMHg1U>R1n(VjJv?5$uhFa0HIWY4{8-z$Lf}*WqS-6Zhaj`~W}2(|8^);|=@;?_+A7 z^N4~O7roP;xQE-u1lxE43y>-aYA!^3zSKgV-; z5wGD-co&mrHjcM+m=*J2K`e%4(23=-8rH=o*cv-w7<=JB9FF5~D$c_B_yVrPSMW95 zj=S*y9>q`a6n>4D@H*bcdzdPVkxm&g2j;^#EQ#gNgO#u*Ho)fC4!dGE?2ChO6i&qH zI0qNvQe1=UaVze?y?6+Z;R!s87w{_H#5$ zggtQp4#TlH1!tmk3zEji;vPLdc9!(HfLHM*-oa#y`=rGzm>UaV(tWhZZ;byW-ABtv zk6%d-jd7nem>F~7<5&crL_7L0={{OUx)x`As4Tj$0#?U**c97fXN+KP9E2lqJWj)B zZ~-pCRk#i}w@)D|W-aI2cFaM4XOua3LpfiL4G+=jbwKfaG2 z;}`f9euqEc&-gp0WZWkMX2-l(2uol*y0IeG!1~w>+hP}tVjoPvkvIXL!rAy7zKE;w zRosGa;XC*qeu$sp8T>*c%7o2po^o@EKfyOK=sg!_D|6?!klj0e*_7@jPC}8~6*}$JC7bWWt=79}8nC zw4oO(V=a_wGpWBA_vrqj`JB-6q|+t*0nPh;n$M#%`;Gss``wuHDr(T*tcOjo6`J$; z1H?)5D~x=dG{3?~hot!xMmi+TuQ1XfX?}%~4*zNME0XGaW4|Av{{C0bpZvS`w|i85 z-;{d24R*!|_QpXt0>|Stdb&+>X2P03O9p@DzTHm+(5?#(S8GanX#J1M^`Vmc(-C!Ae*Y8(?#6 zhh4E7_Qk)&*6)>8ehdN_!ho{@8O5|8J@v! z@CyEjzoN!G!8Di|bK&Dy1fN7Z`mhSt#zxo@J75rdV1FEnV{kG)jnCrqxEx=?jra!c z#CP!seuO9SOZ*nU$6NRtreMBcdd!B8;S*RK%c2V_V0EmAO|cDj#t8PtK{x`(<1~B* z7vK_Hh3jxLzKMJAAbx!A$eRvp;53AV;g7{*>W5QpP9oQkt>KE8k}@fCaxx8rU+fJgBYJcVE5CA^Ne@gAniZlqI2 z%z^nZ4ohM=^k5~di4Cwhw!^O24g2C?9EB5cI?lm`xD?mmdfbXTa4#OhV|W73;sv~l zH}MW8%VDHXTFipEu>cmu(&#`xR>eBl7+YaS3}H_kfWvSsPQjTt4;SMKd>J?4Hr$2# z@qPRlzre5XJNyBE#@{hzP9vQ%V0O%lg|Gz1qZ=z?4XlsNuq}4MDE7ew9ElU~DV&YZ z;fuH$U&Sr>7QTb;;fMGcp22VM3jT<{qL#}@pEQ^mbK&Dy1fN7Z`mhSt#zxo@J75rd zV1FEnV{kG)jnCrqxEx=?jra!c#CP!seuO9SOZ*nU$6NRtrpRriQ+mvXkKq$o9Lu5$ zD`0i3hfT2!cE$+y#z8m&$Ky171{dHGT!rg!Groy?@F0GGpW0bsi&~ zGGR{4kA<-m+R%%Yu@*MO7T6vG*d6=f5FCw@a0brBMYs&t;s$&j-^P7-7?0!Ucn&Y( zHT((hV)Dm~^ht+VF%K5RVps;9SRSikU2KA_u@i=|7Y@YXI1Z=cES!%o;7WW2U&HOV z8xP=7`~*+o*LVr9<88c$sqz}>lo4}aK8(YXSPnf{32R~lY>w@)D|W-aI2cFaM4XOu za3L5~?-U~VjcMX@wG(2rHI4mQSC*bzh6 z69?ch9E($MCeFjfxB_3sO}Gtr;eLD{KgKWcEBp?Bz@PDVOqt(Erwo`K^I{<^f$`|Z zidX~dV>4`vT`-D$Faby61bhl-<8$~TuEtk!3%-SN8YO9dU)(=$eqVCVi>Je^m zyp8uT71uvy#2lCp|4M-LNkX#!)yCr{f%4h)Zz|uE(vo1NY(~ zJccLmEMCB?coXknGOp`Ni&-!?7Qmud8Xf4zs#pgbV=L^4A?%3*a2SroDL517;bL5Y zFXJZMhP!Y-zKti!)i(N2^eJ}w> z;sksOXXA7DBCf_)aSOhM@8Em*A%2Eu@Eg2>KjN>bah^O4X2x9jI2OSt(T+Z>g0-;` zw!{t?#2(lmhvFEVj8EgU_&hGhmvAG#fjjYCJc1wLN&FJO#qaSJ{)QtR!DgPk#gy>SqZ!0|W@pTPyV1XtlY+>CGH9z2L2;HP*R&*NphfxqB= zOwILAnJ_2j$HG_&ZRo|ySPL6s3v7=8?2i3#2#&@{I0NV6B3y=RaRa`NZ{t2ZjK}eF zJck$Y8vcZLF*)b2(_vQ3g9Wh|mO&?$$7)y?n_z3~gkkLUf7-hb_$aEikK+>og@h_C zNDECv3q`6FK@gB8RS*yeAwV!d2%)GnX;P(26#f<%nGfN+lX>#rGktbucNQb@E$oZ$;rloqr{c%>DK5d)xB<80*LV=W!yoY+ zUcp=V5R-5nJ2|GsXD|l_Vj(Pn<*+i=zY8!VH)db7OuiilwmvR>j)b5Sw8Lw#Uxc1N-109D(C- z3eLoNxENRAdfbM)@c2J52HJC77aL;>Y=a#z z3g5wgI0Q%G1e}JS;Cx($pW`OnfqU>UevhZ{JYK~+XmS1eDNKp!F$?Cxd{_ia;fq)W zYheRyimfmVBd|O6#(_8-$Kqt1fpc*YuEce?6?fr&Jc2QJ7BArqypM^vj_r$S&>yqo zvse&|VHtc0U%@)q2%BRlhGQ3e8{fsjI1)d=4{;WLhD&h`Zp1I~8$5)^@Ff0(KjUru z1)t92LBX-4} z*dK@DXq<>2;cQ%h%kc}`j9=ki{1$(}(|7@|;a&9M`gKxFg&8m_=EnS36iZ_Ttcta< zAvVJhY>%C>2ll~1I0DDv6r73ka51jJ^|%dp;{iO1ComQ-<4t^kiMfvLhfiZB48Z3w z2#aG`tc2CEE;hy%*akab6uyJ~a0rgV2{;Wu!TGohKgUhD1NY!z{2ou?dAy2u(Bk^_ zQXA11Lxu*T#4&&EAGPmcm!kc zEMCGJcpnpS9orYvpg(5EXR#m_!!jtR6B5p+=XiYQ)Bmc^%QxdZbqKb{&e#L{;2<1< z<8TVj#Cfo(Fiwgy%RlSBYk&9k{_oztUTin} z;}9H)<8cc9+2iwP=htF9>f`I!0z>gl?2O&&(Z7a?D{xhAj5~D{$8R9^y|;l>+9|McwiC3SD^lW zqD}OFi3!);-TU$XmFw>Rlyqovse&| zVHtc0U%|(9pOF8x`TcJj-+$Zq%y`85`l#{!vxjG;eYr3%7Q*6K1}kD!d|a;=9yOkS zmv;W$x6}8SFMrkfsf79HJ`Qx+-zH2S^YrGW5fKTnwc|IX>3g5#XD=y@O4gySFge1FvP zpD>*grjt5O^!9pW!t&%^UjFEQKViK~SnnR^dUulZVdwBN-oVFozARz6^=`TSt@9&c zx;~!is;8rTti<&`(6lJGk#P0PuFhp3>hu34=r4zru?E&d{eF*@^tZ*1*cE%Cetkcb z{?RxQKf>9l_ruHS{{lDTSGX7T`#KW7H`hI16W+h+o(}VQ-{~^^95>+(+=GYldpw2b z@hbjV@87JF$ldN**Z`YiD-6R3?2f&0AP&c|I2mW)TwH`JaUE{OUAP~QU<{tcOLznC zVs3)5c`%j3&f6YFCW z490fY3AKTg3?r~R_QruY9LM5hoPl$35w65_xD|KdemsIPcor|=4ZM$uI9~9@H0Y1n z@mVa0#jp&%gs)&7Y=q4*6vMF#zK!qVU>u1b;D_Z- zRQwn}#U;2JH{f>s8V}-k_#>XfD|ibZViNABlpNFIGnfMdu@IKPa#$H_U_E>tTVh-6 zh+VNK_Q#<(8YkjMI2#w>a{K}}<5##Bzr`Q$G+w}Kco%)1axX_oF%@ROte6|~V^J)P z6|gGS#)jAoL$Ez|#va%Q2jK`Dhf{DS&cnsH3fJQ{+>HnDD4xJryo@*T0Vd{tN`Ck> zX2Jk`4uh~bmc>d~9qVFaY=LdC14iLH*bj%`D4c-P@DrSm%kXpDggbB#9>(wS6rRVc zcn7Uy?&atyOo{0+3+BRnSOiPqi&zC~VFPT6tuPEDusim~fjAt;;$)nGb8!)_#C5n8 zcj10Kf-!g&FX0WmkBPXSk}sw~f6R{0VnHm1W$-0@1?ylVY>uHAj$QC=d>04fNc;dl z#98N5@DLuullT+RFTUwjYW$MHB7KgLgS39iNsxE;U7gZLf(i0AMM-ol5NL{6Bg z0FMf+Z z;Ay;o*YGa-a6hG_mp zh3jz}?#2Un6i;9*UdEgF028NjFGqg(G-kp8d=7)KIF`jqSRLzPV{CzKumeWnJJ=70 z;3%Ac)9@3VkIV3L+=M%D4<5$v@f4oNt9S=3?x*w=ro{A^1#@9OEP|!*MXZ9gumLv3 zRv3m6*d2T0Kpc)^aWc-pxwr^d;yT=lyKp}q!5BP?m+%JO$3$`=Ky8nFF%9}-c6=5K zVlga(FX1a#2OD8?48?Hlf^XxyI2cFb2lye*!q0FiuECA?C4Pg4@ED%NpYUhAjlbZN z+*c_Dro+sb6Z2wWEQ#guWvq$yu?YraJM4truon)%VK@dS;dGpX3vmUm#VxoK_hB?1 z$1`{luj4&@LN0i_UjJii%!t`A4;H}Z@dd1i)$mn(4d1}l_$Ef;Ti6%h!}oDKPQ{P$ zQ(S_paRYA0ukj#$hd<&uyn?szAtvE|QOPkaK7%PvZr=hIi2?y?Z%Iim5OIX2sl?AB$pXtbkRq zHa5g&7=rDwGxoqfI0#4JIGlnraUL$lRk$9v;ch&DNAU#4;$^&v4=^#`m*j^}Vk8PvLpIig(bG z3)^aY^c1GV^q2*6VLmK^rSL_pg0-*#HpNyLh7s5ud*eVHj$?5$&cM022v_1d+={z! zKOVstJd2m`2HwX+d|#3;ra^zqj?ZF2EQV$9C42?zU?Xgfp%{)`@NIk-2jfWm06)Z8 z_!%z6HMkMK#BcBr9>bIP6aI|1@fUnDlY4nef$1LzEeQbik*bX~k zH|&K2a2SrkNjM$n;6hx1YjF$i#C;fz$MFna#OrtupWyqFl3{Ahh}kd?7QpB61+0kG z@Kt;b-@w-RCPw00*cac!_i;Q<#gFk*T!O1{18&E!@gRPOKjJyOg17J?CXqMDxL*Hb zT6_j`U?3L45?Br^V-2i_uVYJWiyg5m_Qd`;6i4Gk{0L{`0$h$?;AZ>^_u{ws1D?hU zcn$BOPZsw7mph3jz} z?#2Un6i;9*UdEgF029j_w$yUuhfiZB48Z3w2#aG`tc2CEE;hy%*akab6uyJ~a0rgV z2{;Wu!TGohKgUhD1NY!z{2ou?dAy2u(8}hXK2KpvOpjSG7v{qvSPEanDp(8Ua+PcP z%V{f@a`@^}7Gsw=F)tRzl2{&J#+q0kn_w`uL%EFT%9qowF6HH^OWB>fl+kyY3-$9C zqQ5wn!HQTFYoTt(?;X$ob~|6E-GB5xilfMxfKKD_zcyV)GCjuQ6r6!`aS^V>b+{FG z;eI@VF?bd);SIcxiG1A4_uuvYSR-lQ2dMA+H;ewya4D|Aji~SU_YM7r@ED%NpHRR5 z={Ehp;FG++Ck3X%%$O7NVqq+aXA11Ap)S-D&)texH%&`>oU2X}aj+*gtxFIFxpc#)+8lIXd@#MSl;1 z(|rA{zxP}Y^nSuA$Fn{c^GCn_@%HtXxB0p~PW!D#?H_ag&i3i$+3EFRCvv-CFC2iw za12hu={N@$;tE`gTW}}t!)Q$Se3W~A*v@|RYfSjQZ1;3Y_`YoSba-6fm+kHL%5!^I zFqyPN{@C?Bs!1)&^}ba(Sa-cYRo-JXPYsuYAJ=&;dGRloL0t8&?Vj`ps($&qVoK>R zrIf#~On)_{^SI z((6v0*HDXr?$q)li;)#9zaJ$g=Et+HtgUXep-wG-I#2E6obuH9R$Zr#d7bLiakZ`+ zXQ)%JLv@`xN9L5*+E(|0p)TB3H^ERBWvf%iq)yM<(^jYU`A&5MY<222fK#1%y`aZe zy>@o0Q`1b>O*Pc1VY==^L)|P}-84hpd|RD5Mt5r8a$DVWL)}_i-3&wBR$HAqm*Dig zyKQwJ8|n_)>eRS9i-&QxzP^Zp^=;h#3 zLtS!P-DifnbhbLROgN2)x{tAb-UWub+_pM(jO>(Gz*e`&P^Z4PK|k+eL!CO$qwAI! z>MGmnmKy46+Uk}W>KfSU)Vks{zRhfP>NSH?U29vNx<=qs7jCOtWvGj?)vY$v^|aNk zG1LvP)qQTL8*Z!n!caHPR;RWhPUAb(R=3VjH_KM1&P6)q&9~KUFw`x#)onD?t+mx{ zGSqFg)onJ^?Y7ma*OX4W&)fhTH1CGt`Z<)v03?r|CDX_DPImlwGyJ)D(ZL7Ovs8iRA_4ehmp-$aLL)Tp~ z)Rnc>{cNbKY^%F!sHjVDsv9SD_D)sQnS9N-@XPp|Ry65Ca zP5(#L6_6Uw=Pf3`)18`cs;ezO_PQMsT-i0m{hzMu>`|9o1{D64I&}`io>x}t8vjb2 zI+nKAjgz{Xzfz~R>GtuBkvjPo*XhTJCCsx<L|WJ)cTf#Sc^8rzfX8 ztqKf^J(kRhl_yZ=rMk=S)bpz6E8&r+KEI>h6Qeu*T-7}4)aNJEJ#=)Z>nh6w`}6KS zoy=12MN!ZDwiN5nF8NdADT6QtyG#oqp)qzKM5|S<_{p${S$FYn@k?kEe86{QU6D zD|JuiFU!>+LtePdjGXatar4(RubSMzAyDd6`vx2G-j}@hyvU1?youxuHRPo)Vp(;) z$V-~o*J?@La6?`j$y57D-8s#Vc9Q4M{CHoAo#t=DqL$TOhlx&keUtdQrkk36qYQZ) zBv0LgOn3UB=ln?eq|6UlW>wx8L*6vmIH%TOqElYsq`s~?l{e0iw@~uBd68E^^7Q;w z+is`nm%F%S$tEkVb826-*Z-sNjuW!=~d8w-Z`u5p#d1)+p)g(u?Z@MAxRmsaD z!*%C0zSAWyK#Ek}Ownn4-;_LWwl5oP<2%ccH$w7O$Z*{`wJ%okVx&m5Z?@>vzL#pq zcSHQj{?c>$?a1kCse7%dyt#(F%W~lJt{2PSZMo6SVA+nVyiW~z5e;R0WjWQI)A;rc zlKJ85&YN$@%hO!;zp~xXom1ZQQofeDH=t_YLPK7|mU8XYi}jOg?bDX+2INN6zo^W{?Ol-ENJZt{CE zKVq8tT7BhtRr^*N@;)A5Sq;4y-9^UC7dXwb)V=X^=hVJB{Uwj>!B#`w$XS-9?&+;Nr@S3Q zeXTk&l4|~LH{_L{E64xIb(-`3(qC?nrS4Uu@^%>VR?EsW#&*Er)V@)2gROwH?!29b zyz1L5tGXBMTfA7d&$Ms1A#dD1S>C;vA2I8Ftt-rrZwz_0qb+NLdNEGtG`@ScOP;*8 zP}6U()H*GHDXz=@Je^K+o*x^}$o$Rd&f9OutCiHpQs-)P=hVK`4}C3lFH+UMgND4( z@&I@6^}2lKN<4I$`>Ge)4tUy@ACZp4*O@f3w8rJ!!~$Gl!3*w%@vQ%Ja|W=UT4R@~rlAPVK9e)4RM1 z+2iwk4S9ufd6(BNAU;oa*^cc?BNNY?@g0>TK2LUuj(OL-%v&utZdL20ntrJbd57}& zScPS{?({>?`5TkV&l)8IRi64RpVRzEA|L2e^Iv!Rp=Vx19zUzG3{-it3vy&^5eApZGO$W`5V(Le*V5-$lEV@S-cqEE0U+C zi)vq4L*6CHtLH^t>NotXcI1^emhl1dtbqj*H`k?a<4lnb#DaykO?|B6UhIzoTFLq=GgXbRtn!X|esaTzATQcCxJ~^2QWmkeJasNe{>62rC2!lW zTn`u`Me5fTsN5)df$~_jPbN`Z-XwV}|Ki@G^01wc6<#GNf8`#ghR^b-YcF4*(Il=a zzHSM1deBy>i_$%!TJBZ1KnK+g!`{#U$8itRaEzgGT7BA zpWv|~T1r?y|}Ic>AkYW8I^Ygl^uC7sSoBmMTeQf>XLLwcYL(+~d- D>1@%* literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.d new file mode 100644 index 0000000..6ff3783 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.d @@ -0,0 +1,341 @@ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ + src/ASF/sam0/drivers/system/pinmux/pinmux.o: \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.c \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/system/pinmux/pinmux.o new file mode 100644 index 0000000000000000000000000000000000000000..eaace0ffbf30275e26ed0c9ce6f0bd163e80c3e2 GIT binary patch literal 762480 zcmb4sbzD`;7w=v(dmj!5u;Umg3U>EZ3>3u9Yj<~di&z+7qhf&AL8&MrD7Ki`fg&b0 zHrVgG_SxQhfA9VE_+0jy)oW(Yo>((@XEto^O+?C{A5uyx|6+>bGsJ;eT2)=3q%wf| z>(X<8v)nub`WbMc0T&xEz<^5)xZHp%4H#&^H3kea;CcgYG+>AULk+mafZGhX!+^UC zxW|Cu28=Y|UIXqo-~j{17%nMFUngU{wQFH{f3etYyI32CQp94+AzZpqBx?4cOR#O%2%GfGrK!+JJ2h z*v^0*4A{wlT>vXaUz?F0r#Uru^>~n0zn7!x<{09dthsv5?&Ii~v3Fmu!fq}eRAiQiRT0hBG|CpA;H`Km z_0e^dchR#Wm8Dq}TCTWJ33CgPN>yFT+9y>}9(xpSkeNh5HI+>s%9cDN@x2j~J&2)$V72hOfbN>xiAAz8EJIS*9G6gwVc@6aaSpqzPhL zsE0{W!>ehEY&DMgs$I1uqzJQiJsbFDyZq(B|G5`NtWChz7T{r8iHCYN4>%PEGm%F@ z6F=;B!yK`rEVX$kFl(<(c=kW3DcQ{RijY##JQ72nhdH37qO?|2pZZeKYOaN(y$aX+ z+e^g~>ZK?S<=GmM0~^VSKIfQ@0%Rr1CMGzkrb;ycvv@UWtC%XYxay(^S4GLHnksU) z&DLk|IK@=OnTuok4^&K5Sx8X>3TTR{8ta-^42C>?0JD#Emx{^je+@MVW^LV2!vS2> z4SCxPEt{+=rbeu5VlfzMp9q+J)PB%A{I8*Q&skeH)G>mKx}i=sL&2v=F?D8L6N|yn zz#Mq-+1p-6q=Wv~(11$d*bEKM{KwD`o1vJZ@H~`tO)LgOle+?DY1O`+Vw&>5h9*t< z$I#UJT+}0)W;1jgzY^$h8ArB%wD%E+Gz3r8d`XQwe_m`FXWK58TwvlB>zY^$hMsOv zVaV=QctXCVc7!l@~UG6B8=l0^ya7V9$g$ zh0KcSjZRQiCVZ=cMtp0NkW5(Nht_^)BM=i7?z2-&?`?!XU)hs5L};tfhwuMX=pzd$ zYSe7R`HAabVzC^Aul5@XIWH@4o{M-KA>Dh=1lTy@{F`6cbbQHfnBAG^Xf{)Y71E zl@$chm^S?rL~AO?Wj%;Cx}1{dSt<15wz^vrOXlz~^`rF|@$%}UQh(}=FBG<{`q(mn zcIl{U)klbd^ifC6R@-+@wb8kSt7BgMYaL8;bu^n*|K^6!B^}LW)xVsflot&yT&-5U z{|}>HI$AI<_u}CcreiMF(SC?;1bxv_H*1R-cpD?>3>s6omb6B5R~kjUv=Odlt$ME* zO?wc&aII+FKN4?z3?0)^4{NE`=n-QnSx0**4kb}t7S#vltcrldQLKAB5cCwi%&);Al|{kOjgpdQ=XrG2 zsl*eD8WpB0c16p9n_sPhXMv%p4XN8F+bQOR-Qbw1ZeDCVtI!9{4!IS#3cc~@63 zgsZBkL;nW4o7L2oxlxQL%EkOsRK=2q=hi}3o(jcksZhZTOT)2(wp8LGEEVoKRIyaH znN^+VrE33RX6N()&^LIF&R6^Wi)Aa^bCP1IQcbs!3oVcYe-5YcXb6%XI-Cw_ClohJ zjU;wD_N+;<)cg~EPmnCN{&+o-M2f}ThMApnU4x(6Hq6nvUkOOov0>Sq`|h$+EOl*I zF6XAxF=yAaVOHlmi{Zh;h81*<9SF(#Hq1qF3ComnCvf-u` zV5z4q1l8I60F~@LLFbvBIUY+NZW-z7M`%E{=`aru{xyu}R~G{*LWOepXo}e~{va^t zi)VylnZQN%5qc1fHPP?^Gu(R$HEnHD23ex>Vt-n==P1Q;z>qH7bBtm+Xb2bXIZ?61 z*buYxz(mAzsGZ)s9Gz>FM&z+JEKA{@eFi9&!vVU-Y|gVTLNd;#XLX)Y6aG(dqX^f6 z&IvQol&7K`8FO)7ix0wb=AMqZId{urRxIbpiFqZRPi=yg^96ORY~h~c`zV%6HV+kn zA?C}rnEwKXpp$I=YCA_^z_wf~s_S_;C#^-C*DLFoXW^d1VC9z0ikGu{3AEE)n_hEg zpF6;kYvQd6*VfJz5}}t;9ql7r+c_uALl=7#tMfWJKWK<<^n^b-;o8l)Kpe2AHY@#< zqQoWEbJZVjfVl>vg04(Q^VbJUW0sr4N;{>bYN;uhZQYGEhs9mk>?qcm6@Yq(C3@hh z_3<`@^9MCsv#dv~e^5tjL+(Zm4Bc$j$G5@t6uYu=fVr&pi3r}?;8wJHHNhKbBocIP zRqNJ3G;nQn_JU1p<&t?!mX``u0goHURgA$b&W5AoFx2o;Z9cmNG#I?e;CsBtg!lHF=d%9 zxX(-13&MJHEGjWeyo9)Py{B0>q`=oa(Gu+=T~mR#g#3K*Md!cP(9m1CSCM(nQBpK6 z=U2J6+uqMjej)$9A00K`&mLX$Af83Z3$K{F<9|e%^ygJR(uG)$d+EPIaAgOAK2CGb z)4x>=4CNr%;17-=KWihriNX|*Iu?s=Yf>C7uCxGrt3F5+)64jRt$hlh<%;Va3q!dz zU@Drb1lhWV8P2#7@~dpQ*v%(G$zrKTNo?k9ZoE7Xz079zAw6TMZ=fcttdAbnfVv_m z%Rc&CUGCqT`FdTtuSP6pg?T%EO7O|v_AXIhP3}gk& z>cgKxvFmwNDEcN|Twezn^MHL&GWR^8DP%5;=^i2_(y`7e2eNo}ghl%I$0E*LxD2Xo zcE}B~Vi%4v!Y1-LnMD#;v6Ww?VO!Byso1NRNGk|QrL*H5=?e{=Cm({d{3-~EvF+fLT$8($~eWXDz%l%`f&~OtNcb~vC_C_57DB|fZEv%Rt)0v2 z&a2v9W?8*`s&S0ewz^*(^jCKdt2tDwH(iJ7^kp=W(a~3c_G1)pQ&H-ioQsTFOVay` zyb3pUx&ERRaRbzAu>$?qwlS=?zak{t>V3IhB62dKJw4)p9p2!{C4FQ;`?8h%D(`Nz zG!~%jR4hwQ2brZnmiDIX)RwZD);7TV5N?WtLtW4@7aK(kA!;5)W~t@i?$89fT5*Me zshSS#RFYX7z+g6kZY~Mgf*3XIv%(a{kgEUa)^n_l0mOl*Nlun@ECLG^AmWsh931}W zXK_-b+2+f^!O6kV;V*Qpta<+0C9v@!kHB(?clsk5L^h{%^GS99fy0qonaZ zP(R!IDE03P67XI6KQB}_Q>HxCbF@{YgkyHfK^~^n4Rljwk<2yPo;8uS&{0@sw7dg4 z86R_0fCGM`Oh*^1`2X0m81^dt#~uW+7yZ){Sg*P9x@GjN+VzifcvF#P@_$H+MR z!Uluo_+>c&{fFNe>)R=#u^#-LKX?KVU;LVtQL0I&Fve>Ch^z+^@?QeV`VSlP!mkEn z9>s#W$hJvo|HrC{t%Aii=lmc}%pdgV_|*q(ah7XvNwVm5MwZG?F z&d0J#`y85OJdzaBQEH}~jUaE%spSbBi$cL%Hu?WPrBiOhNEY?Kbj_(Y@`MIS{*^~7 zemxdOOXfACOIeLV>3mx8Ti@WjVxB+JW%Ap2s;pT+D>*s{%+mI%EQ|aYepFf2Su5VG z1O~Xqnof~vR7i7KQ`)S^dWCH=Hu_i>Eq|kEL|(Cojr_;M3(Stidrw8eQhp*%QL@?3 zP3h0)9QCXw(n>bO+-h4!P zqclrYFiO6Hv%k!pEh-wNIiiwLnky2 zN-G5?pICR5sAZG_g}YH&EovL3H3ADmU2d(YYm|aSJ)^Wvco?PiqP|huAQ~8@jl$C? z1q&~u6e1cLrA-1|O}88>kekp;n?+-zv_&*AN?S!!qqI#lGfLYKy>jZq2{ZH-d6_}eH&h;~LPQnWWpQKExU+ABI5rG284QQ9v$+e-RV zu2#GJ2US&7*6FGhn2!8}bnd1(U7d$cpS8Q8o2`c?N+hB`sy(&ZHw3!he|2pJU3+Pz zvU)(hobj0&x^DKWR^seQ!Z+00M$#%QZikPcwncL&b{*e{Q#Vy+!FQ6?tmUfz4WGZY zk7nA1m5VC#+5N|n45t4vZ8)gYS1-uAFyYxx6LU|%gsK036jkXpKy%vt3suTDP%E(x zQK~ZkAVa^vV9j;>QN&Svh~_%w2i*Qw)n+@LhH5#lO_9)hN5fRpCn~)df|}>qsW94V z_#D6LpK^aEMmNh`i}8jm|! zjcEQSD8$bLexuSVp2gF!Lspzlayhvxm)Eqwwpv*?TEnyGIy*ey9b)<84@`K*OknOA zY|1rdA$q>1w7}P)DV@Hve7>S)Jl%J&orEG>j(x%0X(9X^mW_2E)?s>N87@c0u-0FD z1fG|1UJow54NW;wi#>KilW9s&Q|4|d!<40)+3(*kS@J{~w$rB~bGu>Nr71%Oa=9bc z7n-u5GRrTX#FFcuu+4qHx%}-2TUc6*pY4mYma5q9n6xoYjFAG3+6`k<7b5|td-Q7E%Zv@a)ATv zWeJYcG-Y~uewwhYNBf`Ra^dIv?2@1D%uD979Y#7$sWFhtAJ?+Y6TR72z8d_jFpi(4 zF=in@TbRAPFU;+e>lRZsd}6KY;cWkzFH<_Zad~7QKO=Ekhx%S)`a;ZCn&SAH%MI%? z_f{BlLu#?j?1lKb)1RL+b^9l9-$_$iW7gA@n&{4&Ql&dn^5LXeQ+7;Y-7OEg9o#S$+k+ZM=QV zA(}E+FE<;)Ue@oki*-l?)j^SArj<9_QKYJfxU5pZVwmrs_?l?w7n}o2P&^b)0rg0?x zdf$k-!sP*L*k=48erDgnlCQpVP3H|~-O`tt(!UnJk&n2j0eQjCE-7p+>t2?eigRRq zxn_Q5Heky0aMsOojGyaqDx)bA&-3%nXO=k@&d;!8?EPXCKR2duQMMtM3*&kZ z7M8==`-lom$$wAxa+qySFUB#vPhj0QQ~6mJsYS#b!p|~YS!RAOmYIpWZfFf$-@sS= zf~{4ya9zGsW|=elnO?LimkaG-JBzL`rDh6yPwvOhY+smOt_SP-u3*Z**(`7N<#_U! zWNYq2xV-xVbE{w#fT(bYt|?wQxV*Cj%X}-$GD5H2`W75prE(nGAWVmv(yAfLZ#u{H zbiLM12e5o#98-$pOh8li;&5M6_OxUA(>T^Gn#dl5ezJuR^;qU9rWj2*e2AlMQ9s z4Y?eUx6d|IT+_Lno_I? zTV9#KGKXSW>tZhUvO{mlT8)^Sq0d3(zA)WapBG+zVO_7G97+EdY&jX1y)M%Tcx4uG@EJ z3lsHT{TTP{@V(Szt#VVCyM8gtZ_`J}-{n~At_#al>&{x4nOuvh9hhE!0+%N&V=up* zS*ySa+`3RMVq`NZ=5Wjnil0C3y(pgJneK)YJ(Du>Ci48sMy!fWN;pPQlajj}Zcr$# znz5Z71vrM>c5J_4SGM_B|H`h0urH@+9K%?ggqoDcx41m#0n-z4qKuKP1V77RYlglQ z&oXy$go9gutJv4lM(p?6e15v_W-s+Ov;DcFxpwyYJos!PbK_$8IUFOHNr^7N7QD~0 z<>UH1V!wdn-1M8hgumlBe@tddm-}qfMj5U#eGyW<_#*V)GU7XyFT8>&@yia>l7)D- zF5UGK$_prz8-5|(YlIptqL3;ux0u#p^{n}mlpqFB9QLc)63X)dl1pj58N6jQ={Ex1 zL|*Rjc9@c4pchBlT*Mkr8Mu6@9iccL$&XSu9%>V)4^G#$W7J|bu;Wy*53mzd6N@wL zB=tCq);LAy3*a+2O>!ed&X7j}Y@Vgai?EqUS2jZA9JQMR;#IO@nPqxQV>MV=B$}Pz zsD&%f4gSL29aw+}ehuCdF?KV+QW4%5V40Y3AH3yaBF@vb6=F4a+m*tcA8uEPhdjIn ziuoMpYO&lEV2xN&6(VcJV<-6ACRU>5Ov&OP7CNS7aw~4*Xo0d{1X^Of%)zUlP4ZU_ zY^=Gli|0YETC3r?6GHCO-+)rD)htw{*|;Srw<(Iuo7T~k0-0Wmt?)cz(In8vU*=DH z7JcLh{U|6LoFz0Z9%Ae0VLY%9a`%SFF6#3V@{u&T5~ANv*RZ74VrVjwBWU`)xKV+2 z-Sh+C0y**^e3?22!~IoKaI;0bK~0vUg12e?J}BIyF}I=qf@)ac;Vpf`K3MxqLwS+% zm9kud$ZQcOq30*MoCdZ;#C?OY72-)FSP2$`Z-BQ&c%K6?T)an4Ogkvr^9u2pIIth! zoT%0d#G9h%c_=&-+@TF@ZzuTgP43CScdq!IczSF{=pDg zBAal}43a+y#0_%GdSJWd)B2D+AhQu{Cd$NC;9Zwvcw|bIId(!MP1aZlk=OD}LDVQi zx*I&is?E%hj zv`gBNk8pcUdwT}zDVqCY#Q8|eXNKe}EoBji@3o5pA{koe0tn)VRuvmdZIB|}rznO|00k+UCg4Yv)b`z0M)7IOa#ok!kVONOz(jL36ywZ=htNI1Jqjph4Ks;qv z6?rS|g57Ffxm~p@Y5}-s*Eu`tmui=eQ(x_+T_GOL-`eea&ULU0%8GD*+J$|E>DlHo z7hz?AxfX86Y0J$;PJtL`UYG+4L1wRP02|F4Dx-UEH{(j3qD7eV_ko84W+yLTN6cGz z33`;1AzuGvSgf#hBLyTc*!&_2gZU~lYQ9bo#S zz2i|3f7$orDP)etG#b1B%UWKNEw_k=zydAL3W2xA;(Z!my=CeID1=yY@SGoN>AxD- zHp?0eFxoClhvjg)$Kufw>QR<)0wM=3^WPxqqZYT$P(NXLau7DpSPoT$NTOw2Hz-`R zbi?GKU9p560`Hn7fS0njEIs%mNwz%qfrtB+<=mGZT8N z@a1)SkVAYjz($8Y(Xg`Fp({_MI~_*(K=Ob?pPZ14b68p#;J8B`Ope-F2X|bl)h;&1UTW?@&>FV zIwp95cf)a^E3Djh{5%OD+0iZm{!$!Uu`NXjdG74InTtBW1ZeD1o5y_N1m^bIBhpW@~Be{WO20PPD2WSc*5y?D!iX|O3e@Kj8pEh&^za} zZXEQkI92~-vdx2PN-pS_A`5|R*NL}O<~_(vY4(keDK?2BR|i3}D_lkKaUQF0Gm(s?Gc3^?OuT;-8#xU7Vg(mM_eG)K2Ywj(95JU5x{&z$;K=x zI&f!NDiVT#trShqp+SShNnYpe630)%bgZa^OA-2nowY|-NabIL3k_P4-e9C<-eDeM+JtLI{@xt8t)Ce+o+ZqSRAGBX6X`*Er`q6pQsQg4S5A%*&YuM*}h`Q zYbt#KXYcm`8sk?6pR7c{|!_IKT8R-*}zTe;6(yXd_xaMcCtKI)O4{ z^&(VfE|qfuV<~wdRj#e1UzZ^eOieBV?4t79K-@Hj5-SfrDbs323VlU9TdXa`$**suVr~ z&nkV6L#F1ZV&K-2xtu*M)p-(OOdDG zI*7_)wXKCxw<}QCOshr#Y@zL+ArelfGr^0XPU&zGN%MI>8AII`ITK389a9065j6s?u%)>Kui&}I4$x> z6s-v2GhrVMW3NQ{(Wt{0@yiLoM;;jm-duTs=f1^qZzH(%mzP2yxkic{;H{PRw}5St z)p!rFRUYdOVw7yw7pC{h<}E=yCOfZ&-f?+pt?}JdzliHVTFtgS|E#1d^n{jsiv@Vr ze#28^orVjcQg_fNRKDJV9e8@=c@J*=*=rF=gMu+I?^&QR+N5;^*5g_m&&Hs)tq=;` zzpG`1*LFvFaBc6q6IvbaNm%Ikz7(FF9Q};$TzncQ#@u^x%Fx?u7TLO9l}>FyZLpe? zgkJeLu7){|K+pTGH>@_AX@cj*W&Q@G$%L-ZY?}NC{+k^z;d^afUgOb!DIg) z+hJ#b3yw~-fmsHEGH5Li41?b+17(OWL2KyVGN24Abs1iUw>^XBh@@wTWaRxdurtb! z6APmo2Efjk=l4Jv+q)?!<7!_3Wqej%j81TW4f%<#3-FvY^DoFucH9X&Qx5YyKego) zP^KNh4PI?}4zBwQr{kc^Oy|z$Lut3*eip?iAr@b{{}I@1N*)Z&Ih2X3d>Gp51weNm z6^;aPKIQ5Oq8|;t4&nm3{5LEvq*C9Yw}?8d16WKMNM2))o4pHc22i6%2yzL<^9E)q z)%XhHGAfA6OxkiP6bQ)`)SXj$D=FnL)K^i7H6R93$a09Rrf;)ATthB6Tf~S`pf=Ql zs7yl;*U<@_-(s4oav#JE)C9K%wT*O`J4!JA`~v<$s37NEH_BciEMDPRXEZX>XRG@p}yF|?#O zVmU;}1h19p?LTM@)9 zx>F4y-KOrVfZd_UL%{A*3wJbKGG*Wod9g!w^Mu>`v}_`<6e`Ru|A1zH0G3LpIf#eU zZ3KdNM4^V(B8Uwp-&p2Q&>4FvhUXk@L z1o4_8x*&)*)SG9nx3ttA{?f_BBlJ7^#W{-i)F>8uALtS8;%Of#bqc(Hq93^cKGVTL zaQlTuWrfWQa^{6)CSBk>(O0^T18nUZ72uicJGt;C`UeFlzRKg?B24g>QOoq5f=KpeaP z$%UdZ@^Q%4tly0Q7mLscIPw>Lx580?*k=bvOT<;4FqaDA!E~9(6$)&*m~|G|3bB*7 zB`Zbg=fGBp-Bp1Fip%K$t3?A&*sl@I6qsHs2Jkc=BucpfTPJ)c!0mc*oX_Vrh<+Ks zHi`;a;Wk)w9*!VF#F9jSP2&A7fKU;Gzb(-=i}9`qX^RN;LJ(VpAFrdfiHj$IZ5N>& z#13Jq4x2kgkzuIqE>WsJ^mdCUJAmyGo8E&LCOYwF5iZtEgxd&_%tJz?7!?F8N?b*< zPunZpt#G?fys8Ar{laY!Oh=1|yih+Nl=I*n6tD0%Mwq;D#DecneCAO)R`~k^J1nkQ zAQC4gJHlul>PLnDN`M5hjrVuQM1NkD92fV!A#y?-=M?!#@fc?y`l_n< z#x&3?&*L0Gl^wHSv})gba8#{cBhahg=F_ekVZ~wauR2RWsaY)-%+-qHQvmnzJXO{H zyFVy(0*`@G*P}S>)LVW86pseHM6d5V6_f^nSzyQWOm|rDy8a5~h7XT|>wSDDD2@F2 zn`<1z+u$b4GeK$EvmGoqtN9d^=CUy?x2TDwwAOO+VraDr;@wp14>Lh&leHb5Z6mpf z|9-(u)Xs^cZGV`TdmXHN`qr^2?`AuF;*3`3o&6A7m*k_MbY0Es&u*3DLFv9GCvaS9Nww+3gLmWcQ~KS_8GwCzMFQyX20gVo#|hF8N3X*n*qwet8Kv@l!JGsgBv!1 zB~=Jt*UA z^73)Q4nDD+xNkkYOsZBMekbpq1Im<(FF~2wqY*4myL}s!>BIT7Vn!)mam}oD7-b)N zQW-+CD48dJUz$G}W@nSzEqIzkeFlO!m%K|NhI!PV)9&*r&K)9t4_QMPto-@Fm{^S?T6$U zYTXnb&eFtC5EJPK?^w=J)lDFtr!(JxU7+rK{B@BQe+2Oot>j(MWor8nHj`-50AN>W zMFsG#lH+QCYqY=)Zm(00xlq4BEwe)MCS7TX0B?~`Ekt&kvU9fk4&|zWAnsDwNPuL@ zxfaBG)bs`P?o&F?)G4$z4ZH_*mffb3F9-3ER;`4wM|9C1l8(ppZt#`1J2NHJl8+7gX;9L|)RbVbFU;(fDIwOrRI}Y~c-kbOZ4%bqxnGomLkF z@g0@C0rmIPpb0#DptZcN{YVY_0eqtE7a{VQn)pKG3;BJ3NCu6X17apQ@=6O6C|C0v z4XyyU-|7Bf5Py(2XXJj;tW;pXXig7A_M0rXA>t!E`Q^+K)$)SqE6y(iake;eAChy# z{#DSME6&u#Yn&%KdV)A#Y~^poPpshF`2umV9_qeO{N~KSBC(G5w2MU~FM#|-_5mOU zh~eX)w?vfXv1q9n&!6Ek(Uy;1mW$RJ+^!J6&Ol_PxW)^vRpRf1;021e&p=!)+HiJv zjhM*CYHNkpQ}BYs^~tcZPBd~uWa~w%ozUALf_WO=D0*ap+hB2)H#s3<=yzDzBo=Ws zLq!c9ST+kMPUvkBi9A1T74=tww@r-x39wxh^MQvQB5V|l?G!(%KysJJ-U^btMFM{v zdqhiK@`Q=pyeSA5t&*S+A+E*1bfl=f3<^v(ABZa}fTfDzd>;EyynhVrkvQ=d*kdt?FLXQ+E1DySry_54V9&(Q z+yH5!+nXj84Z!Q;%!%8>EZ(qLGQ%8 zECBCCWghuHh@ZT^_$W5<)bmMP;yWmx#bmxN@kRWs3-t_<_BVK$Vlr=IzKXzRz`lu9 ze0cF)sJtBdA;PwS_frgQ4256f$ai4BMSorx`p8A^fz6VSdI9s56I-F0v*m~mz~;ys zyndQ1OI`$+Cu{N8I$yTo9j%}A#{nbGeXN@S7Rv2*0E?u``^3faU~gdlviMkF0kV2~ zfF<(qD}bf)oFhV7CLNaoESHvg04wCEs;JRQd5l+mt7NOizyjrFP6w}+ZDs>oBU|u* zyH+mYo*X2n@oIma-0XoY@JyVEL;zun_rxZ<}nAf=^yTWkn=0 zwaxP6HGnO$+;i}@%3-|w-zH-!LvOpR&P$CQ@&hO0cS`4t0J~&B*YbhwiNzXzMiI)W@!^07IkB?!G%8kiTNRU_g zbsUpfD?;J8y!iv*gbdz@kWNZJ0g+R(#}BBVmMi(5+8G&o5&@o-o%TX9QEqz&a89<* z0pfX?#%BW;q%s8x7o|UMGA_wooF%+0N2Wp~Nrw7>ctz&T0llm8#}6o6lRbkWa$W9p zhKCz+e?Cawly76<{gyoChZ^0MH3}i5J969{DBP9y)1Z(n)9=IBJ$a-a6z zcU2G{$b11%NR{q)zY`rtj4VGH5mnXEPw{?cUodGPRD zHsf8;3wePP!Y`%E9jL#OwQ57;wanB&d?Sagg1@)YItU8sGKiOS@8s9+@bF&l=ELd_ z@^Cvi`Y2sy1N$WV@S^{-eDf6QUu4=$@G|7niLjX|Z&U>KRla`$E8k@9+OYXu#s)&+ zhx9-~oX;~q1N$Wh9R=^VyxIklKI)T#P@kpNoeK}X>Om_+W~*z{;9-t>!WTB@ssWs% znx_t~2jYCSR1l2$ss1(5)(g~Id<|xyYUahzA~hUY6K%0to}1NQb?gBT0ct3(_m`*+ z^WkBsYCi}5mZ|g^*mAYG0)-Xor5{jVsV@EkW2@9OzJeI2_U1&~YPI1$D6CP>@SwU@ z^~wX0Aob=&D6CT#UWNL4^$zZBXdBc*e06K1TDvk7g4IzOPzX^cEP}`;bs{gtLe*WF zP~WW9Ylo1ws4Ln)a;q8_0c@N4lMmjutB;(ZutTkx3=cci;PFt{r3T)Hhuvz_Re0E= zzT~7|n0jC%6vEZ3r9q5PYxICZq&o5?)T7ia*qdp4)fqfx?Nd|t!NY#F@f7f))n?fM z4yfm5L*bx0v<4!JQJ-^q?~wYOQ`fQTFHTn-Rwwg9K2DwG1T0=%&w#@N})s^Ly2km~!-;pX-dvs71ptK6LheSsKrKw5S}E?$h3Kh$V$~ zjEC9-DpUieQ)wG_qKEVmyEk3mWhp23T)VJiHy;n!E;fBC#!4P88*G{Y+n&WLfa*1I zGs=yI4n-3*5BE@P68Ys_m~-o+pn|1ZECjD?_EbD81n?57a`6JNQf(w}mH+ySKRx%e z{8iT7!QVsueqqq^>UII;=0|Z7p|v~o2-dmrt$deUG0#m_YNqYG-)78n~-7yLNYA@J*egyE*ZucFd$!4wy z0ecVa{|cRO^5H2cf-3w4>w9TA_vn2j@dmITaIX!JN-K(^B_C4QZ-_jmZquOtg!1qf z{uyOC2P}=E`6TBBxrBoFk}BMW%kR`B4#XeymM;vg5bfeXTq))h1Tj!}&Vt@*L7Skk zRwVMh*&q?I5+SV@mU19&5czlu94sDRf_jLU&=DY1jOT2`X3>u)xo9yz5|RhRsKemJ zh(g1_J0yyC1NbaPaAxa^IJpJ9OmV0;z*o`wGr)JT#vQTz5c!6ow!cKa1^~asjBtQi z(xU}z`pT5G0CVMH+XR1|7pH#m7H{1a$b@FFvPk-KreU#sz*(9A>3klo~x8S@jVlkH}BF_&z3GhQrZundLj;JSnqG zhvX?KszCCr+>KNy=EzmNY1xE|D6P)H;q>bV8FMq8m@2dl}!Mu`K zqCNJ9v1sioADA7`$}1oq)H?TrUW~R}AM>=&B_I;3H9iDzSZmlFAWm!D9#)QM8+ZYF zR7)ETEJ4%i!%CudxDFhh)24Bz@S;|w4x+xI-Q!AM)h=+(<(k$n6MDC`Uh4twXubGs z=&tr9KSb_n_*OA?Y0EHf+s3!+TR|_g*9+vb4?nIX-3L4Zy+_|^ zp!DpNA6mT}gHY~0hPN4g`Y(V?-$(kv%lufBXYSzToey1U2H;DM_$wwbPkRz zU~4Xw9t++)irEEg^C>tMm>(5rf>;*NVRpKZa=!*xL{-xP7E|%nQ1_=iAE6LHw;bSk z3Eg-Py`{9n3nI(N%&U^+^nz2#E6B<@-IX-{BxAeDIY-Q+d;Lg(fcoxJGH;;Ndz2%>cMTtGr?CCQZk2IuZ<*`RL&`)#Z`$4!!RS zg}c<@9wd`#>k9;Nk8*AX@jlh%+)N5p<}LmM+Q^yj&lFM%B423Z5n!3roRiL9sWRuK zzEQc$(ECo?9Dx0xo3YUQNi8^2^NU{g0{BfI@vkaj8^4z8H%nNy!L6@I3Ydqd=6IcHaJDZ2%9QXT2;uxO}J{BWfKzt%vbBgt;*vGe`pNR** zVI@s`<*nXxF=8t`ybukzx4sm4c0l2kNSqH3uSG##@4gXhrUASabMinTU3_|lINu3V zR$%W%?t%cnMHKJx1w@OGTm+=Ewv-G?*(JaDSO67jhlu%av!q^OL1` zBv~Nu9)pL4GI1&N7D?AzP*^N|c*66S(Yz50kY&fg(GvNkDtNnP|1rS!$d?^~h0C^l z;us+vd9aC;NBHB4lGC}n?Ula>{`Sdm&KmERu}?vamJ7~9{eaxI8F~lh4Bm&w$l1>z za!8)y(IQr^P6c*Y9^_nZoa{OSvBXRNFz}AZW3z!Bm3#LBOOW2YcRD8f^7+njY2uX7 z30d?FY@U?Sec=6+>|6@iX}M%M^v=ktJjI=rQ3GH)Q5MA!Ha22Od>nONHrxn>3(}5< zri(Ix`|c%~mJirv+2R`fCCQ_2A$di5oq?6BvWXA;U6ZQ=LA);20suGUG~Qy|lzsdl za!YpT553#6rxS>G@Lt~HGldVbb5md+WyM9nKFM@G zk^3w|_rt>%8Bh|u4Ebm&JY-6*!6436r)&ptp89efZ2GD7e!~NHOFtp9P#u_tI2Wn& zE-S_aRExLbWqPKe+0Anv zY<7Rmjo!n;ncbdwIhW9DvoFfMFY$V@Pd+}T=qnFEvfl>o0Au1f$vM{RGPvWP?u71y zid8_L_^>r}Cw(gk%H;d8@HHj94s@p;O-6ZI`*$c$ug&|?8L82D&dkaU>_dUPkC{ak zc&UUl{8+GNlRtlEbEx81sLrJmyp5fQfA9dj`Sgen7BJiK3FZP?nH}m2DQyE37SZ@N z@UoZ+^n`*xZJq@XK$)D%TtazELS!jjnFIA@G`9haZN$knc)|1~2aIhZZ@$_PO4JK_ zIA|XRku9`|7fuIhB9A69C<_keJgved0y9OYcswq&vN1t}1V)toAeki2S-WE`J zKx24)l1c&i#}koGpUXFm-qPcBP|&hQ5a zjZ+j$M9^4(rNSuzlFLM&UBH%$8+@9wRrt?@-Zqh(41fgl0`PW<{EYy1iJVWMuv@e_ zh>-S({5fDVOr%_d_i%AxFBBp~E?0;|3Kza+7bVIcht0iWG$)+)i6qWQ?-wpSOGb+W z82|^wb=&o-)$TABBWC-dK@N$foNJ90xA|b`uz13onmF;jCwTFqUq0v^5&3v&eN^Pi z4Pt`0z}v!OV)6;NJubdC1a?CBwu0VCab*L*DUoeGz-e)CEx;L3kuRQ{6|24gB#J>F z0nP~zPR^begSfw35FrhLT@*b$0WOIHec<-8@a+#?lJMr_-W72!3bnl|#>_|ku8ETR z;4TtO;N1}4d1ksP#->5xmhftikZy~R-2ivQw(;dtGxO5%rFGY?6h~<@NlpP|kMI?_5Z$v34fVaZ46uhU4;Uxgxi3B%*_u^_% zfDhvJDCm6@@jRY>5^J-A_*ppdm4h#$duarbA)fI~+Dwrg4u4<8)WZ<@CR84tzl*dN z;QbKU-vIk5w(>F2FA>bc-EUEnm&!geR|Kj#OZxM=##dhZ29eqFLv_?)j%@G@#JMtn zPafyVdA_Lod^v-+F@AEuDOgz`3-du+kX$?iR@TYPH&EXo3*`dXD2wyJ87#B$8D)q} z=M4BJd8-_16e|C6fyic=aTzwZ$g^gMY?U#SArdVQkAc4fvOlNAW26@^at}$@IAF0d zsS)%JOP4YbiIc&cGKrUU`OxTy%oq&psPy7pQG%?T3wlX%0*{|pWcE5BUX#^1Yk6G` zjR5h6ESmz6o3g}x5O2wdp&;It)!pFXj;zju_+4qoLu|4%{RHuqbb0_QuVusv5Z}tj zF2K^I^Fze)PPXRJ`@Q_a^Zp0f+5^OoGACcI{3P@8rGd|~HXjRrkuIDTpRKm%qo6rz zWDx{0Pc6y8&sSaFLDEmXx&(SF)%y2=tx{v#09&oT;RNLxwOnBk*Q%ZQTp~za#2Nl= z>Rvw1+OAIGsdcA%pO5l(sYS=Y!)`U#B!E3?ecTsDI~aE~}6ExI9VC9|`YQ)cg3i>9iEJEWfb_ z>h^BXd#HBcGto!tzzvAyvD&T!ygyOz1w-V6D)~<{KB{J3GJRGreg^oWy6{1Fh8i~( zAXB|N0>rQC(pCW9)Gq|R?`qu|!2GnQd?2_$3+0bwk@j>Nyf4-^3yAn@XDcIZhyOI! zs%dzZ`5uW#%QoN_;a)Nd;no)WL9c7(WplkO?ZEX&=fzC@ufI`laC9fQp257}@v0jS zdWVDM5m3jy(Qw^48|PcPTu;EW>;BiEbQ}H)^4;&fgS8%Gczo{J`X=OiMf`=RdVlAH zbDvk$VY%;j9?Sc!=7f9y!n_3=aDKL0m>}asyjIwfjPFC5=0b zs8*3vB6xumTnv6zQ=2T%TSF)L^ldHO2?Mr+#0co^q{|r)U^wkxo;^IUt^) z89cR~r4;_#pG2z3i_V)gw;M!mQGFiu?~wZw#B!JXc~zNAmHPrq!~bLg*mH6TgZfLV z_!qz{isBZ1O*{P|@`gP6fcTX*bJzGra~8qh4>EJJ{-ikq#9vgYJSz5^X!f7}aytP! zWi}PVvuvRtm}$5@2lTuTa*m+!F^;*37Y{s5-MC*g+noh6&A((I&=!mEPrGU@y*Xpr zYAC1qTW3bWe4B_8D7Rfv0T%wg%;Q?Sk2z6pzm~uA4zaw_=s08&WI8pE2c`3-Jg_o+I<{j%`%IN{}C2?jFixD#am&v89`WGHxYL`QtyH z#dAV=zGgphGiM7Xt>9ZblhcA>bINA^CZ>9J!*g1tHPD*=keg3sjqPDHo~UGFZ7pk%5rBPn~$cPN9VMFqTT`oVj~Q zwRb}O5#{rSjCrnU?Y2 zwSS?-oNLV>PyW_2=@l<-zS7%V5cx)ecL3kX^#dIJpgl`~{iHya{6*(pp?<$9dLJ+! zks1jrv&1r9yCZYXWBNuhc@QccB64xAXp_j*2_m7w&ly%Wi^6<(v_*{M_0LwZfY151 z30GU1=FOO`ag#pCXoHGB^Km?{WEpPXtfMLPZe7N!j=djGdAVPebptq~#!yJ`z(Wa8vP=tRtGUd{^Ye^ zrW`W~HTsHF8o)Q1_c27iOZO4*{zJawjP_57|HT4cxNPSH@4w}zK$!MXYbL_VEOk>O z5Pj8H{*$xW>O~$Pg4GJ2p%9`*O@xO~)sAP(&FaOw5ZR)pJb=xuYSJALx2dCALSei5 zo{yz=sOwKdWT)DSKi^$y@m~PD)r?k9*rV2%1b<iv>Xk5FAcLoZSt!JR!y zo!bHa_Nv?ZKw+Oc?;5cE>Xn-S(dwB{m_DFhIt7~tRfiJ@Fh-rZ3)mrbMP4Yxs+D-? zI;?Kz0XI%P^bjCkb*lj44fQ89kngj{jU0?D?B8tlgu!7Po2j* zj{E9_qlhd;eViZa57b}0B1lzt%tR0m)rA*0&Mjb1RF}=rd#VO-ZJ(+0 zw?Z;a_09?P=V~EWU@z3llVJ0un!#D!S8DVp@G{h0e*t8w>*GQEruMD__3vsX?>m2} znJysyR8u@4vOs&Y4OSLvZ)*WttUcwK)nBW-7m@*5$U}HvqIq!we!aHp0f-y4F`P^Y z)=Dl0F+?lD8HP>TU`OymwL-kSjMN^mqbO}7pMvkxKJ$)hzc!l()o3l7E5bdX)ocK_ z2QiNVyP!?rG4i4|gO{t$^ml#{@C2H)wca2?omm;8aq$3C_Dn*Jk6{QF& zN|7Q`?N|{N-_PXx{{DI9nLc;V-R{in-aUn|a!coB25?*V88=G`Ap(Czy6#*t7VBQD zf?kPkpc@qK==O4ASE`%*2Z&|5B)(V5b-z17y+W7BD;alnmfJzB)Rmh;@}AE0BVbiJ zw@k!Rt!vy3y&BzB-fvW^o9hITI^Co35UJPs-sRsx7a0tZ2fBZ`FY-_~>?I^0>27cu z{a9DRcgGXmfbo!gs#`K0>J7RLHsC$e?P!SrpX;J^aP&gwbO^kcIzujZU+L!G1@E;k zh+kLHsH<544{vlX8MqEuwt%n{}UuF^gV{aw59$zm)CCkf1d+8q94a)vb%oDXoTdU zAKL&uPyH2t#Ob9!dj`N;AI$}>kN)a!@ZhUIa}YKo^{;uCMwI^JwjiF;Z!LlPX?;L6 z97XH@<*e|Gz6IYhXZ3h#BrXm8XiM;7^rZ{UpfTDT>bW3gjA|eUjt)h`Xk8z75b~Oi2APHI1Ic>{l#~X zyr-Y`1jefLzi`X6TA#+(QjLBGw>g^h6X$~WR=>U+;JyCl7Vw(&36tROgT9A^6=Q7~ zk4q-nv}CB8YF&9`H`A{0Z)>hy;4Ilf8_@uDOKsF#5UsT9Q4q1#dNko^ZM2dlAlhoq zK`>^g**f4D>@|;`0Ee`Dn?ZEYTJx~xs6FF_0w*njH$FIP4ZL`MSZgr}n2UCaQ(IT< z;6>PU)10`Qdqg|99mJ#BY-14JwG`e(S@_FVus}=1AIH&!_UGEsJuQ&8!wN5;J#%Yd^!Hd^!?*s9ow!I!Amo>kB zID?s*0l$~+iWc(^B(G`?k>K6ZrtqGNLe2C`C=_WmyfRy?b$A1;M7zi%SgrP&Yms^_ zlZ%4;+E^YcA81oI0eh&`-GOAIme1)yleXjr;(V*U+XL`UYnlP|_u6q@qCRa9zFvIv zzk2-380hpl!P8scj_&wAbQ0%M!<@|_KVlVMxg$St?lbBM@2wx*+5nV4tb0Oo%(_qT z`R8&DW$YndYajQEDRk#HxZrc%b1og`FO#4zNb8F43;n*w_eEc=0C(}9kKl1hUORj) zZJz=9veixaT<#qJn=7n#!sf~zJPPhx!g=5R={)Wn47`Q!#`N!(I0_TmZVs9$z2iyJ zj4U}mbDGJ;um#Ou37#c&Z3V|xH0fvfw5DU0RMwR?~; z_hCKBfTw70+QUnOJ~a9^fG;(^gMuI3WJf2cl%G+Fqz>FmK1o+vLF5$8`3HJf$ZiK> zG&S*ZTrveefXxf^ejIqIRK~Mv8Wr|NEa~K#1zraE@G5Q|8S%c3e0t3p#SQ8o0p3j- z!8dmS&EZP;7QJ_a={kxjhw1zDQ!j`-pc8y{9@1EIh&-YV{lR-o>m7kTp`i{?e@fRm z^=zOX3PC)h9mT+&(;Duazo3z~fxVM*vRZm;JEmEG(~pcUVl~zM+fQ&Z{@B zVnu(byNOktY55Bi?u-P8o!ZxmOa6xFpi^9b4nF%Wj$~*9?|vU<%j+b= z+wl)NqNY0}M~=UQ6Epb`SKCv*;O5b^(o}G#j}OA-G5LA({hl7gKfVMP~twKJ3k zcZT;ca^&@MS3)0F;aE6fXh=fF#5CYlVZ zK|DhP`a=CIO@9S&j>a#8=@=>*3H4a=9|wgvvOfVU@#MjS?s>}OJSl;Q4b8yhd%uP;v-7Pg|Wvpm)9SjQc6Ey4P@sH zk!R%2ncs8jS_trh{J({VmvqD#hw_T{ErG&o+R3+KBi+3Sg*W8PHE$FB%~!-*n&uA= z@5pdJ6yB3T9zZiS9f6e(l+7p0Sd38+F%d8Lf;SZryv5N>Y~70>%tg{H@GQi*8t7Sy z2|TGBK^zjHz2N~bAKMS$DB2H)2PZM) z7XWAB!L#OJG0hrrx(E|ae$R;UUjRERrmu(17*VkuSgcsZ^?saKP=O%g#T8Bj&I{xA z5J?bce}|PsG0Glplf+amOp`^xr|@t=^yf(|MNHyfGgTbr2{ld3jfA6g@r-wCW(fHL zRxXO@AYhlo-c?YzEMmAXoGE@R1rd+T+=Kd6QD+5!#l&V1v&BH(J&+@cZa^|unDVT5 zP24Jg_dHQG1X#Wp!I{Z*@efZpH~xExG9H=v>1z-R#Ivp--VzPG+w8X3wh(R$#UVqO zE)rh6()&hyk%G;?vh^KrJ8Oyia3^$Rh&%nE>tgnNJ zne4~CBXimKEr=HK_Ls0?DJ^_)3aq5l68`<iXUSx4 zv}Mb#*I^|`w*C%hB3D}PgUB^J{sCg1^zejUzD(s|^t$Y$aPi%c=WfEoP1%Dd@B(?M z5yo!GV_Z7jmQ@KL7RrH~0u{;90RY7^V<31XGJP5(@5tMofR)PMxeHS!<9Ly$Tt4CD zwF)_`3fNsa{3AH3l$44f?#bJ{o>V3OoCvI1#&m}jV^zmz&_pGV0ntpg;05w*S?{*J1KYXI)*Q|?E3 zD2&|riPa2Fmb_GN1)jGm;8W+L+IhjIuUd>W9u2I+T%R6O5jrUNt3#L$bpa~a0mMKR z@h3o#`Z)=b!OCtVcp<9wUm%96QGEM`sXfyHj;l((SHjiMB3Ow~wcFwLgc>mn{vy?m zO;C?g^Kt-As#;F2PpO)@P&lm?+=EE8YS98#&ZyJ8V0c!QFM!B7_4i_6G3vk#fLPW3 zzhz_9$ajCd+I|eNoLBalu#%v<@(!p(Wurh$Qq#g9lB|B@`{;t|z8E2;sOg*FC>2dm zoU=65iQBvB%APO%47HwT%8P2pTYyWdh9{fL>IyfBGnFO(99NVDH#x4VYrN2(rPfYE zfZ6IAPt`f9nCItQ_4NmcTvMZcf#oURAE2JES{#F;>nh&^;0FE|017wNG8QRN?fCb* zrP}m@vD+$ytA;`~${%_~>LWhPVl{K^r)tJwrP^Q9CVWnIdSwONv z4doMgS9J}Bl}c6g9K3t#13zR~r52ilSgkC0H&%_xZ3R%PHhl(Oof?q^^?G%Yt=v~$ zUBG*w+H+g#p}Kz**dz6>1;AsK69|PT%8)Ppr)mZ-8#ky{JW4%NPLn}=uBzUF_(F9U z1n^Qd&IIq3s*8r+Yqe)SLTXg&`M!OlUUTQFNxkKe-YWaP;Js60;^Fqadd$7QX7zX| zzz21odxplk!F=bK=-P1sWU70}?=>;g=}n<-u8ZO|2@BnE?n+wf>dwQ8mG1ck5Uq9h z7eT~ES5N_-t?mu40^8|6$3XVKyf2Vb+dd~arR{r-EB3)rCGapLop)^v3*xL`_~$(R zjOeCE93zdY@i}Vjr=ZXNj(>o^6Q@9T?#Z5znfK-gaQ`u%3HtoiJQXeIIUCj%UdIor zTNJ|;*5ZZL_*`=4Abc(LkK)KUq5IP=o_kkf#r?AcEst}bh$e$Z@y#>`j(1ZNN&w<5Bu9D1w&@Li4N9w ze9h&!IrZ}Z+k*BIFe{4W5z?A0oN)fSZ#&DSsyawsqiD|D^QaY9 z_xV&g8L?caHg-_BL3M$^ZqlVsV5NYzaz1v8?mU8{+mvqr^+GD_08m7BEg@1&o0_3l zLMv`T@(xX^1XfBPbE~(Eez^>QH>_-fWCcmS=I&DC1V~oWs!kx@qsT?Tswn(xNLJIx zbU3P^ta|WjX;T=mI-11ite!l0vEx1s<9_7>TE&_0Lt4xG8y=D6K8QS~=-*-N2^sLM z^^{s4hRp`bFNVT1nzI8KHn#C%)e9Ov7J4tKoY&o6(J$N_culc9J~vXkA&B!0wRjJ` zCfdg7_*>dv29Yq~w+h&C(e?{SMu^UQL?^`Cw~&k!sho>Oi7bN6lVYAZz$vkTXWP?a zUp_*L78`io=8P!$62!A2h3A-a!r~CrV?<62c(J02OTRc_*9}J$Fa8O4VA6tB1yk|buv10;*xnb5l+-i`oB5q&;DBvqtv9-byf^0R5_V%vBSGsH;z9WL_5 zMZ64pN$m55!et>ogXv7sf?MZT#7ll;`l`r&0n=IH>o`P)3Z4_V9O0P?E4iZX4oqJY zOPoQ>6YURxm@iE90N2Iqbg17DiQIv?DNeYY+rms~pO8xtnasjl-j|Y&U?rd{qV!4;fg6AUvg?BdmDI zbgu5b<-czs;v+5jBJ!1KymiMl|!ek%*rH@Ou%g_s#G2AVQkn4DZ!U?&T%V0dd#N%On>be{=7$P^MInM*e%1IB*M6KlBmzi2Q3X%V0R z^+^C?u~flTAX=#zhETUwUpzy&HfqB^ICZuvh>h8)=Ic#73lTRJW&vj<)^-Q1>!MPF%6i%viE__0M%gtut1d% zjo^dS_D;Zp)$;EFLe%PLfKc^0?*$E0%j2Mq^pSf9;cCNgIIsxSiPxb|sGGGg7O66L zaV$#p*#_*S>dcLZQ>vWTX-})&eW4Jo`mF}?jJjt9Uaazb z2FWIF^ z>6h5^zObc<6_8&(*$I>tYb{`Nb$ZYzFPu1p4JbZ0QNQUHAtqI?^wdY>W_6IyJ?O4luId{J70m{E;T_Cfo zEEQgM=XQnUo@w^*ve)D@Q1<=HHRpb>0?-dQaYc4;>;TY>X(KPvnb7!PXq(bsw;^Cg zRewRkoOa#=&w?(VgsvrBoDP>(G_5Cy)^vw|Wg9xk^@lAL^9*B0s}94aJ&k$*g+t_6 z2rCXWBMKspGD9y5h%`j?b1HI$aAq2#5^5xkzf}Xa9-U(_j zgy~2+oCsnReZ^(LN!mXZ*eM#qb^K|XFadhe^hChJ8Jfkrwa$_yw`b4M6CML&$jA^P zv9xA6^y26_*QD{Z>I)FhQ{r(1kwD$%z+WQm{R6xtI_M6)Wct9F`~`Z>i@Mm!cN4r+ zO5?{g)5vu+Jfzc?X0Vb$iQGiGNIkxTeqLjQ))TD5nD6xpJ4{ zxQ?i#ik^_XN1gq^tD-_ahH5Ha3}OvUPS9CNcDL0AHuy)WdkAkfOZWB zct~yIpzw&MJ_2}5#k|$>3FTx${V9EV6OJ0lB?x-YXwX?GJg1LWBeECdZ32;(H1a1X zyrMCD3SQH=Jb*@WUjdOfbeJ2q*mZRsdT(iV8NfTTUJ7IHsXHHLGllO0@dN$x9Tbek zDL$?|VO_=Ht2i(>(UZ4M9~I5_V8vaekAsMZ z7@q)Rp5pQx5WU2yZ2;clfhF{OM2{E$SARU>BDGKbN3hd(9?wa?wzJ1s8qiz|`oQ>Y zpp10o;&Idg2blfiejK<{i5K3cwc%K1*zs&Uvx;w@S>hOioNasU|AwrZ-`M&}AAI(; za)#U=$M{kk(}pXNv56V@9QQRRx#R0N_n0tT2P+eYpssqbdwqGr##Sf?s%x)a+LE`22gy0vzm|op%uZ+*c8x>=?;g zC3kxM3Xi+2y5aloANV2kJsTPj$zII{^nKycklg<(=jsQ>{s1or9k>WErum$on$WB9 zkTNB&@lZFT5PwLR)2qG^x1d+tgS4ca44AMY;@r-fj)j6}LlzC-+0rL&uwqAA4-oC? z;yDlxQR^sJaiC>gVbhTw@qQL3a^fXhXOhkUhbik3fD6qy3!AQV?{kFYMjOnaaDH$>n0g{2#^#=5UD6tzPgK3aCBtxhZ4@{wSlCO&}nza-jj+1*dh~f16R7gfp zj}@?Tf*d{t7D<+4U?qyuITJcb>+S+OMb(YKPLs|OSTxPFhr$_Byn=p~QePvMa}>t| zb_{v)4IfLN`~eV0eV#%up0@M^D5l?dA+3a_HbSzL4sj!%D1f`< zmjv}n+Tn<(?~!N;w^cNgXZ&hf&m~t_;uAXb1;A4ZIRo_un#!B@p3#Dxz@AfETPVDs*K>irqy|n! zU(qaXOunX(U7*)UBe-Y%hUTXM^Az?Q0K7zFIz)U#NA7I+iZMLb`H2IwfE^RWHH*JU z;bA{Oocjg=28uo0zzGsXClP$G$o(B6A);_Oc%kCq2!Jrrj?^;Iw$$1k=&NssZX}L~H?wXT{kYz|M)g zdC)`owHQ`nMR!iM@eI%{5aY#uBX}qlR=nl2M0{}qpj6!b0RXF&y!>A-Ht|nfA->)R z^}AvbukBTeh23HLo_NRUUzM0%h~TTmXE&i&BNk1B&04XNTgi1IZwrX^qPHvb?u$nc z@#8!Y^{rqN56A3;$Rkl=4TZ;|&0t7A5q{Yac`6dQvD_dcw}SUfO!xyHo{Q>B@b^Mg z^Mv$LxP-&zE8)PC>T6Ne1j$D6j4%B+;>IkPJ|bPXcsMHmIR`xtIhS{Ic}k~SAbQDG zIUst=o$SF!9^|EKU)gCVZ2HN4<*<27p5Y#{zpUV$HUaW!l23{u5-g3l z9~vS%OaTa$*$3f0OwRlXlE-B|4<+F;J`%(T>C3;$3F*lVmPq+6chI6_WCDzxl$Iq> zI3>HZ0(M%q9SXf@DL6$cl3Q9suUM{F4c;Aj^#ia{`OXknnJioe$#VHI-v|}*o&xW# zta$^yO6mCD-e(!ccU+Zx{0PKq*~BGXjqH34;nvD)++(ei4=#dOFS9rwzAwM~2sR(c z4}7v7%I_Qy=ObA-55&iE#YR|pBD-CHzo+tJUNUTupKz1ynLL~Z@6Tl}tG|#}x#)N) zr7^%OIVuw1wVcmWN246R99G`QJ--8MlF#{CdMn+zG4oD-%F8_OiNa)su4$8`X(# z5?f_t1k6soKMoOlb>b;J98w$Sg6E*x^0SwYYSoXxoRsqsNII*y%K(R!7dHf4R4j+< zs(O_JxT$r+p>RY!;|AGLb%*;9?kbOqBM)W7%|lNWbrOgp5lywxcm@O;$AWdOc- z4hFzay_V6o1hS-EVcqWscwA_)2Gz5cL1l=h%gYNRS({8 zbw+*0D@bRROE$1`s!K6IjB-B>5UW=Hgi{cwEVxw|uY!5C^}O1X4=h17eFD8imByJ_ zlIpk{#ANl!Y!ELfS57WclwS%!s#?cQ;560DcX7I!$k$7T`uGBZzo@+US3$#_GuF#$ z6faF@s_r}-UQxFPL-MLh;h!o?4T=HpwkkdXV}+`C7I?*KQ5X0tQHhp!^-qy^}dh4}(5;b|${h>$(Wse-<|5bH2AbEG!81!}o=0{BEK}byslU zi}mIRXG!`(d@fDgiqBAo)Cl@20 zJwrKN-aGdM==%=x1h;=c4^R$V=g!W-kGQ>OP0k944Xx+o?l9fu6)zVeemc~f;&?6G zhi-Dx6@u4!z)&bXTm?&~Xyzh-)8t+Z-g!F1i+2f>>I7m2jarMtzDTueLCmGk2g1rV z+VLGgA@z@kLJ{Tjy;e!R_QK{p`ijS{hjf9PppVG+35c(#E%yUo)8L1&Vl2`sp=Tmi zr-ElI4xI zef#!A*n__3tbK43ZxI-JI|bZf;jN%F{Awc1j(9c#R!7=C!S_*3d@YThI09OKBz*-7 zV|INB%AW^LL4NF98&JkA7y)bJUrfV+O=x`=S`+UC;QL=O$WlbOeNeAI!qt$h7}hw<*C7ycD@1TM&>HehvmPl}7Thr%`Xd57X%=cNH=ypI6$h(;`m7Z;)3tY!*;#FnG7f z=pl%=>GO@yE2IMMa2L_AYJgg@1Us2HkL_e{Zuh?V4*$nFbqIfNW2oM{&R~#sIc7sBY z@Ei`2U{U%rc+tZ9O8}(0fr#^*7{*&WV?@3aFg#B(2sY!ydTtJ7h+f@+T@o>)fL#_r zTr+2iaBqMs;yTyb_e%X%aW1;pnZf=9RGbBJLwdHj9DWy1^sZB>={9*97R9%JNz8ZYF=2 z0$?s_68u@n5kCU6l7TmXS<9zsI1@I~J{&|_S$-NG?B$moVdapF;pVb~T)G;@9OWOJ zq&my@u5f!;)-*%JMYj3{n48?mnaB}&(uaQ)*>fa*Di67hr$tXZ3k0HprNTk@zb%+(&YgKMv)bbmqg1 zmF4Sz#YqPq%;V)y&aKYNmt21)N<;1nCCO_%u_nv#e*rGYoZ-+*m81TFLYlPWou=vX z;do#fa={!px+I(bh2CYkho^*0*<%B+EAlfv)U)J+DNxUr`?y%hk?VO=P_A6u4sP@0 z!KVQE@~9E4T$hizO1~ky`~=AY>1c$by(LHPfuq~z=5LZn0v;BLkp zS>q34sjQm@g>sq3cVmUj;BMJnd4CH)rOe3$u}Ze*^}A|W`wi4<F3RsYh^`8w6KB}txZ{09*@QyRT`f5R(;jL?K1_S6t^9n0mzpsY0s5%* zgP`uKEPjL|Kjpg=lE+jkhME zEJAtl6mmj^@K)wX)$SA=om8%KVda$aZG^~a^^R94qE&ngh@4eXod2FvEfZlH33C;q zj#VAF+ZM0f9z*@S>dOtB1ZC;~y+pOr7sO;Wh_};SPz%{#idxIneX1%m!s$s@oqU01 zsOenuT~yn6aqg0OoeRlKdkifZCU(^cimO_OZpSpt7KY9lWR=c*~vyki4O4x$9S;#5dsGQh~fv__iwOh|^lACUALPtj0A#p+uSSHGfAr>;qP& zu1|%Ba#hCTe1$s5V`Qbus{nRSE&Uf*mGXK357nx!hx+J$Y4=37-Y0>(n0@bZ&Hwwr z9DE-Xm;zfvvPOVA^sDEf4;wiG0Sw=-K>zCzH<~9^abI)F0d9m%ZNc4`X&yXdOfRea zKkNO@;wbyV@-a=W;QpD@|e0wSJttqL~1s2^ug-W2i~fDcXM z+|HM#g+SepzU>9m$Eep)@cike3G@P}o)g7D8q*H}22m7ur-O+~pb$d8@Pc3{(KJYg z(dKdB9jCQiqs7o@9}r`y(GtXXT6zi!=c&(K7)zjrYVZ>2@=-`8(Ow=Wlc~`h-~x5v z^e=_}Jq(dlig*n5G^*fUKssGB1IVDh+>g3Qj)#CI`uWc zRT@$OVix_*FA&bAr1rpyXksb!ifQ^(Sh+)uAt090MxGVQ=nfZh<@Ee_oZSj)=KK`v z9jQ3XN{U|!>>iEhrRFNC;wz<^>iIILp?R!OOV#sXrH(r80RJaqwCp6C&R!l_+_ZQ4WL|0%I!XXQimcrW;L@V)v$9ijVVF?s$ zL{e)wvK0oW(9~V;&Y(O)%{u z7MBAH5guI8go@tI&^s<#CLro?(UO--B1AaP3MYgc-*J&*3vcg>63cuca#F11Hp(e6 zom2GFVnjMbqD2oL+RlhyqhRx_2<-w7=S1G8(2EgOyy6@y+AVLxy{|+}ryC0!mAQpcKa7$EJfp=S6 z`UF^^_<<#h#LIC2#bUNAJd}v5Z(;1cNZ_7fvuMFBGGl4Z``%1sx6=To@+BKHlie1> zpSkSk2S*n2dN>p;<%m-7tmN$o0BgCC`|>u@Ck4P(-nN0do%H7>zr8H;!qFa*%?`jE zWNHT(bCmPA-RdN}^04kKC-KO5SZ?D9$3^DwN|USXJQ=6JP0C1MN91=ap>R~*;7QtD zKIZJvL$-ef;3F8F;+>uL%~ED@!lR&<-j9~nJVKAD&{I4 z3s(P|#O#+ts?SF$;Pzeh4O|Qyt>N?cp39&%c=8^Y8WN@lWvJ&PNDg~?8gSPJ^Y zzTC>2Wbp>yC-1k1?9zc@EiaOs`&|K zEa|dUsSB@pWYTNi3Uq}A zR>MOUjc)~;*>wLcBFmuz0T9WhIega^)7oATDWTmzAJrI5I@E2n76Z3d^>X@kH$=Y9>p8#HfaEye9K;g-^ zU66>H2e-ju*cK2S*pdg*ON%i_;Ru@ZVlHp_d}!3}7r(yflGc zn)s7v&2*8;1y+XmiL=ZC(ZqS$Eir2-6beNpuYeSZUwOf_Sj4x7LWziZ2;v>lSwf^# zcwYmrOn3wVD;FQ`!gPgrd|UVbZ`YDRFx#i9Kf>tSwFj8PKIRtq@QU&HKGI_cERJf; zZHCe2tolb^9tX#a@`TKvwp@ITweEn3#coQn5ci zC)@MhttsoS!_L&c%V2ZbM;zSrmS&*Lp!tYl=C7R~IqU6le9oR#2JYX@Bk+CBS$;5K z?vEE?f8NM0xDWr?#<$b_Xl|A)IKmbde&P%Zi}bu_ak0TFcwZ93l1sOmg1#)j4P=%( zPKVzW#&f}4*`IY+HSyrGdR7Q1YgTZZWKZK2SlAoKkK^ng-hj^opK=fGV8?LC7}HG7 zkW8q;1pZBFGPjD&XumDw%t=N-1Y3FdSS-ob4VV=%e2>i|wPY!iSp`FshRhp8n$%i%)PxWVp9-5)~1jY7C1 zbA;+S*rSx13rTlc^&3PyXyaP&JgL<}5WT3}8|vP)_9l2fwC^Rk+6YQQdsl zOeUv3;9VdW4UST1D=#3VlCK4@G`i1+l1^s4o{&NRcEn*`q`8*?F421~1uv7#M-Z80 zPz%W`a#dTUc z4a6JNsu6MCq&d7MSU}w!K)gkT8({1Eg11KhQ?iH1g|1aR(p#@wi zm(t+?D3sCdF(8)HKCXr<=uQkI@6tf-F;~*3TOoOm`ta!Uj6UOS7tg7TlbV-wxh;6F zsOc>fu;ZBPfJU;pgVXbda>qcji5BzJ`j%$ZLGm3<<*MMQ_;d^$xeF^Ul03zi@1f@< zj!IzOqKfknAF-G3e_zoe0#?v5Zxi9S4C28-7x z;5|hA%|CIdDBxyRnE0|kB#(>2Zcq;wv>hNqG;(kKgec|ABU0?ig_S6=mvhXM!jQu~ zB?j@z)M=rQg-En;>jIH8;v4SroE4+?f|w`10_?~p9x3(P@=x*|YF z`6WL^<0QZ1i@{mCtc1d0Ie#leT;#C7A>u06@_M10+~b2|KO%Q>C+?^mLy&Zr3wap# zkavec#8VD=4m~d!Q4L9NY0cT1kL)%YL|+**0YpDJ?N7vVOs2erX@A+)1jYjJCT&Ot z$~})k43dK$KoVK(YS!xH#i!!zYJY15+Ttya3;wl+0kSqZxmGjm^vP{Mf zhhDjS$SvCnY1|t;^!nr?IS0Hd+4VdWs$~veL^X2HPKeaX@A=Hv$)A1! z@2#xf3V-ip&nys|<%4O^`ylV|HE667`35pkN7^EIQ&rDfYRr@!&oSo8pUZd)Wwi); zmgI8Qv z163&xRzd3HH2}ftHCMDDDuZjv^Xgk(U`SBQ`L<6|J9&j5S*`sqB~V}ghHz7qDVN-- z%J~UI($v+qP)}FuQh;TsA2f(uRIS&;`z4jO6U57^=5vT-Dx*%&D^WS|i29Bi+6lxm zm30Gd%T?ZJNLDBtzW?s36BQsOkGvU5F(}XUtoogLSP=1BB>4mPN+>A9f) z<02fT==&E!Ayxk>2*fn~fMf8NuHUPJu?)TSB8XhncRa@DQ$L^(>X-HBd7{nK|G|4I zuILka4dSZ4RUkl?K5jQawtlM~>N)zS+@#IbSMiE#vA!p}Ezvvv3XxKMd*0?zre8P- zHp}%^y+Ewc*K(Kqq24qF#7Fwku5k23UlIr2Q++Kz$8o~P#74?Hg| z{(JDewWYaG@X-cehlsCs@+63Unp0OC(J{@7i*tYN*L45^n$1qX}^B{6nn^6N|mNwxWM6$K_{{YL;Hl@OJu6FVkg1@G9 z!pigi8Ux zRAC9X(|+bTYI+!-@EJCohR+5cD8xAE+=Y%I4sme&Np{)(TLL2KoQ z^Wd)P%gO8Nud85xO$$%Z*M@N8b={;h;I99(734Q$UIJyK$0bN^>dj-*=4Z2Ee~Z%@ zeBb(zC(dnsIlXhF@GCIkL?>o|bC?_|fVogkGOW0g74L6!BR9UakI*N)(tVU}@G6`; z1w;XOP&i+$o|MUThZo6#(DSCE6L983p02=r$!j`H`_VA&^Btq49>Dx5#{yUYrEw1_ zkmg?n2%@y(;02S_L4Xi4$pbNzrWpc+k()EXaoQ9FN8uF3`BDUBTOyPC^Vu+@cpCEaLIyr-Pmd5ZLaE`1KAd*Luc-GFRSxMmC zpkG!2yGfIH>M5Y*+)%tlrN@EYrrKjLR!EVX0g7m!HF)^L_f@b`LUTAFze85M3%itF z86cK28p~V0%E>kc3Ke9aVDlyWp=W>kf#GG=NX!8}j9w%T27{vF?c2)dF$4 zi@L+WJj73R;CYGwen`Pf+?@lWx46Z%x{uiMGk~wiv$YkvDnEgkR_rWw_fgu0$w*Q z6{8i<8*7Z<%nvSbOcVtIzg(t3F>58%G+ zc>x|C$}N1OKazoaL3}I+b06-Be86SWQ`ukx&>%Ob!TU2goEr?!<@7&c?1j|0TmMoP zbb{V1`J6YxzLuq*LcLM?Oa#$f-C2W>EYxBTII>bFe}THSGB^$q8x_l4Ra-Tgrv`tu z*AoiZrplFfkoudw2dhuqfrY4sLP&EoI2nDV!YbVMSY^`djwXJ zRQza!o2)uNfw2p!>>Wh1)Ym-wW~)!QDVD1?twNmFRAE10d1^CXHu>tPFKkw*IlPj8 zS8d@|(mget>xU{;#%W=-8p3V(8kNGkqH9&sX^7ORiF~r^Rb?|I->8PgkZe-R#^WTv zQ{DKQf3FOAi(a#O&4bPdWgP`QV_h}ZBqqAjj}eQhZWJebX1df*A!)AL$jwSO-N{W* zIHKFI8m8TK{bz&dp%-14$oU&&ROhtLv2po}Vt2ukvHM z9+^=0*Igb3Vt_8F53qAOZ%zVYbPwl&7^l0%GhV#Tkb4Q|b=N&1lAvqv0+B>rDc?Cs zy3?`XCF^Vh5#R+~AU7CNbdOr%Xj64(I73L&g(riUuItQ?TW09G)}76K^MrC5MhrYo$6!ed=S z82mlaT`U1;(D}E;K|j+q9frtr-3Z=^`$E?;5WJVViMQbPmF~j{h`h!hX+oq?cRd5V zH@ZC!0BrS&OKv;;n^ypb^vA+MbkHxb2j-~n#MgwAzMDjV&U)=Oj2+gGia|&&`b)9k zx$2J|fr6X9E3bwe(H~q6???6jv+pW)deLT}aw=Ot1ph0}ble^xgIYkHEzOHNaSHFiyo!)jbMC$eTxovP?fAl`U1O4fxAU@QO z<;^{h^tqgLKh|&7L+^wBg*Wt!wcox1W~wdVE7DAB+XT#93*=kdLUZ6L(o#G81bSAQ zO*V{KYj&*>gpKCQ+j4BRuSbArr^U_2q1bEtV}SW-_3NQ`OpD(DD*;*u?pXzDf1ie4 zkoJZLq+qRg7l?#tn|0uYYJIs29;Q9tjv$U}`F9}_u6c4}H$t1<3gCnmV1sZYHP1cp z5T&)?#fFpG&Y|F)(gOLVpqDhg1=KHVmI(k?v>&Hh@O0 z8)w^Zw4R);HEGej=k2X_^keYeX|DVn(0lC<9^jj`-~WZk2TkxEJ7a^BWr_Cc&+J(L93}yIBIal7788) z%YO#X)4-jJS1*HFUg(H4_$3}7%HY8*fKvu*IJ-D)Q2Q6KXald+P&i}YTLLR*4JP=3 zc+Md3Jg^u8n{VJY*5Ld8a2sdvE2mBI2A9&HaNb~Q7OW%~JZ%AmM1wWmfMprXU#!N z520RdV8v5GjX~5?fLepuyaG^X;8zEcdV`~502&Rph5+DCQX9d0YtWLLzV8eQ8W87u zgQr8_w%MTXE_nE0ko_2#vEiB-;F%aMN(XQ<{Ja=EXTxQjSi2b3J_P1!xUMfSH^W

    R{!%;X@v%Zx}v)2oE<6U;Yif0>h*R zV7Cmf^L~`uhR>b@6dIv@BX2WD|B^etnIs$bQqu=KuKvSci?}KM% z)RRDTG&)<0Se%Ty2pTKd~?MZ4dcFWoYAKLmP?Ilcv3uX^g0K62}bulAem`& z{XNvL7`5keJPII%gPub5f&^M2pYvbB-b~ygw zd3>MvH`fGzO|yXRq?+z9G2ZZBu&dFNn&TT&e+<95H(E7)kE9ChdxE;RW2~U*^i=IMeQRignE;VC)zX`WwSTJ{2`X@;ZIZJ(L?%jI0bziBj&%6wvd%2=^An&jh%Q z|4Bh)g=EZEV-XdjoC(as9k1rf_5aEjPz4`QlV%*#t@q9rd?ri-rzLoY*I zcnZmj_yb!IFNr(6_;Xn#Yy_4m9`JR2MO5?u(p?p!xjUC7f_(t8Mfe3ooghHi!j0wLB9?IlFx>dIbW!5R3WUcQ3`z1W3LThVP*FT6E;4wMMb{EQoJJ z{8&gfiMi_$%Uf~V1+lynv#uhR_u?nMftp1-M}Q;p4PU}X<*p8h#Y0~E7DP|k@f6g( zWbaKNddt1p@Zcl+RYKBNX7lLfCqJ1Aa7<3-|IPK68!kg6KxXol94LqMf@F{kCCV zdutF$mQ6fWUyuj5U`mk-4uO{{n<4;i$+qLbyDjHDfaxN+;2zYAWgZWPC34I(DBO|1 za=)WgCiVnYCi{1TLb*KI1?m+tf%jtGmHkbiP$~WRM!zR-L?h}dd1nXWtd^ZQ6RnXW zB0;Q`gO-9=C+`mjv0fHc!^(Z>$*V;VM=X!zx?D&;mZ}IMPh^ibz@Ex{ z{s9`~m!lx^ObY(btmiV~9o)W<{kes(sw|byqu219+%UxE1E9?(PQgQuF@XIH1xm zBWfSjesTZx)qY)Lf6#1b{JcTuSp6cs^w5mXfH4ZBDa5wMF2 zidevky&zS=hKh;}dvDl9MN#xSC;8`l9-f^$GiPS^w%ys;y)Q~@W(Sg$!JWaaRVI^5 zQO-RAS*LuM2jqIC9$&Q$N|r5rZd8UHL_1TJ7I(mhl>Q0VIZ6k<9l1&*edZ}=nI%1}G~y17BTCt8 zkbI@;pD;hKJQxIBv69GK$VH`+!N(;mcmi@+dAS0*E6RJ0mnF(%M!r{-rn8~DrcAE| z?z(d0S9rOh)UiOzZYp(oQ%jX`FJSOY+0Y#Ae4(u1qV!9}?;9YmlmXYk%~jui0f|#Qr)qt(EzQXervw^GC z=X{{osI9*TBw4L{2?lG`Cy9WhsK2#Q*a|O==-W-_7cS?a*yeKPBTFwyF^uVYf|P$#`!&Ciu{$s{^({w?hr&P`6XP;05zt zYR}$qy<5HV4Z1z*oXODbRVP(IxKGVv?rguBw-@JdK&^2RJ`bvG*TPwbIwcFP52*+L zc{J1qe*luDdfPykttQ@td5*ekKe$}=m$h)6r|xouv%_lNQ?NUtzI+NuzIyQ)bQjc7 zoP=FeyK;Z-W!1%OwP)%vrc$1(H9g?vrP{h5T)$Em zaWCj=HH>}88+9vl^KaEopW&=bt@Ag?JN4o}ow54X0A!>V$^Cm#+ET69x zvq8>iaonF&q**gQJ*(v;poVkW+VikGuf0-WP^>vrLU=*D6^?2zYLowj@RIg=34C7G zd~TwFSF~uZb(Cn}$?yiP(4!UhEw=>9nZAc8fJkb6M1$n5|`W@|jq1m z@kv|o9Hc_KG6Up`_Ami8RBEe_0`gTm+5|Ox)7rL&E>ze51Dau{f;=f;d?LpX)B>ev*=*5O+rw{6F$-!AzRPRCwu4@W)HX5+YL z^F}o)%08)wVAWS@3XEg*)2OjumA#Pn-@0-U*Ati_E%w4{=$W}VuVETfe1WT*Lq7Z^r=25?vD*q-&k;U2 zzZu#v^5tM)Mt!}7a`e>y!D>tsE+dWYRufj^vN&HK|7#`i6DAHty%T+hqpnFY-vK}Q z!h2{#9*&1(ifRMNRNq6uOk2lk`aH34EC_y@=oR#_V(=TBW}MhL2_#;$UkK9$;`Uis zEflS~qRK^L1lO$>3oC{dOGGP1;_Jn<2k^2%tl)^AD(pDZO%pxw$Y7;&A7il1qD>lV z-6HB<0Jl}Nump0Ou;7~7cA+tZOBXkG!{-jMj??s=B4P!av`buzg>JWK$(yl9OkyG~ zUu(k$Z2u7AGkBZau;+( z;vn}io)v>PfjcLDWK48kgl~heSX^rfazWHP0bQxM&S~s3QTsT&yue%yLQTW;yL?*H=?WskZ(mIqr5WV?t^x|6E2*3y%!EY;v7B*pLXzBE+Uv)`Y5jQefT7@ zo}<1Bv7E~`pG7zqhN7kM3Lx{OD~!74ORw@kVx`!c5XMQNOpm5XC67=8))O~Ew?%Sb zMrEtCtUt&$=>sE{?Na7l_)M4X^+QEFqzX?6cS@Oj#CJ*I^?=0h`7o2VM+#aC;a;ie z0vfnansE;m?U(9*fbM`)G9Tvnmx?jqGNc}z0XZb)eFm2)b*l%GCH3cwAzRwaS$&RV z>j07~{ZJjqJSnFUbcdx(cB)6D)$COBC65R|@Zod-$Wf_VU(|3+3gLA1rsTU6K5t1C zT>HBtIWk1OD;3WJxhG|gg3tTXK86(!q=Z2*cqj#NXUZd~{uz+R($i#+CsNI1=$=aJ zv%r-~?aFX|&m<#fe9xsH$D@W9Qp-AMQiMF1DXmCZufX+O*^}LNw7lphxOwunV0ej< ze?;GeZHarI!#q~r!?|Icd?pT!h?lPqg6jqH31;dS%0Zk+FOs`*J!7%_J7d!&a>xZB zm&$skrnbtKoa$|p16zVim){1Uq8;*aFEnDOoXY=nxJ!OL1j60&+=ZxikLqBzbY}jSW>HPsYB?qVwo|aEBg;pew zSpnTy+1Cf$IXU$gRCHe6cNbi-Tv;8+3-Z@Ua2MqeCPeSZ8<-BcE5AUh2Vwn>`yhNE zx9N)d9?F;aDB?dWhJ(D77r6piCc`a5@G(qie30!M0$DD5@_Y1;asv*~5z5dMR2!)r z3x&_QirYnyXr&!j;^!$}3V@7JUT1?WSJupeFhQv^69y}lijfemQr2=aP@-af2a=>b z{{g~HN&&~>&C1?YI0yWiCwFyhQ%;nEY*(%}0VG{OU$XO+5Adu&jX6!M~D;K7t_F|kb0QDEH7+;!&BEDLS%9LiNGuUmY^y(cm^=5vEXuJ2+_ApQR2 zB^=z%3tIpEu_y!jaC$n#R08c#i!5k|_49(az?Z+mc6is>C`Ytmo+qf9j57FfBWOpS z^~Uk2cxDqu7sbJ9Op9fZjJF&B6u&%w~ADTf!lz+;gpTWe9XB(oN=?)=8`R?-tfey%<1lklrx5 z-YDJRT5YN{ybgS(Nz*)0`zEOox8`7n|7M&fhG6!yTctki!naAk-vPH>vbF{?U8?*E z&UQ#)dw|?2eXs+!ODg7a*>0({IfQ$pyOD6VSBeUTZl830G=%%5pBQNzkb)Q$9F&aA zTW3gB%i;Qvw7M&}OzFxMa9PqR=F76BEt^4dq*0u$YTORau1b~_ zu)8jmjYdT`q=JLEAUCD!>v4X!q{xmy-j;&)z{?$JY#g||l4pN-xhIW23GTkszax+j zq|{;vA4;{xf;^JC@XdKF`SV8wo=D#n0P7Cl&MlLQS3~zsvf&W?UOI3UkPnhSlPTrWaV8r+ zN~3!L`AK^DGawa`BexfQmTofD@kM&VRe?$=>^Zov(t~e+e3RPq{)Nf~xu|H4yoMJ! zOm^n-T)4c-5+p+I%4|ra{N;OGi6}XbasFJn1=q}@WfN0s^W-NpfsBz4wuErLJeJkQ z%1K;Bj+1kl1&fz|V|r+TJmd$Eg|gpCRJ2I0H4EHgx!y7uERhQ@pn*%}(Sa~nCNJiO z)8+D72DSW+=2#{;MT)sq(0^s3Avod=G(T>`t4@|YVSh4Lr%gQw)f zjPXv(+qrIVM(#8aT#@|f86aonqj|79C#Nura$asa7_N)uSk5{w$hM4jFUmnZU~oyE z&98DV%L^DAUXiP315zUA)Pzs`eBntzuE`x6f?SuI}kBlLW|9 z`2jZrl*);n0C^^-{Dz92%V#)~c_DA>4&h6=WDE>m$+lY{d@VcfAALLxVPUUhJuGxK*M{woulbl%<1{Jc^MF>C3OE?SvBLBp# zE0yv_Zpr;B2RQ=yO)hQ?NT`y=?AaWpsRk0JSTZ^bS8}(Z5fRGfTQHAQa(JhslplLT zH&+Sk0%Wu@j@|Y=#n}-gM)}hSpYxS^TxE?_`W^(gQCWQ($W-NN0=P{|8dEZxmA-uO zwkW{|LAENL_M*Allo9h`w_UmU1(0;*`U2>7C>6yZI~BJcs9~2fj~(Z3Qrv@|;gyhI0El%nvE)-C>Zabesr@F`4FyAH*rBSS&ieu##;54%YdY)N0~xjr>^3JX1(gp!DfTn zG7r^mRO8s;rm7zN4kAs>;FNxo>c`u^S>0fS&x5M>0C>qz^;SS;s&VX5vQ(LgnQYa- zO+z{Ao4;X~t5#){{Y0J3r}e3-amDSK+MEx=bF~vY+!yL@hEy+ApRwRxsS5A(YxOSE zf^XFF;V^iszHYSJ!1KB<|RFt1SU z0>FJ%-F^o0i+b)mc&StaqaggM4rV9!O)U?Dvrz3$BQ$r8Hj#;-Fm3N=K*F^RjiHOs zo*KYKYT4reiPGxtfN-w%fj*M|8T&UIB2jL>Ed?1jEwM8}HY>Bpkseq-L-7gR>)2j4?!E!B;)BOZ(X)_2{ zXv;Q%Td6rQ{k2NFI|OY>)LeMwleEBen6K8JPlB^G+JntNCTkKO;kDXFhAJtVej&W9 z(-v{jYQ2`Y2f7W~H-;k{wZZctOx5g}4N21;?SpWWR>2e7ti>&YaEmsT@B3D5BWKLp zwCbZkwrgQWQ9I^!13-3Y&p4&oskQS)%XVpB{NZJ{*4_r(9__a>nD5nm8-l}^Iou$! zU#rIc?0|ON65K&8Wh$DKp(%&J9nz8lQEjGX%Pj#}ntxl6Y_0n^kQ{B>2{_BuMmd4Y z(+2$t?y$DtIP8vSBYp(Q*J39?SD5X>I4qo1BGd_YV)27se zmv`C^Onki8viUN9&|*ixu3SstF4T`&m^a8L?f4Q1E3|c-Ykt-;IGlaa&N-mIO6>^u zg?-g}b9d)At@ULXgz8T77xCukio1ae(+%V03D<3B5FDXveg}4ux{V9LMd>D70XJ87 zcp7|0>t;DYxJg&S$q4>u^DB_8y4R&}woUh-Hgwx{zp#dMUD!V8cIcis!F;D~I!E7K zx~|zEyLER|Aou9*a&y97T`&#y=`z;9%YNOC<~WA~y3@>Y9Mm0W9x6i@;0f-KuH!X8 zGIa?YQ?qo|e0s8VO}Hr~M^}S0zFgflMjm;()s5l$u&yO%d`EO6*t6&BI>_J(bW6RV zJF2_OAFDW~v!%1+I&BspCv*}Q!cOXfM?+Ys`}s8tN_BHuK=(`+P!qZrx?YSUU+N~z z!8yFrooWi?YhC9AwDXOw&s8Ab>aO+$SEkG1j`VlB6ITIwuX8;QXCHJvcvN zp6G%6q^tcCd{*chaee=@?(jR1FS;ho<5ue0a1H9K?l3!uZ#omRZlU^v_d(|9KYa&h zVfu(us5V^RxEv=Ip?78<8L5wC2N9*uVQ({6A2k#tTA$5)?mYcW?vYyQQyv z4BTz~?tE}}^rxzWyQ^Qu4)C778P^H#>#Lmsd7v-i%my3!_-H)R7j1^m$9ml{wEBtu zA!EL$`kaU0O7%DDfP1EYCc)sjzWO&fd!cVrAKXj*0Pewfr9Z~5>$QIRbRgg8W1gVe zIKw*5r{fKyFGIJ;V8h{TvEcxx_)83V>)~aop}aBLl3@6YDU20{+1#A4(onSy?%yiI z@cn4nYJ)v@PpmOCwtz0#(4Z51t~IQiiA%oD(4H~hdc&3?m~Sw|GYz@X@J0tnfx*%j z$8UJ zC8+(JA$T3gdBbxC62%6GV2}$2@78dA(U2YmcYHK%8ftI~b<1G)0X}aVy03=89Yf3O;O-i>GWUAVU}Syw z4XxXwWe*H>ne%&SxUJ)jGrVjE?y+Gcf7a}Yp*3U7rv`I2YA7{KJpt~SVI7CM=LX|A zID296Isq@sjA?&>TWLrj& zV~d|avWzP;K(dWhY+;vUoL2^9u5rXYxXv@aWdwNG_$mduBgO;Ss3_l9!9+-bv5ySM zQDeKEFh6FT*#fm6H}>fR-3jB(*WgYX$F)Es3XPY!1bE6g{0MZXjThNfo-uZC0#{^Q zz!CPWF?T;8=ZqmtR-HGlZvfZD#-CS!yI}O-O2|dyP$s`G8831b=(2IZa@26e*slh- zG9#vj=&y~l7&(?3?KpA&X!PU6?~@T>4|-^$S3Go|jT;zye=*LqM(vfxEgDFuX;nNR zb4;s7p%G!G%N!}gO~15*mk86#eh@~QK3jrBnL;?i&NFpkZyIBoUmcfcz9~8aB-XTN z9Y~z%EPK;DGq**im@BAZvFSoT2$z_aaiw#qNwtG;rzx>Mgu6_JK_Gig z=<#qHO~*FEZog?7(^>~io7#XJH2uWKCc`8Z0CLFmnUQ$5Y1JB#9Me4R@ya#5*Z^dn zDUM^uVN=yXXv-1P0AFzVCYQA!$4oQA06A{*zJ)7s!nBYP*-6vzvmk}0B@;kSnesNm z*=bY!D7Y>%g;b!qXHBgT_^9VhC(gm=d6SD5kgrWi`5ZtD zsUznkA578Qv{-JM(;2mYG$s3h`($!v2CKrfZyLDIrsXd{zL*kuQ!7pThQQfZQ~llG zzL{pT_YO6?o&z_>Jo0R&K>AcqS&086c#G1Pp!Nr-aK7)%lZ)O;}!2G2a+PToYg(Kx6b4gopi_M<< z!7VXYI)htkuD1%@GV{BM;Fg<{IdMoZ_n(1wt}w4;$g$Eqv>ML+usMvvBW8bg;sxdl z9bk9V{OvE;9W$?$z#TVF;Cpn!?CcD3(tLzzkwSA#6<$u6B@S+<&6k&>ooCD|m}e+5 zmzbbCYo4|YmpE>jU%mz8wz*e-aCgk(`J1|T z&E-r%-7_a}OVfRG!|ibWz>Mr7x@NPx2au1m>=?6ze;nv88G;2 z_F$;;&78qtDAb|>Go^DZmKFmNX0c%fNVrAc9Mq6(v8f@Pt+jaZ2zKi&x)y_Mu#j3p zx6xwxB#=~#eM?}FX7LxZBbzLibwYicEw(VhzRkkB0A#yGGk#E#Zn44}<~uB^c>=l9 z;u*8qyDak9WA3(yW*%jqg=Y%Lev9!884p}7#mV1caNpwC zY(O4Z4EhP&LyI90qz`e7GISBIJB6R`U`N87B4shibCMVc^wD|iAYN)U%Jqm-* z7VDxwzF3@bMCqDkS#N78F zcf@r%yDMf?KzC1+2E*>Yc+FY#1Ch%8A`it!MwX9+=NRZ73oo|ii5RgE+*8qd56tnK z`uynWnRxpecF)BRF(5BQ0&n9>k@`IhUI`0Z2w#gO!*LF8M0pPwycOi zU(QwE3$GU7!Xz`lzzLT^7^+1|Q) zx739J*dD2@0mxpdwH>_dlPV@cw_obQ!RUaL)(3V6rF+#tGNj&vc;lq^qoK=`uJ49j zmUL+mgxS(wrs{Jfi(i1um3DszE>9YN7;QN$^?MHF5owGY+LA8?=m9B^Qv4viApOX^ z_(iFliw~Ej^~_9P!T0sxO7MGGaD7#Ji!cyhxLa>Uwbvz=M&NEp!_(m9sT9T~{Zh$q zJSuuFIrBYxA=Um91@6n zOkaJFc2tG1TpB+Du0KjUPDA%edcFz<6;jF?kk683PawZwkrIusl(ISC#LAh>cE`y! zt5EF%c_lxbTqp-JLAFRX?g6)0uD=_)C33|n2$#yfAHXe>ALpW?<+83OxCFU9Qg=yd`a%x8yT$Q`LfWb9+Y9e&k<@RMD zH{=T(ukf?2fgrc!vFto<%R8gdq&xE8RuJBmeU8D)J^6bL}MJr5=#@&r}=X z<&o@q290~LbZ#PDcmo)MClL&pG%eT9C?;01sv74Dz}(<*ru4+7o;n> z_AuC?RACBdr?Q`$R(2_~>p-_#Ir}?&?osTSdDyG$X$Rdt<@QYo_bbi0u75yzIS%BY zV#QQbhSK{Y8h=Rn6bB)GLY^t3EX9gzdD%+i6(BiE;*V%VuF{8(VxHnS9LU2;bxtUc zD9!C5yrP6Tpt&W=5%!ALl<>jet}C|~6W&l-9|Pp3@__HkE#+Ym>btGf=dAXQQsV>2 zUF9(Is`r$}0_48Zot?k~<;x`AKjob@>>ep;PH4+xrRi@lc%lRifbOYsGY800CE_%y z4OM?&GHZ_7ibH6)I@bzz5o%#$G$K+hItN{p`k3E?&sC><0vD}XAAz%ZYHm14jJn(& zUgoR4naYk;zjK9`I92n5LA)Az4Y~#Dtfiw@B^F9L!?%i6yut>fLtGEme22 zlUt_7a>;qQ`cno-f;y%hoNZG_30#To>Kkhq>`;3J!1Ye`A?GE#)SGsS$?^u{;s)IRi&QkrVe`6j@pCswOsYp1ejk@3tGXhM6J!Y>YDn;M3C$1Iu+(O)WkwKyQw;i2Dzo)-UD)5 zUE~IXJL;*)Aa~U<{eirvT9<>|S7&j-^nqH&j^&}+{0ktD)aM+Q9;*lV`aV(H-NQLN zRc|p%SE`QnK;xgOt@p#=xoXoD?R=pwMcRQRaEmpYpFx&rY8BM5RQrPq zQp>a{T*6sJI&Yxu0Lo#!{D=A zLwAnBMw?a_cAqp8U-SwsJqgIqT8H`IzGx#Zf>dfg?4iGE0|uh@7+u0(2(<|bZh`ItC$|fA@i8#iq+7-h!Zzy~^Rwcux&bSo+ooH=9huv8W4L~ut_#=z zvO_n8d6u0z+jnT-F5PGwxZbUcdxm!I(XHXf!h3av$sqf5wK;9uuiMxQbLF5Ju~RnD!2#Z16)y*oRd6Z#i? zyie*cbIwwzuip|k;FP}L3WTTi-G%{jM*pM|T#??Im-VdPaz9S+INlcP z7j_1BL9f&X4knASI=MS1NpBO zUg^hm1ov8>#rX4$KBX7Dyw$II3R0%;$lU%reUG82J>GEPKD;b2jA4wq$PjY}WU;}1 zF6@>V5}6}hYIx8aEn8;D`VqS2hAL*bPB7?C0J6d`oQb@ZhKRW!s|?3tAxtz(`V9t2 zhLkW=wAzqy3a7Hhuw^TB$p&|Bfm&;ra}&B0!w_!WU1wN5A7s5@6En;k3?0K@zR{4h z4#-r)vn>#&89cwDhE0Y8d8j?d@aPr{at-yF9Xf1S`5kIFV#wsEmTx%8v8upe$CZ<# z1`7_*#|($M0&?6?VubL7A+`s6o;37&0#ax=%|y^CLruoJrws=J;rfiB?i6rEhF1*a z&lQ| z1DR-a;dc$Ijo)!Q!5X9bE4XB1TL!ahjmP@q{8EfRJ%qtJWA8tpTW|EQ1leHhaT1V? z#-ABLrW%j4)oI49zA)Hi?8N-jW}|^!+8ZMkGjOM&@iV^2noSBw@qG@`^fmXX|5V^hu>uNixCv*LB*(R7d- z#@fsr+%#U>4DOckk9%--+vptugFD7$4R8rQ7%vvVXSp$)H}#Wo5tADg#(Ay4eKvk( zR~v|rKajkUN1ML1%f1)CQ!J-RCf`$l_uMF@Uqd=_A-QN zrjkElzRBdpobqOqH>ZeOOumajGECi>&CfJlX8)OG+Exp~Y*Q`Hr*ll=6U=i>3r4Dt#%68IX?*QbJe|HByWdAI9o?^QdbxpP5s`#{t!{BZDbdI+(UNWCJvuksdvs$>q zcJ`eokcWx^3f#>RvwndrOe|!)5H9X_fX4{YFb#10TdFSb871`BAe<|@b4-aAvyZ`U zo_PEYkQkBO3YE_n6B+}#LR_v7-AeI+cOy|8?Ezhq=#~evTDY~tC0Qf7KZGt>)Gk0n z*NRDem{Y_I~}dw zBJ%HnY!wf=FKC;1842We;iiLKy3q7M?hpq!_1`JBk3{XeM7MrG?iTkrz2771endrk z#g$@^ePT3MH1~_;T$jlg!x%Ochz1K_j;UTNaL2_FP6$s3dmkV#34ad2m&I8A8bpaG zWv_QtEYbkECblvpd@Sa)1oDX}DTT09^gj=SXQI z+*zggh3~>w@h9(5jP!|_pZU^7KG|{7*+0O=OG!Oout2)e2nGwK=NT|qByD~Q;bLhU zqQ?Jwhhiab=U*R|?f=MwVTZPvkaSE;1h7-aGBl{O2h)9BhB=~DmOt0Uao0|Kle(Q{ z&c1s~MtxSXd?&0w@H}ib}QDoyplG-o>J=m~uF zJY)q)ud`Kw?>!*_wK}ZG!Eqmp7__%Y`{d>+vEd$)mKss%>i*n$q zzaVi=^n#U395Zz8Njrh}XwKlya|kmlUa{3+>pg%u5T9K3i@w{LwD+r)1*^f;QSZNJ zQib=c>x@9ybX%JOU)@9B0B1Gq11zmKvOlru$`x$e%j+Srvr7Wf{_q)KdQ4y{pl412x*_K@ZRXbjx@}f>*thfM-EF_V1}r-~;(}<$wv6*S{dy8*=ckR}rAvpV zsM~TDr{-3190RSLPX0H-q#OsX`N_YbYjK8sYRf1y%2t6vC|f)K2yL4xwy3*p(gAqs znCl36r{DfS+4%@V`Y!jTpuLs@7)f+}m;*_-4TZ4ke&-oVt3MC3z6+d7+uZ30E87vN zsLSq2cWCX;a!sN~Jm0>awY~z`tBWNhy)9b7r^9O|c>7FF#&O>c+~MqamCty;AC|z6 z(}52~%@G@Xx+%aAI3T{0}hS zDxNNdvuz@Tq2G2u|5o1JbVJrEw3{P+V*Frz}va!qHMoD0cD3vSAp*sy&29rbvuEw zb3HE3bot~0E6ceLpzRvXnQFHdbs+Cv$n?II`4&p+mtEk~=3>Hk|JSWl%!Eg`HGKWu z+jFG$=);zK9=eQ+;bo=aoV@QXg~Vs_DrkN8%>mNyE@xwdzvBz;|CSSjfUcZl4oMh~ zQ?T;)@XDIq!)S_p$+r z5|!V9%oSHtft)Yig`=W4(Z2-@;zgrOI9?!PZ-ZMX`Uq6KNYr6Bxmb*v0NoN%Eg8C{ zVmzmQ%f)5^F9~ALzY*hC?tNS-nqK_xaj1U_g4S-_TiPbs3de1moWq4{r}c$(`$9g0 z9XfP|i;gWg!gX3}kE%N7?L-T^wBVRviChA1wZRK#V4WBOq)jSwleQTgS?xYB18zU= z4`6y!ZwT9-7rViKuhFM)+`GkG96Ow5f7Bjv0;8t`oZt`{0$p^k~Muzvtru`0aK41-$fr zc#*Y!$M~ktkKAP0x8Vi&ag@!#^lRY{+x|KiNCxcx3R|ZN&X))N8Udv9(<-RTW${)V z4|?T+(zOk5wcAm~E$-u{{@1=<(|~K~GZVU2yDM>it*6$7uQm#AaocV;AaC~}0LSe! zkHLF~Y^J|D=Fh{ilL?m8h5$KB>~>fQa!a2#7XbM&z;+m2%!t%TS31Gm81{k9vP z?dv>-yoXCMFg+{FAn8@fiC*u*p77={o11WvH2OgruJO$Yd#6_+rROE_f<%nEIJEB(`{>T+`N|IKizOUT(u@nbEylcKpUDb z|Nqyb#c@d67q$SR!*|Ddo)+wpJLx;1?#{1>p5=#ypm7Mnm3p(Q%%6@B2V@U$_OO zm8TIH>tEFwPnKWJN>UHvm!czb&`pNM+rQ zSu>lqjPGon*@M~@Fu`QM-5%b1Tnd4_=eSvDN3YKR!*OpXrU4!P<_xe;BQB-&^PJXwTG3<$}7MRs<#ofyH;Tbly}|LM8|z^^xiur8kb7LRnsS7B@Tqh7E=-GHQhRzf))CbiEq45OWq;=io~;)% z8Fn`O1j0V!9lZ3=GotHxnTfbw|Eml8-fyyT?C>Xl6}*r8U}*bxY>7G?Z*h$87hnra z|M4r()&UlkD4kqqLp$*HKAeN|7Oum%>?j3h(BU&ET_9yfl5 ziRB#g!o?-*fd2P7#Z80UsU#1efjU0T&iPC!yF|0U7611VetcWyLhJY850rzetcR6O(y6Nn!d;JvChL2cf#r=a!u>k}ltSp3IzTI~SO zgI~Rc#NU~7l7P$(xC29e&V+X8nrn~`3*@~FOgV#EhA(~q{D_$x=YtM#mrwA~a(Eu; zJ{b5>+c|!XUULcfF`sQv``F(`06DJ78CZ>f%1CX(M2-d%$pZ(V44KDk zIpsd{RZ~}C6vbTYH@WCmN#`_V&`pNpuCF+wbn|0w&;95_U_6d4#IffQ=1IIX?gH@s#!dG= zMYCY#JGvNJKQ{)_gPR;dOa1FHzZY=uPaF?vvIRbej^y_~!{)SsZJ=5gw!_bkfn-GM z(eNMiu?WcEQGY-(@*rpQqehIv@#wZ3?Z!OkzR|H=CIdNc4EMc{U&W6?CREIa)x=w0 zp`Dbz0m#WA%v^@_gr`Uo|eO9lIguyq9wC$a7+mm=2IYZMEXAX2ot@z zQ8Qd@v(^3Ibt>?IozvHU#uF!w9?pd)P`}GZJ^_QmEm695;r(;#po3TUVrFhU-Z7H& zEaHY?uQ!Zqyg%_5R(*b70F1AL3rasvK5c``7_<7nQGf|>=DRthbT7OO{e1$o!%pX* z_CV_$sAYId3rI!?E^h?ATZb|@qzuTBUxq?EY6knE(Y4#49P_yl+ObaSd7bc6C%8`c z>Z6>nliN=xzU3rhQfNE0aPn&INDLXpsC|kfM}?`Ay1;f?Pfl^Bx7~wswl!BIL&dE% zVCINHyvJc;ei$5vi-}lk`}cWTwiaHy%%6eMvYhc^*LEFHb}Ms6**%@B09J3A_p{#5 zEeSTS?!&9?92F9~l4X$CcVc# ze;(T2Pqzc@9axhYPv>nGC|!(6kPNzM4O`a>SAlUm{RMb;e|~-F(SW%a&*D!gy@DH~ z^sZ+CypI$6Lf;PDTjDop1dxLla3_*~H9S6Qz|kR)4Eddsp+}gLALhpmtbr%LLpgkf zH_8z!J<+zHaP|Yi!yiF1vMr;$Q4Np(H`cXdKE^Vb@qbsR$tb(Ial=CQt{y0@QrDui z{@oRFo6fvOw&OSA*sc*WmY4-ifX5!^xof58#Tuxo*J^*1y`OZ3#G&eQe(2AqGOAQ-n2mLY-=i^!@3m)7yd_w7NZU$SA;4L`zY|P)d z@!G=OdEWKXA@MO;!PfVo1tfkqqTyk1XJ%jh6PS?*@I?>*?^7_A87)h_^2>?^#C8K}$q&_Q_cS(L#utj7MzFTNMg@Gm}t{v%>=9FTzz%>F&YbJoCF z&K?I{*RyPWH@EjV?%qv>M=SFR99wsi;n!v~(;K$=9J}m}7XxX3o^!z-pJ(z6f8*S# z*X`9j!?dlia*!f`>EprCwQuzXz&M`e3Rk~zM{(SLbu|18_`4%YmpL3i2idbXbUn2e ze%$`7j?z89CnO$TOw4$W=Dfk{Z^m-omf`U16T^|ww;$t4Keur_!{zLA{pb2a9^l{U zzewYcbnupzjezLdu@cAK{9eJPduS5;T1{iitmB#Fve`QcTHA%(=wi1Ak&0^Hjq3qD zx-etkJAkx={X5A8MRlPl{~{{$v`^1{gY?t&*!Ia)jxrBOkq6KuLC2e{*zUB z9x&PxNSCh_I3DyD$1>N?e9zsU@r`ny&V8sJRb6<71K5{%Epz2P48DibXCd?EzN;85 z`BmjL9o+9dkp9JdhXZU7E&Y3jofs9^ecu!~do2a^_4rT znK473Y1`nX?>f#S9935|YS~d7+JNO*h zqYm)H?(zx-4jGPe_ykK>jc{)cZIFrmQ1E&y*p58N_ij`G-?Gt-Ie#5$hf>0N1@D2{@}u?7gfn zhe2X9{AYNvwfYefyT#lWWdGze${wxwj`ckDGs<4Ize3x4#vaHWcKM<1K3V+UqVI67 zh&Z<8-RqbAJ=)qovM!DXd^rcC(-&ra2ac}^TjyHr`CO(n1b&b`;{n(0FLCVog&w@N z^o7=YV^?_axfGA~_?itU{a!K}8GMfmjsBIV;U~cN9Lgb55wt_=@W~qXh8sEplQdw4 zKe2;k#I3nF4tj@twi^7U9<(E^&_n(E)V%8hMA!XH;CAa@1Vs1NKS5$uGanwU6{az5 zCiX>X+d3Ytv>P9Y(q8%JinuKSrl(akSoPXdA1&+s1K)p#wUqZ+&9$SxJ;&jk9X~L; z->*Yel>PHnU-D*NEyy%~RU#A8MXY&}~t&*xRd9Gth) zB_MsAopJ2j-+)&6xiP{VoXdo=e?xxe5Rft-_#qAokVD&dM>*{0`|ufvRc&063e3O$ zJ@Yw3Aw3`lUjh7}xW^bWLxgi`d`J}YTrHf;h|=w-{iyJN3GSFUcoyWi$Sj222{Dbc$CIKdf9J4J z2uqls5~~@goECmu3p*p4PeAQOB7i~tSue|RYo=a`(oD%u@^?wVMg34`n6?}-rJ5CgcTb5o3Citd(( zodJW}qG1WRJK_^}?c5cP7hrHth!_a(i|hw5cpw6qYk4SMEr-D)ak)1jkA;?o3-Uy~ z=lJ$iSTe0qDn76WeI~jy^n5NZn9#BpB6&Y_FGT|XbMq^4wg3jNh4lys--sIRVenR* zSqiR9bYiOQop{8a{k<5@PVj>`b`xB=NaMoFM{(*g3_gj3E#NA|JkD7@3-5k_d=X>* zhiWUuQFhl~#Sms*zlj}3pbM4SGG8`FYHW*B36lyL1%^v{POu}SL+{bfNXhL9bWzgJ zcY&NM*>fvTwDk8cFrO!lXF@ebY8?gSe5u7)xQ>;Y;1Rle$8p}a^ zmDIi}Ac@lAb+Aj4hA_j4f7h7|;Tp;PAh=|y$paW*ygQ2eQl#uy2-iuongX(3islme z2I)ETwHu`mFCk2o`t$*pCgoMaZj&^gp9O7}(m6@lB8^!E$W|$y!R1`~8 z>5^M3*zJ%W@zvZZJ?1;ROX^hw-EPUG;vDu!m0W7yD@}Qg=I)d514CGvl8Vw{cR-3O z!(}}vxi5iTh7=No+7C(XrUH^F#oU5jmX!THAlcIQHBdv2)c*wRa-|Fn&hn(~n_+iY z8ukr#M z$UNy|9jFgWH5tgEU58M2zBG>Ok_D1wJcP%kBNA#kAtlG5l_#a0_rVoPg@`)Qyu3R9 zG;auFh%-`~t$-9sFU;_HUaHB-ZLu_y_v?b>qDPx9N)P#FUXq#`fV?bC34`4gX-6$M zE0G+$fV?W*`3#@eq(fhUye{pJ0`i75pSkv%(&z4|{g(7=0fe`uYQ2EGBVFYlkGoRF z?||Hse)t<+?n_$~Kpseyd_EpZ1+(Dnu{6~LvRd}yRDO*-v?p}Q^1gH+Q{?8M(5;hu z+yb{=&MF0Dqde9cu2ba|MvyewpIZty%ai;-w#bq<+Ok!aS3tL2zHA1UE;siC*&#Pr z2-myhUd+_)mOcLjw?{TGFT78#k`2gy*(wv<0lD))Kr-YStx(?~x!@^CrrdETP9AwSLsxg}p?7W1||F#_a{JehmX z?#kP^N_$@}7>N2F$i44E_)xygeKwEfLB9d{M6SZ8?y2mX1n!x9*$?Eo{FqyMUdZ>j zSLmhOBoba;$<^Ng`C673#=nu%r-FMcTjW6ZP98lNuF*FRXYBju#G7bS zlK^*=O*=5N+Dzu!&tFUPP|M$=E~9Lo(*e#~xa`Dn%f8$p-zxnS>S=vwCFE@kjMLjT z$%IwAyZrL2{V?W5J6!q-{~aeY*U+hRLufmzQ_!p~UVK?C9hT#`>rJjZcKemv7`jJ& zL}``J4$eAsJdSOSFzaC({{pSD`|1mcefN>@)}s;EWqP*c3)*XP88E#MbV6MYvCIqg z$>usk-=56wItDQ=?^nrau)ke2Vdn&`OfF1X6>;_g!HsGy>#NE5{ZD8+(`q7+3%#DWw7 z8+H`1OH~mp-|szV&Sa8I;C|2ZeLT98Ip;n7J#Rl}Qd7p_Z|#RaptjzHe@D%I#*kmO z?^+sNzb~WcPyfG@Pv^pIv<(M*wi&Hl^!V%ed-0UP$Ti$EkbcRBr=gy@D}_&C)D4KyHy%lD2ZI8x1nK@UcubVuBG=#~slEd(OqLF%fb}WT*F@3pk-jLv zW2*E$g(}|*=?Zn;Ck<^x>(iwB$w7R-6zYM;1JWav$a_$#-GsM?q`km*1e_d162=T^ z9m!KOrD==N!o!mHLO?zu9iKu!XGx<-U7aoc{Yw;>Bi;QK20d3g;{qf;Dy<=-&STP? zt5N)M>3Z5!Pe>>K6Cm@X1*CvHDP2Pw^(m=|RN|+log`DtmxdbX^)r%x85(+4ia(3I z1(KU~?{iY%P&}TOMqiE=7D{K(TrZLydlCf}|4lF76M=7p1QAQGBWN zOdM}7Nug2b(#z75^{Dz4sqIBTE|Z#G!sAux#%GYX9JS!_nlyPJ9xEhiDDqyH#*9FL zH>CP{H1wvl=v!3wmUQ=jQT0mcF>3E^=|)1WRZ=7I+11kM!vVQQiv5YVwbF#c0r`%! zlx*MYq>4E5)=Mwfq1*_oQ1MLqqRNn>+CMKl4~{!Y>_V6g37i^JvX4h*U~;R=Y1nBBAdsz(iLPj{Z86L-lgxQj@OX)gEW%- zEI&!dk3!Ykq)iDVZkNsrAaRG3n1lj5rNJbo?vi|DSKTd5J_QeaIldCj{vvgI2XDVh z4=liAkF@1{)bgA3FS0sea68e^KIzTwc>7%{YDRbekV=LC@=xjP2`K)Tw2yZ5DA%=Q zX1~GpByGGKUE4`@xyhAy1;t0Z8tc*e7}wlw$h+Bf*lfJr;(GEpw24b z{%x-Fk3pTcyM8A7(l}Q|H45C}x`7S?-{~6r3Eu8W<6YNKn8w|%v8ST439d(p zyiRnzT!eCyToRdEC%bNZ5B;3t+BX0o_qcYPiCU(*HoXqWdtD*Y;O}!qNl%#Ol4s&^ zzpL_VJRWfUdmlg^bm@QK@sR6K(#5B{2HlU!X1LB+j84pSC5cBp?CO67-X3x7C1yCw zwTm?G*)EmVVUDZ!Dm>=8c93%WsO#A7$a~DyaU&8Rcm4fLyglKX^b#KPT!VHY@k!Up zYth0}t}Uxk;Az*kRd}248dC(2XIvMR;q6(Mi@Z+@&`%^j=c@3c-1DwYF(fW@{flVb zBG-GT0&=mdMnRXBxVB5kd%<SQVLtT2`HE1`w^nq*bwRn8!IzEEDjjmCoW_;v&lMEssyF#N-?i1H6 za@~FE>Pt2~h_qz>`rPHEllxz|=8Z#Tn_L5*LEe|HFNmRk{P z0$W{|orBJQ?V3bByKh{7+=91nT~}^Hf$v<$jzod)UHy+jWk0w+nS<7UbZzwk1YhO* z5(T!oerQ47cGt(GuJ3T^#VEJawXFbeyIh-g0A#mIeFO!5cHKhu^Iu$FkR14{%k>-b z_PCB-hR1KNtxf3CUf0$O@!02j;Cz7m?ppdD^8RoYwd3)p>xDM-%MR^K<;zzq!_+w?)5aj z``xe1Ktm6>zasnQgYK_jq=quljm9wD-I;KAhI`|Qkkbw1+$zw1!v zEccUl;W68tAR%^+TO|>5uKT~V#E-h$4?<;+xpxl4+vD!PNIrhT{Tc~Y^W2{jMS0R4 zc?6HA+|6{>`)T*Zl_)UZouZj|#=V_L?6dAqX?zRZ`^bf|5%6CUvar-`xi2J{a=p9ZaXdD-zukhW-*xv6qP_Rr zeQ5#Scenh6H(X2+NAVBcAD@cKHoAj^d>^@Y6(R3q_Z`EK_lbKS3A&%UYY#&$pSiyw zRpxW|^gEFFg?lq$-6nSr+FW0{yO0C^EB8jSU2b;2K}>3kyVoM*ZFOIJHwt|1zHc0g zf8##rV*L z3Jv}4t|6uT5BImky#I7hs=?b|?iLv!qvT!3qQDLEOmckOC?89;+$7HoA#b!?;ziyV z`Qe}OcC)NMj%II>k0f`-t#ash6c{UiPE7wcdB`EC^LF{XGmtn=-b)j5r|hAFt9Qu> z@*IzsHy($|?v@WFyW9kMa5V}{ls_OV?<9HI$pD!w|3PZ_6nOw?x%bGc2*IYx60OR; z^0a#Za-aNP656N9&uVzwFJI9Q?L8ouEyCM_@;n_?KO`5DopieFClz*v{7Mx%F;o70 z2;Lr+S1&_lkH`VV6nXQCgd%VpI?am9OLNYk7V#>ikB2ybh0V<%6jBck-b#@birM%6;2V^kUM1^lx`ffoB zqc9+tb+L_!D!@7RQ8bqH%YmJux_$) z{I4iCMS0;!B;KQ3MW(f>%H5<2-K(5V>vx~>9$D9?DJM=rU+#x16>kqH3yHZssJz{P zsvlB5YDAZ&E5}jd45dHK*-WM2TI4;fga#n*5v5=O`Z7xil2c>0;=UMfbCliV05Vq@ zLxS$3%Ergh`eRCe(l;MhwjBkKCzQ2EBX6GaP(7M`Qt3gs^px^$2Odu=y~$2DUztOC z&NIrKYCN7*>Jq4Pfzm<-p68V9L>Zn}P9&?(Lgkzz0kTLbISFrz6@$pr5@i$h^95z- z2dL~tW%i+XEL8@4feCp@xrXrUW!wV?kXMxBn^9nya^36b%d5&|m!lKQmC{ZCc}+R& zA|$R*PG5<)*A=-0Z*M3ceu!G$RP<9(e5G=_ABk@(cayz&l`@Pr&}t<_;@ui$*7tZ@ zt1P-3dGCPs0SneCUH*x@^~zG}?gr&kAM)N+5}i=XdrBp-!S|KmCV+gP%<-cyA1bp6 zZ8j=1$WHW;GX8HU@UikOMIU^kta%S_pDJzrQQ$M>2 z(ZbKlV+8q&^5sM%{;G5?LPL9$hsoXln-X{ukG;ye^HKFaW#>F}_jhG7QQ+vg#Q#HOcc@3cfXePv7ggeMmpZE%#mB2h zAAtgQs~3@_Zi3pA+@cfJA1D%GlByFio~*vG5qVS8AQ|@VQQsuj+*Gw>1s?aRkGzP6 z?o(ea!rL_UFp>-IS7k2-{ebEt+r@)wJCh^T)g(4eSCwXf%uuJULnmgcJI_L$53BQf zpxH;%6TU~@EY&?0f-I_%M0o+zvJVap46RJ)$carZMnLRjIXb$SL{L6E7b86H2k{y`o}2uhC2Bw^yN+Urw;-0mU`HH z!*kp;|BzwQN+IiKKs|mOPEbkJU#Oqs~v% zfgbebQ}wdX(cWijpNCQP=jwL4!1W8Ybv+U{sq-mB=S#JNw9n1zXT-9$sC!>W3tQD6 zPQc@9btkE$->4sxm+D*9LzDcST5=y+_+DLcJRU!&r;kC^KdQa=qQFn;FxuMN)Z+C> z+^+uc4ia~$$DNH@cB+S*jt=fpYsuQQTYc?J6#rTM>2nnLMLqlzRQ;u00R(c0_8KF4Uk9E>jAtle-IKyJ|j)9`kyw&)tXjnx)ChGuWmX4Rm;?b^7#sBE0} zMih@bwQ;)ud6)JD#r2HW#@D03-C8U8cqVAm2I4VMyJ#fJP143r2gqdY@r5WbMLVq$ zZ}(`uXCiN^*2jayd$mT2sl88|Mc&tG+M$o2`2E_)bnU%=!qeLIBniydUcVFtp3zcX^!izC z@}GcQpdHl@kLR?PNRWSCYn_J2LhWR7IWN*yy@R~P+SP=7OSGY6dwxMXib7dm)P|pq zW|wNKXQ8r}v^85%^()#G^0_S2ibyAURa^Nj-j-_@FT~?DZ72m2tp z_p#Q0F!Dapc9JIisdhN|Hb2vDdKhn?Ytx>^+ZS5!9=vVR){*n}ORdI>;$LZ3(eB@@ zZ6n2Yi`FBKysg^gVnBYa{r5#Aexsdu6}tPKb~Y{C_u2v`IcmF~1>}#~NHUH8q+RxZ zcx=)etU;!56RbediuNYc9$p80Lby4ONlq$?fIY)j|rYDE&|9zPmP8V zP4c|*DO#B9Sxby>if8y#l)J}s$;|+n>iLuGQulhMe}l(;o*@(*HO(_K4#@jGPh1Sh z2RvW8@b;kRU$k!@^1O2`x-{LhsvnBa@O($4Yo^CT>cGRE>q}AK5zn!-Q)hWr!%L>j z_Ply73e54`N1Dc5&&?m9mPb9yUdH1wkM=Y29`|fK7jI8^t{#QX&-3gc@7R-`ld1Km zJiTY5>Zd)=*I=0QJ!2@e;Tg}deeihJGxcA{Ti}_u2L+z9c;0gY5weAzAIMa_ z$aBG5Brf*6N?{L6JfDz>^aW4L02F`GbID0~Tk8337K*>@k!Ke8aPn^i+I8qdPkImS-0kyjOaZvB@&>l}Yo$C6{b1NN?-s>^; zB5$APrZC$3-E;M)c>BZCwEmapZIVMqb^0$Ug*SBCNbbKIJN21|;x~2L zO@5NmolYVj(U?x(P+0fPo$kL5iMMnb{~I>s_4|lB_tsQvu0tcXxV|J}Ez;)8C0}PwX_W0FOzXek7cq z-09CVQQ4GE^+X`<>C}H1TA12t2`NVRcDnIiJnrk1coLOO>$Hxz@BN*+VWlCaV4un3 zG^9KvzeL@dE~|v;Gvs&!dN5P|m_&<5L^Mzw z@u3T)!^+U~*(DF6qVs+rr*v6e1hvI(r-pj8k#nTy5oA;9vkYMyY!Dwy7fmTBP&Y|8 z8-Uy*y+}ggZt3a4c-te@&PNk}Ne|IC0mr!Rdrswrj{590bfe2QGD~*-FR2gRKKLF@ z``;sE2|PixEEqZp9V^eCG-UaAzeEVwruK0Wuem5>W2lZS(pR|{or29q!bhI=P?XUqIf8;#C zoUoi2;fX8$#e1yIkfJyiqy8kd!`q>L>{|GaTA<$To<;7oDei`+kaxfPFeEB7+<%t1 zcvWXS_&gGPw+=;B`o(0E3C@{?l#;W_C>&ZqW`Q#UWbiwy_Aqqj?A7y8-8mahp`lGB z{u4fGDSnqdNKVFzOV(metKT~dH4Io!9}~Ig=IO|7y66nlaM?R#c5bO+hUa zq+ui$O_uI@81Pf19jBx4JyHq9t=%hKLNP@5N&lcjkoQZM-j2Knr87x|cuHD#GKxPf zU3)Da^QB#+DLo^7M#h?FrLA-DcutbYDEPc|<#@EdQ2LXI`!;E*j;eo`?(?JEACg8| z_n*?q+wu5Ix@ab98Rc3&1dkhB=WRi`8(m)V-HvfBc?5~Kx#o}oXu9jlmytNfb^T^M zo_0+kTfy_Lkssh~gR62H9$&jkjzIBWTwMcr{O(FpfY2YVeF?mccE5BGKyGuNL#D9t z?uXYR@ox9PCR9Du-QFE<_qrDoA)f9A7ssA-UrcegOWYk>@b! zPA;9z?!a+)``SI1IcnTr(ftfN-7SQ*zq_9%gqRv>F`94XF6;34Q9gw>=nnZUGSUAm*9Xw+-{tRvDDa2;2+$e!v8A5?@-I0s z79gXPzYa%%$za`hOi@m!K$N-4*n?5v3FWN|@%9ux?SaR9rR|?6@SGB+Sm=eyQ=~J# zpnUN%5?@k|Bx?DJauE6VUQ-74LJO}eUJ@zZR6e=@k5$TdV^HT>Wj86x?h!^ z^~8OBQRctC9p*lDef@8MpY$x*TTdz9LwSQpTs>_$c_6#IGai4t{Y(S$Ef|Sh|KEv$ z1^?CxnBoiniBh535~QECot#GJoc99XwCc4Vt4JL$9SdWaIzgHp0sMoK3ZlTe;Y0}F z^Sjcg6{ugkb|9krfMj8z)QLP(OQdD5qvfU20FujIkz6>T#3<0AYobUvavPD}9*e$1 z`wjQqjpe=UiNk?0&q!BmB~;ES$ylEas}P9U-K zCD*u1P++C&w)ZgsZ@bo>g2Yv>>)t^lyX7__QMW1AT}nN|9d_u^o60r{Sb0migrZ$w zA)`(Dw$fz-YFVXhC;eo#GW0Lxtx>L9!d7YJ0KBbJ29Q#=UU`IYd4p0%OY^RBA=#_n zQ+oV>s^3?ZT!YF!Q2wOHhe|DVccZd|w1E z6p5cJ_%Z_o9A#Pzd7E%Y1e*O)SxVynS4vNkUN$Sol7_xTIf=H{R%PWnBz~>jO*Y2w zm2=9`&@Sb8;(7a&3sDdgZyJkAl%euaX9`t-3bcZ2PXRr)xfUXdf+52&$565UuJc%gEY0D|vvRgZe zR=$JCI$8SFvD61+F^zcH4Jdiehvy^Z+<(5o@m`6Iei8MT@4OSx7hD)a`D&RA2YsU? zHw`%EA4tDsF@-iYoWB~s2X!aAc-!MuNEx9|!ryD|BXWD~Y|;a6mHt7EjFVm?#y&wh zf+X>Kq+iLAbH7wiy6BVA3bGPEBW2gbEgPjFq=DfZ>eKP~9N)M=;$|uQlPQU~UOE{G2fsl|+mVNC zG*f$ZYe2UWBZ#>rjjd=gRq+Ix=zZZb{Oz;2FUs^?M~YX!hb{nQ|M5gKEgzO_yzmrhQEa|!V=3qWE0p zxw&{-rNmnBSgl-8hlbWDw;hgJ)+(obfjZYK>nJL91J0}>?_K2zatME*98LKBp^~@} zARCnj>0bD+l#jY1akJ8#0?2pDEfkZoQ@Ly=D*IJApJ>h=e0L6S`;=a^-G5icPc-rN zU?zwiayU8o{~^RN#nW>G#IS>pc^n=8r@F+%`Ox0}D17$v_fX+EJE)K64Nc*1WFi?2 zW=SW0X(Ds^F>jz?<=@H7+gJM@4PP82>A7JAamC9IjbU0kh7UvfXo<-Klcd89RPm%V z4pDIsSOPy|Jg-Pib!IOs-yj*O->eoCX<70ksvUM1?Xjzm_aXgOX~kR=nE23FGY`2Vzo6`+Ze<}ro^_`@ zcze;kY(09q!rh;OVAi+?hS16f?pM3wvB@p}gvUO&O0Lp5@;uV%K9q+}z~c*f!(piN z8~MwBB5%9=!!2lNlyWZFMJA$Pg1WT!F`H)(>%Q0 zqt?>#uBqztC*kd0byO4H=BtG>Q1y%IEo%VzhT7f}dF$2D3(@+g>V>pO->aK8BX5t| zR)7|MQ~yEiWv}W#3Xgs29Ga!y)qj(3^-uL-TFJlE<;|#NlvY4faD(<5$qsjF>zAXl z>Dm)*$eX40-;Q$gw3?^!cwXz64ah~>a@sSCwb>*_EzyeT-1G}t4K@3wcH0SP;Vo^P zhQznElaE5VRod8}F}tg^-|xg@jrRR4jA*S^O96QAXb0Vg$2zU+2Y9U4dY*%3H)tD( ztG%lww&L-=*5?G&@_}{;t=Na!(L@tAYLovB$d9ypNd5j;>r2LsPqdN&Nc>bAb`v`I znYLyry7al$X8}OI(0=&?iJP?cSJ8>KUe7Xk9E79EYe@9;rb`x)(5ws$l(pE0zlrFq!Mg8DND3~g&2+*a4@ z9n>_WvE5rZGF04oKwU?3j7X0{L)y=%Z*FhGi0hgg z{Q4PYr~Y4no>AZ0JQRa!>G=O_1Vfqz4j5ct&t@<^U&Y-5-E=W)|4RqkTZgsP|NlI% z_I_X@np<1W;IrD)($Uz~Hf(5z9fIK)%eSZ6%h}V@&}R&7YXkkGEguT;RP{21( zw|d%a=I=}Cx6#lzsBYMh4x{7Bp^fd`F2(*VFxopBFl%_hp5^FxnI5XcJ7kEVt!M2_p!liLlWo%Ac0@_4SHZL5RTIF=U|^8B*)foLq6VUI;q!xW7Y9aqhV_RsCrN%s%T@y(LJN3aRgd5h`2Ph zw(!;s6l@nUQp06v<^gw|8DPSYib#M6@O_4GN5+rrGA*G6s4M4k$y1i z%RrDLyimv3=EiT#WPNK}qfy`N8#-hd4dD=^5CO1t%}TNj+DgN|P`5LdK0#z-h}I@FXjb0>Py5AF#yc2 z*#rDCo97daI{8FXzZoi;8~dMl$EGKg#g;MZTH2fVPrhCF@A}r3K~0148@r^bv9WOw z?HJIDdTe;Y6u$fG+6V-=Zczn*2j>?TMKA3`8wu42Fza<_$E9tczqa z04OMM7fFTZom*8?8a>&4@C8Njquqb^#!o(9KhOQr_1)ZucSGWNrxcw<$!DGH{>Rxh z?sl*Hpl&C*+z@g^9aAMqcDY}Yx}AIs&hNOFU)Q(d9C$a=08dNOg(sX);F4Wk&gn@X z(oSc+B}qr>=N^-hc7sbg?}U@P5d0nI^wdYP#!``p5l!@tq!JZIRU#HNYFgX9!Gd_y zs0l~>-r`_EBG%nV#uAb0%Air33@1`XG8InMCcTBH6(&X`8VBper*-y?4ElXOJ*0F12N7N0~iwljBoxOM))-clJIi~m zcf_UOE$DCO0t}DMVYH^Af)AyFnl(x*F;V-;G&$o+OlKZIYNK;OO*NLbChRZiVRVmF^fcntK5wD94*rtP z3=e9PWk!$cB;evTG5o<2S4Aok05owScwRj>WC6DvuKqRV8D(k%%X; z#LnqrHga>>JX9uPHL-9?_ZJs}xVN=-X{hU{>+H3Xi_By%PiHWNy1%FkPbf%HUuvsT zdNF?*iC8k00+!UqyuOiGQ{S*5Y@Bg_(ujsrVci-S9~W@E$QKA=Tr`zz_^80BjQANg z>!E^VxU#~pV}M@#!gOLzM26qOwir1-!fB?krx0i<&Q z<}gy5NXDzHLSh!J$rTyFLNA|oZ!{J$3R!+U!dQwGphFUC}OoeQ#_6H@qtK_{f}2Lnz>fn8VDFQR4f|rtrzz+3r4X?IYXlL;*18AC1rr!R3}*5C9G{~IBZ0E#;Q28 z39wz;C)_($W<=tVNUW+1h^G^yp%u!^;45bsZH-U|TH1|{5l!`t;5Bs}5P1g<>u5BL z!osXp`;_$2{IrdPJ4!;+17K+{y#Ni<=BKYIKiPfpkR-EJvyz zmJH0YsyDinZ0x9MYiez4>bSCdTk9|=b%P80f_V&YZEEO@U%7L`C#|#qR5DhTGWtYP z)s$tm)^#)zr|xJrnwwe#!s(?>2&adf z5l#;YgahI1T>>`4pBI?K6G>I+pS{vQFDOg@uCo2^RfhH9qDU0N46#%$eHebPpJqVk z2@roOBSuBC%&V7Lti3uJsja99_FnjR@IYO0g*z6K_^x;7DsWnd;pKoGX-TChy) z2^>7+4JIONS5$_RJwX`*<-YPXQYUNT2IZC+l}YeSUXbH1m%KnGQ>n7vHq;>W&B)8Z zDK51MW^E+;@(t=2EMHG=Kb`g;6ZTA5k9Q=}BN^`>V-f{~vl1?x<%eTrhszV7+GX*S zk2qkswjxCo0>T$(w#0C|*nWGjE#L$NHOE0os%k6Cutd5Mjz$ySLP2!GwS5EL)4jzZ zLa(|ZBkHbfhekdGrnubgnUT%5XWzGDfy=|m zRLCpnqu-w+eKFz2FNB*6(bn$|3Ia(KAjZ`=lc@MWRLV=riLmsEf;obIgAd30a52Ep zi@k-;>1+bP6P-gVR&wq1A03EQN2(oO<}*x7ZSog%nRWt*fReGE5Di5Z%KOD0Wbx!SH}DIo1dP!V0H}XeDFaVIvu-NJ9Su&xeVF zHYelRVsn(^A8^o48Tg7;N1;|zp{%85i=K>xO=+OGfT`$^^;j{o)}RoSi7aiq$f&69 zldEjAWHBkZW!R8vDvfYWSs6*+^n?UXQh3O=gSbNG3yQ_{@x={P*l=DC__75)6X$h* z=>c&bFllul3Np(^8>{SxiI}EUna0nHKNshs`%X0B;wQsAI%$n`z$)iW;8q3fmAxfQR9$JfCWqz zFe>A?I=rhv!w@OqzL@f^Ufr~((b}bP&Byp0mz*v3o+&bussoW3))_GYeqcy-q^Hp@ z7EV~>aLRNTh9ejs1yMS}2SMma2=SGdL1Q3x00Q9)ltG-27~y!8?ggoE(1^J$&Ch7P z*eA3-n3S1HL~2r8Glgo)HPgznWFl4F2c`fLFZQRLHVu4QO-!Al1=##-nCDSYM ztO=QFBmIVe#7%Vc67Z*Al0n;VTZyE-L^1$*vmypdBMi`yn%Y7bs_{QZq%zLGNt$GO zRbPPdeG?S>vj(Ig(gRjsdgu^^n3;kjP-Yfw-!zr1Awvs|qcpdunDoJ(%|@GHcCmoi zXl$aVCCy@H2wAEs*(RhXGbpDyoKucy$gw1Aiil<0l&5k?C80AX;=nqPN}ILFp3LV- za2dW6dsL^=HZj`DXw9o<^L0~%1A3R37(iR4GTB2f99A486H8$&(CW0#r?U6X7y2)< zi;X0JgwE|?%87#Jx?O#9a%Hz#1l-e0bm+iVy%tt7{TOfh>=!rHJj zpTbTNOXM|SP!^w4L5#}+dW?(weX6k;O!+Y*F-vx|=jCn>cxkXb>^nfnVqycC5WE{; ze4s4AzVd3=c;ZzRu;ynQ8!}7{ojZHU0@hUT<(3Jdvgk(ARHhjpYlZvF;$!|G^O)Gh z10s7&Dlj@g~W`nep8jL~^Q*NDvP)-zt(H2tkL3a2<6miJmMFiFG zr&(^U&_L9lqe3)ZUQQg^Mt5>E&CWn^EH3dD7jqjG+0WvYH5IW+SR!LlWP?~S3DXJjF0z? z$y)|I3Q)X3>MNk`8o=I$)?ovOGW5oN59p0u_2LSqITJ~PRmvKEFn5s&SJX`g2O;7B#@Ybg^ATpiBMvQ%n=b;~ z2N{4Q7I83j_#=7*GJiB#Yf?<~AQFLHI#VUh&SuRKec*0dlW~)usy0#9)3)>S!tf1f zBQbpQW^HUAnRl3pm$Ho%!oh?&*;f=ci2iWuQUsdCXNc?#5yjWyMF>iJhj*kfX%lXn-aUGfzG7>^RN@_g z(o@1_)gsDK7?&WcXY|nlf)`H{OVdsVbcq;;J2OI(9yA2gm!50PC>FSH`X6Wz_RxZ2-b{|As|o`l0n5QiCb9&L za4t*SQLGOz7QMEVFFh#cCDVYOTQFabZQ7n!?+iw@A)X=aGdo7%5X{(8V=#j+rmnw~W{9P@=Gz}t3#Ub^3a0s`^- zSU`bc)MB4hLUWB2)_3;Sdrvya3w4?~W*M&q2TJrcNdH04>?0)%XKE33+Q$rGV$)_3 zA&?;F6^mEFx}7&mOs_Klt#-CZ%YBALtRSQfv}>DaP9H03DtknMz*YSa?7(c1rtX@X zE!xjp2U}x@JqpVy+w@LZ9QjR+IE-KUzgodV~7y1MWXUQEl`AY|_IyoGoERp60%v&7sv z0}!&B0V2d4a`y)}()#@*N(Q+oSplOdNN2REy$(`nJkbkcTviI}X}C9wgMmnN0qV^n zzSNy80JfOCo7`4Y-J1dcO_Md7QZsg}DwV8E^y5ZPVePRrJ-wLvTFm+?6g_)dmY!$J z;*KG{*icFAu_4ytq=R{MpI(f`5j4@Xe9-2wsJjp92a`9$rWrhJn!znkQt^IB5Ofab zMT=K)M-)cl3_z<&dxcrjOv?z2D~Jk02nIt4-^e1g%;>1OZH#jKfOwi@#&K>CPqsIc(B;YHMQwYu9H9cXWz&63Zl(x6=Cc;Kn^wx0I|s6J8pI++ zY{D{kv^6Od0|uNiLnQ;cUC?DlIxgu3V5lA1Is#_~>V{ilu^uWH77P-@IHV3$446-b zQ6G36%@M748KO#HJ3*f)WW+G46H!PVHPIUK7{uWPGQ5Exm^NpmRVgwPqH<`+Hfbz3 zlh2!7WF(0hMG@%&X9AN)gq&&55j4o5B%3y|cf&qDMje|MV>CnhG7>X)Z$<$zuVp^J zFhdn_my(z*m{d7E(@O*dycgjpvm}c}@i9XYb%8L?To4$#gi^->)hepH(@Y_v&sXe2 zP#=`;ku|WJGP9G{AFAbQA82Y>CPfI^+?vJq<%hr_yqa0*nL{q~Wo8TdZL#L+-ie_h z3uAfz&6p+OqvjxN>PZx^igGz0e2xiDJt`xKa3vH^CI$FLf*E0;0(o`D5+H2b=Sk;5 z5^yMobTQR>2LB9r{jfw(3@4T;O{jwvc9w|_G3|hdgs|>#hnSEmpz@Y+Ch8}oXABhH z8#5Y;-1DX?VOb+YCdS~8`GaI7rTuR)6t0bsb3o9WeA#>x&`vY;Fhp?1s#zyNHy9R? zxyfPzNEF-Bj2aXzWGC~QiN3O0;#B}dTy)yVhp^}%;^aw`;bCWNE zAVEYc%jm~oIvm9h(BZTO2^bBCt{+r4q`fgSVBTgA3gxk32TKlU5egzO-Spsw938l! zVyljfSk{o`z@?!>r{e~mbacIC;IY|v9KqN&OkUZZYO24SAu{Kz3?Z4ZMz5_Pc9RE$ z6{m%BR2RZ<(Q#hR>@AE_=vM4BP=jKpbzen68C*?d_%P2!KovB%6+HL|f~f?(WY!L+ zR6*Xe^H|r6M7Sy%1VN(cJrH#kx>qD$ezB{3U1(rJN6d3Ol94hIFo zTu8=dAaya-55R_ZNNdYr{s#zU(js3GqwPM>EZqx|V~g+U6R(1!7Ew*GaXBW7LFQ(% zVK(E)pfzPg@-bzWUsOztna+swsi616>(~JdEN(Ug)yZWziu*8Xy2qGx!V6W5=_0o1 z$}sa<3xaRjHu6ESzFO>7*Da9(b|jPCW+Z0vp0GWS>r=Cf{lUxU3Fi(b9Q|D|xSL{>^ zF)WLq2Vhfxm=))-GbYpV367Gn4fkV&^AZv`8c_)fp!X)GX!L4XRwQLdP({b2}h<3!XG#ZAXE#-JU*jj zX9!_qgdlk{#TcO-)dnQwBrH|5oEF=zM8G)E%&V7JVqw@HuvP-(&fJt4iCLS{p2ukh z!vH3CR>hKVB-@aHn$ONQdu>SFmc|zZ-Y9Y!b;8y4b?^|HYQA2 z1f0w=ToNjwoo8TccE?dE1jSXvyH}Bm81h5Nu8{DWEfa0^{tUF$Vxsd3Wdbds0|j(% zallj>q6q{w<+!P#u&{qw2OSu;L+kfj;!~Q0LJ1=!f?R){R#d@7yWnic#jPfeq5!?*S%uk*dhVF0kfAAb3IFzWZ?&5P(ic3-cdyWFY%LpvXYz zm?QUWFm2DYIiOmvDbLwM=XEHj(dEhwJ|8{l-MihUa!eI#f z^1@@L^PgOsD}w=%?GEb)rq_(u&1O*4ylJKr<}Wk80%SXzDFU_hppWdB)_AZr975%6 z$Sm?<90*6?kWTyW@&lQhFtOIVNl{?)j}H2GG*2#6L5*JfEXNtx6XT=+<<*pS2l%wcT~P=GHJISW~|D>yFD$cv+u zSxGp(1yWyLQwuo}Awa%bMkGm$GXwve_D0C($KDVMQ<C)?H4qH+1B!Z{MH+Csn>vZ*=HQFAnm9>3Pn)nJ_g61Pb^MToGUnTv2iGz!d@J zz!mBKP_QP0k62_dGt8YGIZH(lof8Ss2}FqejzmHPZa8Q1eJzMX=1JxdOceQYvbnOY zB<&L{b43hcM8!exi9?2*NOEi<62^Xj_cf}UmO_i1GM5$;o$|RWBjn2;Yzm%Za<396;hz@n3a7RB8kOjBb=C!L0s=YoSg_ZyT| zSEp(ci038|&MUMP795zh`%PCU?}8tPy(wZL6!7XHZaBz@jG&89Oj1wAU7H9)T;Aar zlM@7^3S`sFKHo|B!wg;GIHf^ejW8McVNZj0Yo4-9Ckxt>ooNytsF6nRcruQ&SE$(3 zU-Y2EZ818|nHV4iQNPXtJE*B@2+}FU&+>A(@j>7VGa-;shMSL|7~_%w1S>Krz%b~l zr6G+*^FRZ)r5VkL`Tzjg8ZuQUoq`(pofHX}fosBabq4d*;dh3sONal8JN0oA81Xti z_<3Nq--wHPtKD+QMI9?WUgBT?EdEB_f9V#r`hcMe_zBIQ|;Q>L!@bdt&c@TDXvW1wDR6#H~ zgnZ+87X>roNGIK%{06Smo`LxG6|bLK(>u#m$Ufa8cYjV!XIlKn+5h@wLWH{CFC zg!$o`491nL+cChZg8ZnTSU8IHBhO4CRa?V4N70_>c07WB2Z|g4V#79@;j7HeW^=%! zfmk@4L#!DoY(V7*`60RbX1*~g25g0!T6m-!dBxN6OOT&^F>Nss_>Q_)BSI`*&BhB} z!fpf*0$PAXGvQCAJBPq862xEF6xHHRmQ=z^7u$GGvltPP;5an%a23wof)DYao6_!x z6GLoUq^FMp1JlwL4(jYb3X+HCJ8@p!bU|iwWSzTmu`iuUvH4{vfrIC9Sl#1k|6^vd zgNmI6Ky{^AuwaaKHq3DkYj2$~#5T=y#E_XoxMPCtK=K~I?8YYBFI)oFDR9Qmnc<)4 zDHC{b1Udn$dPT~-0)`O`3i8Mfv!$iL4slEtTQob9&6~Ad$oR!?Ysfh;Wrd2^^Rsqa z55!8N)9^b+?5C8h>h1ZwC}9j`n@Rv?R2=B$xXeA?He_d4F7YI7TadacXl!&nf zrzZ^yi=p>s3kL>{^(Kt4`8>J43Ne|adV3^)kVEvyrFRj9+(77hTECWqlz}O%!-V8z`1c zPhm!~)6``G@F|0dCk)?CrBM!#GX;8PUvj}Dx0*zyL7s!^Dgeil7`H{{F;6&lOA=o; zkQhcDP&O8e)|tGxtfvvdnb$Dv0(Vz5+&fu6AlrJaBBK#%}ibhhe^s0%jYXs zHq125Svv+&BfINDsAT3PpfEVIOF=D?YME98Ar=Bngo6x%`R|4FN7%_-+GHkq!_~79 zI`!NKbipxMEQLcR+4<6j{e0%-q5~S2i+F^O9wd#9SxPLOKTE>q9!d~s?kui|_e2C1 zuo;3#brpP3;8c)tiK3@9vh3By8um%f0ou*+nXCVtUTYWf+YI3F7)&CM25 zwm%@&NLq^HXIYq5kh{FlC`k!PPc?Y27hvKx+o}#; zPLgi;&0nUq5=WS`mx|riQ!Ij=GNYP`ON6zOP=j5M6Q^savV(Jr%gdQs3Wj4H46tYx zu^}tfflg)SbJ}FUSXsl>A)J33iT@456vc>N1w+_a)nSCj82eM?Y%~ILH@2bGr<@#z ze&xdOzNKvwj976Z$o3en>IE$jMlHGug6`4bVKSy&ydv2nz-5U2eoR`@YE(*FkM@^y#~RMYzA|mCMPoFwPS{JO+{q!w^ZAYr;)>SK!iHug1H60cgRFm62 zsUI2HtRHq}jf*QOk{5hEli#P)#h7wSvC5dRCQb|?WQWcSAp_E|FxZlAYSWWNJzVlf zEL!?f6UcTJB3jaiGn@lj?!RItNI-`bi@^P0q#47&QUznOiKsODw4b@92QiD4u(-WbFw1PM6lyFEv>{^n(IVV#KLfoprqTDhJi})R?bWdVFZ!)_>RWFX>3L69f z<#8wTi&p*r15k1Be*l7um!O!tAFR*M4g!_1^DTZv$ix05bOj=47V2iDJD_Sd1kC|w zUY1P@J{6OhWZ1OmYX|~`1#`2Vy|v-#exL-x3fYnwnHjQ%g!M(Yw0+QCGcKOgr9K(X zO4`~?i$XH5)F%4~&Y2y?U^_rT%ADh^?}+!e)CNMm^yyC1gl^{sHjAc7{>+$n8^^H0 zFoerBSK;Db>{hO;+X~w3FXm#yU*ceX4whhLhz6w15plb&Y){Uf|4Kx03(9TY44LMo zER*#)JtL8x>^OV47AN-mS3o1MOu%_zc$=oPOb>{_S7uyGJIizgUs{9=I-kwTX5)F8 z8DeW^m1A8t?GMb9Zpz;#+xHbm$>s{Bpu%*=`1lQgwu7E@{zF8z+mrcNGVFGA0|X@m zOfpW!Kp+lx?D_rn&~ocsT{t^EQyR$5Cf`wQd4g{7Okcf&iLb#GJGBYZ56K$D9|Wes zDPkQJsNqRss6yne_)lb#$EiB19_u15~zTpPP_pc zc($fe8z~M};LN|tJscrG?+*lm`?(p2Wo%{LGewU)ofm=$TV=i@{MN1TiN0Rp4&-Jh zCJLICxF9=_lx_A8iW6L=Km~J$@tBB2-x?g*r$2m31P|bMGYE+rv4-V(NhF5SX~mWu zZ^zMeb}_n1-z>X@?(3?Amiw=?M_U3OW%i? zImrFQUh-KG031Dqih~b-m{!EBUS!NU*HQCZf%G8zItYeo*;#B?)#6AxKcGRQh0hRj zd{s43C%ziOJdTcV(T*V&TxAxoAcCEh%SS;%nz;K=kZU5=rmkEpB6uv$PODbzw@e!L zz#eb0U#uG0-NYd&Jb63{PTdInp{pv>3`5*|%)p$Ej%3WDQr>r0?P}ui!yQJbhAxH~{~~2f9Glb+99u^l=bhIvP=sYLu~Ef!*BL zT;DvDee}{#pStDmG{uqqPBG=`Mf=r08OZ*1SO&8HRbU`|6@>kb&OulzlND57>|;@k zTnzN-Vy9pOn|D00m~aRKz}y&axK1DroFg*Zbr^9C%*hZmn1n(5-EK}XxwJi|vWS22 zDGwWsFg9w*Fcwnt6B{C)iQSLEG`RY$xf zxb6e8St#TU@f+ncP7G9)ClHiHL(WNN6+>9g$z;8Q*p2DceU=6ZB!#w2zz)uPn_A)E z)i)clm19k054R}Wf|KRK!6z7menmmJq*FLRu&S+Yf?I@ZQniT~Hc$2yID$Bvmdp(8 z-yzR|*f~K_``J2VHRm;ck`aqcqZHZJNRY;NFiz)JZCdEUzoN%A22e|;s`kl_6$lnV z1mg;D*8StwB=I?NhGEl0w2g67XdK~6L~xdI?>?jpF~d$EtgI;q;PgMF0Q?m;OBNta zYm*JYN?F>RUL>|LQ(htRi*xGP8K}zsrMK*Yonbhyf)_g-+GDfr;XXY$_85By3Jv!XT%K4L{ct&eDMdTD#@&X%Ox7xJG$VT>vZE9es-pB zX3}eh1%j3V-#f5T323}L%}#|FfiQD8e%eK2L|xc}Z!*MY%Hu<%oo`JG6P8Um521vn zC85lheH>Yw_sPk~#J!w?X#r0c79%EF0;R!ffEK3smzgX4(0OwCIMOCK9Ez*NElki^ z8TCa2z_W}`29{YO8jaowz=3w<1*Ms1#!{2tW|&<-i_CrM%Sz9jDuiL%tu^M+RdSb` z=Mb!&m%bJc?s7Vn1(Xcpj1BgS7e8}M06ZJ0N78Br5{OT)ltGU%?{ya6kF{qBjB_l@ z&@Mgqet*uF5KZ5&-=97#kk0@L81rL8B>aaf`h@!>;W$t0ZkFIC^0L=n&r#CM=Jm9m zmalcXw8=ISUyf^3j0B%JInY5szYhlivk1C{bXn;RxRwpUQOvS3;Or9I6`9nLn;9se z3KpR`7P_}ri1Xb}Pgj6w$j!3H}JND<}~SZC@Cq|P$M5my3`#m}0MJoye2 zlMj+lkjZ;Nz)bdRFSZ3}fQV=Q5oZ7^&0$T`N!%%~omuCcz^MaEeQ zmM{F@#yWM;Fe>_cY`@u_cNden0x zs#ur~;;9@C73v}D+fQOL@TpH9!)1zaLbv#6Rf-M}++gPmnaB^EK2pG_=`#UP2mT7ACtL z9Lr{kJGogx^mGDXJ0(LV#mOML>Jc>7F@o*0onk%TP>5si($enD)kmY&>+Jj4gyjOo}Lgp0D(V<(0E0dBOR4<9%9C>QD>9GNx+Lnf1P#-s}i zf>Txo(lf%&(3!EdM4}psmgPFMQ~yjLUWpyQa1;&^+jIkgDYJNXTMOaFi~#1nG9;fu|H4;$p(7f;{! zWqE=WIF}|j1LZIl!Oo&4$xeuGT-(DkDA$G+*;yPHvWa?emTw}*n1z$!__7S67o`Ps zUvNjOF{F`wj)Z;j&_P?^Uo0k`EzWgvEduG*ICFCy2p)Hp+bNz&Rd6FXdDGbExcEnx za<`BOArqo|`rby8-ngPd5mwmbB()ahkbjn%CjA+H^dcM*X9=8YWnBP;z?lqtG-O&I zJ~$6rYE#bmS!W{M_*5MW;`Hi81xD}c3VezVmoN-(9fB{+4QYhXQlBlf@U`Wi)Da{T z^9Lidofgn#A_n~-_fwXNmKTmK1G`ZuQ647aU7qMpc3F@=L_lOqp+nQKSjMYy=DTkS z;&wKo;R9Lx(^h6UU~WEZ84Jv80l0dP-LpwM-*PHsCT7Zv8F}gJ;5p4nRO7=6AdPff z(ToI!x>+S%5!0Otz7+}n@Z?5 z%c?4z;DMCslq&X}Lmr!UQ}_gJ!GB1>0z!@sgee9XKbWF##Bo9*R*nNbQ4+F|$xeB& zEs1MU?K(sWP6UDpn=uHaG$9m$9u^h~dP#N>2RPO#2a(QlrZHPVjvJvG2}cY9Ml1h)Ng#(>ev&=>(_-?2rO|rw#g}m%T72yfqzqwze$h15UY& zvzw{D;sgZZ@H0IlU5_T01n;gP2VmtziP10=T34&|mlo>>sA*+oj=lFp6 zyn}oQ34=xsHK-3iYJsCMkwAFAhVJlz&Dij}9LS6vCLM-IuVC2-PGVQt=E@{|t`NA* zuXeCPU@(aOXAVeSTG!UtFd*7mKdia2rK5d7OpBLsw+`wU0nh<- z@eh%t5ltPJ(mFUKG!7g#n9)}lX6Wn_95pfl+>VlYKv*Y51-7fV7}g>>f=Gf-^u5HPCxX9*aeBkjwzvgYIPSAEGWl#y zLB2t_LJq@Bbww>Z#lya*$vwr+d4hfrA;^JX(AuxINA`gBI0<1I8{Q=Y`=FGEy&$o<@&8MAT`5ab%m&4;^>7xz%RAV?hBp@6E&1 z5#x#=gTk)9ZbcOd{?}##%yUK=Hb7FrX^t!kmKl?5vfuRm@G!OD4lG7q>6U;jTNxvu zX-yk<2-3y=bnwxVS<`B^FT#u@;wlzwWQ4dUd&W#@GW*LH>1?)qf~;EC^I;JYo5H6s zV}1DYv)EE}2Tq~%wd7>(rLhQ~O}wf%V$3Zuf{XFF0IUP1SXCVj1YpqTP7^`2+4XKX z@6gML^K|c}YZ_o{2q7(L1u^n>r#AHH~ zC1sI`$HYk~91bT-aSdR+sumYy2<(QtVA%P z7nAIrPgtgWM8*@l<^m*Z4S(?Af$XCxND|194a-o09knsP*=9zWLh;Mir;7Izg-6^X zxx?ajDwApXnC(bBjV6bk*N@PgXNVYJTELE@sgHh7e)}`G_5A-iqjLR0H zL|+N(nK6$XikV?sxtYAXgvi|2$NpyQ09$&VEntV>d%)p#GC>*P6NR-w1f)SEWJjCH zLWB!%;fE%Yn;B=EMLyCZX5Tz`kP#Tp0~mSiVljE`&CjG{)rF3ez$-{1 zeWxi~C;$j;L_GxGUrA{JCJFI3<~?uem+T|9f(xg8#$rbZ{maSdElP27sVywRW*FzY zg&n~|JZLmt4w{U(YSu?TgmXV)@PWhPR1BU;T2*U5Ib}L*Dn~HBv$E!$o#YeWpn=-u zEj$2#AmDkysF@56lArOfgwa6J~n_egQm!5Q)C=+ZM2Y|g~(C=LDof3n?HxL zTREWv`d$2p7GqLJAM(pgzhc^T2M1T~dS$hd2hKBvr>4WD2-QkTp+Y+}Pq zW;KYyS4rc;7gvPfo_Vs6p?%&gflUqoY&wPDTILH0x(sp2ya~jfmMK!(!C?Tvun_~0 zzJQXyWStws@iC*lqph)JaL1+mv!9u!KK3Qh{u~SKhIE|7$U$85tMy>$Y!plygzU;9 zs4c!s(+7xNmi>aV$_(7Fj4KXF zrhy$pEQlFJSQZG7?}#t8&Ml$oucA`rHf4-)G;Y77hz_C@Syuq!j81u&t-Ws~^ZoNU zDZk%}WTRu_e53gh9murRGJn8ZRFuh;1nYpxQo*%s{{3x1@OZ>qhF66JSFwn#?3snV zAR6vbU6H(a|AiwwwB%%UwbelZ8_@4r8IBw_W zh^^|7&+vyU9c4b~O6JO$-fON-T8FM|Lncm|mCFY#u+PHj{odney2SWZf4l;!IqMTT zR`8WW7B3`LKv+b3RD*-Ui;*_PbJGC1w9zxuD;!_AC^w@eQA1H~dwS=;@AthUugW6bGZx9p zM4>A4<-6baEc2nzw1RJikx6-D7+NKRhSWrYJOyXE>(E&(77VV~G2r`F8>Tj~-=F;G z0dzzX1(bXNMK0}f5%vK^SlnOHzoK;|*##J}%~Kazs%BHUK$#o$|A9&Vg^}LENDsF) zu38TG3si<>VWZd|cctqiO8Z<_*#EBl6>mPuv;VixVl9CyDW7n^^v!>_yLZ; zglTk5iKIiuFZ&f*u_c*Rrraetzsdo^88#(;PG5v5QnNw8<+g^L zgAtIhaLj~(>GrtUil#zh3|5DnHP=2X8|N{Av&$K=@a0Dkn6%~9;iTt20K7x10jcWK zP`1#R-Kb387{*ZviF4&p+(^Tx zQ1hzTp<_BOxsAcSwmsg&*4Kek9HRFSX8w$1DJD%Jcgj0>OZHR}Z^*i=co;6dm>WfK zQU~=KX{WAZ<>s2f(f5BNE{!~`NOKGL2oVG#4Kb_!!n%!t=I9b#96;rK3tY<{di<&G z-ZW{V2m0-fkm2OXW*l^_EC4hq5>mu*hkTSEgQ)xZMLkjsQf)4g60`OgYGWNV$fwzPIW4j! ztptz7ai8o?e7eXf55buUgEJ&+r7?jy4|EXdW~@+2+N}bvMDITT^^;K_3in-&6$Y`M zbutJJ>D!+3`a9atmVaKi=ZV0?AUN|bCc_-I=0||Q^)Y@B|Qn5y%Gj=*_;{@?%)ri zlD722PpHV{Cxd4QeDbG&-O8#(ereYoI(D?1%Zud-kP2w45QJ>k6x}rH(atVf<}?Y* zAJe^V_M~KO{GU*JmxhrX36CQv(pdNlF0$`>Fc?j>^HSjMCPSSwTxF@>NyvonYFMml zlXtJQX*GvNeccn~#w1QV*t-QXBwQ&nbE!2fAIdwhvJEvNpc!N2w}!BhTPO6-ZGH?a z<%v1+6ghi&YBnFLOPK+L5-=_-l`q$Gh^}P8k;(*l(>D)NFm>?Z*P9PtZ(n}A`SOpK zte_%u)oTKG5q|#@N~t)>T5)k|?*$p9UR0jpUjK{D>#!JH=;V530m>Qr(p(ltZC(7f=ctP@5&!#8(~SDv72rap=J{+gIh6#cgZBG3RNw6_Ygg(}v; zXQ{jK&7+nQ_-uHCj`7MHbj{4(U@#0SG7VnyKr`KcJ0Dp!6I!xeG5-4}DQXa^gtE=}Wu~6lrZ(}(m+X&j}c27yWdf411(wLamqn=M9_9jUlL&&a0 zjl`t1glsRsKY}uoh;SRUw|4WgpF#3^d^5dR7?$Y=BtE95PBRXsPFm-mT+HtSaDX_L zIEmHn-maH?CHT!Y=g7uZxkA-y4}8tHk9YTZ!zfBxv}hcp((bOp2~Waw@(WhX^4rfoz`t?x#nzR7+`hvftvo#~twRLav>P%T z2>10X2|3e2%w?DS$eDv}k-L`H{JfMSa$fN2L%1g7N8iihhZpg;#@>2(F$llG;vle5 z33!}4+-fuAD}@ih!_Sfwv6Cg49*OX<4gv?XoHL?H@p^^tl{1vvc~qFxt%)(aL&3TD!rH=@_CbtDJi*H?jXB--$P9dRU(ETNT*@gRnH)n2$EfctoHEFb z0NQHwZ-{dcy<79FYcLTi;=EotdgLVO3_W_zr>@S{uepqfVJ=n@%Y!}yrK#Cudy(q_=rq@o#2f-92Vhgz2k%rURL?1_N=FvUV4Aj0y*;s&7o&^<5W zcHRuMQ|$4!5%>Tv1;{*(VRzywoX|Iv!dj*u@Nm>l=q~`J7y~uqTn|Dqg-e}lN^t*r zrfgEUDmNAnP0M!zx-;L3RZ+`fV(Owg(bVsfkLd~IfnKe5k~aVxhjSM6$``@+2lQ3j zH*FF#8(u-4c9aN91DMGOa~zNj2d9v#vz_*m8BG7sw4=cvnFi09Of%1=b;B-cfX8#x zZ$JZ1)2`^7Lto0ZhNbW@JS;3^Ays_9C2?(-`|1Jc&`y4a9OrX19pQnPW?y##$6~^2 z(>roV_sbbcmh$Y<4<0320+{?(KKTzVCMUFmv~J`x`*%zkLol?co# zZ7$HSh8PBY4~}F8EiQY8KADioI09m7sv7)`EV*FIdWHL0qxu3~JSq#o!EJILthw9p zY<<3cd-wkC))KgHf!h22UJOlb$Z3T@q2;)mQTFAh+hf_EsHizCA8SR?`GuQ@90xwH z6|-n7vSawm5`7INf@iafANIWLgwpzq9RElrE_V@Hldr++tK5l(9u!^4%*lC6=QQ~O z?qemC0H6J8dv)$DxEh)XW|K(j=FqV?+RAob!G*oi$4nIVe1k}L*k(xMfwR&&){ z8yuE@zWw{pMh^V~PYeK0(F-w3yb!UpFutQU=Va};Q}^!L^HK$lQT$y;Esa1gJ2T0+ zMsqarm*>;FBUUN~pq{q*R)T7-K7!D|CBxMr4E*nOQbVUfxt}27QCI4x260UZV0ojqxc6KFgF2!>9xk zyKMlZ#sS!|f3H<9#X64MitU^x4;TfW3ImqUt&dUtgziw7fY@~sOYvH={%`N?e^(Ug zR-0pv6Tw1NPnp3?T%93hI}!EmK_k?_QnQvUe2hV^F~W@1m32fbnj*$&J&M&4Mkt0W zwn6e9b}R$SbIt7md4DOy-TOTT+C8=^?pXK|IAow*Eqjho$QQ^scmj zm>N?VbPv)rf_{^=Z55WNc(Ta^gn1zmpnyZ~tzLFcS`5lTm0HBn6u1URp$8*J!sxJn zE1A}Iax2-4DexH}TbQ5%kTf_*a@@Xb$RDEeS$Ponp#pEpK#SAMm1C8E&W=;GklRru zD~3W`sb`R@UH0)2GQ~0{4_BH7Pw$|5*%qpoJv%e^ulRjwdzYR>{ z`6Ur~JBR$cY7^w^_80dLw~t>RK7ql%L(Q;eY!mND{*!aUP&4!a7G_f|*kiY$6%!qp zSuM7HrHL0Hw9Jy}oFvwG3C&=*_c1Lq6fGQ;G~O!*dPrm zV-;~wn?+Iz%rGA2c?`{J3Y01q>CAFORA7{osdB&848Usl5%J zg3^8l13++q`nr4jxPO21;mhs8?QejUjtL?76Vc!Ux%{#Rg@HHrSeq*};pXN_*D_3c zECk^pXI%av^g&Ja*NkhWb5wdg-hI4%`11AVgU4S#zx;~-e*G2C`R=~=FH}9^*YE!F z_$vd~Y*K_N@$c_?2bdoI{&O<@YdK#oq#xjgKlkq5BMK9~L?-=TSc>wizx%Fr^MC)p zkrFBY`*ZJq@qhpPJJ36!gtihl5ef{HRfJ2tH(H)HSDB=AmH2PMDhga`Vk>mc(Y2=I zkbrLFf~OZylP?x4M5kcU8f=vyqx7%I!mYWo(|OCN2Ag)6eij3pVei#oNzwpsh6$yb z1b>EUqt3~}-{|BZ=ZD5o&KEv?vPQQvO(cf8gvcHL_f=CMs0UaMK(Qjph^_-k z*BQEqk!v?Y_w2W1#BlVyj2J>-sZ&MNG*+P`7V5y9i`X!#zF~c`;2!y12nfLOBaQLG zxoeG7_<4Jdo;vl04`QxMpTayD!Mw`feC~pwDzE`GNm=f`j#zJJR)-% z6tRW#SQbE77kIqFyy)T?O{GGeIz>@@-=NTtTZT4#x?F?2DNRiF8;m^*3O+CBu6yL0 zA`43X2uiFL*~1ILG;RWgnqL-)?_~H+H?3*1NG&tLKdhV98kP^qF%z-Ce$?_ZQppZb z4`2e)Q!dx6$q9+yY8sKivC~p!WJs!UtNL7k*_IEA7w{JNBha#X5B5v&Lx-3zwtjW# zS%@xBi&5TB1%m9Vgkvh3H9+4q@H%@Yn0e;{`W=wJxnIa&!dmY4^ezwq`vf9Beyf$1z~%4g(NNVtB=kvH#~?a`=mSUw|UMl(+m z$sk}D0UEXN0pw~E1d9qCafuzE?}W#NNcnO@;PJ~!#*`nbv7?!&v8EA8oY=(WQdj>%iiB^^;Z4OoEt^Tl99&UeNC^&|UDo}j*M)kz zr03Le&|-2y|2L9mpn3&iujrGb(UdGiS^B)0Xfo86O<~;3ga}L=R>nDjge#?L8c>9* zqD=3RQOS?`|GFDLp7n70ungm_>Co1 zzU|MDF)Ek#rmQNnmI_s%nU@HdGhgi(Pp}#W{(S; zgeeaU{K~jk!8MmnIYY^@?x3L7L4;+m;z3F^p;gN6qu1hR?i}(XRh=N~oN7L%t!+Wk zJ9iErW#$DG4=SltFAIf3AX%VDF}EzFmW}Y6Rs?7_WB(m?X&eC8f*Veje2Nvvk}LVo z`bQ~R0`Y8BH-!r{ed@3$;RGVNm`|rR7I>4vst1y;4OYmyI!#HNL*aYfH$$Y;g;d<< zP-V-XE@tNtezVO6IDw}ZXk@MIBRt@0hogJ7LJ&i1cA?WW;~@+M;LS=VytFBif9wEx z=c#g7_SDv|N&7$f;x%xo`JoHcDi$UD9EqLOIY)_B2nwI4=LBs}!qOJkE2~=0&;|9c z3%(Oy)Z=5qG(_LX*mauHH3F!J=fb$-aiAO?#gKI_nKS9TtA)~@Qyf@fmZ&86M5Az$ z!+?IkjRir}k}(L{uw>QI%EHy>>GDC5%aHQ>-}kT1Hqo!vWF2PMJI#{iTLXoqUyh5y zWhDksw^oy;NMXXv9Fv6d|lonDa<7>$R;DMB7;@;FMH6uj&B;owGn{jTW}|ZGAqx>RJcizXg*f@yaWc&SR&mEL1-9$_7jf@)YHmnUHvb^NfL5|Fz zI-?6iRc2A%$x28%DhV9(hmE)6z#h&34K;*fOBQY4=dn`$JfCe)4*$fUuXAOJEK1P( z0$rp)9gu&U;pmAxSdKRI6sjz2DgAZ>K;}F5~O_@+^nXPnlp!>l6l`IP%6- zaRBgxBIQyzh2tsU7byaUs4*$Zn5Ygtcq=1vant1hA2iQ9z%j?%`->A&J}NR^1B{GT z3R1I+PO|BcZ@o}n{#n_2=M)Ag9up!FyP0@@O!mH1J5!bE70Qc(_c0$(>EL{fkE-=} z$l;R2_pT9t&i1%GrkUT8tCE3+*};JtCDskNR%+ac=jN#t?&Sa}+?w2qxi!j_8RMr2 zS7uC}oiTcnDD`WepF+CrK4x;4!)Iqq(?ng1+64xNe%mRVnrm2Iy`V#P8v-3fyXwr& zPiB1(U{{VjN1M^?$)}b%<3BKG!Z|nx)FqDqICFHE37oB_gSn3_l`1}@^zXhfGH7vOuG~nw~57T|J-Cd;fJ1s+8Z3zgL?vI z6ucW*bZ-Lp1|K@Z)O3DsA~m%ghE|>6{4b`0l=p|{2Nf88|Da%FbpkQ)i_?J$3ACo@ z#(R`_-h$Edg00p-uFbYSD3}3YWUZz}4c?efkpcth0yRT3$vlM!ZfcwYJ0-zOV1AS= z_^Vb$Yl#OL{{=3ot|7G$ zu#dY}@U!Xpmb%iUm>U=uLBY@{tCx98yfNDQaKxeVSU{f?brJST zgJS(qU3_{t8BR4=gGCe?_FkFrsYqkn>ucoCc2&Tzuk8o|6GFG6tW{^I;`-#2^&gp| zlJbfBOrL$2sp;ZR-sJg*nNHWwJ`AuBo?9ABdG@_A=lSR1q-P&!h!!8u>=mZ0|HvHC zHFEz4K^v^!r~2a^V-spc%N&zG-(&q0=6AXDyh9%N|UN;wjBk4WcQ%Sc;`& zEGf8{m2nklHRh0zqpAJ~6HB551lv@B2h}V?WDG@X|8~%7_&b$`zqFv|&A2zx_G2o@ z#+vc0HwZ#L<~TH3#=^KqqOXa1Sk6YobgXC!?&--uaKXIHtve7e@TXhU7!z!3|EPM6 zrA$c>A>2DAj!Xv(JD1VHWBA22}XeM2%V>5YPcf_2?dj*=t z5gvv9fa#vdDnfDj!DwCA!-06fY`q4x_OYg}aac@22x7ZUQAtcCDJJ->Y>8dKs&1+U z8|>J3c@Y%0fF0PLQ_f-QljE^Y>MLKF&7@YdL=F&<>+Ns&L31BHNu$M=!Xsl9=b#}FVMrDJ2x@8Ag5 z{2nx-m-?>#hufPkkBD`oRbH?kbYuFx31CbSL^R{cf-mf9!e3W=c$J?Vb}D{qlF&0l zgV8m64j7#KHIVbQ)(1W8=DG!4=lm{I(dZImaZ-+dXd34RYL$7rvx~*Ureh&-2>KVH za!P5oWp}$@&cGl-I#Ag)LodQkRBK1)^WB9t-ohMP%if>^29Lc!& z2KtNi*u62o_o2mnu_C9)MFJM;9BZR;)|?S*OCs5CHfOI<8LNY_p4XG~K?%E)aLoN! zW}}q@UY|!oV-Sy}Lh2I--FIh|Fgp~OR)hUtkr~MhTw69P%xMh^9jj%kpd7?gzHE9x zNmFJi{IAVJ<7K7kLSVt=E^SyfKa-Oojw)yzGxj)5&Y7fh%Ds0R1laxQExi83M0pQm z%#v&-Y>BiHm|Zh+O=J)dD?_YH(t1YqbfQs6C(3!SCRd<*@N%|6k{;slVb2TJ-kw?6 z|L1Nl3mx4wv2sd+ES7u>PRn3JC(4By$u`RwU0gSZuoFZKUDFKT)^ZIH-a)FRD2%|U zM3oqK2Gemh*&}1T^B>|K^!u}KG|38K9o8R*KagJ_%7%TY?RKIg%`;o*NYm6AcMeOk zo5z&IM3tD5%ME3CMOK1q1@%FtYxP(&goED+d;Nx!IzWS*$(}`vzUur^V^iO%H*Y-D`KHWdwJl-DYm|kQrGXbF7BlidKzgNgo#nB@T z%AP}>Zm##xosh;U+O%Hvyj5qyR(|@l#6fo>tWvsGowOP7rZhQ|?T^?NCjc?=^N~){6R|MZOSA;|%ruAXJ?8Zwpwpb&n_<{g`!!1hXDTB4^n|7SrNy_;1_gOGPg20 zcKDPO7?7LHf9OXWQpj;W?7OiA0Xtiwmkp9K5_KTzTnlOS5YKC?+k>&Ez=1^hgMZo1 z^$d(e!Ox*m@`492>ZyK3~@ylXo|k4e#nYZ3i%RBosBMN z>jG@=k>FuB4{#;?9kc(500V%5CA2$6Xjf4!Z4cJKVZlxJ^Pk{EP;3!Y%67%LO0)*? z;DrH|9#JU`bns;VO0m8a9WWDaQaUDGsX}yxEY3uqkLq{oiEG6d1AA@Y+vJB$CnkK1 z?}=_mF(^Ag2>}i6aD;^$#?t!3Afsb*zJ;j6fz~-iaIZMu}ZvRFDq==7-e9!=z&f-&LOwK4E zdg{C|f-*c(pKL~^!Fb6N6L5F}1qd|cM`dCnAL@_}#Uw6j+ZB$AMu*GPiWZ)kGCZu? zj@Y)H`f3M_*fTyWTL=LV>JMUi;IN}0qjZ{$`$KotmtUVaW6L2s)3`OCTbDlAEtb^) z)dUy~1fyk@4Rdg8ivq7bo>TKS5)tmWgQ|huHqDy>SO^6C2)oc8s_a5#lI{Y}b5ta~ zkd-fk=x$-_v~&qBq#qn9uqhT3Y7k&9>48i_75&zpdHfnxY0qUwqa{_w`(WqFvnFLO zunD~+o<6U%;>G}N^KsocfVgU&OF%@M2?=TA`#O>s@huuW ze`?D3`6?f~Rf8D4DdkvYskh)i$srQinU2}|Yrc{AT++Z8Gt*Xwk|{(+ zqa-&r$1G{CQWMu`Z_~G*zwYlI{(FD>>E`DTx9BD;urj|;KfQf;`1<+LM&1Ej=JDa{ z?Vo#lIHKkUFZhdoh@a=*Da4DVse>#$VV(8LppTyaJ)dn?;vd6nnllzo#ojj`Z$G~M z_!-EN9|!oar+9OOaoASu)T@+)tx6c!?IF0j0~RVmh9$5YV99^mOEWC z7)AL6cP|M0f{7MNVCOVtIf-UMF-utjp0GzIAZm+tmn<>j@=*TmiLff_53T@bP+HMq z>iR%%JJG#Oe+E97K=r}ZGV1IYH+r^VT;$P5T}j+W&*yL-ff-`4a6X3-)e8nZY($zp z10*aU&0BP8Ng-i7V=u#@?2Q-oFp$!Bi^C2D0$Sk^m0J*~TtTFAr%_0*wR`lBw{K3D zJ88Qr8m?+o4g*B(BY^>u2OW(7l#VR`B zXw*;#jD&7NVo3#z1dR|T)PFjCx_qILz8a5%h47f4;Xlyv0wvj`6O+(V1<(ZDuQgIx zrS=?M9w!FntHYEa%ED%>6@;P_60HZud#y%3I3{qJs)BNPn1ip6aSXnJQ{Nk?!2vSR zUTV>SfKL+}5sL{fkStjT*L%K(2j-`5Jas0P8iyy;R0}*O_ihR93r_?QF?2)m?czvi zvs}IG{)OC;&;1(4Uo$yBZFKkn5q_R!zIl4{UKC#BFG1SQ$+XotFLC+eDGKV(K zTxc>=3>2DH`9bD~YPp(7*!|`WfcrA{QG1*Z>KdVjrxZ|NNr)69`aO|t$j9iu69=njKX5#R@Ph3J$EF;0e8xidz%db=wgt0+EB#?+wP;dEl~1fID5Z674I7tNG2z+n{}H&vrZ z?j&oX{v@ccX99l(iM zcLL^-Cwf86@p3s&jf~cq9!d4vL0&V5M=KE|YAK#(NsxNILyTmH$|!VM$CLM_SNxuS zdYT68=nYF@wP1DU_G)ge7U9cdK37mlzrpJw^18~yKYq+vK4~5Iv(}L04Q=+qm5F*jJ79GczB%1U&%oN z*a{OcItHBTs>4EaFil3NFb6;N-@vdOdjZ#px8M;nK}BUUmGBaaozjqaZE+(MBY=D; z+0h+HX)H?_Kv2PH$K=Cf*w#Zokhm??F z+#>V_ez!Y?%onjtueRV~_tIp7{Q;uQpA0!$(m+gTLv>K04=~TgS?0m%n4yFl^fr(5 z1T|arHm{c{^tRBBIVe$pS4`{{kdR{!6xRzCs`yyD4i6Hgjd)5i^AzH#du*vZcnHw+ zFv}QLAy=6v#6lVs;}W<>(P5~c$;V`Ry25VjoIX)zgq>GYvolgPydO(9~2eg{P)Yte!Qk&vv~`czxE8()2RD{UG)|2fGWrIFR2Q zj*9bCq@=H|G|vh8>cH$KbT=WqU0&y!e9Jt9+P+_%oh})l0{dcgriXqYk9TizOzu8? z0ASF5Pp{4J3LK=%X@kKhyVzn}TGVo@-M^3I0vaZeZO{o(|8K3}@YDOh;aBv3!`R6T z->pA=43{t_TF<;c3gBGLpf^O^*%h52%V>OEUS^q7re>B?A`RhT5%gcok-wFxw-WO| z6fj(x?ZS5E%Qx$UJY)4C2ke%R5@bYZ6Y1J}J;0hz&<|TW+vCIU`nPZfeC^13>8BM+Il>_60 zexXQMFRTP4e>R*a15mgl?@9oN#ncN#nAIdxen*SQSfw?JN;aWuif(}^xj9l~N1{f^ zvQ<1u%o2u;E^RjtpFn}{Zy#*H%tWqJxD{eqrs}Fe7omwUgH8g!~c*AmEjjutlZbx_a5~ z)E<;u&30=#0VfAHFModd_T{^mw=dtn==sIo&CA};FMDs{WqaAXec3}}F5`k!Ac7Y~ zbIFxqSYjz0Hq;mj5&?5F<6%w)$oI{a?{ZY0k?OGf*1?UJt1}{`4Up*CHR3N_9hZ9| z7P8x%&e2HkfT^~Kb!2LC&#rn7$Z}6qQxTEpi|hRm1@KAP&Ft*W?58af6LIU_Ac94i zXyZaivz9>MuBDU{DrDU`m9N3%$SZVuMs_TG`B`%0^y)-8K-eY7cDN=(QEhfT<&1F6 z@@4tOR9WC!@l6*kEr|1_3($e=xx(BdXmPa#Rz4O&8w{pkuEUOkOTF%!Ch1z*BS#=$ zCG8~3VrVy5ai2bVtUQ~=Fhw1HWES!|+#yI4_K^*eylaXsmAW*%hRU28(_{TVkU6*j z+ULTZ1WTreKWZ^#nK0j@^@TAA&!_aC?)%Mb4*6!E;Xj3@3sT_;<0ktyX?=CZ_la*B z3lzYL_ixa2cY}Xr2OUn*b%wSt-@nqzKe9w7yu99;2t3C^awSmlrQ|VZ>z0(_myWMVlYExk4+2_Qc+OYsu;B zoTJCDHS9&xPu;@j*e%q%ty?Izu>5+wR>L?sduq3v;Om+%`x1DDnMu$ZnItYmbCic) zbH1a&iE*wcDC!u~lO>fS(*?3I=bV)p@>o=}cMCKqqa}vtsVLXOet!w&8l|FOg6hr7 z&vy8X)%QcKu{O%g&(AyAAcBznZ~fh52modpRO-D|pKbKWid6)F0WeDsS3_yS^NLoR z1=_T}d8Dd?_B1<&&VvTB9Rt1x?P1vh zT2XL~4rBQsU|_v7DzRzy>m6g3;1>U4h6);)qDd0|M3< zi^D&-|J!PFxgx;o1G8oVW&mkV>Rp8bHTLcnDAqc&^O-NGfpw z<31duB)x=9!0j?;C4dXc3L|E1+p%(F+UguxF!H?&dMedj@&Vnj_Ye1mS2XR_x{t%-T|_agdM+lf%QFj|M~vQKE03(zi{(*bOl-70Ri(H zF>BzAgoR2I_o-Rz>-_vIG|T-1vk(fAX+bZS5HQZUcqiKD!i!XQXonYo6q|k*TyE1J zKd(>q$2h8AKcSJ=J9g)N=N5oG0_+o1kS7Puk~xz>-9B|E42BFf97@^t816ykXq(02 z*LG(GgCnLldMI z3*S#a8H2XGJsBK)C8j^IFyN*6w-9x(lJZUXH|7#ZYv|l z^}(>w=4p?x4 zfzPIqKC?GIMwtg%lJH+LhKQU-L}pa{$225U@|;$LOGyD=!ofP{C?(i19VE&_YTB*p zp6xk$i-dn$zr|9v^wFWjltf&j5o$`V4Q%w5A4^SQQN3MQW9y>~}u|sZ!$fG#} z#)r?!uJIw6wFWgrS2~X%^~LoH@s%_9U_lUbZ_Pm+1P8C8#*{DQm&s;XII<8|TLqn0ro^=I^B0GDlkd5JcB1S&N_Z0^h9 z@dUm#-{}Uq1KR&Ar>dbRwB%GZ45iCL!`3T-zhj%wkwEqi%#+1@V`zcS1;r&26F|}# zLi<7W!cGd;7v7d=9rX#iv0+Taio!b59FOdwb4F$TyXWd2r2E4!oPiR-D_|#8w>qCv z`M&P^$=j(GPoq!-5}01t_DHf&lo=a_L>+FyeY!mE7<|&ziSZ}vwJ?svkCf22=AptF zJ%w|PPt4YxRmEik<;OTG&#?P216MWrgvLLd!heP-%C)3x31&5~X(oh4t_@a}w>r&E z6OM-FXef4}V7)oTn*TY9!E}z$EHcT6!@1x8db9s<_vP`MpKl%>?rtAY@`c!T@7Mbe zNUT8x7$V#M@gM)u`xb7k`-k5V$5v}*&<_#R32Kzg*tgzQLFw^KgIOOC+VwO@av>TY zBqULbi)M5XIMrc!iF9OhjjWkcT+BI_fxi6Wb5Ev>1SP%Ubec5;sBU zJv?uE*mF zn)!ad^#E$^95@8|a-OFVoLnT{1?r|R&wk=hG_5=69`m)S&X5J-)0$>yQl;dal<3pZ z3Y-(1z~)HpP^6}Oz!nohg4Kno-2DtJ2nlH;$Inri<;c~**a)?Sb;Vb;dLkWKQzU%a zd|>mw^cSEv5GPz+VA-|YKa>uEMO(s~qZY5h(rXIQejZYMQ5Npm~c` z5=qB$ICmt_kE9Twd60XR!Wa`(1M4=4SD&OTN0McRnk)k;hmMgyp7FoJ!7w%eof5bNcdwU4K>i{3u|o>J7PtELu^w9ix22#I;BlkwDT zZy(;?e^dj2MIci(01z1JAADt3S^j1rqF+AW-S5%PAe6BwNu69G{{@Uxa_2)Db)}I> zI#FJg#j!wiL;w2bn~O^vE4Tu(Je`9B%d$hh-KTqG@ZLRsivU@=A36M2L*qYkw+>D) z|AF)LOe5~4Q7>!WcI~0f^>=U>|4@icJ`;%g*d;pHa$0@h7a?Q}|tA4#JQ%t4H#za`y&3y&8pC!-qhb169Bgm9XXAWBwHN z88qQjg^5*Rv*lm+8#t0vPXaArJAft|rgSg_wZ#t*E1Z2XgG9(+;lYqyDiqgC$&e2# z{tdpzK)A$y_5^mGx^hSfa)V?c&wnq`mmZyHDgxIFRy7?`K%Sg0zglGThun z_WpXSC?jk2!b&3laXJ{ zk3}}-?}%6{SwhY-!1uAJVz$luhrQvEFc40hb0%MP3j0@iN9%I>irJvNAj*R8zasRG z8zH6PyT7nb7#GA!6uGq%BBggyp)8qGm_5_CR$J_nSUy%cAO0~X=X5x@0TwBlj339*}pz=9c zg5Vp^bY<}XkfAz5++2GqU;mr8_n+S1{o?OH!3c#;l1E@-{YifRe!u_n9<2}ZznkLk z0sh8EzWr8yNd8ay+w&Lx#kl3mo=xO^{)Ip5|Np--02dlQv`0bja)e6G)oQut4bs0c zCHs4TPliu08$A7l{GEJ4{^lqA7BIPbyaB%DU;Y2>_>E6)tMK&1_9$>_#SC; zJF97ZN*E0zA*(mM8-A!(8cPQ%1Ae#K=j4WQlSmPX1-%dg_i%GmfcryT+{qB-+?qHp z$`*xvSFVsA4;@ts=l)=Ousb4?kQm{rz=+XnxNlI9A;C(%YW#LJOzr5<^%iIl>q9C>msqb>lMSJiR0LAm?3t~!>J^sT8#$zt(~ z&KJRgFRoW?_HqXIE|HBIW`4ba)}SFI$JPh~`T%mG&<8PN9(_Xcie$^GJ|&lve5E#| zw@ZvK=W*vJOOO#B4<;WitG=qi$a}ydtHuc67>HWb<2hyo!iDS-gk=TXFzhP6th;9z z3vaOE^y=2HJBhbPg}_Z+_fY)n4NH9Li_}Pyt#IIxeo%=kp)JP*L`HM2tZmfP6s53&RCs9Bj$qY-DQvEQ0W1m6798!BQTQ0uyp`;8@Lc?$%=L(IcLbPtRna zl^6^hEc$e5Qv{|(Ny*NYL;5wkpBtcj&URNwRW_s&#zull)daOc@}!_PI8H%1P^eVp z2h~TVhuyGkBr*`(v6s8`NMUL8!~+iW#8@ER;dbJQ2f9bm6$FCdpfuk%hvU)FXgKaq z2hbu8$G6`hnkd51RxG?2Zqc^5Ar#U3(+v$x-;zzI(9ui-Jw6d=blxBM#={9E8}DwJ zav)!n)(2C+_)#kb!?ehwn1#X9dLXRkg>qH-<7Mv%jL>P;N|REASS6u}9sNK-Nd#vq zSp=?yYYahMah|A^3Egne^Mk{U|ui`5zyLFR0nf)YjuVXs9;MA6%vKw$zJ^8V(- zm)qQVDz8S)@m!aJFsH)UG9HuPQC?hArbE=O?7qV{$_I71aD9+M1R?(-fKxZ<+g*{r z&DhgDipXSM-aGF%?sI6MW}?|KA{u-PLop^6m$C`GwzLCF!IBVG8#m0$k5(QSh=v7r z*V`SGksw5po2E1L1xa_1{>9MEvZUJ$6xjL(bs29)!6o~C;x<=geYvlJn0tn z_QU;`=YbWfvuG8eqU*2S{xyz1Us(26luSGo*O9wCTUhp2fL_M5jEEYwyS9WJQwPsO zJBqYMSMwTvVzVadI8d?3R?tQU#FQu;qTRpVIP){%Z;I<{IMFq-VOV;tVL5~u46Euw zITWi$4WQ%d(}*KKu$O4bEZfOeVG!D~yaCWb1`YINIDnU@0MS`=7r^ZXb%RppQcpJ}1-*H&(0K+%T>szA^V6@4(Oro1Xv@7r&);R(8F@=Za6t zE4;8Q9tO8|E5HFU42B)e69Hs|$k*g`YZRxWt--6;$ounnSxn`+b=$X^z1i83o2YF-)p&|`CG z8@j@VlD3W21yVqf6O1`1Zsb&%Noc$+G)|>Hgh&)BCK+;&>EQBfU?jbYWT+m$B*`hC zCj?nOXd0v`GJ|U&94th62f3}2UvzoCxzYgPFsMW`RGDMb-A3op-~;+)IglX>K#N9j zoD{DBY?JeYqR|S0fIBb@;?JSFd)f_INF7lsJ6N&2{( zhCV#Dk!A*V#^$$CkT@Nc02Cbp-wjuse_y za7{qRt`RxsI2+Y*Js@I2kAt5K0r)rYJ_=hXLW?u}4GBnwQ5*5^s*GnxF(@WoujvAj zxpEY#ZhMlA;3)CDIUvPsy}LpX7r^x59_k$OXRAz*XLCcxtat+5ry#|(VLe$ff-x9& z)f5ee&leS8P9z&t0+MW^``87#vQVe4)--t)SEi(RJSm6}27P)7e=Eg_=jTtzpYT7) z&nGHA%xfAB6EPfyqcbz?qyst_g8sx8K{Ij{EUTlNUxG8DE^p;Eg7kz~)O2yOg3-7- zUu~Gp`jei_l(%VW1z8v3_s5CBlkmTMj_yKc4{gskKWW=#SG%2{SYjyzrT^+%qzVm} zo#?4;pQvW+Bb20!bT7k^&8; z4Vk0JqgaS9A~`kWA5ke)hg(oeD`OR{v^I;cM}2uYhYSOASY5A?(R}b7vgB7TZ7?c=6pFSH}#S(=DX!;N&Z}F2+|ahM0dBzI#s5ypfDcbz_UXjUujTho^j>lMq#x zf3+E-Ge(=mZ9%TPORIu`_k6ECoAM zUJ@!UfUUQqr&EeCL2`;?&jnY=Q`3p}da9rZgHQUeF#cqU8OEic$R)iQ+!o0M>K(Kf z*hokh4GuiI^Mat2@{w1_ZEy?Ce84Vb}rLV z=HvX1JjoTQ7#l>V0GY$|w>14V9r0(bjLT!2+-~P>Q*0+W+gVg~6r!qlGd&JqTYB23 zRMnga0O|I_b|a-m8rn$?Vdor^#b7`lPA+u(Mp6Qkc2mj^;Nb|q?KZd)(lf}WDlX~y zc1fpouvB}d@-!2?oEbI}TN+KzB+XcC56VbQi_86(mNuuM%8)nb#$n6362fUxO~JTf z76O#~#{h(K5 z=M#1k>ZjWa3|w zFoAW~uMFp9cMX;vxI^}3=^SG{Pek0{Cdu2Ah@rG##O?FfN9lq6^%G-Ux9{jv;x!;t z`32yqS&A`9gYIDwG{eO-p+T1_Ja}Fc9zYRRCKST0#*@Sh+FYaGNtI>AVOezW z->@`6G3f(24w?zl5H0$dCqJdoQ-ZW&$A>cEw>2bzRi!sqXB4D%NxrV)@S&>+dGuW) zPCAuwdx6kG%gr?Yqzw`y5wZ_pF07g#$-m(EQhx*1qNZ;%P=ul5ZPOc#+?VnC6e(Y6 zehaoP1crQ#R8vU&Csb=k04|58_V#gq^8xti2OoaD`S9}7%bO3t@IL6hdD#Da_xMGx z_6`6f_gxPe#xm;0cmoAeX;_jD2fY!X_<3HffL9g#?RrULXn*WZ6f&{M|2fG(%aWr} z9oJ)#d_f=#6v`wY?wFw;PcjOiWur&o)hST8f%c2o(0bdm*a-_NGyujQ70Y(0NP&fH z2#BxDEb*A38a3e_NsTO*?N)1fJfk}UxeM?Wu&=}%EUJ3h3B58%mBw--C-k_{ccHCv#8bDPHRDj~&a!It z{hU##yGdN33BADoS<=KP(``eM!^8+>w}VE!|P<)e|9m~*3lJM z-b_9K+fTU9tLtkZCU-?5z@A<;QGpQlpuC7@vvgmKq&0dQxJR!$RkS}PTS41^G|1dF<{P5W z1Y!3DyO!{G!Z|$t`tlL*@z*`78qpp100aK#pYfLs0%;U8BBC9e0qm z`f&fx+Xt49?mymq`A5nJS{MV)2L3>Ov^{pllP{2xf7Ve3sz**|=(4y|^{`deAWqdo z*AjB4>fz9HUJDb8E^*`-CYw>8pkGc&zz{s$Ao!g%a=`~kjk_b2-1I#yRV9MaA(L$L3FB3 zlXOM2Ew3EQB}iObP;{J0pWwULF-`VRL>{{4vhz0e@QGkZ>$* z(lQ*2z0uQQ@jRLU9xUeBREjarJi*iZ*2Nfm62{teiHJoi(0*UIQ1>9u0o&V%Y89u#N;SLS`xfH$KVkunyR-1!32A5sG;k%mz-XR;{GSO_xAtlh9 zv!Q7wF+T8o_3Qqw?NNDCeno;><&`nzW&P&F9GvgZkhF{chVlhKTLvR>^Jv}(RO%Sk zQ4D6J*K*@1ogTachiRxDJ_~@6a#w-@1J`wXOJKmziN&Y4lm1#WAEu@TiLru+5PD9H zlEhLnF&YzaVhGBpa_SUMh0dnD+NeE8>D3ou)<_R&;>AW2F};>ALOMP1TjFk-_zvw1 zFC4soZK=Qsx=l#yWSQ92g*LDg=&dk6cg-&r*fu8(|0<6Ma!Ywc+~7OjzByg)PQofx z#wusKHcMB^PR`IR01eOh)#xP5!ZyQDX|Ug4u3-f-^NZkOaowAsv^Ph=IB&A6<=Ur% zN)(YlP8N4!;JSLp2ULu*D2{r2Wm~?QeIF;iNEKdNudPeFkZj~?xxI1iPtj7Pl@90}XSaEQtwJw7B@KMK3+tBq&Fwyx`RkriT8qC*S| zlrwpmWV1{~U~;-^!<@-l&Qh`%$Qe2y-M_uD?Y~$-*FIj00=^{E#%Eak6_Y}8gTYin3yzjRGwskNRxzx5WmMNPoMHIBu$Wu8 zOCs+~uNBXi44Fz2(~xK_X#%01Uv*ZBB_4;;6Wp3W|0HQxd>bS$RF@=gQx55?M2ku@ z<&s=D4J;*cvov8E@tGw@fM20H{Ni-609YzkCFrmDfPTBVQ~SD#TQhNoAy1m5?6p_8mCJ=>FMZvwFDGOaTM(D}>V2q20{zCC;s76BFM>$Tr8d_LzTd_0#g@bgW$s1~4sjO)?oW zmmUXUVd4ffQ%Q;x7slHUw>J;)Yky{&8vbx^w>ZE3Z}WGBaD_2I9 z9=X|`?;Q7-wHP&Ds`UGiqkEW!^H$VkV?wgGy3u=E95SDwU+!BZpl&B`kh5zo$ucln zv&~hov`2h1D&w*ht-J+V#Pkoe2lT0^yLe&`{bkPm#5<4vKye94eX94eMZU{zPFa4_HCAo>9Y@B@$@+%R37Uc63aPIldv1n1gRBsuhf zb|Y5;mtpF0kn;UO(A1-t&n^~nJ{?P#5TOnwU*iX`-6<<0&bBAO*JS@DbaEQXZ&8Gk zmz*xI8LxyR296NX>jRrfhn#S@7Ucw?9Oq;?4j?*vAaBG}q}Gt%v@${N3EC1jN~ro! z2MfmF9~OSXj)n`AUD*#AaCLehaZ5-Yp!c7gpKlQ==^XMWsZ7wf(*qtWvCK3)JRYJM z(-Bu%p2tm$W11kAbY!JCwITo7_Gj(=!KS>tKLs8@6+hGs$nEI54cI_@MiJa9Zz`EG zveRk#sNpjX(Q}pG`!Iu`YcuW8ZHvGJA&w@M0o>h4xq;T1{to0dr^CHGH(HK*! z4<>R*aLzMp2X1I|4x>s@CZzJ4R0>l+%idLGSY0KcD<3Ttpls1AFp;2w0oVlD1>aAI zafbrkKuH=L3Ir%*kcdeWdbL?bQP4YwsGRph1^X5+uK$p_LXCn}bO?GX{fjDa6h1W@ z(%X^+1p<$~)4W|mS~74L#71dZ99Bd|dk**1Q!)nAP@vamfu1Yl&b-o2GycX&U+%Ex z{X^B($Z(bI?tfVQWIH^gnHrDJAmHBzmyn07N6&Yt9*j_;h$4y0H99H4cd|VXy%c%} z-=d!-S}1_Unj&Nz?P$s;VA=TT5+PDY=Q&<3T+6Wy*UVO+T`~w6m8YC4(n-2|?L}sJ zSUa|L7&FeOeiXGJhk7$H09-hS0~O`$2%MwkyzOL`kqfSjQ}3B_b2VTZ1FKMf^&5SZo#nUk0J_j2~+uBsI1AG`Xb+ zZ&cP>gkmud$D=h;IUemfdOUQ;hoM}Q7`nu~pg>u|#k@S#N>}903r|`s(5dWL`O;Ly zCiPt<6@jJlB0X6Gby<=5nD@#@A(`+wVGulfu9cOSjqJQgOifjgKufcYBl;1V&5%Ig zD@fZl6~+RCaKW91(jF!pbK$FVWZiXzkdR388!LjA+Ui5}{U`2R9b-Vmf>iGL?R_TB z$8tDa!l=Y|5a!M&#JYBfY`CmtoGnUOvvo3~PY;nJGqHC(NZ7 z(S3^Sa^jdgCAWkY;%IqYX?_!wuQB0QO;8VcZI20Nn7sm?{}9a|@CvdluzA&c=~;3~ zQngUfkSLN&Y}dr$F9+X$|2^5UKiHNtxOWCbmB{d6lHx5Y~MXe7e7-+{5S^X7@|bH z9HKo7V4yX`E!LFPm}pU|WWi5Y*#eRoMK#c4l(P)+*n_Hsn#JiSnKQsrZe4N>t{jj> z;ev(Nu>9u!Z+60qLHU5WaA{@Ckn{=r8w~Gt>bA$3H#ZW0GK$iMP!ZlLzLy|z(Xhx* zbU57fOTm^{N>r}1pwS@2QcO;Zqh7k!^B-i-29eM;p&}VNr*efv#~}M&L~R0C zf9iS_9!9MCv(;;?Am$^9Dq%Nf+2tUhZtaQD*N8a4&TC^Hk%{zh@m6W>g>UKt8mP%k z<8n^o!h}_vV2*4q)ef`pwSsna*+}CeDx2Ihms$u8AyI{Vc&hrlHFOiiH>>50zDHFy z;%4GQZ55>r5E^t8M+nU+c(sSE*Sb`vc9F;eso~*0NYK@3*nH4zHc;90))cN7_07DQ z7kqlqF_Y9k&3|D&IQ@oC4EmGTrpWG)_k@HXmEPEoGk9x*E3a#cZm%xZeApyKCocPj zkO#uQ`~BXLd4>?~pi#a1{qyZNprsd((kt(armlRKiUNa+^xA z-L)s|{cW|mG%JAV!{N!Da8p=s(xqQl!VKC>dqm&WnI;cUJctN&1SeDr{MggETLXnN zsvJj9%#=C6MZLNN^nwY5W3~9qLKC5N0ktjcR-)zGpg#N61y}?+>cYGG>;2t3gjhgl zR=9$5pM*jbxektzr-AF7v6?yu&3ttZ6nQzpfLlbN>|z*OOJk+&#J-OH&EmiULbh^7 zDwFh-;NgeYaE*24ykijcyxrJ47+*aze!^6D8gf{CA_Q;`!uc+)S025bq0j9?C!ik& zO-plpTo`3$(O`^{7n#U>f7Vn_*`TKD-DLt)Z$3YHtm`jGG!4&RT(3NTIYXbHr;4)3 zf{@0N)w{w|N1FxRBS5mp=SXu1l$l*uqKr|uGMTw+#2H#`To{_lL?(6@kj?INGd-kp zp4?>*UzX7>yQv)>AYoV8>OJmS_jCgWom_4skjZCGvbE=DhpMbAgiYG8TOh+ zQyrRJVB!cwP??`KQ;I*>8LQWqXS3bu+3MgCtP(*|>4w4IUqG>Cq!gVoBvfjx9pRU_ zdk2dG`}+VHqWVO%mw>+r`{w$5^$NU{m{qga8-RQikW{nXZy#@extXrUax$Kp(@g%r zq~U;*z|m>PGRc7d1PaWqR_HGuSL#b?5^;naU(Cr|2b9K$*11?f@h8wKFm%<3^$hm0 zco)Zq4%aerSeEQiqWH;epG*}T9fm`h8@HFsvU?>@muWE3q8KKYX2fBPnVE>u!lV%LM{XyyHH$1F(04a)KK?Lj$obcCD6WWuh`tg&dD4%%I(-Ia&N)4oI09%?|ugFak& zW@_;MEDlpY2voPtj#n{3&lef% z?6m}pnF^8Scw;Xl?oBt+>S9L57`b~fqQp%y1AGt))sWhQ&{*jNAU%S*IE@cuq6F-6 zeF=pjEhZILe+$=FydeB$>z9!~@Ei|pW>xg2pc^!kzL@{y)W7o;ym8sQ<6e!M_V2 zHxedXhuqtk3xYFko#u{AXJ)r9emW>?=TPBdZcutz_xJ1P&uN($`Lz^$1W|{#QzXam zS5#O;|ae+r_Z9H_i^Yl^;pWNqVTSetrF2+W7zk{MVo#ZeOtOsMcU z_2J4xbxib~(O4|xE|f|B7d+4IYAPr2gD#uU1*Zz59dceXjS>BFTsR4Z;M7V3!n3P2 zunmG%)*92D-CwNU&_4@P@N$73z?xP%8u;NbTQ&)Hx|#NeIL*!)Wy={?OE5Eg z=)ai?VBS!TFZB574aP#ExPYAFiwih2(9n`O1)LTrNash>zBXp;C%2k29TRzGstH;b9jyvy=(}j7F=9!0^j&67$+IG7y6LAFnKPN{5Poi*DnEhh#I?B+l_ZS zNQ~a&EG z$g3Qp`_>^66E?2_@v4M^{Xm-}3pYV%odW)+Fqw)`xm^$!Jm}U)1>3Zj9Q8#C@8FNEJhfwzJWAx&8eu%S zyo%n5+TfEMKi)%LRN^ygHxAO=S*PIaVnM;_SbCqv6>w?p5p9G)IZ)oO7*|kKwLRT1 z0v}D|{)1ZHu(7-S)$z8FA<0PhHX9rr1V743&i>XDC3gxdc8_L`cOM>6Z~pef|F{k! ze&oDT#mLP^^qW|1GeA!9^ZCSBm6%XW3VCNV@SW zL0w3$RVXGMDcerqy3%?e)sw#-^}Sy!lFWN>Kil8Tm+5ES@JCAC528|)p-vcRX5jp506~u70+9Y zAkiHI^nHdl0rtwXZ9nXhV#GP*f8_Jzp(sl{$!*CbHy975ap9d@Rh&!KV9R!S*!S?$fL$f02XYW6KF=J12J5qAxcWIReK?0DY z1T7bbC{V-mGC_8g21a(`8*Nk)ylag5YG1N0(;oVNcN2N- zSHTCUItIk_B#gy8x*$ia^%@erBRDQIyg65HvWo?0O~(*~djI+U%lre>^X@@DYzRsqysI})n)d%C3@(dx_mlagu3*Cy>6Xv7x zU9e;)OU)ubI5@bX)%+*<^TmHQ{}ttnZujQ$QUGO|*V3WJ`M~j4Qj2>}t5fB>T*Fq~ zT3vN=&e3Pg3~ACfi84s5a-edaT2uIC?Oa<{R>nS#-tMIZ+WzL@Hh^oOgHqD{J9Q1WatvK<0h)tV#M1PsI);JmNZ+#w-H@z{ z*8}8DupiWXm(<~mqyZ~KI|NIa>bCGph88QH@M;P?-Q zNt)XLoFKmB$UJVYiq&3q+7LtEPuIXb{$QZ1OG!z^nv)-aB!pw)r?@v%KaLL@b=D@p zK82u-ZGLkY3%|Q|A9F%>t4D=K8WkF$^kd$CqrQ0@;GzB+SQQY8&`*A~A#@FjMFxF2 zUxC+aQ!mYf2YFSl%i0zKKtGk8V>-}eEf&a)QnfcGeM&Nd#YxE0E(q8? z(5({m9)yndwdZC6U{H+(AujWI-2qf1@ts-LteeRJ}YXtQ@+Jh?I zqC*n+Jray8J(5dCG80u(Ftabb_?OJav6A3icO6bQoL*mHRmenNZD1arZg)i7|0A>f zRXgU&JMZ^rZP=q>bEddQ{6&4KMumYbY_A}UETyZTC_;=OteG7a$RjFAVXQ(KwOL9O zcMt!)f4KeS?#m#O-P?bNW)D3DE+e$!GD}~`4p7jZzoYGr+?l4-f)#@$ z4PVU34Bx0fUs;|TF0G=3%$aY9IDPpY%lwh(o*%#dCLo`mzkUFDLb@Z7VF8;nD=Z1o z3kZgy?G?oKJ$@qOz=*q@b zs$(E{NeCqDn+oEmCi&5>PnDA$PLz@c``d_?hf1fU8$@A9uzbWa4%pI~RT5|5IK9lL zgZwkTeqMiT-8}L!e@xc|Aj6>4%ctcT+bgB%-v~G1R1BxRVaUE}hLftdZ|K%t?(G|n z-P>1B2iB9Kg}!^F%e}jM{~qPOf7g8KHu!I>@Ol5ZVu1^PzW+c7*A4Lx%;d{%xi`nO`5AiNXGO{p5W zZRtrEloY|RF*}OVRFP#;-bPOo7Lw8H7Ihk3+xO6efqnH_l-HDWAj%DnQT4JDeK{yJ z&%@>TpYr{hmhU&0=o$)L#Cc43Vb1qz5Oo31S397?q@5Q6qZB!bY#+wwvpBPHXYYs9y&GA=v#_Vd^M>5PE7bf-?$DoIlleBFs>#ajq(LRhGIM zm}70QLOs=KTvl^(pvs3m zAK(22mv4Ug0*f6U2&ApN=>0#ve(yhg2X5Z}_g7%}!HRhM_2J9iueS&GDcQUn*p)$P zY4YpNP5Mu z;8sNmFWjm|1kpEz441ikv``O6ohL}f-8CEvVHev&l|8IXN_G%IAJ&R$L<4!ok{bkU z6O`z|Ts=qf?_8_(!1$a!g$f^7QZ^IBkNC|N=YM7m5KZk5xM4m(o{E+i4qu8o(WJx=sJcucLFCA z$`;}(XbTlC6nB&^%GvU-d%{(lik zH30k|&y2AkhfqRkQ(2c#4(ZqGUW6Aiy}J*coBso=xAc=i(}F{gBE6TO{=0lZ}Uzwzb-?Zp{`VK1wK;X9k#inUIM+_m;kkkHF ztY(19CXNLf@Af~?6~D}51o#QTlt{VupwdAa?%8iQYW`Z0ydMn|8OpoGd?EQ%IxIwB zr!~VbtM&pcXXvAFO_Up>mJg}oyeg6#uZ}m%dd7uqAU(E5D?3r2r<=jC5Sf=&nxbKp zm-Q)~(hq}lpebcEf0%@qno(L=-oTtt3wPcc$jPuZj(cMFrOYX{;=xQ-*!8GR3>DUy zsY`8T0=ZxY>!S5`{S4U!MVt0;<@Ms(is2~yEiF`ZNJ&<1C6>k^=Oxa_($5MZvDQ`E z)-BHa>$9tEk0~3;oAwjMsZv&vsbnah9*kW7PqljLk7K+Va*PH7J1 znWN>9(m`9r^&X;}@5zzYv0hpsW-aSc=bVrEqEtRDYf^|6nDD_VjE^+?%iZ}kEg`a2 z*hcbS=KA-PrEG@W+E&DRNNEqH5wft9OZSH<9kXali5)>@1$?KugKFb90_MMCDkN7J zWE_l+zVu8CUmW;0N-8(`9M_nKZi89$q~GZ|~RP4O&Ug2${o z?!Bu6$z2=xe9feL>rwlI2V|I-mI|Y;bf2ez<*i_x4fps}62|gLxfO@9`%v z@DJqj%N|q%(ClEp+?|4Cv2=ndAORJc%c(;s;aCf>!e7 z%k9G>*HQ}i1#_bZwTZ05vv>n#iPlNAQ%Tb74HV$8{4Mb<;LxhB^@PzSx|obtBy3RH z@;eDtzWC;sTj|#eq(lC8fX=*kpUk0y)YraiY?As)6JL1@UJ8DD*psL06HQL&O~(>Y zzXB%J0JYLV{|}bj=K5l`$O`1U=IDX3Tc|HYY6=2>Lh}Z-Ss)sK)4ASl_Jn~U)(J5a z{sp`f{Dk{RUWd*&zp(%E{y+Qwg=UU%!u{9Baz>NSxP5piXAF`VpKky8J$z8NpC0i8 zacm&@{Ki{0@oTavqv`i(FnRk94}w|9Ubt9}rr=x1u15n&f3o^Vn$}02{gy%NBZDWt z<>S{6kNJb0U!f8BQx7H|$sSBT^3;RLN3sW#k398YH}Bphs|95Wy*AAwFl%(+=6Y3=m<9?&OtSNygd5WYJ{BpobDiR;%(DGBnOsqG7LJC!BcL!j>@_sdgDdz2WA1Vv zxyz88@+#EIpw%P>O);V`q7L~ISKVE)j0UN00@g7c*{=4-@ERjbhNu8rXHuUwmkIcg zWgR9ilNB+5q4=Dk79WfN?)@U#FMXz^Avs>uop-I6N4$5M&>-&etR}c4JKj&s2 zu|{d2wIffiM<=#^U}1oAqgaS~aG=Tja%C5b3AS@;i3VW-Rhdfb=CnCJD!4I?X`S5l~E-vYDn%E zu@GEZOI;4>hwLE4OpGNQX$cWm$5T>XP>v(zU90I(+!&8mr~q4&qjL{Jy&7G1kpeV) zh}wFdB-YqV$ksr#`C8Q>*O#gjbmvsACNFooj#+)}SHoz9%Ifp@1<|++se|#Q#L7@p zw&@2$BQdhmi-i%Jen5RZ8FB@4W5FiUhH+b<@}4fX$hccAb_{EuUPy^1kT0%6OnaUv zj?tjbE|w1xHBAsET|7lC5Bi7Vn^0!cHRQWgnILZ*fOc;uxt+N88n9>gGLNLl)UX;opm~|l8Tev3JI93wE>d}+^S=|LQq{Zm81%C zgE#HkD~AsgPAT7g545rzVDJY(f`Ad^@}Mi2^F%1zxjb-My&4r@nQjht zjHbK=m|Hk`4mOC<06y?Lg z3oS!}Y(^JRJ_CL@4y(l2+n1fJTb~i44mqc*_4f1(?Z);Dl&-&HwrLBVU(FschRkOP zoyi9LtIA>dCIGJO*gM!WU>Utf0%J_FCAJ}ZcH0D~iWNE0fE#GcvMoatuT%-c_fUJW zmKy;_Z=;A=SBv2cFGOPzzG5a>>`}S_^e9%&9>^#=il{l+J!AXa6cm*Hfho`4236+I z-3C)|n_%XvEhd^MvqrUI1RDY^!`CQj$s2e?Wvs#T$dUww(kqAKvL=J!efZ*6#B)4TSzz_}@49H!~5CBDZs}HpVXH|L;5Z7>) z>52kR_m@ql=oxZcQ>|mB6J~n|(V##7YW^2ox`@C27=QiiJpO)>{Ql!y2^z1i$)|aDsn3XC%MNlXTR2;qWjXQY!<~ z%rUH7ZGxdP6$uM+w`!B*J9$$B(7;14VMi4$ z#KKi)XYEhOjRd1{bG(81(dBC*NaJM0Ieu#4XfAlnY{yyP4}WAD4)-6KHW~fVFa1OF z@U1p4W(e{OY5TP8vB@nafbpQ(mO-KhsjvR;*)TY#K*+NtR?Z#Z@J@NE2eWoINJ52% zYXTNU+E!&lN)jVhyE~$1Ilc3*-73Z1JW%R#9qkW3t9KtNeX!aEUunI&{2>8?s0~(l zUUeD?BF3xGThomu%9K=1EC)(mu^0yjz#Ah@D#L z;UTNZ3(-)`!jR#yva97|rGq$gF`udOZEOeAVI%;z-y(Pf45=NLyVNOf*JWk&+R~9xQ@uQ0cRFaSjT#V@UL#5sfRi(yHFX+RsDCmo-0r~eSpw*>E zp9@NVnyzW`I9xB}-9y4$9!=zLxCVdGoN^>Jz5V$2``iD#N!%!CIb5C&hgInJgH&e? zR}EAHnt|voSk*!5<{LmJfuR|Lj=n;{21?j=lmR}M%v=&y)Ai89$H>FtWdq$IS>?DI zJ$drQ|0a-K$ zM~vx+f2=uxV#I$y`KLuHZ8E8!000L@GJIip1%Ppk3_^$42Kp;F#0yaNG01Q{KER3P z%QMNQ@D&9IL~*^MW0f;_d`dwoB6Yi*N4Pg`K@_xMymJ4pnfg7h70_C7Rqf=Zr`t9z z@+8c!il`_J(&-3ZS$f5VezC?%2|I7iAykrxB!q=p>4)X89+e zA+%Eg2ALQz2;I{|M{^4#C0A#GoHE;CICD7+6iHZxR~OXa(d7&4&r(CQYw$%>j1Z>g zz(L59<@l{ovn5NMu2pGV3_ul-P%|1rp+|M~5?$9&fw_>fa%j9g{w~#+(Ne`)=`L(G z=Nq}ZXU)!=K^BFM_Gp7#{2+;o{4zGs?jDna0HvxjgM=e=;X$Hei*RU2Q{gwImz5S|nWh5($Tn(Hcqys$>*X59Zly_EGy#;5MKUWabYaAfS@!w#+2;N3 z?d^j=a_WKI|8xyhPUI=d!2MoNqV@Y=GrSDE{C5xk2`PntPy-<+m|vbFiiQF#M1Q1^ z3&p%CNl=xxq+m06r!GI8YLY3kw59!`)hw3+BHU%;WOHoglHA!P5M)>j&h=`-?&Rp> zGN^SvR5%$J+@736JKU0{5*%Hh#N{=ooH!#FdVu!)~rxTGBiXnO^c z=V1G~s_W>?M*Ym{VxVPb`b;sZPhom8auzfYil8n^1LVCF^H?X0G%413Pq4lcfUH@= z%vkdk$f*-gfETbp5A#o#{0YR*{c|c6*v58m;&9oIS?e=G2~cvGJz~WQ-#*{p{`whM z0)UYS`Y2o@C%!*CL85l@nk|XJ-pJ!h#)Q>0C+q2S*qWoah_ZOl?~=uX{?oD;bMl?N zTxw-L%0N7ra(>C^6;s$}{R#ZPtU=F?gNmp$Pm*32e*;6H5DPcg&N^o38&-U*+OLh- za2(9qUqQYEQfv?E9i5j6HYbrMH*4lVP|n8(#?Q~a(}ZYm5#j~{{3+M6&ZY$ zQ8)F~at-uV!G8+r|J+&>2yhv}saX8*^A!?n4u5&7F_9E=#@f!jJrQ(0rJQ+yqg$+z zdn94>>WJ?}<&(BY|@jRv?&T{#LLY zSNR&q{JuHV$l-?`(1t4Wig{pbt-(=D#<={|~2Z>i=(Gg(m#6wNze58CA_L z%LQ>(QJ~9!R6Erxl~RG);3hVHF#zdHRt~Bi=)xZ$gedM;k?_nPcL^z1TfLi=M{Dm) z4_$`TBXSQ3pk%0@&TKX90^AsI$kc&?QMA`Jqhh{vP9<$)rvhCmfHK5Ko3ail&F7aF zY^yieyWW5MyyySuUGMkaid>TQXmdcCHNXvm&H$wX=AJjKxcl(o{^sHC!ycvc{^|O3 zu|~dGOmFvbFnmtv*$jDJew_;zb} zz3$)H{#4*oRua$e^E^C0Ve7Krsu+~}#0-)4Zt|<69Fn9x*Pd!aD$VIYgThJwd(tpC zL;+aNuYSNmKefX~Oa_+qrGL*nVR;g8b+mE_>ht6TJo|8c55Tf-$e{nePyB)(f7}!N zg5P`p2M`PXPmtvO?+D23zV1Q31O02iN2s&s;B)&u%>T<@dMMQavH{MGeC`W!on_Ge z`KLeaqmn?iVXyDA%GlH8OJ?mTJY5}4mGbs&g3*kfN;@mTJzlRf>h)D(AjNHGO zwwn97LvtD%{B`kvC|qi~6E#SksQ%os=qOM>oCQyzQ72R6l!P~zbs=*oPK$V1Du<%6 zvbmwGPNMH12htm<`8}hYPg9zOx52$;c%l5wpl;m`=t$U$0_2H_l_T`jC*&}NbfZu+ z1XB)>+lk5_jZAHft`n5ZtJ|JhXFmT{EWBf$>|7+VK^MC1 z;AwE%0YElHMOgHwA;So1X+!u%Lr>o2-n-|$cf^soIyq5pFMa_>q{R`T8?{JLJwzL? zt{hbxbN*fVw7jD#TEbQF7vfX4hP3u-k|~NMMi_ms^-KfDB-y{#m~I`<;RwMSN2h=X z1K|tEhD@7ag;9S>(cE$$OU%@slD>I;1He~%3Wd}$ksoR%0DG5gklKJ7FINwE~SG_C8%I}VfkEy)|L;U?`A5+U}8dy z8UI!{)8@_jreN&JfUalBuv^T9T8=T`qO3)H9_}pD#Y(u0m(<8Cp%pGqjOP1;6<77C zlA{x_@q!cBmGkj1ID)&l^I(kKBMAhdf&}HuG#u0()F*%>f~)uS`vHx%Nx?u5cV+J22gvO8YhE6UT0d2oEuBx8Z&Wfr(t=*gimrq`aM zFU6*t{O0R-`c`};dn={3M*Fq1ry4xoR#Kxk9S3h*rV1y{xMjlwmyiH*dz93$;d-2Wic6rqdyVWyBoJL@(f?WTvyO0ayiG`+Rav z4$}4z_Llks+d+yxN(T(s!TpD?Hw*l_J6*4i5n)qBOCo>KuP1b2ZT{e13cjiG1o{-+ zvWj0L_ZU?sM9vIbi<(k2H?_$$b5R52PZ&gF0-QT~34pn-%@M+xldI!!-m<{UEL00X z9vP&txkiR?`iCum+n*j^9K|jQLa#_JELi+tFoFdP)dd2UPir9qQc@Z+u;(2FB+%@E z2ca`&?(7%_Tum2I)Q*v`vn8N?LcoD*xJoyaa_{(17Bvynq@eWFhbyX1$3$+QQX^Q6 zX8%TiPaxWXA|?0=wArM%d%z>D%|*IpV2d6rGx68&_RGk2jE4a3jri@PQ5u{;_&YuU zIJLYSNZNAqoTOXRgfbO+;B3w8f5^-%%S zWVpcBu>WrV{r=7Vhy7pof7`#^|DVr$*HEb5;tv(8_xN*zKOgYtSN!=6e{S*Tf8q_$ z#N%kr%wF|`sTo>UG9u&FQ3)$U&fzMbl{LdDXXqYLamLY-BPCpIA&r@X4wUfZ{*XA+ zQ5O!S*4vB)X*rl2PuImHbou;Q=^OH$W-b+ zi3^C1UE*#33kv9Jp{M|`^}jFsOE4s)vHmkZe= zlkN2AkSvk2F3F^qFH0Td)R6U5iP0iDz2CR|WGr_6(xZ;mg2K@w1|x!32&$0fO#feE%}aKcb3G$xSEkk61siS$x5 zoxqwB@g;?6s0TaI{J^3hY%+wps19(07e`s)aaw>YM465mA4w3-S!a*OVUzPKoIk*+ zY{@{7KgF1KaS0IbV!_EeQ){X^1}csg_q=n+6avk_0n)GPyJj?cj38=UjJw}|(61He zV;Dk>dt~$UYQu(f77|u7GWz~f`X{;Ib%=irA}hpyK%h^ed}Pk(;gV{jDhk?jY;{9X zYHqQ*Ju~kY*K?Dm9M=4lqM3CN6Lj#aMFHrxI(8?pTY@dRn;&`bBa#y8bQB_oPkn|M!i^o^1;0scq zED4pFtug(6;Xn-Q;1=Xjg3<2C*3QXH#FfLc4o)ppWNj%t+|jb%T64lP=)vV#b31}< zAeVyVuJ60dTb(;4*9YT$-RC?GiMv53=C-znW$#N&`|3o#zVgH9<$vY61aF>R)+frW zbLa}Hb&lY6B!_uy)Foj#NA@5P^#CrtSiURNA^n#U70DNP*>6lh=@0YSU7*J+HAS{c z=QDeUQ;(M_Cr|QR^pG8$U+&V%_WZEP$jSK&u=0mb&n&=0gle#M{woRSeBbY30IWpa z$&$+vI6kt}P5UR6?C?9E(6Z?qK$0@a9^BQWc^MFZ4kV%@>!c+oE<(uC?K6|^f>Fv0 zq^-;&LI$bd%+9Q}+ri7$E+_W8EPSR8ibdqi+Nxa32~HWE(}YC)nCf z#KcQ8@nG_T9&^_L8nk?bVX9CD227D&%O&1C8A3?IGBn1X_8=J=5$>g-y@#Bodw@;t z(3xlV?zbBO)-T%g{C@rQv-jw+**jxgu8S!YF8W3aG4;PQ@*v7%D~G~${*F;y9vQU$ z82W=xOxau8c% zy4%_D!b(P?QT8ltnWlFZW216L%Ye6>WBm>}KAK6Bcy|NFP@%pTB!E2uV?vLoljE1b zJiCGm5AyKUd^6v?fD4pm{KGS-SKaQ9!4uw(0h}LN-vSnwV_fLXmjW4K63TS(*fO=j zv5>FjbkH4t>5dvIXt}h zadvgI$-ZAfF3{%$@lsgO92bFi1U=fed5ABY`;3AX^;v# zD-N|)YPs`1a6Bqx_aA_CdiND6XYlw)dKw77=)!{?f8P6Vf01Ogp!x92)GMZGNy=s9 zs}^K38={5<8f*H)BtLi3m7q0wIzMZI^en!2=0s&f#Nwq}<9gyme}BC}t7X<-Ll&XL zm<45*=%*2!i2`CZ{qW(#Gs6rXB9WyFqvbo{SAcLbA4-6LoGJtIT0#l6T$Y%$1{g0! zMt8e+ci&J{;ue6%*P#5B4KBK-L`Gt7j7<>}@M;0?h#dNM?+&CXb7(oy|2Tiq{_Krv>3oJ5_SC;TDAKEvx~WD zlzo8POah~`8pY;TRK!}M?-zhE6!oDuIJm0h`pBz}^;NS%x`fz;A7+F6R8Wp|g_Z!S z(RIsZt**8}SuybVIDU7S;f&mfCa~)iznk)|ioCyZ(~~J>v}w?73M|CCO$`H=u8dV! zbZwUMXLY_fYNsVn)`}U4g{v7J%uMBjb6G+zVXcIS+>frCFy>3r`n2o?uV&V3c*)jC z0dc-8NrnBQf^Dnd zHNK8fJ)J>x9|5-rCk5F_%diNw!OA*Rr|D9ZX7EsqXQOiFG11NA*`uywP5X<*bV67Y zIKb{ce@3@xmhkinP~|vC$4p32EV zY?`MMz71%0SBSvCizWZb61K8HtdJ8e$hcPG+eW+>dQ?jn8ucYxkDWOj!V|wE2ZE%f zZxEfcAS2u3iigZ~B zOGRJ2WFR(d=jNEDLMp2@+Nm7_G;Twc^UL+Szt`H6>vd^Q&W*4FoTEAL+qN#IB+WTE zZ6~4o5yDLFwM{SPy2-pr#w_b`xmhlcUc%28HFgx;$@`j1CupW&15H~Z3CzUG=HrIr z%~TiV^}H;_(fo^YVzphIUmj_JRAs;=Q|oi&Y;snM;Gh!zC>UK~_tl)`Q=s%7L}&^D z%86<(G3qa{W5$1gxl+rRY{s5QnI$0NvZvp*OcT!_c_P2Vr^!n?k@})9c5vvy2Rl0j zDL*pkOV>3;ZtfD_Iz46HTgO3UiJ9NTq7t=}FJ5QOFl~k{n~og28TvZr3pSkkf?3?4 zXl4~`dq`sDE6&Ef+34B*Z-CM8*ju3!W?f=MDxQ1cqE-O)E+lL^SZ}mTA^Wa#h!!HSC{% z-6_HmRK9piXpRkV{b(A z!~7=UNDP@@vK$ks?j6Kv1nR-^Pb(loRNq%WB>vUOcI$ux&FZFE7GDYToI z9ifhb_8h&12yyj61Be7q0Y`5c=<-AjnB?j>E+;6Rx_}$R8V2ZPCg8G*g@8-PVikb9 zM`E#Da2+GwS8*Lr=sI@xbU?@3NE~nOb_5t+dcNUq;8rKJ7xZ&0n;S zv-UiFMqMC4>iM_e2&8GgSS8Q|U9g+=&*I}0`Xxcx?w7-|`(&up1|_z`#9D*`m-9=M z+Wx^r4EL%Jw616;U|y27JDlPzITO&-nU zRqmiubdg;aQ=g?@mFV)mj8ekDXmxdx9;MUWW;w@6CZ2CXec)2@x_y&0fCIGM4!oi( zUWit3m;o3q2K~rkBOsb&;*5}vS-tG*Ss9cErKpD+5sdW!Th7*#>-pjs?pP#HOB$%Q zMuv3B-vZU)VMO1bQF2v>j^rt-_$ELlIw0kR6pW0WzT^QNH{P0LZi2uetEXpiO# zX$_6Y!?63oRpBd{4_8D~$3#9h9i*=h*XT!wJx40dKkhzWKiq!2>FxdS`-ht!+(xlN z5owW3Lj&n7@tAQ*_}am1XR8J$BVVyKEX(D#wTF4gaOP*r^{$t=qPI!SZL|O_X2Y+n z)bapDn7(i!XY?jxk*VxifIjvNlj5oopsCcMnM+m#)l41DHdm>q!3omR2DGMejAf?o zIN51+F^`lmB-v9!59Ws|AB*&9!NQaZ_!{wYW%r8-zUwG9TJPT9e7GgLRxadX$BDxW zXLYujnJ~=e$*$J@ZrFy$s9g;Ka5K8(|0d@K>8p8Jqd8`lhY9p=k?3hq@vJ(#JU)@y zIH*ro2uyM{^*2PE0;{&Nt0J@^yusGfd5k>LwDrkQuw^|YYWAU(ba|Hv9-35Kl%-#? zh_=RbA_*N(J*Xy@mot=3?Bfy{2yc*U(&{`C6H>Gn>HP*-!sc&Ktxukz70vGQ9C111 z-XReWe}Xe?>#?Zh#9ENwT|X#93QVd!R3XvIBqdYX4F}*rNKGdWjQJeEAo%d{?)u@` zjy5_Xut_x&U%~2tHNkon*9?7vIY*l&8MfNDsLF4oFb=eUQI;IokE^t$FtH8buja{k1%D5_ybl zj^?`L(pQe?;S%$?^-fIHZahL}7_q&@3We!za*L6Roj4xc2cjO^PH^R--W8TEIMabi z$dxni+{}w$Gr>3;)T0Blf%}?DU0fa-^mp=j3j>{@Zzg9zG2J4%Hir<^Vyck%Lu4uc zimJTT5_*^cimM_LR@#T<00~9V2`i42&2jamelrTiAJ zKy{{y0KZtoo8LD#zlJTCHlotD*`(D(!K0GOEfbc~a7S#!5Td^P#l_t6HMP$SQ+zYC~IUkOsCe0urWVbuuBI1~CGpP4GVGYYBF4{?q`Z=6CwBy|oDK!FTmItS_;$UT^_ zg{s>koQYiY_b@?{4IX#F2R7rU@dGhOvmgn=&p&?tX}%@)n-9zR-=X{1F-YbFcssqI zms6UCEk*sHnZWb`7knBV+EvOoNWKkF;E6(C9oJol1IEaK zRMsCa8k|kzaBI^{7?f3}Gz_a8gxhvGJIyU8U+8j*E+6(#nEa`r+HteMea)fV(8q|E zXX?ISwcxQd8fn`jJ`9gdJAceQ9D>^xot~EZhhjfX5yVPD(X$Y&9T4Php^*Ck2c*3^ zIF;-5YqS6rbpD2_fG0)Go2jdy;#kCeJ@{A-6#m)rgW@5?a+z2B)nQJ8>4+{O*?%g_ zD=uB=pB0zxjBFtNr1bGZ-YD{0nn1{16DsuFIaSG87=6pLf-vnPeiv&K0|P-heAAl{ zatho5g6?zv65V~k;>2aR%IJ+r=g2F3!wpNSO!P6epv*qjyoXJo}UvDnS z0+U18IY)2dA%TgLu!IDteW(r$06e~sl6T*HskzM*3Nwi zuWWlfiwS&Mt-2T^3N$*1eu5-Gb-_LgunA(sk33EZPX!{SvCYzFNvZyD`}%lwd4wV) zbUskb7C%!}3X;o0p>PYT}D)3{nv^P>8Af0`X{Sd1qATLXpoFUOH4B|)d{ z3{8|eg#}_xTXb&hGvp+jiN$sLpzBE99;g7P5KJxln1D-mjXX^uZ*Lz^xJvwno7vts z^kGJS#M$$`B*}a|6iJn(Yk~IVOKYwWnD)7&L%FLophj`r?up0s+0m z{z*fsV%|Lxi$C?VqH~l4QC+}Z0k`jW57Ehit5ZOY?7K2=*<&miQX`$z+%vJTX7}KGc5p(hqJp2$R|IuOJ-Ofp*YKtHv zGcK*VW$~~ItOgQ`ydRnBO|-~>NC59Gbac-(YK!x@iJr+QF=8#Kf<;4>!`6siIad*o znuT-?{flcPEvfC)O@J9IPIAaoKXA#l@~p)ncRRGhXm&OnlAsK*;g)iC@^M+WtibSAHwa42PhU+NWa#QIp_w->hGyRQoz1+J z9*|k9ey29!i%?~N=zUQF#trI_&<$fsg^N@3_cD-6vBoJl)7@%~s^P)Y`k&0wQ1 zb02f_Gu|EgPLVK0L*_-7uind+-IfOgvG9iev!m1HX3j!ttvu*|hp$`y`=$xf zIAt1-@z4tlTncs3;vEQAYEFoVYd5vn%CyxDlzF_<*at_QM8Rg-Obog4rLyd4^)__o!jjWQ#F6CXJ^qtgG>_g~$@ES6J=Hqb`?I zbWM8$?Q;SrtKpbTgSVMUL#79y_EaoakCa?&=G%iphi55df6403?Rt&&D_0x3OPJU+ zoA#QSngE)5!A%OEPV(tTL(-62=+KkEU;!&54GCD;)ivU4S{s*jm529?e$6Ed->()3 z$w>PS@qk|qh}*0FNx+kbBFJfP1P{E0f^-ojs33s`tRvtaoOOups##%CRZA}VGq>l< z6Pxe9iAebe%njHrwy-_~4-K9%ro*kET|57%xJ2nL6WAV{@M8c$!)O9aIlqt$gX0BO z_ar2liEGy76J?}xfUXo$jh2;+KssOYqeSW6y}p3mTr4(GEj6&2MAgLE{c7bILX{C^ zOJVhex6>a7uC%%Aq2k7+uW+B^m9{^51d_kT2m9Eu9t71-pNUnWJ34@4Mkf_ zv2s1h+GhgO6+a@t+ivoO55znJ#JlF?KfhmpLGH{qm@lvum`aS?FEpS@f0se@*MwF3 zzp_a(0M#EBEeOCO5m}gTAu0&+F1|)*d|Vw+cwY$kV6*O+SYP@NDIO zDW%yaDFGtq8Uevx5hT>BQk5kFg2~3QXf`+BdXB+e|nV<`u`7uljWd1#z`^Abn zV>dsjWa%@XU!JLLrfyer2`gZ@2S*N9i>MsFXW>M~2=q{~`Ml*FTd*?|tVLzoF^|LE z5RWT@|7*CFe}ifKk}XVYv}1kR^K_Xa+3X1#1$yz4Kvd83c5QLeeotnBrKCXgt_+yw ziMSKpC)9C+0Mi@`4Ct~ZFE*0b}p-l9bV<|bIahRl^UgY&=R6Y zQ41{d5Q-B^IZO7jaAz#(gVrd8YWu`C9rQKb(isD+4EP?fWzE5}cVE8kZomG=?)H9n z^ZEMi$D8-ae+P&)8@j^5LB$6b&?F9>EqM$=7Of!J;T{3VgBiHu%#y!Cx`~=B#=P=5 z@&XBWl;@+~*_JiN8Pgehe982ZTO^2F{nK0x#7N>X|Uvjsg|#)M9=S4rqBC^;(|_ucfzlSXwX%a;zOtzvmWoOv_%WaWoJ zZ$l6Vj{AxEsqn&Z#VKB7T16`99kelC!Lqc?Fl!%56g6@mlwI(r(f|`w%BCaG%0^op zwbc))A)@2AbS`91;lRQsaENmJWKk=F&&)IuUM0>`5LXafJ4@gMOCiaxh zOacDH6E_(W9nv@{{3SvYftk9;BwL=!Su9pC6@5X)=1I=!T;EQM1KPZ{O7YXWHErpS~qWSLRE67uyfH z!zIIz{RX znt>NV^Gc9yLB*oIcMa<QdZD^Gm}!v&OHG2rG^pT$*u1>Xo$L9VtNWO+c{Ol+5B4(2Z%B*>*t zmlwZSc~9@t&8K%?{*Y5*uDHvqt1Bc~y~L^}Dw|A$KR2Qw?6aHFjZyHi{8S`u4njVx%`nq_{c(lkOPs?`{u= zFX!=h0bORAXhy3q>y(! zBGKNo%FUw{e~G@0jtRb>e$-miWLwi$3=kcXmjo#0*)yEI2!(nKm;H}5c+?+zjRiSP zK?>`FTZm`z^KOyxY_~K1A!RsSzV`+?w3JP%k%?H8%OM!+ycsQnwQW5-EjeBUI0*@F=>j3wO}+r zUxvdG#ZSxib_)O`Rso4V2N@+voc=R-cbuR?7J<+3pRyUY^?gSE$xvbW5HHmY#eP`Ydb$Z2z)$qW=;=$=LLJ2r4n!#Av+*)T0GqO399nZrIc=TNU!d(l*W~slTXXa ze);W>`|I~#d-f9$J)y?U^kGH_ub>_uGSmzYuI38TQ{#rvFKFEt+y_pv>0m{2RHyNY zxUb(nzXhE1dtxc5*tD!qBs&+OkXwE2+q=S$R zU6p^eiNa}YO)`j*?N!$HPB%@bvZm(&Lo)??3hSFg^VH-;?Rc5XtAuh4cfw z@bA6b4|JjWO=Qyl_zS8H<-h0U6a48MV@Kk>ice-l_NxKm6^YMOeSrSVX3 zrXV*>h_m>aLCI(A-D0Q9f%&R)Ds{FbBfA)KK|kR(h-mJ_rxN|$1+qLkHU zah=>VHXlUy&;W@_f)s>Ib3s9Mjw4xM#$BN-LqN*&!L165oaIB355N#{c7e4*5H?Qv z!47ARx9V$hW3eKF!gsiy0f8Y=`%sV6q@H@w8#Jn@wVw$sP^E}NuJY9;2(7p`!dSzO zUWpT+AqmYOEAuUk5Mi5v5#kF1Kd`_8&J6hA01SZ)BU@vN-E-@!NTkQ>W`UC z(Pt$4pH6#IAdq>)QkqNI-m||v_uVvtn1~B~yK>QYw>>0#E9aAs>F#Rgc_#WmN`b=X zqx&DY9-@7rRZ5!ZG3|{Z)^OjLF9|1=d13^HQyK;YGKU8!Z=zyfsfLVKW;x;0^Qd~L zmc5B$kq7Bp!}6j>Kvxaf_CS@9|GwcQ1Cbd?-scy<{yP5KoYp1Mh@lL67jy?aQF}f9 zeeomUW!Vwk&kbw75K)T@gq(d}br85d?<37aTXU2g!~*~@_QzDwY{`rREzi-dibvGC z&#>*AC48Wc!<-3h__o{aEU`4y7;2M6-EZ}P@6}ln)6Rxzb*d zB})DXz>C!Fd`S#p2KCr z;I7WR*u!yf6lAWuVQ|{Q-V1nc`#)CMa@s5(tg5#ch>k!74QaAR|P z0J_x7!1szO_{6oM3dqgsWXTGEkx$;h#K$JDA@jD!FV28!4J?^QdT-v}{`&d)Bl_oj zC{mFSzs*WZ+>6D{VSSedLLx7yrMaf`aR0~s!_BANm)p;uzJ2G9-TPZ6pJs1=5#b1KZ4l#hSr4CsJ{vfXPzLW#&b7D+%V|* z>TW=9`!h&Y3jj50FlY9AkWGG~1DYuEQ`y+Td70%{1?&F|u8`n^(TD*GChD zJgserc7rP8VD!`eob7vfBoz5%d5AJD>D-r#v)T+zIJ!#6bfRD+D>R(~lFoW6#Gph3paG?AT*#La1^W{s>#^v7iM<|q^ZazOe@5RB*{Ke^fk3IO3afp-) z)}OP>WB>bFoj?6=xU8D(EVTon*dl+0;y=qO0R+@B5)$@027F;#!?LX8MrOaBF4yP5 zGA^!HBuzPkx6_y@4iIt!6L-@*@Dw@)S^N;Sm60i7qO1y@ixxJSvq*!XiJO35n~f={ z)|DcSL0RFCaNbbsM4h?3t@{W#W(QXU{+v!eZZGt|01IT+Ul`I4h#!%>!Tf?VswA1& z0OW2?Wi>(a(0a^J%&vYWg>vAyDv8Giv&!=ewpNiXOFE;)&kfY~9dz_9(O(5|LL@By zTB9TRUh9(6M>!zm!MyVIS^A(ZQW?l)#jD4uGhI5=j0Jj9X3l!_)1sm*uePnvQ`+Lz zd*M(@K%1NUo3H=4d5_RM?0i}5;}!C+@;5r)c~ci|iL6u!<|f+`k$A;}t=uNrcb9$1 zXi6)kayUVrMn(OD+6ZK8YC_OwD&w;HaWNd@D+TqoQHGP1dJ4g1o@8jmVDK5bm7?hk zHI+ec1JppjPav-lKtRtKIy5N`T!!Gg@+g0MP*;Vz$iYGHz)+eT>5DnL$RiH)p%EHO z>$SlO)2U8#>TpJ;C}W@ySzoPJ6VpsAhQ7S1vfQk;B8i=c^Z5roF21%D|yl%yr zqfc}m_AE+{Lph|o9?TGWdZqDVu0XcOWj%Ppg_g-(Sfjw8Pt2yn*@~C_@U%XoE2~P4 z#x_*p36&R>A$@A+)3obgVd6#z3oBvgg(QJ&Yl9WCtxn@}YVwjE-;?#><$U$mWNkg4 z4ozBhOdr$yVW!P7!QC}Eg&s-<^aH!E*Pq{ykgh9A1tP`(a#7|u?@tqCaCgnX%}kzA z1l8e1#)5#Y@-7RMCXFOF4Zrtiy;1+!hwG2`H;kj>#1F;{%1AsJ4Dg4KAAb<(8}>Fq zP%gGN8L95Djmsw6J2aWMJTaXZ4`1B=iXc)@K-6Lpb#|A;C9})^z0T>=LHXW_4WNrd z#D>5-Gy(|C0p)KVodf#-v&a95KL{6srIPxK1X$z3SH@~8D8?q*0O>y`pqzh|=XG}R ze^yE!vrzJQyJ1}D7;tCY%E90&lYOW>RPl_0J0AOUxu zS|P#$^EZJZg)b>*RJc<2T&{+?d9YOAp1fI53!|Qa;Y_i(W;L^NaPuQ{!Z2=nQEMJW{J7n8RJNBiCFA zwih0=Jydzt$|QZ@>>%qRQ@gY6ITZZZ>xY}~w-055OV>lvJ7|S}Fba{XE{-}smN16} z$2_4MVJ;R;@L{Ew*I##UZy!F~-h6z&_wgUsAHUrIw&?!v`+gBvDmvxfhv6>9IFdS{ zX`pBTIB0P$PQkl}|3r%W{oh&Q9VHlo*7dyi;nP4`LuALO5G&11e7(BYiD^NjhdQM4 zlggofH1SPz=MG19+S0{sq=JN~>WIGCZ#-CI&0GMoXvRo0Xa$96jx+-gQRF$MgV1hG z6{ZEi=p+zf3@tj%wc3u9PCfppF#D&KG6b1nqo~&ud!4SZW!>0FIMzeAbCmsnjM4Ah7(qhf1X=L z25%CTD*5`@qqwc>`u;pM%R0Wftf@E zlW(j{pDeCk@3DfUBTSY!2H0cHP!n5)q{GZycRv6S$~x9tCUHrVW?>lcpX#1CIzBO zO2Fge#wZJE1Z7!fOIliqW=1RgWyFlD4EnLCeO^w4+nY^5?uc0*4DcG`o<(TfNe`h1 z+gnY5-MqO-fzQ^@#Py9sixyJQUUo#AYF+XtD+c7DkTQ7EZsq5eGk;$EIBZT%UOuzJ zg0vlON_I*Zi82txjh8Oy4!aPV*1ccN)ZJeyYL}w*gEJ)Tz8H!7>@hKo@G{{RM)(}b zvdFhsFE2qGFtm>mW)Qzvg0xH*QJkfm}7ln7AR@%9+FWKc9JYW>I) zt0eJ}y>bM&&;S|oj7!ic#=|znqYAYGFLVKq_z8blf(-#HmAYvb2nLs+mC}&~1r7>? z%9XPFA4~m+zz%kFe2yF_nQE$Ilhp--HD9haNq9$gKe(<{JsZj^JLz z+1JykArl7m%0n+P6VZiSI#Q;S7R|AOlb5@3T#qTP>RWD?TSN!95}0K$oPVxPRGD{M z?r;m@NY+bkWQLrK898gJmhkMLSTQ6vWs%Bmad&4yz*Y~%WLeWM#>2H2o6! zF7W5_@5YFg8enQhSh|R%NobEWN5DzpfeDS0`8Vp5^gW^JO|8@v=-XG+l>WO^o<9G- z`~Oc!;Pl{L)R*{aBGCuphAlzq(I&u%cybguYAr>q8N%;w=c66AqOO&M ztTJ6|7HSCPkY?y+Q7L6iI~%HLrtL}GuIJC*-+cpeTpq)7Yff{-WMSaVHB}Du{30|t zl(8C2r~4%QQ>MVCrOxD1aO;8=k;%>4E8s3>$;FjX-D2g;gE0l3CUOr@$OP+?nMfzl zCJ@&r>Ii7D=}lw&I`CJii*q+brY*U>ssxiP8FW9WnBa%v=BF72% zxo=C3!ihjZ$ABDQ$o0ywoci=sU%o+?2!dfDJ?n3F@|jzl&H)Dz(m}*vxBKn-9;xQH zA0KW%6R4YZKe_27Wy;SAu*&8+qM;w1^a>T@s2Z0LGx`Ur~DK!*XiYsY}Uc4b&z zTlJ2k^GkTbVZA}&&@j5YCcGRR?g@A&0+OMsr>Jv7yKm|?BCHq9G#DSGFd_2%Vy;+a zV{uCziE17?Q_JE_Q!1n-Rtu9V<#I%+kUER!ttGvFWP9W=k!Y(KNyVt%&Z#F1Cf1CrD})ov<+tBuWZDM9^PC`@=L5C2Bd;bx`;M z{|#V1j^WY*6NrW&}V{HX!fpmEZ}0V)?aT z5!KHL1(~MbXQM*!E5t5{r)p|GBI-ImZw?%rm-MB_i&@vCB~P?;NlD#NsZWT3b^@ZD zvbj-ZP$_ftOO_|&%peXb#g>mxIx2S1C zL;}|5w=WMse0N-U1%=;JvbMx9ggaLN9U6Jh$OF767a*vffr)M2pk1uJu|Jq#DQd1w zQ6i_7>?q`9BN{nUe;+9un5>{76gImrA(Y8XsQHWQHgIH!4a0EtgC~|IP#aL_m6|lH zp$>8e87^V_W1up-n9G^$1LotUvV_oMqLz#6*DmWudLMN*4n{{g`s3F>PF9D{F7F>0 zP`XzQR$@tz`kVLZMTsCi@BKHyQwh=L-PT4DVaHyGTjdm`?Bpw6NG*aDf1#eU zO!UMhKQK!6ajpD6F0!64*@u86AfXPR&AtF!SrO;MLgBxhUD*9qhdSDtgkbU{Y8?Lfw0n2= z>C5%Q9)8`w{q*JIJ{lk+8rB0!_WdVxr}^P0jNn@Dhu;6j@!k*n{1q2_Km1?#MW>4s z%J8|u0fBH7%1$u_;KJgHsF@xF*xBI*=_wrpepV{Ox`Rbx^}IZ9fPwdW7>1ro$4p3j!RR7c zonM^$p@AhwC8It^p4i_du`TlhSDB!%s_GfYV(jw6 z457nld_wCNs0reWdlMW&wx37n> zj6f>@f!y8ycK0>u_-$UELBNE{0xN@NYG!+8pyIL{sC=X>Gu^6(k*}nwtSdwx;4NX7 z!|gd*wD$%F!Y7SX3swaF5|?h;%5=7+n~tBPp6R${lY8`n93e5)&2m)57xj&F!Q{#e zbEjq_R{m*!rrB<}_YTS!{>fr}?-?RZXxT9YhMm6MB8q*K^g0#Mh7{eic=s7uuyBZ$ zr!w}CG1b*U=a)x>PA$x;WjU$`UAQV**aT$??NC9f0v*@SfGPXEK2iY4AVFEw|3Gur z^##U*^&VD1iqpsSwA~cFVqu>+(3e@i!oP{PYJCl(R}QG>S^7Su&}>Ad(U(e3q(sU1 z46~M7U(ekTB#2VsR=Qp~>Wr%l49GzaMkjO~O&LH!A_HFX>L@{$gWfMAD}dt&pA4OI zqaZ^I3;JPP*JCBH-VbFxTq871b-I+6nx@F;uqk_yF{h z7ej(S(MOF2lZ4INefW6&>wWLP|E2f;0kaJIwE18Bael}QtBd(|i_ZtW6P-$o!CD%M z>z=KFLjNqswE)JHL69umx5q}@?5*_~I?TJho9|z~?mz7RadW@_`HmWmdHQzme!IK7 zzu9qoFS-2i$CsOX(}!;1ncjWD8}Z`Z2f5et{oVuq@P0kq(1lgh)aa5z-hi@pF56{- z6$-T51^7`X+AT(jQK^l7;5R6pK=OG+-=3NRFzu(NK%HgfCF#@jNc|C&pK_$80k!6k zisOlg7Q*U6*_^A3aBF7>`Ecd_9TW9SOxR6bsAaMa(#9RpSx93VfYfvBk6*vueft7% z$Ol;HFiCdz*U)oscAxIv-&jt53I(c>;Yr|Zs%=S>t@e@(3QGizib$S2n!I6{rLX6< zyujUv@i#x2Z%*Ck)-}u%0MT}aAoPo^29gq~E^Rx<@R1emC!^$GeElqF`AEl%cVF9k49b^O+4=Vh(W$or_?R3=x#d(<)DcdHD` z{ajIMw~?SHpFJcrzL6_R!;tT2pRYhzNF*RYb!MLjWQ8kQe9Iu53eACnQw%tLc(F-Q zR zg;;q~43xjoXh1w@$T##|n=g)$|FhW&m|q|HCZe(7g-r#LlnFoW-p>HeT(mIJZk=dh z5VZ#C8xqZzI>dpcbOxoO7}hhQONqIA+ncYj!=uv#L_m|!Np0jbnejQeR%)b*%T8(? zU$2@y;$NR{@7~^HIj(+A1eKp^?w0o*l8qAOC6Ri_Q}ilrurd}0hDf;)2}EN#N#ERG zY~pW_zgPeR$RH z2PzN514EG(4i5;LS4q(g+Ju~@+tV7;T~W(PvTZ4J!mVSN{u2VGbr4+~L?<*u`pgMBY@S(p$U+M+Yr~SR<_l(I7)4o6sQILez^F zI4LQB8`w$+pgjR3T8jiE@dn%p<^wBTGA)|MBmm~|MO9NS5KeWpqVUw`DcL~z1M}wJ zeR6F6()ce!{{?a0T7=2c9^F(K_9XxS@;OIF89W>i3F~S9ub`wJer@TUHryOM8h_*+ z2K}d}G?=cK4{36se&}R)wX&Na(ptp<3?6*6IX{E{VwLa_^Mas`j7S+R!i6XV;X)9e zT`_xLcLFd&3Ah8?c5!@ieEAC;%{-tHvNW2*{Z)|isQEZ!e^hzG6vV(I0}P0V?@vOi zqXC%|zd_Pd0~gOL+N6=t-(`ouA%5${2QmQ>0Hoyw(~@E%BD4?F<~}I7bZ!yU_VD77 zInM?Rhd2{6JWFx$cWU^W8le_|zI!Z2HvzU{Fm$(jvxQ1yiJ@9859Wz%7@MUj3(t0l zw*c-?LNI%X!@!7_D=kK*T@qadiq9;Mf_;M*#FR2epsmgks?MZqB>BK4JH{(~vTG*4 zMg?pjCcHgZLvi|xQC(3U7rpW5sj7#WR6WED`55lCCliN&%EdWgh_b}8t|_*!@EuGJ zdJ_Zau%>JJ!|Mn|OU*~@6+(rAktRe|f+FNw(>VK+;mZ1UOw{GIBLtj*!q4cQobr0% z$SHpOey@3;Y1Z00#=jNekH0PIgmX(}c`K8Ta3&m)n zNy%9m`a>&jHI|v~>^?O$hVgeLz*Ap7y}ig_bwLiYaygWIcmtv0dcJ=1;$ua6G*Tck zYRg=QGN`M_cc=C!e<6h=oWgRTLLRLtN*FcGgNfW^$knm9pE!^r>;wXrmwU}HOWDI2 zMi2;p<=JxKvg{DPC(V_UK|WfNJ3Th5wM!-~MJ5R=NRdP7l+OgHK!>gIbxZiY%0fuj#$4U*s00HH1w?`B;^0S}+``Gyb=3G?AYc288= zEnl-p=$?46!E%~TmoNJ);Q$MTl0EGAhEbge8bcCiqANvQCZ9CN%Pv_XIQ#x3O3|Ns3|A3E3slVrW=O^m!9| zEr=u`<5*KCkXx;~xnkVODRR`}E<#QjkHbMxGYYJyW5DlP4(GI49wXCpq@4;`S|H+b zqTB|%VWI^Z$ogFEnh^yR-+)IdlO2#O`qa(|kp>&sf$@X(1o@_pD5HKOYp4`7kDdm? zvzQ+-I8QU&6$}7V>Xhv^vaG9MO*?8BCx-<`d3blbMUHK+Ess z_$88Kwx`GicdwtZMf_7Le=G;HGOAxgdZ0H+14wN-2`7mI?S{2Ss+>JN#bWQ^()OJJ=_Y@<#TGG9HPQFeBnu>ZwtKwSuwfW5%~40_wC(XxO0c3+spwz2*h0ZWi7j6)Tc&HE$HHY>-ubHYhie2M|Yy z^v1Iu2RL+o{W}>mG=+V54Z1n_!Y#86mS6b7`hq$2~#5}Awmwggc>ZKf&`4KE&N(S0t#}a zDwS!9GXaCa5n*rPO7>B!##uISP!GsP43S7PYJO)LdLmV#f-VH62nAaBl4 zMI84db`yniRO(EvP`}{%JS`aliN=4mrw~)EhfPJdYbS8Bg9T2yY;t=8Gc9P$NmL=p8gZ*Ldie3qwHVV zC%5@0xBR4@9aH>5NEZZ%nq=xMlCLq}QBJOox8m*B2Q4zqMU9YXWp3UGKM2}0^^8jY z7S&&6Ko`kXPP3R^!|x{zlpSDMZktPZ>9}xDH;x13KhSdej02d?20WcQek~FS# ze3paHBEJuXO!DP`jK>FDM?h2Pmq7WnD#L^v>J#*pBR?Fr6d_}Ws0M$E8xE1cVXCe$ zZmR-Ho}n_T51<_cJ(Khx%ri1sSfgQyUgH~j*#z4j&H*U{*pQw@bi5ln4 zr8aMX$fJoRfXb*v;E)_qz3ltl8kFq@`zr-!AWjvXF%OqQO5|gT=NBsAIt=i;=|oYneB{!XT>_I0s*LIe@f7*9NX==GH?5J1sAOn$CFDs(u2rN9|D*8{hYQ4eFnr6b(Neh`^rtl7f)^?r$6at{%2Kw_ZZFp8M}W-e z4I&rOFtnW!A76in8~aje+BV^l&SQ|EHdxt)>NMR6v-g^IA1X2gW4FM!Z?CyK^3bcZPK(wl3YlR1>JLM(M z5*wgNN`cIv#+S>IY&8ahBq32UJ6SwSoM0s!1hd7xAT(xdKjl{Rb>S6|-JObD%@^CE z9-wyBnRa_j7Q(2tLbSemOl|t&WaPPq$vV#!5gZt*p|?pOPXKcz8&M0Q_(e34@MYuMoSQzIT~0e z!l82x_ah5QF|KJA0i<&Q0|g$iu^q%q!LDdfF&_X!tk_3b5IQptdk3Nlg2>5}C-OJe zGrCYo->uQg``72`+pFx5npN>S)%c#IPsmM#L5t8%7N;1|B{AJI1R2dcuCPi@b&A~= zzB0=uqr{d5$h!~M_W~=MbU}{JeucEu6-SkjFrhz)|Ish^*)K{(|J8$_nG@~VvR zrR*~!(>$uBWufF%d2Tw1tTt0O3jBf%Jx>>C`FJL|l1KkdHWpzqR8yH9Vw ze!PKm3Qnn;%ua8)McAOl_oL=EiEUasXUQ2re%uPT>a4Yxj8>1ATA;t`Ds=KyaKrD@Rh#&Ga~a!A(6dV+I$i#i0_px~NtPld47PN_cPikFbDi1&ov zW86TLvp7d7Uk^RkxjZPvh)&-LeZRZ@_z`mE`r+a0?b~k;H>d(eAq**>BanZFRsf;6 zfgdOVNpM6I2|gslvMIs+t`->HV>N6K=uOVU7f-> zOX28TF1Vo5A`{o}fX4t~YBi2h4bkit=SZScYnDJk>aik%0i22u$We+%v=?z|B~#?( z$ak!+;ib3PBe>x>WUGLSBIKJlWMipWIGl_QI^qonD$KJrMUP`-?aJcd2vYn()QZD^ z9rPPOEygk|)MKY&57>qw`J@~uJYeMqi%d>EB-~#7#vYHpd{()e9s&bdODD@h@~aE68Ou@ z&tvnWbR8ly5Rs4dz>`%t!i)}69wDN_@Id1yH6(oM74wsmtN@MAVADN_1QgjopYxrT z4_N&(K}SUrfd_*60)_^u{rWt9IkAJ z%0@2w0&+Uhw={^X^jdyY(&=1%FF43uOf%}T%H`FhbTI?w*kD`^)I9^HT(aXqcba5^WN2|6BN5%`Ls}8be{ChC1eCvo^SAG#6fw!RS_Qy0vg){ zq7U$bzuo=*>H6~@aH{{oIt3W2f7;vo#LI|DqRx%iGduqB>9;l|{)l_3B;ZRKUv! z=|4t}EQ}H5G7(rKa&q+BDb%8o6l>b?p+FPQ?v&8Y%)Z$kv4Pe070T>R$h^S?O2%H9 zB3mUl>ch}P=^PdqIQf^y;1_YG2U1=2$Q&oBfGvSR1}OexArz;J!N0Y6|x4i_E?imJ-MHymOCNTYPUg2^eC z;b%UZT)cT4IOq`pwOq7`XfdUxPoJLQ#I7P#G@VT++gK2CHh1Ch%>YGWcgAZC@B0u?xSMAMZ_@((Tg9aM=w)nm9*Q% zfjONsDjvkFYnoOQe{M4(@d!TK@k+bRMtCjwYbS>*3XaqaB(1`8t+{f?B{t^wG4i5nw z$OIH3CJ0NxVrfR5gm9M+DlAl`1}?s7IK@@H8zjp1 zXa|r-fYV&eU#z!i?|5L%9_LMjfN6jMgVIKGp;0bfUIqpe>#l&sM0ydHNWRAxj%^%~ z2l)L0ygk>{?UHakW^Kxvz(oyXjjoJeMrv=I&mjPPARh=OJR$y&eQnO!Iife6(lz~J48w})B;XV+v|$Xs0PLzIoOI0i z>ytB(U_&3O*jsARDceQfnyiK4N?G?6=BK@}a7C$C(9_{@pwvZ7aV3EwAM}Ot1Cqp& z0!?E3UCi|s+rFW|Cr2WAn{agubS)z=`>rk>|i4ivE`_$ zi6yvTIf>X5$ZUbba-=3C%p9ou(30n8TlLxkM*)6qWg~9jl95z|PJbqOo5Y2JUxPrU z0JBew4y|Q^;fZ6_0HZ6WY)(A$x76I4$-mJ2V1jI9OJY_<#J8O`4LF2ttTwg|+E_lo zhf+hTLF@Dez0gGxA{`YAP?ft=U%AIX`-aI2X$$%T&i)#1Xu23q7)z~e8dAB-IQGaQkv=x;i~~p#2bv| zEwMHiLbv?Io#N1(9gdlA)0!hMV}~X7p^?K9J(NsUcgBtRu*u!bu1Lp}|PPmo4?eWV2UMx5l&G(VD`|`8oJH-X7|6 z&>uq7y*;O*MEH(YCi4qnA#;y%2QabRWqSg5(y|vOFv)e)9MMOB3=3$8QiW(ScZ9+! zmAc{41D}mWylu6oGD)74tjqQ#YoiuwYJ-i~YHU47KEMR;lk)}moWwVK0l52<7~WqV zzM4Ip^*;z!Cn+k8(BO&Az#{R4I1Mh4w6QO$SyyNiMJFf{O_T-mP=xnr`UZr~qCpSO zWEXQS38p~&0QeQ2BWRdcuqQ*XEv-3}gB6c0q9S=XO`RVo2tg;_D}-e>%fGER=eo@< zErKgdju%{E@Hx8EVCG=%@zlYVPIVXv1g=D&g34HhaBH)S4(Jqs>O-^Ul6EL1?_}7U zXzZ_*bY%z6LI@N|ttq^5aA?b$F-qqiZJxlG6w02wyT+SuQKq_Sj~Eks6d2qTWDOuC z6H$%C6mrPL`tmg*>*{qjDV`5G7jJ)7o)fH)NG#oAgrkq^ZJaUaKc@T143R@oQct@C zH6Z$)@~}*CZh)0I-x-p^#PCJMWoh}BLV5I9`KV-IoGc{}7g6b=Q&EFc-@$JjFcv!q|AinJq5d$f0rk z!^rRcG84uDRlz*DAq8B_L7gFMW(thBLWoyqJRw9z^*Q-{9F>ods|*k5`A{5TO&Ns3 zV6_$>?>_(9`}X<%_Serh@1@~=va-WsW#_W8><%G07Kj$ax+)~4N+AH!_Pr9I%;1Oo zK=>q68IV|k5ZyxavJpDv;|`GC1y^QZNMb%C7vger4zPTJj_f!1#%Y-a}a`qu_ex21QD2ADo<9JOm1>@0{nAy<1&^4 zr9@ALI7O60hzQo0DxQf+2O+=r(OLe+9d`@_G8oNzgAt5v`Q|}zn_a#E>^B(@)LCNq z#{+;cphqg*b^2H(xN6LtA>SERm_{y8rFi|txdGY>->|@v}l{AMDvyWX@%AsnM9TW^k-y^jeT=^*RX`o)w zwTEgKm&uj@PC>A_M1Rg)8R(iNYhAZ!DCK#l8Ri`U`MgzoD%zILkM%UhwV* z6qGqa^jn(|$7C`-s$iL>NX(wye*F({?%Rjk>yHFE_{Yt=hr6%$Xz=s?4snvCojezr z$|B?%U^<-iqauUe-;Dh65ZCf9Pms>hJ?1Q_Ylf`3i2oIc9daF|nesENbZxM*ywzz+ zu*MDpBqyEMfwiGWeMw~*a6~K(G31Cg-Dw%Vt4Dz3 z#Xx#k7BO{LnIix)-0>CC(0cp5pMLr&)(1enD9Qum3gh}tgLbbAIAF0vk|_@Z1K^Vv zUvKZe-ah>Cg22zda=K|BTYV(=mk6M{yTNm>|93$W|@%9CxO_&~-`mLONUF zbc~+CgsxO++g_}gN4Y!ktb7`;sqHHXjC||CD5YtHM|FW0FZ-UBIWZmv0y+lsLRRMj zGG^%PL_M?~wy3d8+ox>r86ZDh5+H0mcy|Bo?cJA$>#x5iL^#xxFnyfLc?60s4U5dw zL^>jJf6Py*@I2Z99wO*hX%LC9as*7m=j`r{-Sz5#LA+mJ*UrPYu3rqA@B~m#mLhyv_W^_I2lo<)}Ol$ys&4z@VsuTNqqp3Wnz( z&zSfLVG*65T(KNVR>4a@N&W$thC$&iqc2Jj)qF=8mWOFuaz##Z>+qdz))yzL+@sN5 z&}-eGdSPY?N;Wk5bBHVuYG=#MFBg~RreVS*>#UAof*O#4>yyq6M2R+tx^GrH6k)$O zL&!f(tdeYSh&$RgNDUv+Q5X}x&BZP;71dlcfgo*F#smTe>i8cM2=upp9|;7>%LZPt zLJJG{bc~7e2N~e+ssNEVFaQx35`JI8RF#*sv((%fPJ`x z^(MD|3aEUz_Sy!NOf-~Yl0H9aBl_~(dwUF!J(Yw60glT<29kq%VC{V>A(lwW;yjO< z%TC2!5>@G*q|ZeDm9#j5lNC&JoRUju?9>X8p{nsoa%s?elivZt@N^V9YdzaCy=;r* z;T{zm$*WNOOX^WX1hx|2G`ma=8X13dMT9m=Cnxh1gU>CnY&|dQFOp(7YqMGI_3$v!zQM< z5|K#ygrmRq5%aLVP7@Z$ zn1T76i_?om6FOXI^$m1LFWu2nZSI70PPR;!nFheYO*(e=5w zI*c0i@|lK@cF5epLb4nA%c45&>&^YQj}N=QYb4io@@!q>Jub%E;^SY&2uWZw!Ttz9 zAq0!S1HCqolgQVGl_}WZ^VI?X#Gv?xPrKjWU;m-{uY-ea4>!>XqcKYG-)SSM=z0oL z$eUOlI~eBGvF4#@($=!>qG*6hk7q=WtbSHpH0lk3g&CIqki}dD*$Hk4cRk@k!vC0u zY!oj;RJ9hvA+g?d+$zc}W0(L~3*U1fIJzw%1enbUWV2eMiO8yg=0{Zp$3a(Tki^^s z*)wGOSV7)e(~<38d(?3gtk_;EVAz#kd)EbvSH>W zfQaSbGySLFLyx}wnZ9+H|2czDBqN6)q z0#~}t81q4;xQv>Souh<-I{QajZtAE%S$PL}s<4{wVhY>Z8WAC!?`wOGZY&s`IVjv# z%Oy)OXlIISW6(zu;el^`AEatNEtoo{i41!~*ihOpbr{nf8^T<5dY%yh7Q4CSd0viT zuaZ8=4uA=}=2yA}6|U(MlIJOCVDS1e@E&;4jyOo?yM?T{q$C<}$7U&7EOKz56NeR6 zCX$U+*0?rHSDEy#_jUFI$mVY-^1lai1CabGH~>B(bx1C6yr8qD!O6E5aR)h6$N_cq zVj-RNt5tAAMR8pMJrbAy-C-w z(W7x>4T8FoY`G7nprYcB5mNntoS^w&z)nl%Pzf<~*~QJ9#YTms_QP>mK-n4Oj>Wy` z?$NlHG3q8-7O~8%0m~NchzK^CEZ$a-Mk>F8U{@%+s%V$yV3`5I>7Eg&g>>CMhehvC4|nW<_qVa}OCbh{Y+uv2WN zu(Dj1`8BvPIRWL!3MvIif_aSYU$N|iVw4XPIB0A!icVU|@;h~8D0VUmMp;%BI~f%nCY84_R=s0F(c#7JFqUg&{4bwV*_X#=>;C-5x9j&k{pgzT^&O`EJ4VuJkA8YtvxIi4xeJUmE4a>sFy>grMEh;AH{ ziP&dl_j-;rqSN{2WgzYLP-O)xlXT(CS++b{!#=w_Rd<;KE8psUg(Ac~7>R)`u=&!9_T``(~yDI4td{tFTNlM{sT z_U+ey;upQh-((cOFwpnUf9l#_Y>ugP_5n92pyYVNP<de@@B-IaIxZRk#i^;&=D&Z_!Qj_Ce3B$8uchV`2yn3^Yj5 zkdgf-TNwFWF(~Wh3J#fM1tbBtB=MLBB&4uNS*mRCs8y4ymp!o{-}g~~0tu#~D7^HU zuArKxZHhW(=y~IyK5__XAooGDYLHzo9jF%8fyx2PT=8`1+?E5u0xoUkN%XCRDJnDS zm{(a=x*4~~dk>CGeRR9*B%N;s2jy1OM6kt7hDOTZIg%cP9uSw35Dn~zu5>-bU)E2D zXn;ukRQzS#JQ<={HyWbZt_8pu$iZ3zjPefDsIFS962)*{qcm$Juaik)YCKg+<7&r%G#x!%eJKwIQQ&>gfmo(sBzeh|o% z3)O1u1GYjSuSyvS)Q2)fW!gU=BQf(*4z{udbzdn|E;*!dQEFslpF&dV(#xpS=nesc zM4WZZQ0fbd0Tdd38d^2d06>dJEB<0%M8Bd72W?g5rg?EyZ)y^LkT6!4@Pjc#{HM18 z#8nna8JBp_!wSW40ve+;i-#dVn&k)?ftd$ZMesnuoKes@ec6#6p$N1)%PL{Is0U_8 z=a3)c`UDbIG>tNkA$HvyjZJaAG>r1$q-GX(PTXb$x)P|dPOIYY*deZS; z*`O}vz#3PF7C{0kBD+Tko++9~Da}WCSX2c;QB{5Zl7||_pmRk(UHozhfzmnTG+vz` z((3F$|5^9uER}Im5D-C=E?K?&N&4$F|`4Z6*ydEl_ojrGHAyNkIM|G77Vk! zW~h`!m0@#riiRw&KivI%yFb&!(Fdj=!}!rT=mv#3=;D69grDg({LjY--yWwf5Z!^w z-t?yE-T=&xh~3E&d5fEiOCa;Ler0HPFX`06k)y8LyXY(39g;;!vXb+=O#z?96kqjI*)wkNHE9I59_tBgTXbk1UbVi-B?${~*v#oEAk8jSv142G` zhzFd=1axnpBcZ$FxM!w&z+0ZJeP<*c0rUNF9Z)NBcMT9$xUBTe(WnCu`xw`crcxNG zfL^?!ydfU8K1rDh#c| z-#43ISuKrz+#Hq)k_V5X%)vAQsB)Z@be6zt8q-206>Z}u&1aAno+p<}hB@*UbC(mo zhkbq_Jqx!}y6kKzb3NIhygSVTcgz@;LG(5^8Ov9kG(+**j&Bv;4( z0tH=3zVDh5b+`|~fh)s5R0+V@hukzGjKf>RX`+EQ7+nf8*bv_6DH~Jhx65(I+A*ces3eu1# za_%0S!l5@$X(5HLc^>_eI(z{Unc))=K^sgFvXI~d;JKse0Sv#FE#wo<148$B+h`)a zx`E*dI)Ayu>+h~D1`3a-_(@*K0SXshW`l^rE@;^!1d(`3K=w`*JQCVbh&?7$RuI7- z8i$^lbo7xw?`CYHP7Ahwc9tvO!-Vd9Fx=IC)h)uwlf( zLByXZd+1_EA4X_O$;&YvH$>$!*;K?>DvFA#^0E4%7eCZvS4BnEWY_dBSM~H*9s!|} zX&!@flz4JB2W5>eSo|tOomUh%@PuA(Z`Ri%V@#bL{e1i8?{{yXjV$SJ4`t^w#jKe@ zY*>v8sfO-~S#O}Dn03cf=JYYFuuC*yksQRNIiWU-YVGKu$o~nJpIoIl*08DlSchoJ z4|FzOkw`-`c@nG+;65v%!_^y^m*+`r=ALi%v0X!MC){$g`L3BC9d!s>d?L!k{9qa% zDi1(DFF28lMH;RMvtMJvYCXb&*%&|p3ghh5Z4?nP~7@B5rf=n2H+~yngU>7jGS@PUahLzF5 zvGC)?n(411c@7H00ED2R9JC%nI1DH(NF)AcrLJ*MR%NV0Rkc}4Q3x2EESm=c1{`f> z4@LuI%EM_NGUefzf_>40wFK;&9-p(n#2j@wbaJO0YMt#3qpxS1mswP{HdtBK>NH(f z;m5DGD+!|eZ>MSVD=2AKX9}SxLb&NI*>9RvnHsD%!<#X~aebbmIz|mg) zy5FroDmP1Bg1I#v8HW5f+H8P#3R_9qq99Zgx^-tn1xpy^2xh^Va3ETav;F*9hvR2M zdG^c%IWl!|jg-ts!#0?M@C44$(F6zfT>QOWo-ZwjI8DH)CFwnQV5+|Yp9$+dq{it` z-L?GZ__u?1`ae-NW|PqjHEIPsjwoHr$8TPl zFmCn@z)>0SyVX7?H>4sVF~Hrc<&{I@%8PoK_&g@XL7m&{&1t6L^#}Ds5u((t!zbr! z`0LS0AdiMlX5RTV%*6l>{*JyEGt}y286>2Ue?yx1XqWyL{Wy%u!V4K%#ds!8NYj!E zpzUtwP&}Mk6gIwEJW~1iYGH+s#TG?CRrZM}Rj=Wdj6+f2N+>W;2)t_f!KOnJiwgyk zO?tpIaDw%o-PT#XF2}IRQ_XdTml`s(WWw$9e(dpH*IpfsZViDue260;S#gI@-Th@JXAMLD1wle;vacAlX} zB6&sx zxEslt25uh{i{?RCj0zrhpQf^_RKEXGH5kcsXGTG+ZV4(MLeH=YI;vS|74$H$uaE(E z`d4%|N1#+~buKziz4^DBItaW03S8BWa_iz&Rs>oXL66O^U;p_v<4&}u9SS6zq;6|W@aDnxL^zR!yr!>GX!`VKYXj+(0va8isdU))*PKahl6%Ll`m5g62(LdI(Hd3Vt&@d zgZ|dZYz{9^u4~ZM}8@#W{tAhZ2F!Xs-I9r|RaiJgzB;=TB$!me|xou8r5?^NGS{V^J+hv{IW& z+#~X%>Km!dlcyw;Q@Ix65LMetCC8Zu{Iuig;Rfsf_WiHzo4fbFFp?(Gu-m7jKaKYA z_je=IlmBo0v#a)&LO_bIWGBvR#6^7vz%22ASPR|jwW9s`tS2D_`$HAosWORgt|FZh zfdG!PmAguu>)TbO7Hrc?aLbpcyHlD79+-A6it-lP1V97~e>hY$A?@4zvQv09ZSW8MFbkjw^+tXU(s%H8<6P16 z{pc6y&5?%$J{1PjIru25r?OCpYc5i{O)C;6!r>S4Wu5y2xsYiT*;QTdH=nIB5@+&B(z0Fz8dpQOq-+ z#X#msUellY!7(aOS!Arx zpk|>$@kybeEl&WC-oZ0cz@izC(aL=u(VL)pB%6=@$lz^=O=afQIYJ=8acp;`Y}e5e zDL5C<<5&fAbVUR4G)hxX+UrxC8lqC9c+U}p3UNMr8+$ z$|e)Hti$_6GE4EG!sxC-hex>H!aN(zw8p=wtI(Bl=9^vB zg{2?76Y6nAsy3t_>X{^#ECMfpIYXErWDJ)gB3f0(j@1S$`&FH$yA>pk^d)O#z~3zE zqYok3@0wpYYmZ;7vid9e)ylV8wxWJYUYQ{V$u>M&t{`3^rH_$0$s&+e$gfu?&*Cb* z>t$@EJIwF-))FSxzP)>gQZ8?mW9T|qWob$;WIz>+oLO%g5N?0@=^Y#Tst|>QJ4Hqd z6Lz}=6oqbzabW~xQ0bTm?l8W~#2|zQ3T<0Q+9n9lI?J*+bOhPGys_nANi*_nPza+h z5NQJOB_)|HI(G(7G$FHk;mCq9y?g%9j*(>(R@7LNBcy%pJY5a#%MGoE^75X6+Ib2} z#_?g0F$#~v{GtWD@6C4QyFke`0X?$_!eS)dg%O?pbG;*v+Gxa|hyn7h)88Mro}Y4v$D!A4xV^*$+N ztunXU6)k(0`UHKSr0totZG1EiMl#h91>nITi_FjmT5Sd;0O8LV9P$^aO^|mW2@0$f zO$$!Z-sigvX=;58#3l=gs}@1gSjfDeD6~WAQzLp!?zA{bVUIxnvXhCT+W4r}MuM%O zc8a*sdY_XgC$*YU{?c7K#Wh`LiX6k6OKzMslkz-(dAPEq_!;V+r;W+8g26T_JA*bT zn%oAguo-hB0KP(wq=q;mM^NX&1PT3UwSeJbAkMhZ4`MlLpOW?9bMx@P5l@v;o;Dc` z;x0mE$A?rBIP9?4r>CKiG z*JlLO37w#ccv_#p?5}uAcCSE4G7?zYaZxme9uGC*7^@@Jbv0f@4LyJ(STi5?KUj=n z7HQ9VVJ&UL7(TYmZ(_*{0!2a7M0tGMA=Cry`Wd-Jsb+p*RN%62QKpR>$EDkT z30Jec>wz5JMQJ zJc3FPhS+py`tH|%onaLl+1iFcV-U1 zx^{Prey&DZfij}Gw|%V!i~88*rFgmL#?TNUE*lv@r9*`yZQ+9W0UAZH>a$h$vpi^| z`QShgc?UHW`XT?J&QMVA00NLxfbDziE|`Mb$eQ{#9QKwqM&^&R$-}vOpwQ)7lV|{D zrRzsYja{ytag=cafy)gJ1s5=;lYLeQHSzdnb}+={XTPJR$qaRVjUE!viaU>(7wMdF zP>`qLJ2$Vd;C(sNWRtF)6<*MqA=Pn()~3jdiKsLy$wC{9kMn;J&f$undsfj0$G|e; zm?Fgrh;;8bTvXoj8o0AmjjQwqvY`A(9HC)1haK*_ibOHV!r(=-QRrr@Mf zi)|+RvGxe%+s<@Kai>gvVjh=v-zuci3_4jfj)BuN-TJNx?W42 zG%H-7ypmf>lNF9-8yAj{E;EFjlbcSKtNPrWsxPzD0?LIRla1)~WOsDT2b>ZetMtEc z#-8{(QMKI1ye+Q9cKsL^Q{=5+ADAUUlR6kzQBcSjSAMO-xU!-2JgKh{;5Z0_HRE$P za31M0jK!^ZHul+NxOfxxVvKX!8>yVy{v18CSxSo3sy5{cP$tv-T)7fVk`Ukod9^ql z)UT1Hz;Q||VrG>-9m_!Y7*+Msb83{G4Js+X9jiV1s=nFOzfXL#J?|IY>D{H1dUzB1 zBr}UqAGS|zBwRmicoVbmJ6Cg}U5)|rb}3bWf`LQN<$32QW-R7`S^7=B%uMYtOPMuM z^I9Iofa@%k&)GrlIVs`8go6y*sb{lrZfezb5PW4=?&m~>;|DVg(WtXi(^>Z6pnOSV z8hQctA$H(u`FtZ_pb_vlV#k3%_|+|rqmqWh`w?vbcoTp3t&i${eY zV{nE)dhdM5o#X|`LK7825A5zF{auQXF-gp(x9&={(gfHAHNCwy*Els~MOD-1=gU1! zxxe~y`}2p}y+86_S?r>bE>r+%jt3PUG9wPck13ETlg5Z^Tj4- zuH7O1fRU8>e!~I8UU8fNca5qPQg?-{2Y@fbRJ$1VHmCqoB3Pma7h%oK7G8|)u9ccJ z2mLsx5`dafRHOWu(L&=%&rCd&an-68vk`D)@*?aui2p)q$}d( zeW}_GvaRusw#OZYhG!%z10{;ttP-r3e?>u+59u-|JKIQtt{|-@a3ozp(NxjJqq1Y) ziqy^&t^?ji_e0ACc6Q?O`f8@TU;&GH&lf`%*L)s+m?ES!4;Poy7{cB>G@|ug2|Yj) zFzR%G-O*A(Vhx-av2=1g6LqHO6PcHbM{fmQgaBB(Ua9>(IOJPenIIcl#6NYs~hcAFZ)+@2IXtO8{(A!qrx@gmIC+3kSN$v!%+qYlw+`a)P}5Ux)|~&s80ZQ zC(oI_Cd_u=@L+KL(DB3gh9}F3qlRinpyk0~f6Bp0x?)!ZCCyWLuS#*Rkd3~8)-5Wd zD3_j{8A?!L_o%rH+dn|rq77?<0Pt)UaC0<>;aB3SzfS)X@*bv0WVQqY{V9Z#$_&0- zqS_{GD2EZC&?1b|{2B^p~_zS{eE`{C}{=P#e`KRq4s z?~(jH@^N*)2j4mT{;>SthV=!GV&hE$7F@yGpAoFrUH60+2G@Ms{`CI-tLiAvKHPr& zJJU{nz5V6e-BY&fk}Kl0lruvdQ*IUMNwTZDk#&MckNDxk5|F0)XL(p!RHSWBp{F4f zfxhS(RE=O3AE2}BcyCqErvV$WT&R8ILWO%F;4-QFxM1Z_2ZI^N@DZQ^I$1<=DA+E) zLTB>j86Xa>W0u#Q6#Jukq(Tj`su0pYPWgCe&(z!zo9zJ{Hd)vF~VN(dJ9P?WIQKfDqy z_)riKa~_QpPA8Awh;t-X2+}dk!9weoT%4okga?(fYaQS0I1S06QF+z%zX$b&%8?)r zj&VXToUNB(P%2Ua!Pguj5hg3bGxRrhq(DJvLi+UrXee!WyN<8fWqu=1BfTgSm`U zK@ci5%qwI=V^T^SGm(s9ub0k^%7pYt?paCFa-*dbCup9Vsq`TiuTkAMR=`jvp&D?# z4KgCrCsvl&;Y&%kM0tR|#oB`ULER0hEKvDF3G+uOTgWcpvEOu*BBKll$w$`3z% zNqs14k`fBfrGxrf;MO4~xPRxH>yyhP$XDk20usZ0fr}g}GBKdY*{iY<#YkG3riegV z6Q@V7rA-WklFBrq?8L#Lh`82%VHxD>dU^y>|MQ5862bQM6>O$*T_ofpIpG9$nODTA zfEJH}2$F(pQ^a58CuU$&X}-TpR_FpES9&P*a+EgAQOXor%4P<$6s`muwCzep+8ymh zl*Y{WCcZg8T}E5IVWBC|bdVZ0y5K3rh`t;djislp&XyN1phH}vvRd`Bs|dY8`Qk3F zu4(H<+Vr~LtN$svnUKoWYN}O3*eU>Of_Z`oCky#JNu}oi!13T|ez7B=^AD6>2^#G{ ztmr18KsC%VmgPZI1#ZnDBTZ)*!k`dMPJ^P&dAl53WOm2;e0+6wvjgetivl}Wyq>dv zgZ>Na5+;_NChs@Fr}Mw*tg*jYN(g}HE7&)1j$PeAic*qda`m$BM{m%GN~82yHIHTf zC;>iAYG7!Gj;xwY!=p!mUxmK0^*k^Wn+>w4POq<_dT%a{V2CLI#Y6=5gv3CDjO&zL zRg$*DC03qCgF44cghQ0O%2V31w-U8viWGFj>YYJY^qB`5kKx&V1EcylAXNasn}gBJ zJFbgfg&&tKf@(?t*`?Tt0!)3`e>c@F#TP}E8dp(Lx_gSJ!bWT@c>o|saLdtRl5urO=02Bv#Tru|OB_9S|KWk=>EzLy>_3>I{r^A2lE!0_J_uk%*t|2Mi(fbQQEmq%qK3zue(N*nERd6X2!rAf zFl~6*b`N>kb`MvM#fKkswqqP#x~EpjM{rT&-)2xeP;@HG@0krjg3-Fz+n^4y#Tfv> z`C-Khiy3mBgSP7vbe;1<6ux&B3DaZ5;En(zvP3_30rfKgsY1gs!# z73jsOVkIJYV-y3XvY>Zf7G3tR_lgz$n}jSSX;0qF`&M*B$H@l4{$)US(k z2>PI^5dE?ir5e#2x7XDq(K)k4@j_5yO$$8IjAqCy7}{%~*`Z%hc*Rz({Vh9Jbpv_P z^j2{fA&8BZiaCD;qL1$<;bV`k04<~&%%nP_4^=tijIPl?EAL+Uz$|?d(^r7d$jZ8+ zPmwEU%tdoM-z!f78;eAUU7#`YAV_~mVUSS zpO1PJ^efY#Y|#VMFk zGaJ(l;e@|z!Ye9{CQzU0&b@(>@OvLrY=x3Jed+Pt$ptc=jdMsGBEa}MRy$ja_wj?p z`4Y4}s!etk$b%-dqULhI=s`;#=S_LgfY<3>eJ2UPHW(lm&2iZrI_MAKe-^oDP&y^& z=w`Qc>AyBbUZptW%7Twjn~RS=nnpbVWfVFLyF1nYsC=B`^7W-$7@EnaP_ikVA9!EL z322-U|D}z5RJArBFh3U%dO~-%aP?-#@dM1CrhJFip3LLWbUK_nA1PZCwg_G zC7WSgdes=)1G?j=RH)oT&H}190?iyUx;Lg&k@J+W|4@SjCrT{XRnx@6!l!JyRB^Es zC&dTEW1(TUn!T=U8!eaMKr!cvU>U>$+`VGbi_)C@*|>2&G^}rAJPKL@6eumO23;Z& z7~lo6phb`-v0rEF7upzfAH`iyk!=WyWyTb$d2LX4iWE3$rbs=WWH4l8Q@2;UzqSBB zyJI}1;{@=zKcr0C9z&7}BrF0A#h)#^=)}|?lG~`wq+He)G53`NlucdT*UlW|ezjOK z`W&PzVj=|K-lRIM&}m#o8B_rz_t|zHj8NKk-#8Q=cdC>a!Z@4t;mRQ$n5aTXb_)Fp zCTLiIGGD^-oc5sz4@n&3k64J_NM#NBbM*Cj%-N{ReU;)ZmqTUeqKL5DtOa8$*l4DP?b9=d ztg-b%3aCzUPLSbZ6DPmAupx6oBr3y2C^j>MACPKFk&(@iWgDJY*;XlYM`0Ouss5E>@j8 zjtuUaIm#LskAgR}5K`qKpiD3+(PQ*T0_}7Qk+k8NhwjT5{VXt*?JI&_@W#+_LCnB5 zo`U?V#Ua?Qq!-vLnp@lcg(d8gpufdwioFqZ z6xl|&TE?Sk=K7#NhWUe9N_c;sF^P%yAcQn?Z6UkXWf5se{Vfjh1Op0wD5{GilX1BF z{;KYb#0$M>+2!^5>)_qVUsVhzrj2TlA_)CAMl$(j=+Xk66~+5lzLndENAkR!INb^2 zF`IZfYYWu@cywL7aCUv*h4UHu!gax@WQL;GIDGC;ppK&z5DxmZu023g_MX;`mSC*Z zr$KxQ`J)!l8`H0%3kq9ZMP8?uyL7ZuzZD&K$urO_9AeaU4K$17V;R!^F-H(NqQ}uj zGHEttdlPw(o(f62W{577+qqlfU@TH@(n+>E(52hXc0fI474%n( z3{YS>M`;iqzt^uN>~eZ>eA#Y(xB+wr*cyOokQ#!;=hwz5?48+%Ma8L_9Y6lB_KBatvuDL zL`_V57YU=uI|kGf9UB#ANrd(+QT)*LRp zcHj4FxRb-hLF?;5g~k-o^@#-SArexY)49;HnMf6+2`~{5&hE9%FP7Y=_<)H`HFODX z$n++AGG5g~L~EZ!t3OEl;T_DP%e$lH$>o{44_S`X3{_8aTb97wg`jI0+D2v+QXtyv ze}2Au@)_~guVL|(Dc&79>{6>UNl07R`1(W%sz^%e2B^marK0q@pQHY#*!qZ8GkKi}kWEXkoxj#?gu^W5`f+Np$zUWTzUdo`emKX0pJ^yH`)u^xgVJGXexSG*)T~@iLjdy3bgXa{_;m)pWXZ zudYbmJp7q6BLBcSnnugICO@lXdNgr1`a_k|QJJLYgUhAdLS!xD1igeJ2_BFSgROSv z%fkg!Mhqfoa3x5;Hmq-zt&bu@=~?sz;weRI0AROTSm0c6(wrx0S#(5KoY6fkx2lb% zXqUo@N24j-r>Q$mCF~X3l`0xd*3zRJA7Y-HR=f3o;2g2@NNgq^nq@krZaM(l@;`}I zav56*j`8hK2Z<~RchOg?loaD~MM<#^3M#g@bG@>+-5I*Ea2)Lp#(x2E4h3L1+#Eg# z?ckKj`0*(Xri22Qdy;o~EgWf*tTHxrRnE-zfODo%r4xNa&6gHwNggLVm6)9UQ|df~ z;)y!iY@=v^t;)tXvndTr6pOotWk%{3|ZH9Bv>O479ROuLdmAa6nabFHp2%`I0S;RcTJZMJ#4l9yIr18W#)v&qO zqNHjEV*p_kXRK5RVF#El9*)$^^b|*`jmu7%1_p((MD7mGQ3ByflkMQW4S5}P*&Z15 zeW*>5ZBYJU@p1{gRETJ*yr(M?;qFo6(!6Q?@z`l_j!unh0>DhI?~SCVHrAbtFu9}f z&Gr~l^k$1*hi88kHmFM|_QcKt?gbMij2yjocp7ftz{;aJdj6JU>L2R5KpYVU=JDws z!=cOF`RLbAzuexx|K)D9_lJMHd;f=&lj#ZQ+F>HtnyWD7aD|u$Ch|p9pV4wymc<4~ zezE4<*vk181xDB1$AY!9LvU0;_n0;~ z#KJN7V00Yg4>E6z3v`cmj%RQaOd-Bt@IW=b2w$aBX}&+2&#~|KfBW{~Ct;Q-xAyk^ z$Efu{ftEb@MUtX2OPF=^GGhzq9t4|KrXi|*tNJlkG>S8RKt3l{FSV4Cs zsA6C#uo4#CnD=@%OTmcLueq=)<_oWhYFt!fDQ@fnQ@FIxT4 z@lFT{iZnT^Tb$x?-CPPP67st|qiEWxy}JM*%KWMml$h^Ci|m{thW+S4#hhLTC7uMy zhRUM{0m8}Bpd@;#bm*{h4@HtHMA9zD)2N*eS2FuUX=z&_;?ejuT2vojeb>ylSyGm6 zuc(+=1rt(gA7NoU(?YcMIl?wG<0|i}$4U-#bm3S-Q^(HJmav>`z!>3=V2gwZ&kW2^ z5+TQSCs5XCCrikI!IBLmVc7eeJTjbLMIB(kPDzD&6lPKiNm#z)t-^+D79tFF2bw_1 zisM_dQdJ8YHy!*e@>SdA{ihG_-|SsK9hvjsKS$R|M7Aw_1pfwCnVfk~a=^hUf?R)`WF^cdbiwqbSW7PC4B?HYJz1hn52X)btYb_f7!SG~>I>o`j(^I|dXQMJGXM@+ZexUOla1wk+dbNNAofxy#ctl5~I@+b2x$2NV z$dEc##Mh~OqOqw&1V@U#ciki(@_TkaD{tm70QBYPFm{0f>^nN3@FF$)`z|Rd>HvLg zk~-5IZ=wl6ZmIyULRMQRAd|5^=MgIE&Q?;Q>!z&o0l>4>&MQiS;Igkt|1+{G0}H`r5t%&EfAzO%W{j(Bj_sFUZ30`x1-w(6&^XnQR@#@-0Sx1*E{&rQElPP zFWC;{p2AtAB7030K)!F)OE;MDJ0-d_ zUYKtZ#S#GIJb}dBp1y_;U6C)Y z0cnkZ`;=}9?YZf)hdzvyvAN%KHG9V9{^O}h%|3FII$KCD1cFE*Q|iMNZZI%W8A0O8 zY9=gMA(1%EqJZE7vvj>KUP4=@VcVXf5we+U(2A%VsD^5Ms6#tOH4GO&%srmBJ{U4)4j#8<4zS9hm1;i%STKFP zRMJ`ErG)oB_b+<&fvU6vtujyvI z`fhP^@&ZugAK}PApc<8lfJ(EoV4g<>pk}(LioWdjWB=U3y=_Y-Xpp_{#;=>JgOeBj8*Dze`;}HBr3DAX4(-q^dCKnL zR9PVP@5e#gR!ReEFsUqm+n}<9L6|KB^(9&=j?aqHtl!qAH!M$+3YD=?C5$B!9@=MO z%lLW$m0%WOcv_3AveU3~x}T8SJBgaiHij=8J@J!)Npb_bEBI8_(%p!QoUCO1g@hxSU~smvg;m&B{G>rQ#xl-#P=F&g5m6f za^Zq9ajpiX@O##;Qzp$j5=(!AtROhe*_rv4gjI~cM-unh7R-$0U73Cd{VHpGew zS{N*$Y97MfAEY1{Fm(bsy$Bae{-O z7#S^Lr!^BAy83&8e!9ho$ z$0!18P9HV)}>{RF@4DN2je*`UG+lXiKS1*G$85JQx87 z=%x)VZ1^`yUuw4DX2j{G0)UbvBHO5#)0!xfIy?>0v6opl;3A}+J=BZ){7 z)jy}Uj57$;h?gEMwBmJ&zc+*24^I;E_(x@ zi-rZ>NA(YCq)Qo3845*kSyY1hn7=M*t~(>%*A1ZKqob9faot9J+(;dj`99W@h*xJykz#EEF z>~JzVRK%N#q$2z6K?y^;vZw*RicFOBq9!X@D3?$9Ko;(n=j+3kSFQEYYNC%8l@3{f zUZMz~F~Tlof21SP>U0THoJAE2Kt^SP?4OmDTHwU(JyZ;L#!i+vlMmFwoh=?Og}ZqC zHjCeJn`Zy<`(V!F&oiAYP~(@N8mYR^4tjy2{r1stv@FM^1h_rrAwZ+U?b%CUrCy3nEe3vpEQr- zUofX)$Vk-+h5^F)q;6k$*w?R-Xtj?DWh%0pu?Kkyi>n#eQ$WX&0?I?gcg#xCXCV-%_fR6uCVN2kyI*it#kbZBo<10(G82nnQvL;g^e z3Gz&C0`33+`A;+x5ViB{v44*FQH}0Nkc|e zhu0%3OhSCqjzQ1Vw^vKJbDcDlSKDRZs{Wv?uuF|aufUEV1{Mw^DExHI;=mCDd=2_? zBWxg%(+p(-Kx-Js!ZAod}X z9IArQWI0|uw6k4LvAkSv5v!v{ICOsLQ7PD9kaB>sfP|KUQ-wnQdsw$g`By{6XT!*R*gr+Jq<}=_C6qdLPyPXO6?p+)F`}Z0DB#b zH(3@eV^&@prH~ z36&?L?Z(HD?PO^k+gZ1*)Q3g=y*5j}q_hiQHe78_wRBG^G-Qy?!Z3GUL8k$4&Q)B| zVO6GC7)SA?44!q~E$ivoP-2P01CE&tny4c)`&a3ZgI3H{vfwKoMbxj^0z@yv(f;2(q2m+D+!L01Dy{hMdIMOHO*@JS@9cLvHg zL9%)|E>_VR<`7R_3%8nKsm9rnGaj3239Qi`Uikh*lJ10FB}k3?IJKtuOgSucN?Gh@ zNrK$9UL_yUhsU)$+=NFRjZU!`y(uaSP4$sjD>a{>>+V2>rh8MkR_duX z!7a6wx!+cWrFU7Dz~oFh=<9BdUn$3+@9mU7$*y&XJ{z*&_A8>Zj^PHmLIB#rF$kx_ zn-R>Hhaz6TJ5YK3-V}X((!(*!CqKB8{nqoqT4v`io=SSlWa`7<9No{h{oC!YcR-$g z{F#>MllC8Pzsi9Ll|xPr9(B|y#mMuV_d6RM=0V?h9*ZYuPn4N--C6ofSg0Pup`{4l z8pFiPW)?Lr$0M979$?%_Xwp*FnPB6*d@1J;i6^LQA8atc)**&$=&6Lhy1m*a@Ws)r z>0fv9tc?nR=4@S$?+q$zAFb3D-hYCst}Iv3e*4Iv>fKu;IPy~Zw`9D*QM=u2DEL>T zOAjONPi}4Yx9Hkub zRJ9QQ*#DNEVB3T)2oTK0g#Oe$oMHITgq_YJlc@++PNP3vMyXtyrKIs#I2?)&GX#wT zQq8NVI35KM?z;p^9hJE=V#_MyDYAl~6)LR{&QX%2od#6QXfg>0?<9)(74JETBIJds zj>~SUOXNP8W2;@Gvfv-vyPrd#AB>N!TsXP-;Rxk1v-Oe9;{ojO46F-ZMtr?02jQoY zIi2%Ync@84`Na)1{VhORKfrzdzn*<~|B-a1rQrbrv(xe?_#kB}$`zoQRXh4KijVLE zPt%M&eijT>AoGYuAqa+(kv&Rh#2>+QR4X0@4A2XCEw$(+(>=5Z4;=8di*Y$_q3(LE z_WfkL(}<8#zF-PM24U5w5q%&9!K|8b5rri6r~=Udtm*z6$5RzF0at*h+ZMFn6lFt_ zhn_o|d+2k|gu1>u$^+0aa7~b41YZ68?tb&}7N;H%Yiv{RS_U4`6twK7q{0Nl2iU}_ zqL}kyGAng@ytj``e@9SdilBq zC8INe_bPB+S$Roif?N+Isw&SM??Yo1Nr^5Up5W1R4Lvo~9EOhtr(;0w6XmHigV!VC z_$}=+_*JQ#-*Q|WsAQsauXlE!Gt5mm^IbUFfEk_37P$XN`Jhy_zS^G0O4f%fD>^Vy zS5|nrD9mHYe-dY#m7GLv!;YlFkQJW6BaM9JYlmJ#SHh6FB^YJ)L?I zL9_1$rQ~#?gvpt^^0ZPVjwsw`NQFS1YOZJgo)wqB_%3@c|NU_J&km60h&#bwqj`)P zNhX(x&94nsw!S(|H=caOmO%h6Fy-U!m9fgrYqN}~?9t%>qP;9XoO58a%1C)Wi63`0 zL6QVRD^~2~0)}hG`=Q#gJdI7ND1rbEc6`Fhl8!F>?I@D*&(X^JX6j42ix6%=6UXjR zf@hE(CDgz2Ey$4WeVs=_JqO58Imk-edM9A@kpb~*wL-hsOYQ=TIhXRlfMpVH-V6Z> zP-(*@{E$l@!i%hm-9C*=seo&~M~B+U;bOKwo1(u0Qqu0eo6V2o%>yC@CX2!XrmXn7 zbVijC2vEH4}U1D*mLX;?IPBRR( z1{44@dhtS#YmTZ@>&ooxVpmtJ32Ou+jtHrO7^q1Oc7uuJyh3&GoRg&`5nIF@WtyB5 zN=kkO-CB47B9&m}AnQT(5IUU6i9{<)0mt?VHF-ByL?pE(EKA`DyG@WLZPcvAnZ)kG zBBL!%bPuSHyDjM^V=+tKz;(`v2M0S!rvp$5L!_AmIu!9ZESc7@^1oS?#J|xx4{ll=t?-xnJmsiu zdwzwCYJN53Opdo!vF-L$9Ft@WLvTwXs(Qu9aH(Oj5j9o^PN-l0f~zV5!_}HC|#Uy~+M)GLNlN;_zPJtls3C z*d3{pm3&g3)V(Ii4R*OL9%P7JFSgtr=y1^P2gQRNF9Jf-_m~B8#kL)mDpOkAD)&%q zXH>b5xL!S}n-O+w?ajF-TJr32^<)-fH?L9q02Z`s7kZ{oQ6v&?i<1v~3v^uK=HXPI zHxd#}0s!)5KmatzdVEX(V2)*@lNBITkKlDJcq30;Op%T84V5RRiE8B>Gj2UJ#W;4^ z+fSF3er&H;dtby*rmHY$>Vbxw-y~-d6odKuC%ID;j zMUI(vEn>i}JlU+|JE!@(kS_0Hsv~if7POS=<1KJ|qyVm@IZbz)C6A=pz;X`$L7EV; z27#+)4MNPNUg~=gcgw*pqBFtsa0Z{M1ZIl*j0Pfog4Zas%EZg;^sN;dqU9UZ(4Y05$%&&~hWp9$~pls0_^iLUjfl)|(>) zT}N0CD6i}D-RTa1qU}y9AHq%5y$A_+dZ9k48zRFiGj=yCfM5Ml#N(+QG)Qk{bYysv zE?Lq`pirQ3GEzoWD`~n4Ng7wa%SitgPEZuDL(pj-En2;xqK#-@p z6&W4UUMcF)LT5XVzS71tXBIp(LP-X#38hP_)bgYnLzaWC`HlO@8_R>I>YzaX7&K5K z%T0}zXfc|-#h~C#BG!_k4W6ULE|&5!En;I#Jnt#z7{uZzCqvr6h@d#}3Gh)-seE^G zskLt`ys#P<*#-wAs|Qq6697laN+hRBB?s41U~t|A z*$ARdwdBN7C`a_LyRL+uoIFSX1{NjZ^NXd9RD2*Q+=YrSdU98fGb2LATzZzG+hz#4 zW!O7<=oS{Y^2nxK^1F2A%IBfyqi5P~4>+&e55K(sdjE73e@e|^#IYysU*J&_Tq& zqpM2I87t}3AMl0feNL8w=Q$5JLr7n}QWns=?02esMjSN8rLPds+QHEeAPCO2aJI#h zcXwaDe9Gc~pIJ8Ot6tsvoP<;ra0dS8@~J-VPc}G9-qmy_#UBxD)nzwSnr371xWTZY zsl$%1At~w!ja*k~*~$Wui$pF+psHN=2kVV09k!ko&a#bq%!^l&$Xo1S_u z;MpjzSQ^4jMC1y2p^`t-{WxmS>|O{_b+sJ#iM1KB5e^>@kTi!3kbvK-)XY+Q>)T*$ z$4F^B;brr?IFa??%DEhvsHc-?c$!&oVA(HRhcS)v9;~W*jhz8Io_P-{MqksL%;;DH(XP8PDXJuR9?lZmduAuGO!JO_G1Hn zn);uUFNQ^ok;&*l6)DeuShEtw`R3@99a%{9l>xtVZ&+^ZY=t!%)U0-8JPi095>X_vP!|{%r5t{)|!aZ%B#*p8cMkI^yqaCK_<0>-wQT|9Lc>Jf$U) z4L$e~iKz24PoI!$3OVo(>FjcOhB_nGxd&~_S=4MkgSj}BwJgf*L1is{9N<+bw?`Mh zs-UZ8o;$yZOK>%GPT%#7vEfb~py}iWOc+ZTsGVM)sQ#d?JLs^H>Hw^DFW}J0-tDuW zo{nxI-hTo%_2i03-#rAfi9*1V*+S!dVbQVr`iG1^9sLVW3|zlYQIF~D=?F`WN7KZ{ zgw6@JgNHq>O;^W;BXVrTJRi zA@Cpj$5L?_oR&BrCVK&Ge2zo^0M6&jYyq&(w_olfanS>8b4yR0A3l+QAZ{y)Hk?q` zBfWC%wpc*_X^&B+hZr$St~a3~NCu~pPZR-Z67mXd;w4s>`LeQOT}+AdDjP;Wm?*=D zzull+8G71_SCtv8@PhKFOjR)B2iRa8rFk>;QRYmV57Y^MCA{!#|t>1)F*s@|0tx;3AGDALT=J8}4s6Sen`WeCT@((81C`eLC_k&)aQkSS&X-oNL8lvnH&r)_ zUw1iuTAn{iMGlv#%l`TCZ*;JdODbQ_QGroKTu(D^he~hAdx=t;SKHODdkpC8k;CAq z0EywqkIb*6tJt5?d2lmvKtP*I_1>do&u%q-wf7BjiCp=Ha`|86pHKgN`X6HDQ>W?~ z_`H~G`$Ew=a79Zvt;a8sH3aWeFMQcZSjHG^=Es^jEOzXQXp5EtuLua`9-^6??83!% zLnjM;72V5z0H6wNUdv=@x9BhoPA>=a1Iby6LWlLLBL@iwHWVd3Ksiqr#;MtA(0hYn z5gR~_GH)7xBWpTyf|$pWj|2)i+dw=*!-5Bgr5NCkWTFfH6Jeeg<&#QVFCwE+d~x!_ zh(+4#nG4d%B~2>GrD}RUVwpPY@UzmphkQdO6OyXeXa4~WP0mQ)>)McRA2(#S7|jlZ zbC#*NtX3gfp|dQ6?npV=^O#dK&6EdLh~(Mn3j}*^PEpX}f&moFg-pLH+@BWeqdY6h zc5t&HgxL(5ve+NMVUOM6?lO^lSHNj5)pL3n8!VZ+6qj%a<9~VX$f`M@H_J-adeASs zhBwcOtmM<38M7j%b-PP3N((2aOw3cfn&67LD;MWdRD!=HxFoTq(ca*YQ%rS&(qkF3 z0sVXWu&WL|g;;`qW7ePMZSz>y3|RMTuYRVHTSKXKlwsH;FG3{e+Vq@`WK@-UVb(GT z4ka!&N18M#8^zr>k8~!dUGzxWTjsD%j3UWH=3bGK7pTQ7uq@$U=Tb-8Qemw#nB*Xe z!vNjmCBZ%u3wF@un`MlS1)nF23&fW|>Js2S~#1^>(+T#V?kW4z>a4L2C8 z+U%}*VsU?jwr|3#GpovwCQ31sUY%JfMMRnNqH4q8L*QKLJx}aM9+gj%Gt>+{%puAA zwHNFK>%}vKEsEa72M%t6cCtjR>V-L9 zK@f9kplhH>jp&}^-_S}j7b$TD;8|a;i%j24oOEaDVZrT9&IFtmu1Cp<88;GvU{l(L zwG&l`kTLu~;=8;io(5`W#ezY|?)q||kaw6tHc6j_58JUGq~nZwL?g0S7|MxRbR*Q< zm1TQO>@F8@;@}VvxmI_En_zbd-v(pb8Z_5n$qC}aos52dVDzUEIAK@@ic+hvqZrVa z$`1kCpf8X9(w=ROK|VtxPCd18p`NZF8I!hcGgxCJ+jRCq?yK?T9PEK;$2ThkUBWWY zZc1`!>?Z(VT<2l=c+kt2~=}emh>snUv$>fG0$GeJ%m0HzfK}J zTpu%SHkChUz;sCeXJ@X8KQu)*RDISGh{ehtBbpg&)*q^@R%Mc|Q*s6YnfeHdRtt6t zf3cuC^f{E$hSU5t{l`NiPFvM+Auc`zH8mcefj|wCx$;8rVFkuIxHcanm1XG9(N$=7 zJ!J-pK^Zp2Ov(z)+{;6v;8IjDtQOEqR~3qDX%`hWvc3c)C;Kz$EUJ-nzp~-%Pg$@0 zl;WU3<&ZLmb{xHWK#uFSHr0Hn-eupP-k^LJiPJNZPLTn{#(q&6CRGjU@`qW6KlI1z z{gG!6_D8nRJH(0<>{Uky8#I?!i2jVXk%H%&>*QGzOTiaOab9bH=nyIIX55s6x`|4w z*1bXciYBu@#et@4NjSP$PjOHF9JAaW|A3kx2ccK|Pb0qYl0aoQ@u>SwcVIJ+!D(Ag zuL~cHn; zSBP7a;Z6yxb_rtIMd3ME%Jr74WS6B1(waAOqQW^X}!uoPkV3)|<(YeYn502$pE>T}k2a&QNMaGxo z!?+K$0wYO=!=d&vI$%B_KM}{$y|+d3U2EB$2cX{7kEj3+%?j#~Tc?DYEj4A5%v zfVFKnLBxSIM1!G0BPU?mo}OQwZO##kfx-Ttav+shpJji;6Q_i$Xiruj5M8{lhRUj- z3@p^o-HB?rSIg%c6B5ZS^Q{P#c-=GFp4#&;^AZ%gknVe$$M4H=1#S?FnNdHm*3sOV zW6yL>$g?@nAUN8-#bLV8UOKzZ%is-7(Z|ze@*zVEO+GrsxodS| zEP^!I_I?Tc9SlpAP{u{kjTkRQb`vjj<1Ig7^%=>|w8Fl09E-%;_o1kD z;V)^xpS$}xd1SNKR3{f6i{@G^z8N*524?8GuFp0Qw^c7N^`Uq@=_nzTX$cap=F5+4cAaP!=#@UGDsQSLxqzq=9 z1$l9xFc$!xFdo_+3$iF`;DD%sXK$XpeRlWk-Ls#cj{NfI_SxvCXQMYDw`ZffXQOw| zMnBi9dU*fB@o%$^$&FWmsX&1y)~6hiBGE8t3N}n+8DDz%K)_9{B z9LOUd#1{LQa0%TX@ruv`a<+L1=x#)BRKcsxkxzFSk1|la&%nTg3@cMnO9HXMm=_UG~8S`Y|0nio4f@QSeGY}d=jZTT1BYh=u z*NV&jXtmGC!{rzwY%G!M=+8&xAsGjbbrDBE0qG9**dMAe#mXc;Lzfl4Iz2+rh!%%> z5EGM3BmQ2zWp`ZO3nhO53Wl*RSRmB)(F@SHqR0e6(`Yi5ydf#s1kMM3a!= zG-bcK0;|8;M~E2BMJ z1MG672rK}wT6S(n%_2yue76u)@MpKkYIjr~Tms@{D4f;QJbeZ_L1nW{O3 z>k_Xfy0}*V0(OlD{dOk^yV@+>R6h+m1AHbGRw&&%$@QF3DKrY09!tJgoSLQ|QI zvOE`OR2DQ45v1KuQykA4>-tJcZ3ks#!m}cd=ksJuiB6S0r?Id>&?VSRXT+Y(^xh=F zm}_db8j|H*RHypp7C*~wJUB6ZgLSz|9ba#Zx1=fY?3{ zRst-iYYDcj(%6XraaAFJ9A773GkOYiEQR zEZHmB;zfJhBBfV3>PmzJk2Erx2~%dHqz7&02qU7S*8p;6f^G_r zcbGw&P`!8Ay=`hym?P=U3H!VP+(h7f<;>uCv5`^HPLdpSJ1Y$4s z1Na+uCVn>ZbAnIveriH@)JS|6PraTWcAR_ZM3R9a@=@8WfZ(y)b!dYPTs!WCCEFq{ z8o&*l_t69AC%(_VaG3g(^O@04IIeRB)3(Jk#<#JMghi(M16&yo ziM_FFJL&P*v^aa^`UHJ}wiSgcCvzuGcxhM+G`mqo;hjl(Gi?~S_wgBB`N)3z?$7Vu zeia4t-=SLmw~ON=_-1$iG5Rl*9&FCIuzOTF|DTbK&^LjA#nG~X>c!B7=)rk*p^c)w zy|i_DaLVe@Df7qgGJpIo`;XscA9n$5YjVK)WP|D~yHlY2(6#X9STh7fNGOZ2I!`?p zZnB8iT0Ef}x<%IS#LftLafbJq4K_EJHIE8vh$ISXYX@MJ$Wyr-I8#yl*$~hfwYX+d zj4PoSE6kAg#tCOD_~OQg;HXc|-+$E95}=iDq41CPenJ+`{~Ylj|6Tr(@FIF(fl>dg zHAPV-z3vCmZiM6Cj!b+|v~(ci(C$>bN^B;fkXArLi}YgLq7PCkhMi4&42|E_vK5Qp zL)bNHwvQE+&A$|&Z4o*H@GT(SlA@48bZ~h~wXfy*Y6)4tTi!-45wY9!3^9^Qr4p# z!T&=^JJy67*y!y)?r#5%q&cK7-+g>@xA*m*AD+QsaEm0Yw!QuOp>6m6@IB{@{xJHZ z4*Xj-a`(T##lYwf&-gd)U_oT&xg&jv4d`A&krlBjZ(j^3doV%l*-$XIq*>b>QBSdF zQSu?YwOP^|$_o%nJDDDgCa6tVbI?Hk)IB?1NDMNm_Bq*Xiy$yTRf7DPV=S}^Ju@#+ zl;ARtOH{}8W%ZSy5antR=O6FRO@*<)Qd5HZaD~1GCMu1Emnie-P8U$Zbp1WD7i#i2 zL-`TPO8t)kX%zC4tm5q}afjj`wo>S_H@s5GOW!%oIGdS6TEOM=E}EO~<*^C9k=Tmc z_0_cl8c7Nbr~`>7&ee)cI6voJsKriPIY;)L+${gXelP@kS7vGoFnz{g2oUq$lOs#J zK{o2sf8R&i4T+84OxbY#8v4wp(#SO%aG_H9oII<%^$ZE6GbT}K@xt}#IW!G*10H5t z!C-mB-%%Zr#Sytnt_K30g+D`I?vE(3Q{{I@4Shcw^+`9X&$E;V8ldVgzn8MeVnpv{ zfy~Lo>*kffjfoc*D1jT3Xxs>m<{I#zo9p8i@m7&POthY}^*1$eVW?&LmpfJ{Z{)f1 zl4jnCU9|@)V0N^#ZUyO#WD zqA1$9)8Vku`Ci{kG5t~tp$8g!fBuqVj1r$2O zBr^2KeIKgR-*IWPnD@CH|Sh z#}OrJ+xP!AVylDEzqalDhtDIJaQe@upZ{(2?$a0E;61L6sS&(~y3P-NdPm0i`RUVt z8~y1|xct;U{ii?a%>M(bp}PWA!pH#en;y=7E`QGLB25CWy887RkBm(YvG2^BI3|Ke?yKpkB84w^r&4dAWC&|AFno1-zswWwC&aXm^$BJPAQce~ z*Tgl{XE$BrfyUFdGs1C33E!hL1$} z-9g?P=y=Gx<6vlA%<%>EN08Hj9Zj}HFIkKn@a=1$kt3Q{pP*FR@l(R>(J8_jr&@;e zLtJe4C`HsMzOFK=A5)VbzQzaYan7V3CqYuO+60V9<`3G_)0xhiV_`#}Or(avgnKE6 z5#^cHLCufAQ@9>C;vHxSQcxMx{%s_V1>O{;LE=;DQh>&d9ustkh^SkQI{^>i&kFHO z2f6c9#?h$YXZcZTP8=^!>%QYy;nb8NT-!~ze2B+e8w6Z4J3>#JRnp*UTeW_~G6YNx zv$qE(PuxrdW~y8O*I$DoUq)g}dm%HC;%UvLD_^GVpK@0?-)p9~uF6QU2nJ&c;-_NaLP&aK~92iIqxFvuJMC&TLB{bOQ zzidgQhOd2w@1S-PYW|E+2_%woPmch12J&OH*SqA*vK){H%4o?q>U?*pMX4GCVm1QH z5{(ZXP+7 zKH!vG8|L{UV*xH(7@KiE35=TQlIrD5M?lpkIu-85MbVJDP?sb-Q!kp#dc{5TvIj&; z@4zfNJVnwZ9);B$h!~iPU%+9W%PZ*-1PzLiNHs7CMI~w3Y5{?s$@FqS_cwEh;4|Cn z&8asD0C(OSsb0fBY|3I5G7!5gmG$lY$&tzd zT><#fX3(bO*UWOCX6r@#g=mT9M$Oi!hm&>{oXvKXzXjyOwQ=@Vj`<5!X2|QP1u;f6 zSV$YjtyW?zQ}jSEIpJ&4tI8_NS=@%8e2viIAgG}u|$dtj9o9T`-*o)Wwjk)f-%DF zaNIA}8$+0g8YWn?bN&n=7+Pr>tB9)FEPiE#^E8aKTHYMB{1LY|8QmGKe8a#*{gT9Q zG9Y)_{RsJ4G4p&M-A5a)TdyaLw7bXfW6d~926(7q^OCw5X%W#%3qmxmMrYv~VkNCw z436ay68xL8)^c3+4eftI_SDq@LZ+$Y_;*P}lAlo@ufj?7kmQ*NWf;p-5sIs&xmiZO zTqh0bygs2r6OJ)C!yd*lVPu1Pij_Gv;oiU*TOLgjEID(dZ46-y(bGvMX5hSM;F`0m zp|`7R{KlBCWPJwte?}P1;Q+9(X%>$Y1`RC{GkJ}YAo|`&h2Hyfl+-hIEaXm!@s$W9 zDtb;((X+z^98_Gr?90;`6e=?@XKn&0eo(T4OXE4R0o`4Be0X8R&uqF>M*hXyz1pr= z!Nm*74v+a0)@GE4A-Z}~okJH`+WL^jo?cKJ_L~n*l5YUjnspEO7zv3zg0UEWa*C_n zlamjYfj28H0LY&_hImDc%3;A$wY)^f3P4?Xl#JLb z(<-Otkq_``i1@fZVdIoD3Au3-)e%zoGOQf4b}lf7PjVswB`dAHJAUnK+0XqzsWhGx z_nX&fkhZ&l=?irckC?k7z-bUC6c@(yAT&4gfnA}z z>iYOO&Q>L`EjnEKVtp)W3wwo9)B^*4!t2B2|H4>2qRPUr_|Nfd7F(F@;He#sEy(aA zNGG~_>2TN6I!#qdr8oK4dt|A+eK(Td?_5z;CZK!j8nWrI#gKAeQkHnqaiF%yW57;` z1@7^Q4bvMGktB$24~VDBzE&WCVo>%pCtQ#Qtpa`n^a_k9^0#F98^~;)Ap{(sLsc&a zB@#pvzX-k`$}MxSK+}t|yQ(&STp-US%olVV-e9ERSyZE$c%6k%1!<=@6F1)rI~|uj zAGzQ;_y&=M@ddCHlJ!U)w|#xd@}#-+)MG3Ix__CjM|g|WlkH#gJ?f0e7MZ$CEQwOW z_I0gTS2?a<9*!UvJX>cXZd*C-CBaZs!GoLvZT{l{XWw;NRKD$SW5Y>Z`5yI)OB$$*V^vTh2inhHDvv6?R{{ zhrCu^XTj_jcUN{o3@9^TZOh{9a}|u$yG~Xe(*+FOF8LWy_~P^>J~}w$WLB9Vq~`2Y zyML5$6=dcoc04e_?>~4S`4)>6%i;1}XB>-9rPK$H;gxI`YQceQ;BX8Y^`&> zvP<0={BqE4met=rXCWHW#lmS?V8o*8#<|Bs;L)fVUcY%01}Ha>l~etGjF;a>p$iDrj8 zDQ48!jZUSBvfg0T?1v{ay8+xmak>x$MD})QlAY@nitWxYid7iIu%@CYh=(#16a?|; zjE1@lWsu9hj@1rQA^F9@>S=VKyV-0a-4Bw3t+(+m?9<-U(A^UPc&cYW{_zY!CM9?* z40~NytF^!@&y;>Xn1zVp(Z*>=Eg})lMG0%xD-llx8Zt&Td3f#M|95YiZGE1ym2Jrn zHwLQ{et_pegc3H}q>KfNVz5~r{g}zZp0EQLPa~Z$Vc=M1dqe$hw8}}&JUQxKh=q0D zS(~aj=XkS&G1@s4v0%5aNVjH&sosdb2iQ7gQm3f+DVz`0I*lVrZiNv#YEluGXbY10 z^p3Z<#qm`T_27&Oc@NK3LT66WiKZmkie+oqA5=~wetD)d+8+p_CU<-bhUu?o*htZ% zZ?5F=VEGs_e_)a@l1wYqwL98eFV`p8vklD92P6fVSd)NA5;;y5Dft}jF0Ux(m~!t= zc>Im21CPv=2Q?>U4X{a4(nGXfrD`iln9UA&hPcLF&-Qu^Vhc=1XGGtOukGqh*TGjM z9-$-TXFTFJ&Zqq@GAcfxv}9`$xQEPR)wbV6-np3bM1Y2Z&Ex>!|F&c}I-6}pz9Qo| ztAK>g?Oi9Mm>dUnRrMfLRY?G{KHUB{D>2fuu|*-|Am6e%@BZwC$#14NIrTc*mbo6& zMLpu&`d9y6>5auL)Q3BngH{p5xjdIrOMs|>JL155@+P1g7F0yCEmfygUaEx!ioEtt zrzDTG^g&ynH~8-@{=36}@9^KxZm5erN8SlP)ixtMv*U|lr9Nl)dbV_jGT@J3c%(QP zmFNsgq7~*@NYhr3aaLnZCBVRatZ@n+n5EBzJPQtNVme4C3c4~!gNr#q}ysR8A?rH#+jcp6s&=&>;s;ZJuiWanN{NOWV1@w}a|DQ`Hg&u*okAAW#Mc$OrW4c!M+ROFekdyEscww|&1GnEs0W+Ccw}MdFW+A|)8&Zn zt%ynw+9$+GCsl|mt#)#Iz;f$nyOY$41|GfCi)M_Z7^%Y~r8dw*Yl%v6+73u7ZOA3E zTcN7u8M;!ii^p<%ic%r~b=zyo19w3R4`38H<;PvbX>ku&!wBDIA72>$Ov=}8t10E zU^=%%^X9-guBw*8-l?ym*m%Y}j7jz9=;LIs7dN=M+V0>t4)!xRM{mKipx-i;#7BG3 zF3W&jW3@D7(}d3fN}PvcsXP_MuR}%d4pcU(H${~gK0Ln*+_`KDWoxS5#y&7eR)fOS zs#c2Oqf)3Dr-%|_yH>fQyxNH=6@%1Fo?}NX`%}8AfE@^W1Ja1qon(oCZxD`Vzhg0K zgOvrTPD_iDu&AQWI8bqtpPGbx51JHu)ODCl3QcD`LODiPqaYThQL#sFx#U-yG64NWCZfA6w!$`-*vceHq^4V&MiU&lUj`%XMX=+5N zmdrF@EGr2x3@4W75?+)CK^mw%*4xgML8jV?%yzK`ye*}iHg`u44f?0Eh3ETWd7<%i z7v4wowRvJ4j2)@0 zRuFqj@$veAD}6le`RDXtL2vEoRUXex;ep9`C_aFUl<<`g`Aab;Lw&$@&=6*U1)!>{ ztDIC_tFGiuCU&R@5Xx#YiS5Pq89b)ASSk#~2TFgsSeiyb!uIN>o>!Agqy8oW56D)H zPL^r4N7!_r(d6{NqrE{BN*kMuohq&Pb>*jKi4|Rv=c6f(EBS()^#O|ttZTLyAHkv$ zdr1^J(Anl94<4(YrCZXlQE;{gQfuD{Q=4JwwGLfoLuv){ zD5!rWgiR_xgF0h$@R2cGo@1x>c6VRieEJYRQ>2xNlLo>B8=w!_kC!;!=mxlL)bn($dN54Ao0DX-g@?NI0Y=qREnx5`v-#szyGF zefH3u)5V7uhxJb{4xMrG5qBp`LY?7`>!~c6V(wgFLj>mL?209EHDBJ& zgZDEXnkGx5+;`gKl_!z-wvQ|{3d6(s=n!5&oW(33jemy1R2i#iO0`-1e%EDI84pRS zjM&$7hR;T*6$HoJbP3yfC}|yo0vhX*3@x?ICEOQ6(R1;sxY82JHYl0dZU=dKEJ}zU zn7ggxO|nv@(UE1 z5tS9>5&G09h>i-d@WW;&pfai-<0kII&eIbXO@h*ULm^Rb?PQjkD7Z2?0eatvx`~iW zLDkK^qrgkh(0))gg+Z^q6Uc61l+1Qfe0=X&re*{DoRG}aYfybJeuHFiEIHIIR zUn4j%L=2qJEFOdz%9Ml0r)Xio6ymk?pQW^>s$LGZX37P-ez8xUZHXl7rFwPbmUd*P zWlB-z106`hSsObT~ziO%O8p~3U|+f)eeMP zLMgwBy69Hz*Y4PvBVQ)(0&*5rsuqRH$Mh{J_dL)j8bpCP*grlZ5<>N&31Vpq;R`_9d((s>(&A47IvPyHKrEBVB*f*QRW?CXsc5%nMe~07^zrAB{9yS!9#PPk zD#E08kKk&0Gx-kS;mtub22DlfP7;?$hJGV z#N=&dUP@(>ElPq~p}4lGiBEode~*ftzutZMdIyO9w`QNEm%o8G5f=J%FB_gt@&V3# zI{NdU!HIBGxOxr-$io%9zI^#y*br1B^wfi5&_Yoj7zjpD{T$!JFerullzp`rAr_8C zmD(QxL~6eFpYV3={ipWL=Wi_W@%10KpYgiCxsESMRAj~!TUVjw1B%$bS_0Z}dWmos zI?M0}Vkw33>)#sWk#`Xlw$OrlkVSJvZFbjED$orl?iAjs%VR_u#NCp20!+pbTL`ql zQ{Op~3}YnLt>t%@VjHnzG1r832=uVi4~?Ms?uRzYF-QA`-Q^9!oF3H6Qy>eMC%P8m zCZ$_ly>tzQ7mE3uUY|f2WHUdp7;z{q4mZYXh>JzKoYB*32evyJ-F;0t0RDH6XQoaf({5N zLrSud4c{e#dZg}6x$gO_LY~!m;pnlhOV3s{OI}( zyQ8vgRPluO(C-u=c%(I;CN?9@Oo&84n*rto%w7zpVJ+s5FY01mMIBo?nT&EXKZl1l zvzjwK)oLeJbd}{zq(-^^!PGC_$7vKi&^R zhWM)Uogk?O?RcGmKq*7zYSKOui&i!_-WQdS{_^o?ANWZ~2agk@W;@Dvxy7QwixzW# zj?jR9>k0Zk28q z90!)$T*Ai&1M3?4?#;#N@#*FWje+BUH=?CaRY`Y%Om?kvth4FReG*$WwmubI8rLQE z70+V$*(HkRvE?P zOgOO;)?|pvodL1tp&k9?=LF3hL*+)AiX6R=)WHtMYpaiy#}{Vo_iMX$A{GL5RP_55 z_tDUU7zr9+O8h5RqQ>Eckx&(W@}{R!k>kQ!Q4@!_>>O8pbjux5n2xqc<Pm$qpbo_SEIB<(k|a^z z8TZ3^LAFnf=-nAo-nC?hxFWEI2NKAo05M|I2gWPAJ~UIeovPyh$Jm=Nw~-`k zg8C`gezs%OK6NiL0TAGr&931h#S(ZlKuW59wwA_{D3zvlJ(B9Ko|)a>zTf9Z9GQ`T zs!Sr45lCc4c=-9bd$&~upA42$&U}DGZ!;=f^4)LGmEGsvGZU8gRp)9{xzti!{<;V+ zm#bT0yzp{;Gxg;XV+Y+8uP#^X3*bJJ4Jl91ebLQY$~G#wtJ6kzQIfOLivr$1l)BJ>4Eg{~_dB*24t8bVmMN|(() z%R+YkSwvrHZPaK@UsuomxZc6FqKCQCX1sPu)=9mHQ%z?@i>dXtVC#&Q;@$yo1JN?P zn?9Q`UN}dgS)|k>UKD-$WQMM@JRfS*)hJSzG7t}h6%yQtT7nx}b|`~%5uqr|8|!Pj zrIdAd{8VvFA43={pPZ|Ig|aXdNhblXq#Y8|loR^KB&-llBM)(LM&Ug6&(#y@(!X{Q zg39#l$Q?8QtLG>jHZO+&x22qR^4`{nAC%I#P{8#WygU$}LVwgC{|`DJUGLE^W6jhZ zUR7OmWBTaQgBLZ7RdpgrI!kMznt`rkodDAhG-LSq_5QszYBDTYRwu~=-D0qaZ!1-| zrAZ+=ipX0@11xgFkY8y~W?J4{^#T(&;pTledS=N2QBiIoB|UejG?dVmGp3*vj6`Ly zWvm$dh~$rC>-4D0F^myh!uDs<8_)SN3-d8KcX>5OD^?}m^f+0?5870PBR!mn`$Eb; z1*dUe{S~-z1UuEKzcLTG?z!0$zA&Jr$1};y3q}V$LuPcOnLa_o@G)IYz*((=27=TIUtguW(H_zdW$zgz)9%f7cheOBx*GW`y-lS2pt3?J{ghGdfs0fG)ygT}UJ~mDB$lsfXBaJP*7~txjv+D{+j1HzL zr^h7O_}nTwc`C2zSom8C2H7)9w^GmqK;Jr}hvyBpBGcOGiAyTD(9Z5q^a6V4*&Ly* zeWOD0IHbin$x(&-Ce>jAK9(gU@WQU}hmI!*4tqj8)6`{b`CgHihtFsC`gC#tdiSI7j`xqRI}-`j}PB| zeCKlC{~@=-UTA*^xS{ZCP_p<{t+9f7RhmV@@alUJI##IOEvA8UaFN5R_y9X{gGAz9 z)wVUu_R8#2)pQ2lm$>=nx%G7tbP7n^?Av&>KBFhxbhtYu; zX=YYd#jKvvxI6-)|F5UjzQ#@*KG4{SQw}tCk}2BQDLCedaYXSQPrc||SAz0QHT%ZY z?O{c%^0PPFYgk2H@fdVe#os$6zTR4cZ&95Y-tnDM2cd3P9F!$meR{n6?el%}@$%4eikFg9pR3|}}p(oI^ zB49Ij`8Ai{zJC4j`7_UrVC~+0{`Po(#9t{SAMT&-9v~Kq9pH-Js7 z)vZr+2Q;vo4u9!K#&Wbe*;liQGr%pa-VaPSWQd+4u(3YHVPCNzkj#*(Rw(*n!Z8gA zNG%ZL-kmHrD?ZzN13(DgP;`1Vq1|p9D6`KypS=6Nz4!E zV-AxO>gJk+>n9295#Dc?SM;a5?*Lt6vT9kqbM!TWZY0v2`qK)#mM%ii4E;FSqB%I% zZ`8RHt8x|weE&wNy;L^MN&lAdnzK$M79pS3#I!7X3 zaCZtk*@mMw$t`vrZ9l6o$3Pk%QjzH4d$d4X!cX~Xtx^3p>NfN9Ewmr7D={R|Wmaxk z-@3d7ilzWHnnk?6vR1=1%tCiX1e*j0cNeRTl8>+=R6NI?f*!XUfYkgXFXbeg@UKS2 zRIv&Yxd4B`WtUI^7co3`!2yeJzLG~2`soa`m{xn-JqzLuhNlkM=jep$f+C4^liuiX zGsTwJ1ZuW>W(r461&FFeuD?xBPpc`DSAtnu3qdCyTv7$P3YtN|+*wc(g9u#cTu}8- zGKyS|<`AzeumP*Qt)AR+6fHaDgzuSx5*&x7pf)c-HY5%ZY-KotAd8|&uEn~7eB?0^ z-;Si+`Z8Piv2T_vanuvW9pH}X3FFB@LuNcF_y?rps)9#Rl^3~mOq1@DLKxi3^)bGe zS7Tgq@w!A8Y~k*ZEL09;EKK4$K}H)|EdmI%JTR10X2(Hz@1Nl6N8dEr4L=ZpR%6gA zv=WegRv)h;XY`@LnUf@~kycF!h>iK}+tJ|P2OzL;Kve=DK(R*c3OOyP6&dmo*{d5B zlMCwKcp#F(laMaAs_equ!y*{-I!FnrJ&0J^#u;ff>L{bsuB+PZnM}LJW#?UF-|%Ay z{1~zazeQH!p2Y4PSyMmUtUHUMoE={wc!;!wZ?3I$-NH=hZs@{@B z)}EPdEx5-ViJ`l{HKCmaD>suvI;K7qbs4rm;-hZx7Dkj?xzHzEJ1H2@p{;g?P)hGc&+ITRcm|KZSybvYPtwb@ z>u}JZ_D$1QCG!mqbCDQb{yQ8?RM$ys=$enPyzq`n5jS2c_))7UZ3N4sVwX&}oysD64#=Vwh5s1fOdh&(v^fV;ARWn;GJqy>hk(#5 zy2PbQ{p$QGalJ5FM7oeQ_I?D|C+n*aLv>?CHiroQg|;7fxsxsgfoCaY#5c^R$?H>U zx2mHtr#y`l^-aCQ9l%d|(z_gSQ39cG=N`p-<9!yWPnHMqWQ6m4B{BV;dHx3(KTv;{0}sqSodjaRbF6JMmASxK?!i@ z3Qhmb^3^F{gfV;Wp5xR|j)AX)ACOZy_%<8z?J9g$-ZH++PM)gwRq!<)B#4E8(fGhZ z55tr6YTJ*O4oV&d@#D57b8ZR#5b&jY(H-%(D2ywGfIsP*j0~XU9*s7O(t^yaBW7R15&B5i|Id zP+NoH#pNrI!G;8q$dMBhVMY$l54!_Js<_B`m2TO=V<~DzhV0~?_~Akh1=Wz&xW7*C ztngm^^nwBv%w_iy$%YsKh<>wsqxD0P5O|9`7b(JiJbwQCKUjoam9T0=8*?l+Ci7h9 zp8-j2-hcb&S2D{Nnoo$|o}-Gv|95nRe-o4^z5yYGzz171mfY_kEicHo*H!c zy#M;~>G!ZM`lZ`902|FWr}Ds}cm@M37lJ}?NCNEYLwdf^fIPs<5Pxq)mD~h8j2xN( zIAm)>{m3O$+ojhxMTxC_T*3ip4;1LXGeyb&!#}@$zyAv0)w^%s{{HFyMbmu1>HqNK zYkqma3}c9b=SR<3@HHywBIZH2B^>z#ItgwY z38FWR|0lBenZ)lZ!6H{JRU^nR<_bYJmgU|k`R}fY%s377kyy#ugaV!PPE~r5opI0m zDSj!p98X4^XLLU)Tg^>k4jBG~$4#yko-iHNhcajPwBw?ouNws{9Qm0=eWxML3SqiM zsPXm!be+Kwzu&$4`~CaqN*kU?xSmy{q6I+2jX9sPx&vwh^bYy56eq~a_z1Pw?Hme! zl!oJ3#_v$02uUm3H*eOcr!)u=ByM#!_f-BT67TTS9|GiPC;WqC5#iX^WPcU05NIUC%(WLNwFT z&&gY6nf20N15kA6{HR&6y+tqU4U9~C3h1v5J0}942yF?b^f0TEl@;1i&BqI9WkbHY zq@wl&Szdv)oUf9sELyPQ+9hCRN4N^Aa9>J|OCik!s+*6>{oEX=C4z#_#+?4{P+|QF zlXT_C-<^Li$-i-#Iz1w$%*oblqsVDa7qH3{tCaQ{s9;3IuLuez{0efvsh5O8`-|0h zhQ|jgly#tB?iR{HJ&b36X&&#vY6B}}ijOu4PQ83h^Zfye$cN9L{(gV-;S0g9FFt&F zczpWD-2;9nBsOE<&{MuWe7SqVzC*>)&o&K`N%W(G^s}HU?k+qL2(;OcAdxyFg(dIK z(G};?o-#f?zrDF?MK?QR${eGLF>x4cIE$`x#w_<saLBhU95HFM-O)u-$ zQUOx!%CL$kD|x#M`ju5wQx5>}d||Ux?p>4TfJb>YBctSMehyFkoC*xB8jKNhLOIe} zyNB^N*svo+NlgDuJqG2b>+Ko(0^rgcfEN2XG)Jrba7CH2A7?02_SXg0F9HHa^963} zdN{IxmU33QL?2HdG+7!X%|JQLeneI=DIbot|qyq#fr2hiA`la*>+1q?=t5 zo9rJ!Go5D@{@hoUUCcGp>;p=EES-busTu8sYr1c=_mj{k$^;?yWzrY!Y*2Bqn4HB7 z%{S;Na73ObjpKrG4GO&3U2K1Cq}M?(>ZJS2unImmq(5z-Q!z*IE8+jBql6X7FXXTj zQE`)ImNZs*+A=3m*xt@aVRyT8baRup&#U9*1G<#Sf!Dx`5CTf$nchI_8Ue&^ISMt( z30UQ~@@&Je+PH~A5pcUfMQJ#n0!o#OoB&oNX5l&JEJ%Gy)z!ceo$D@AJD-xTk92F{ zQYZtVVUTV_r?63?a>{w=Owcz=ESyjk4ZILa_Ft*h)1|#{irz#{;%>vjJ{J(5{4D2^^pJ>v(y(s2D5dC~hKy&GBt(F`e6>SO;_ z=5m4d!LkYsq8m#9=E)zGhvSqgALDq(J>}F95Pc4lSx_Qv4e>qiQUQ!h$ZN zD;bepKuL34$OP{C z_~HKBha(glIHKSBIl^b(S*Q5J=ev)Oh=ZZn;QH$H`QU&1Z-XIh!%`Mn1_i%N#i;}3J9fo2t3(c~B{ zfVii%82rogRTWp|^mH6|B0q4*%vb40CAXn*j3!RY8>|t^ksyEj-BSm!BDx>HPCqEd zpq4$OnHVT#cw(U!5wT!wP{fZ-C-K;B#D$60#S~!V{ds-fJRP7tL&=_dLyT<*WacFmWoIg=X*gTFe10AczIpF?O!@)@sx)@66A}dxC zv^=X-BO=rLUaMaQJnnWU?xVP(@+@Xv1ul_ZEO3WxSeGZd8+r@p|3uw^})IvtliyFjHOfUWJ;aFr+gOJc)t zrqHO%i*rxK3{Ae^$wmFsKnBsbG14wynMvw!QtYRSmFrt=tOqJIZQeBl5_-XWpp0Sz zcK~~hsVb$;htpt#0t{liL7QgCOPa$3k!`$Sc=4YVlDpB(hjxRiS17-x) zsXRj;d67U>sNyNO0%4G*`R&^eRJeFQ5bkn)zN+IvKV8qBy}X!%Z@dNMV~dW4#^vcJ z<$qW=70knNSdsBytYA@55?$T)4~|X=gR{u3HZCR4CwcaMnhqf}tu&kv_J zVV}}E(g+o+;^a939MUbaRyDB@1X@UiC`8R5O4ntI?=F;sQ1FNJd{Aa@sCV2*j<>JDd-88-zwE znqXW25v3|ng+2i9r4ZCLEKieLi}0Q&O>B=@)zDP(q}F$Z7N&Z2lq<>gV1%@K5s+XA zrrk*yL}0CA(n5PoSGEn+oVGJ&Qo;`WMzOw{(3jV#Nc?|9A;TG?T}7Z7C4mUYsiyh* z?bFxKpT45b4YbL)K>j5N9XeT*2lWLgeoR%uI%H8`t*;_z8%7(huiijQQC-1ZOhNru z2(m7oSo)H{oLTx*RaFg16FD5AWnyMQxn_8@#P%wT>f@8-vNMutE!jwc>vTRXH|+v& z{UKe%j)Fjm@@|v@IclgkEk(qY@X_S3syzZ%HCmOvxJU#hLSR(NtO+kHYipo2$+;=K zaCZ*RkP7NTp~qZ7Bte49g22??qKDl{taDwrhm6OCwCJyONhc7u2GxRO#|vCPOw)U} z5LPH3;QX;QA~1D+hW?EZSM5UxLu6c|YAj4K!lXt1zb4l+HDG<^#1M&R_XZJiIGREv zOzBQ9>%(Gw%mTWBC7i5UdR3=V5ini}5tsqeYfn2XKT5*|nzFs;LEJKHaV zWOm18&-mKHOQ<=nNe)?>2kZ5YrNxvVDDaSPXVIl(hT9Kxp?@~?37-~&!B=8mK6 z10m0YC5u4^cnRjWHWiw>2AV>lNFj7gMo`@Uwlz)-!t31nHn~;rF5C>n%yPrD@y9A zOQW8mG=Y0+pwD@PU$Q*NFqH$c0cUbM-;~Yu#pSPza)qR@{z-OLqVtHk5Aem)9}ju1 zam{whg9g`dY6`=$s>Y>K#4)W<)nPqLc4iqrtBM_h=yn%3{Ahr_pSdI83^}UJ>}?x7 zZI^{qX-$gD+MNe7C=cU|V4m5&9&XPr=8PcwK&sK4bgH76LW7O~K&Yrxw!0j*QTYT-FLI1YG zlt37%l0Oj>p_|R6*Zpp7!$Jfe6li+?W{5P*#?-|E$N}ddg>-d?3S77_i4UxDFH@Z* zAs>0X4(O}8^(N_ls{%GL^RwZy``Lsgdd3vUzhx@7hh_bom05isAqp`T;c<)BNDI~v zK)*u!KRkT<(tP~>=^GLM;Ok$%d5__{&;NS-^a#T$?>USKPrpCh-@R`h?>^i={j0e{ zqa@BmoN}`Uo~4*is{CEZ2Yg;DH{xfg`VPjP8t2=$S6iA6ZKGYTD}PG)Nn7bL!Y1%v zQn%$VEEWSy;e2Sm1kz7d^Oskf7)|V*Q6Q22xk@QsX@sv&T7>0>v=q4OLXJaKbqc;1 z@(}01oLCbRE{WSq)G=RV+9j!2{S`K-%V$p>VO=PA0i+6n3a6)|HA?McOOivT zU8A5s1Od_=v^%3l-)=={aW8C_!+T?rasw$>WY6hk9<{S)RH$?}^U?l&YR%IpAPvF68)zSow9#zfKU}0g3 z({x=@C6p8d_xoO*CT8NJ2KIQMF9F#Q$9lL!L1@jKkLjcQWefidz{!e=%P!OYFv9{~CY& zWf6ZzrC;?GWA`rV&v0yQT)%gjqtQlyC#Vs=H(8jscVW*mgcJ% zB!OZjQw%u9q(RmqW2wEt{{*j--N0WjlHUja?=zayQgS|d=H0&@Z$7>IyD(EL`5+H5 zyp>S3X)x-(4g4tyT9{*(55pa^g$w1WRbgV~eXz#90o9fleze$&MZX?J>U4JcR15);H zOwdW)aQ%IfO zAvXwmCdhp~*}`~;@nR!#DmtmEe~R!N*!3@nb{8-E7j_2at7w3XCW8^MJ@~C2qKwv1 zyO46>C`RG$Yj)@lzCl2gI&b&7e?xmzzJLeDd=@tVe5!Q_C=t~$#NEZdX8b)$*w%<1 zP$tQoFHvs}a|v>wr%bGkBu%D0t}h6Qrt66ARM&WiAjv7~k`etLbwqeo%SD^LQXY<{d9rhL?5LdZhHe=i$HK}y0mYUus7N7SiHF-GHOAxN1WcWr zMhp`uV~C?^l_jMEl*p_R@4-FDS_*=vT8b;)Ou>@xuQ=+QNL z6D_Z8t_QB}-d>h1^CR7^>yXWnGnLrc)4pN?)ifqr_O9j>D{{MfN_ zHsUBFZGC7~u9_fjs?gDIMp#y_&dsq3JUSd~2J_SnR-;EGJGLcd-k6)B>mehZ7S^66 z#B~%3=t}4`9N<|P0h=XNTKsC{vKmsPMZ+;!50K!9{`@}I1P1pyCNM5;2@=X|I+%Gq zGHY_#4|3B(;#;PC7f*%4!T_WeQpk0{-=*|9eIL(t-K^KwEBsL!NrbrMx`t8tQ1exS zH?9Go>MSxZ))$D}ag*v1K(PYnt3tXjj76GNNcZK&iWv_{zr9H~V0u;8Iyl+$p3?@^ z&Mvl`?CBVs?4Hm0W`48Y(%&r4IdYF-a_szhx(8AKHL1fsbbsz}*PrNI#aeIRbE(_9 z>M25|*L@?qpOPIRn=^r=>xg*G%M}FUd{sz!;=854<18y8n!SETdeGgb-prw*BVtaAq&a$ClVpTnQq(Tw3`11JXocRSy}4# zW$cUWur#FK5F*%0DF}2sofJm&BdpKzSXQV;fkY$ zXDiRsM{{z_33lcfc%oHJU975c8*n5MnaZn0Wa?s7g@{blAnLyQ+h~G!*at_n?(GKI z{?L9}Srw!DcJoRo#9MYie5Na$H1nWfl@m=q3?-D@g7{kTTnjG%3LdYGVLfd6(^#Xf|>{WqH^buKsQZh0`*`G7R6SGT&lefbd-*V+=sw2#nV9Sm~2HAl9Z{b zC7~{x-@?ia;o^&2$2H_`Qd#dDr5 zXk;O>P(|w!5Toi46A%4FvPYbH+Y7^$g})+Q7(EME8I*QY$>d$~;)p)JQ?~Cw(dA+wgilRGB>T46(%- z!f1kqA5KE;l>+EaBxyRr%ewpq7GD@EF!<6eesh7;yq$+w5v^>U@U5l6!q*n3+4qVG zs}2+kE&EP(M|73=5~(&g>Cp!Dx+`tnPuY?mhbAKzz%vX+mN!Y{U?}nKI*h(ZHloku zMuU*9&r6r$o?&SqUcF5LRX1EO)(#q zB}dl7dsH~26z){X0;WN66s$|-aEN#R`Qy_=Ut`F-bd*YStFi;K`PP?bC^>(51$zdr z&{#~L8GORW!YY;q3oBWirmIOZgPT`$1-gjOH&CDxAzd6-8dCQyinvIjzSA9Yd;qdG zu^IK4`Y))rG9Dif$0u;LjjB;~|K|z_t{t3T^P4MtH$3XXYJpE6w}rGl;!j)^K#dZR zz%^m2i-3<=cS7OttxCuj(V|Nvq6E95JE%{dbhK_z0ttl{(>B+4|75Fc85nRxY)LK< zeDf%Lap^{daK+@Z-ka9{$#5_`dDbAqY=ORAuuR{cBP`?phCO|GgXC7E(){u12?s}7 zio;?~+jf4xdw4=N?ECus37cRUNQ=~0z8gXG?b?-y-7;^rV`GyF#PltERoeD8ho4 zhYRc4Gf|f}xP7S|xLrZFubsDH2ojGOB(`ipAQ^9g@%}67`#obyfAixjA%fsw%3&?d z-;Z>JnDv*P$B6?(1K!JXAP`vgUSP)gC8yYYu8=CAi_Mq2$G-=6^>IM*NAwWm{vs@} z;*!>_f6>`A!K?jdWmOs}&Gp-lPoJM~*W{|21W6A&l}Ev+ZlQQuO0x+=IWZX}wBN)lC zwM_SP@DRBNQPs;U)RypH0+AL+3p84urzA=a5P%6xV@CdN0gb<6six?wDY$z)b_S2n zA#V-bKe~IS(G!&t3Mhq*F`Ry_`l0@FlEE7pjL5+A;N``R=|cQ1Z>o>Wv9648vO z^)=&mu1d#vxEQOQc|uRFkp>Q@RWZDZ5K3Z16l}MtxbIhe7(ZXtzK|)n^*EY zsMv$Fb3_X>9CTUUonMYjXQEB(feU2A1U`bWu%`*77h7(f^aJKOy zXW0|&iqx^2NY4bR@A&gcxJD=Zr7QvjoBQ@L4{np>iAuxzOu&xf z>;s&+y1HFJpKJ_>A><1KA83Re*hTJ@49Yq)HCqW3AXIoa+zBtvFV)rcNfUs((_3?U zz1^XfCs>_2qV48_4-4v1@~sLS<3r`x;La328oBK_I0ymO9sqJ-j+%*R>`aFr4Qf@{ zCFFFf#kiqWxKw5cml>+^NBc`f4_RrFtV(*I;h1KVl)tUFR|(%v2zZ5RC-52IFI#T* zrl$k0ILB7qGJjF67s9Tf>y5`Vp4;Z;{DM`~3F$^9T7b%fi5xSaT#%A|n3GGk&sh<# zmziIZ?>fgMv4`fvNh-)EUgAipWTZH$o(36XX^p>a~+)QA9tUB+`|EsUoJ?b z)&wO2J~;U?tNW~_C970SWZ5`%oe^6_0&@2}s}xJ(#1@XKo&jfP-C^0DJd2EG7hA); z`}Xz2r;kx>s-^o8T|3x6)0)z2Eu|?LqVbGF1ozUPKL06@Y98LLnB|x?fbc@tm5K}8 zzA!G&@1mXSCY>6>9&|Ga>!{F=)j(zy584;>?Q6FLridbr} zb-nQI?HT&ra+R&gq_R*;vk>M_Whw;i0s^8`1|S(Me1GX4!f&B+u>Mj7cUGVN!qIAf z#Y>s8zv87#neHvEDO2{>8`o#qi}JE5xBC<>nX|uaxlK8Io5|+zHyxfbT^+p36d4V4 zT4BQwC(OOkwu#jg-=qqC!1+o5yoRHUY@vNMWf^fyj$8BL;r{;fr^hEFK!`c@;cM&2 z5IJscsqURZlYp&eF1jr5!nG?Dl!fLQwd-nT$ePrZ3kz$HD_0C@4G}5#WDU#Y6K=#W z8ZU0CsH0ZvRuS&fs=<9_scb;GF=u>L)-DtSE$-JIPgPRNDJUTj(if}M%a<1yJ7hxm zT4+vLYuGoVFiCbqlqN)o(~@s)#sM(wXc-jYX_e;@w9LpsG?{au8jVwMwc8(uV8fdK zLxm!!O(vJE6B!H+X3O4OSSO4BQfg%Z&*L3DbzklqU>d=ROfaB+>}j(&12QJt+|sb> zj2&BKdHoUu9|);9t{?S9oA~Nv9+@gs70nlI5+Fu+s8)Os$`3(3s9O*`nn^=J-!FQp zg3`m22hF0nJl*~^;9}=;SpBloM&~m^8U7YS2heA4aT0Tp^}3M9(cmQbm4veJ(5Sh{ zXXg+17E!Onf2#Wx=E?UPXILVVc6NpK0XXOvSC>JlK!x@6fZ>cgjKVI#RS)k86 z52w2~=PQI^@c?k&(c|EmLfV$4>u@+me->z;B6UHw{?IS+J8_BxUG*>Tj>*^C8J2ZW4RE*I z>@K>eygfsAkHQbGU?6qj`$3$>+oo>A_A)XX_rnM7kl)e*(8jf}1P$NwQv*jfcSwWvLN0tf{oj$u=j##XB z0MG%VrfpSGMvuqHgn%x&X9{f5gLCi-2j`$iyJTIoSL-EUov2JL#~zZJFV*Hd#+L9d zeIw2^+T*eZ;)PCNfOOKgq=Fy-rBz6Lyuip>23c|v)u`R9UsJtP|BDlapPO`wJPpB8 z(0_#n5O79vBMVQewa=Ho{`LR}6M~d&X1ez>HIu?XU8KWeS6ZGVIjc9 zyx&T94eD7<$ry+Vj{)6_KnVdbCQP<(in1DWWQ~I(3%yjvn|R-F+aN=vDsiwNX2cW` z)R|u)rW2IX0e3mJi=gQcYw1r~*RpeD=Pvrus0dN9(pyDQ_1WgxZ(4Su_bOOb-7I)*^M<#p_P@rBT^(Oa-+W*fhZD0;? z#4sQr83U+Hg7-#G9>sgp&!_7apu(@Lr9rJBC|QK@K@6~F-y1NF*pKr|_$AM8eiihG zDc5y3=}3aMp$1T9BA}Xmw5wjTv>!at)v$;kSY2&XP+Yd;DhfD5PZ-G@oCE+)j)apO zO9@~PSXYt`M)MtFE|l@LcH%%$N$PRvcMEjij%R$>Q?g61JE3(yC1lnBKZ1Hv?|x?+ zFiEp<@I`jL^QCD0sBF{HK-;$$4K|px-4n@`KaR&SGi#2Ml@|mOvvwgvviPGU?T%SH zQ5gp^3kcw64j_Mq*|AE>Xe=cZD9N3$*C-qeLXDM$rH&66_}O|l70iH&VHt1X*!I^*Jr%Du$$28e(}5N1~Ygs~?{sgOI=x3W1c z4~bM{v$U|FHY08!fm3uTwfE+0D7jX0$jT1%;Zhvvk;4{_lCfAEn}Y}s%yD>m%O}O~ zJQXw58`^_><`{0~@jl z*NLp>V`O8xg4&Bwte2`Dqp)RcDHY0gBCxf-N^a%aRX#!Qm+5ZwcksfvrSpaB{x+RY z$?KFPA3ZYptJ|tE|k`I&&i2Pq$*|c1nG9k83{Rq(pn~U!Ip;ggXR)kU#z#- z&E>Bm*%9az;Hhe442L0a9-Ba92APYOwP7h&I4&|C4h}gT&M58F{f)|GecJ+W{x64P z7{62pV;H}n8p^)ClP@|L!@#o~iecbc4o_j!fMK_%-+z4h4Mn|;fk8QdnQuDwm}-|t zzo3upUh2XhPbR1pA!l3yY9RGB+AMPIqb2UisVg9S>=b#Yg6UfT82c?}#=a=CcaF(}_c=SelIQ7p8Itx{0@sNtXDf%jVNGJ~_^KEa?NpGLVi zME|Z8FUOUbiEs>Ni@Mh;fPM0;W%6ks)7zTwBWBK34YGkyqOMjOZ_&>48li;)anx*n zd%}Qta5}*ZWxBrXjFo4|3R&P-MBimTqR-MmD(%i$BxFf78ixhF*8x-1;yoAFGlfp; zb#`s{t|)BL4i7@|&)qotSN6$W{>dFb*-kYTdtiM9fJGu4xCNF#A2cgY@uvqkP;+l# z96}=>z7>yj^4ImBnTCrzT&KyT9v)r+x}Pji&!~P46=oF)8$c4~TWbG7ayZSGCkY)X zRNca0_MowEC{Qip3yK3cwRl;Hn*pp9uUn^4`vF*_*wl+U!y%&V7tCrtO@#lqycHpQ zoJ(=_ncMj=*ivbRo-umEb5xN~AsRa+*mu|$3Z_AdV~pS=ZpP6v@nXZE(=Z}VJ4?4F zbYP4F-qQ9Y-J$|xQL~86J?y}F5jWHckVl;*hG3Em3RNu#lW0338I%@*8GN%&RcKlr zvj}O;td7~#?LBtrULE~F4Ify?Aq@}zeEuI3q~SqN3;cxmpBScUjp$1!hXWi&Wa8}t z=!C%Ix;~(6nrvj$(+%k&PtdanV_5`Mg%NCIrVo-hq~WSzMiFd${GIM9QC~qK;!}6y zz@N-LV&zG8XdXWTMica$MZ4a-2V&dTb1kR+F;{Yub!Jh##5TxAbfI|)NMRHMZ(wiF zS81iw!l-@>eyO>o{<~94jvnDsfgc667q%1(@gSab@0>5SX2}YHDWk8|o+j-aJ)qgh zl>M5GOxds5$P{Wed49E?R&&6}nTj=vG{2e(8Ztco_~-k_5POsKjZ!ZSY)lx_1&*{^ zD@uzyRPy6u+3`)>50t#O!gHbYz337sNSWwDavw3+cC-N1S{_U9Mf1W2(nog)Fk(5M zp`>SeFbx(;HGqBVvf3$o=jkhoD1a1L9=$^(5$MVR_`W#NGI1WfcikZ&sT_V-m_&*a zqXPC!74w6jM6qzL@$PUm7;b{|GGS1CQ}iwR3y7;*xDA$&Z&euvXETjw^;Ge00Kvlv ze2JO>XTS%FI~dx<8%X8#(cSy^4=?_4_ZjT@-jH+&AC7MM(}x-k8}S~V%O&tl*Nt-w(=DIQN%5g}-iZ;8kgkbr4n3D>bXYXOOV zsotUKGddD(FOR<7eYt;e_wbRJ8vXSV7y!zuL;Q((>M_a!Np;#omLNLpEC&n$ba4nd<=&;}LmNnaJhWWG)y zPb0va?a~TqX)n@x1gjs)g$ctdX}K1F94Ks*oeMr|NS5h4JlQ0DT#8A@Q^OClz7!uQ zb}7+dkfcyUb1-?<3qmhc7dnyqf(TWvbl!huFRBSw-hpgEN8i|_c=VqR0CvK zzc@eK!EH#l>D8qIP7)ClW!9)w@1vx3s=_5|5?KeO$oc9mJc(iB3S)(xE6viaL;U*Y z9IdGREtp@7@Z%X{Dk-`^3B`gZBJ0QiJW34hJ5FikbT(yW>%B_sfFdxm&dZtBK zh32R0z~sT4p< z@nhXVqej&eLOCFj8P(t<3R6q;pz|J_Cw(JhPWc4AEpm|I-v<#WzaOg8B&jCO=Ltcy zka7{_RN!YYe&zkr))~q^nwL9rkw9T_g|qPjmG#Y3N=uylqu!cZqn{|cHG=Ozm4n^Q z4)xygJBqt2b5g+Q9OYQKd9^n7R7ekTJwd&W&R(uqoFJ5!ThE|sCfeEu%_j=f0sJ>2x1{?jJHS#Dw2*qV5Z`PoCJ;EPCPknfGT(J14K{*BDx8Mw}+Ir zT~sE0`$A=l$?#brN8#?v_s^Y{wpQIBtaucHs5p-WH?>lZ^VMtQG-mlwg;9OF+!F#R zEChz$K=jP1*qb*19f|KybX2cER-ucW6(Ji9k1klJfdM6h1s+?RrtDQu0R#rb^QaRf zR=;bfD+$+DP87Afo42=H72600_!@P^rNB!dD|Msur5jaY>BMjywKElH(WiElo=2E! z*W0)jJrhdn(Kl7sBq(=TI$Mg*V!Y;fIGCJ7VQ5|x+Cqz0L(gSBZWSsEPpdv>Vd-!b z6rQm)L7z;qWV23Y3Lq;F&(Jr#c>@&;t_Lf=EG@LeU{I?fn;{3DFM@uKXy=Hbp z9OWotX;CX*4~M#;isY-8FG>2y2x1DQE{7cqMkqbH;l5jDmA+ZeUk%by8z?P$^w0ad zzl+ak+5ol*>@mcDSW?xR7&{Eg410g>Q>$2oYyY@Q#ok#$j$j|oA7{=gI-GNM7*&HN zP>dws-uyM%9qP9m)}bRfD#&dlBIO5BwCM1$Z(2f>EfF4qHN+PZ_SFGmu9d=I!xB z$IsYW;jH%O@k{8*ck`r^#uY}!2bT~{EYmc(#R~ilmGw@fKsX}SI9PUGt<{)^ z(B60&tEe@MjSu>bFNoAB<|j2i{ss^&1^W5(u#@)S-v=PMW&v0!bL8ijgYgKKIjulA zA(UT+W2gSRk^1i($s|5Kz1BKx$#e@}ARbN+pjIH1Sa=durA4ahRY-4{$2|KWzzRAG zsXLFN6ee-G$ttZj=6v~AZ14t0E52TpA;$n0@po(wv|qF}u4h>GlX~OrC6dx}Q#MqA zOUIS*k-E(@A^YWL*WYAFNndWSF0XEp34CCJANj&G z*(RJTi$aMF+uqyuuzZH;2lMmo60%cH=$f2}v5?7aT<*461Z^t1(ZZ&rv*h0CVrejs ziAi#MVDYiA#LGZ+dA^lLINlFa|`HO2x&ZACR^bk8=F4va}c!#;zI+8_tMmmBv>DO%PrkiPP zVC<5-Wzl81K+wd`6yu9SND5 z!C);cS(XxQ23fwpl+ncsHUmTr?jOF2^Gtdep?Lz_F3CR7t(J`Fn(|4^RI<&B5loM_ zQcX8+R2v!P&ZrvBDDs;CAqC{G0=0D@q}7<}PI&*wZIe1chAuKVpRq8QX-L1-`tT9x zhtz(S{YHRMhbSD2-lmkxN_Ad`sB{N(VNgVCeRhs~bGRRoi+N5kTs*kOCqpafrDR3f z$;$z8LT-o&~@E$w;l=M7gC@kDgh(;pp3o|XF;WLITHeg$`1k6 zC9w)yen!x3n<$Zc-la)tg#1>j*3PS2xQUBJsOL9-e7XO4cRa=%&*z-soae2)0H-%OcR5uwEL)eDC=nanuc7R6jkIZm@k>%3YT3)hS1&AFoN}` zH)_VEGb?g}UoSHx7fn0l&|s(9NG>07lIm=*u{-k%;83(FK=zSYNJ*`ANsp3V073zZ z9-{jPw)-exC&Y_@%+k1+h>LKKsh$RRGERYQa^e@(zG4Z#ll0Bq1u7`WZ+>+4%+NQc zU~o@HP?PF;IN@o%FuZA!v7~)mp~%O6kgnCop~A5%Owwa#6465lB2LY)n$fT_erYOI zcvuvJ;qgL@PK8G0Y5xPLpePsUA}1a6So;1zRm2w1B2kOcn6+XCr$Ui7AGAa zSYymN9v}X)qFljUgzW6gX@g)0mx@Rc&kx;c&$hEImZ#zsPqgt!b?x7+~`H3|;8UDmWgI^t9ZA|)^Tm8hG!&HkLk)I&7!&Eyye9(@^ zhbi`We2`)><=}2`&cWs3q=UPGNk4O=pSaIKGCLk0CNs|YiRbwVGQ*vI=0-p9Vuy+B zc>FUQZLeNJ4m+Cw&bUXX&^e>Sa}Lw0B`fY>3NxOY6!kAjBo`r`T~KYSv9wjAUaHPJ zHW@I-%t+8VdU=J=ym-b{D~-w{N`O1gFKB`iTBi;v9Y8`Gkl#K%H4pdyxPN%OM-2T3 zH9A#A(qLk4)VsJP1m=;Sy_Z$eWeJdC=V z5Q$B_Oxkp47e@Iso`Hj6Xa?g+?g{f-I4-!KheA6{L!08*n>oi}%#4z7< zXQ1VqZja{#p{vm!RI0{e(RREg%z0s2q@tW*0wB{!Yt9F%3fL|H)`_EWGdwhK@O*o- z(rBt;VUVvPONF0qTr!2lj7$8wZbju~J7`G?ZS>h*>fF>RVOL&Ht3Aob9NnK2&Kdi2 z!exf!gu5dYDUtZU|9kCYzA-p@C4WZ{^hZkX=gY4Vi3H9oE;W~hhJG+*!U-#7n6mA*zZ z)Zl%m!{cnN$N^+8W{Hyq{S-{J0<9|c34v9Tm0Y)a>xuWWXNJC^CVe!ouTU$RX&#pB z0e8ZDd$vpb8uIvTYwQ%4TgWn^x8(bpM|6qGMa13FJKesllQq80*gZo;uMv(Np!pm9 z67jJm+1a@enq=f23dCY2)sjGv7;T9Fvo^+{9v((#VU8YOqmw0yFeVkW)DfK!jE&1? z|G<7Cqc~Qh)yT?vb;et4&)Gb!!N0&CbperYE3udE3_k5Lg| zi|>cvWYS$&)fhjk$H!85#(cAR+Mn;LlYVPdzoJ7T-{G1dqmPYQErlV&W3gaT47c>n z@a2h5&|);QMDBebrC3m+F?1JeU^v`+tYMi@==<3CG~w03PX<;7AZkv4NFPKYJGN)F zGtD+J1BLzk6)84ke{Z%6{0iQ;o3mSho?mA9Wm1|`;TT5dM4G0lnQ``kyJ^xE{F*^j^LNOSH8hA-)Jkpu^B)h6S?nF`15)4k&f-#Y-H}*AhL&L-Y-WXXs9ldnRm3kY%iH zErp|c2K;{AVY!{K>n^fd(rTiVlYN4m2;1A)M8Q2|Oje!_%qW13*$FkCZ7!hv5w~zb zJ}6;9FT(d4awi7sCzU{(3x_Ruc2Q&Wk8W7gF8THZEg;2iNE{5fQ=W6E^K9yY{(H!Q zG%1buKwSkCK)O6*&$EB~Ls4UZ`egmNC5cl)cK8;TpDa$N0onT5$8JSmXCHL#W2VUH zZX`z{V92EPpl&c(mX?~LVAojNLUsBJM8=anq&zN7(PIigD4%_RS}pPp05P7wPH=|E zPW9I{CQ98YD)Z z5|aqE5ChvVzEPee1j}8e#>dH&@7Mduxo1 z<$y)7${K*AuK|EK3$N21D!fr)lD?FYAsJg>S0T^Ut8NLYR+hS|wA!XgC}+cEHHUIW znL#m19V^U=QBEleidjW%*KMAjQ9Vm?F05lb`adCGI5~gaLH&>k)KO>xI2}xrO0-%w zxl3REAOe^WwjZ~C=4Y6TC$Fm+!pYMltD8*FC&EUK0Dh4lUQ%hatBqBScG9y}M%}kF z+O1$PMK{zOO|dRh?XEqfUqouo@I{6^3eT_3n(f=`PS@x}H7NNH5U`2%L(c?PbmB@1 z8MibzK4TF2%2fIAgDMsiEZrZ)r0tLp=j2S!xasCM)Zm`^JgCbRJToKkJNC?3lv1ZBc2B?nL%+}Nbx)9apXj~7Q=?nlO;FPFX zVYiS6SD_??sq}S#qDsR^x^v93BVv-z3<$QtzZCxh1KkgZNB`AXmWKZwHG<}}h5WUu za?pE@8mR(m#FvY96Lm#dMt2@s@m9DApn!$_3I4;;tYR%1N|$!IQ*))1hIGkM95}B* zHdS0g_!~9IDpVlTs$STe-A%|jdi?YeE#e1~aiq2tO1)^FA;foh&d4%H>gc3mC}l3s zSCH^fbuTxsG+D7qTr$;3C+E)fvy(cgdA<7C31D)G1}eCd#K&pt%a2#n5vV20OLBc~ zev7gif7@Iqw423_KwH zJjt3SwIu(HA~%Q(Z-j_)J0Z~o%vcgl>^N08PB^4O4>(csGqNA}#eES1m*_7h(4L|T z?d)hFObLo3%#GdR>gERZ!F8>vaL9JFyehFkJs$R1^8kOC2{;^ZEjYJiZW9(%(0c;SROObCMILk!0z;$D|I9)y9E2Nk8R-WfBd>;^eXa7Uk16J@tsgQ}Z z*Ed(&L86{YPagOv!$Hk_pa@6AK36tBK29XzcG^1(<#p%S3h zCF&ux&MYMmIuLLs)Ou$j{-8s5MhYr)cMhM8!cWU{#I~FTuZbK*2q}0(bEl?w)z|c@ z^HXx_mUKoG%YbV-1p2_l4y~ZF`n1a*U#8@NFs`G?Ev$ z=)tN#!?G2|3JX`7rArt0^&;RE5i{J~T&1F8&n(?no*`oge)tmpQyA5cQCkM=b=;i3 zT4=av+zthq?-x?N!vEy>o+(&`YS67r!OlRNakM_D(Y2H5;Do)0%#MiKu^zad0Y7ks zVcAlWl&;?JaNQwjs|-UpE6Kg|p1LiJA1Lm(aJ#L3y_{b_=FK+{*I;p332ykQl(B%~ zdj|aJ+r#qc4a6eS6IKw;cTZ0bpMLxCbdQolN8iyU`u_ck!QE%kqK>NPID(0Hs+*zb z+E0?D*Q@uU?DA*d6s0ZfNZ>SiWeLhwYEKim3CAaeR!_(9TZu-mO&O3^ zu|kHib?^o;b;o4EBI^+Tw!7V_GK`7>TFS0nr2}3x-67K{rI8bGx9Tzi*(6PsVu+(S zS~&FOd3x;m{;2tnNrHPTJis=$wf(fzh63b|b*~q3L5NJYyuh&QKKHoh)zCf45zY~%YW~A5A3%NlF z4&q>-ov{4?HA)sd;y+OFh-){6`VU5gEY{&Lrag{WkKR%^Zn3mOgvLl{HIh%P<+U+M zH^8VE6l9gof=^X!rZ-IXOFjJFyn&A6GT0wje|7pAw%{NJ4Y?D23;(8 zax@v6`MIOP7&(JYsP&BZMc)0Nhi|KESXP@?@i!VW4u%YtOhI+Q?G!5 zNOd*!c={w=B=h%7yZ}&ujgXs6sxsf0gyJ@CEk^ef!bv=R^sglbt)4UEk+p~a#B((q zjK-*y-sKnzSd89)jk$6(Mj(7|Z=(54;9SHxHp^FDq{cl?aO7le@8}C0!9R|*RX6nT zI3&p-1rfw&%TiBEUaKEKe9_CSyA?N;>V@=l z0z@VFnFvhr$CsUlklo(gMifqIu<#tkY5E+-7S--{v4q+U@IiGPWraz)hL^8lZ0+u! zUf;ia`t|?~GQS{v(1aoom*-A2JR0d`y%_`Oui*o0Zs2S^J(btNqp1J-c0C-FKqV7zwm$bt!gi9#zVl>*7 zQ<~dT>;_$#ms@j!L8l%au}KIb5`tLOfTpCVru4dt_|B(fjZ^*5-z%J zH#|LH&U|vT!3iX--g5CM02*-QRGMA(=P3=!BjHPM<1?Ngh)%DO7MOH;v2Zf7Vct)l z+WnzY-WqNcJ~tfs-@bkvFnEOWy-z<-$oKB){^PfYPxp`ctBNxo{$_rves;QQP)TQf zbM*DacRKdJqqzHr_m2&-0UrP3=t8Dkz=^&w_#?7FBvN_Z?+pV)f)I zR@e?~Q9e@GlkObdn3i0E^f5u8O;IV(0oN<(DOFHk@@QQ>bv=cvMrAdK`S8S@k-`&q z=jaoYg^W;&>?Dvp^P9&A5us}*x_6`3<_WeI(-0xr-7YS#wv2b8dK4A?zsM1;)_*>k ztWM+J3^U9ToLII!?dz=_Y7GZjt_U5LD3&PYa4_xo8Wo60a-KbN4{Qf@P9_$@NdVg= z@dM!j)Hnn=@2)C0A`Dp{$CY9eI%ZLlRAv}?%VnJ$??vj0&jCoE525VsYZ>H$xk6)g zybfcPIL}a?Gcm||)8aZmMXg0u3MhSWK-87BU7E2Q-WT>KPH5Hz0vSFG_O&`bAnyS^ z9{$h<4;f&hi|`0B5o<1SbVuh*=5xe9)!PUM zc-_TRX_VjOv8)^qHyxm2bIV+Ij2cBZsE;8R6t3|OpTsfj*c;6@6uN4W;f)-%kTbkd zFwCezp-nZM;paeYq17SvTR9Z)q9unLTOwXt>*vi=gLbhJPqtPR>H;~D&z^+mn)m}` zc62o(V8z4+|~I@I_-2X`+9zr7f| z6UmeW!kaCk^kdjS44y;n9{%zE{vNYPqBy!Y?_$Sj7^!K;Xp#jM5It0pCbNV#Wlrwa zbtj9?sL+He{8@##fL%e9AA1AO&0s3U%Z+)FC#nZQ z&1hn4L$}=9)0#kfe4YnP!@^oe(0M%#uL0#2U{#(!<$MO7z#~?#!4!+v{V;S!v4VEf_q5w1(T>-s3W>lF`Zv)K)f_6+*d^iGkdBJD6L zkFW_WRRASo?>HE{qtjEmr`-ps^@y%_e}k#*;+*2C#olgr+Z5?h94#>P@;u!)A+z}~ zOLZGbYcM>2@`k|XwB7DK_z%99+ulJvqc3Vy2OqQ7mP3?65*a(JorVnFKa!f3xDGwR zWe)_F6};Hw@TnIYG=L)Id)fEZsdx&Pzc=O2%s{&9cwm%sdlW(8xv&E40p->9Rb|BwyK^+!}Kkk7q8 zYMR$`2$nO{O9h*7g0}eQ`gJB|tH5N639+@ghVm%=^qZ+Ct( z8bXF3ynctaE^b`r7j?U^RO;QR^SBERw3*PIftC&39*18>x`MV;`0Dso29%&<0oR<< zv}Vgfkk%a2xMH4YzJ{IQ@S&3JbUHuZsPQy{8gRb5YLHirykkUq2i3_*^hPL>rti2$ zc`5xY0}v|6%ZLN6hEg;EhZKN^{r&Z-!~OLt?XRbqQJr&TUs5Y%LJx(Rjd+t7_YxWE zp&PHPzWlW(ev?cn@Z7$831Q_ZpH90INBJC|9Mlx5WB8WivRe~9hP}d?2OsNj>2L@8 zf@$A3|HK#bdz8)GnLE2u zLS&{Kf-O1e7pDmMC*KlOB6PR1XQVb(jZToKG0XUru$eU)IT*gv@P{2vgemeZ5L)5x z)H|HOMkdZBd%-22-PF5qtEkRq$UXHsj1?xw(I!wEnidI?A8gcpA;pRdAvA|}PI60k zbJecyiJQxH$K;k~IsxQlfCZ1-DV82y)k=5=tzeDOL2`A$-cdE~xRi_@qf@C*F-FQz z>%s7@p}0)`TpZCi6>u@Ug`h)VJm9xzE*9IHt2Y`qUmtycdJs1|e*F3WLWzxk{srRe z{_E3U;DLI0`u5LvjrgGy-B;7w@VQ}_z7#`SN=OV9Vl|m z!y08UAdaBtf5IZr03fgZ3YeN%b_UOg+40)qReHbyBuxy3|lnHIA z+cO|lOk);h-n=a%teu!s)M8jw$kQO(1Gzi|3_brKmBg>!II5Fc56^x(Pm8R4AsUNCj>OEk4qCa@nP7VbIQRaCCSMqt#$Ya8qCkmfx7x zXTaO?@y$)*Gs0bQ!W0+44iD`bpplDDv=u55%7Kd~l5<&8#pd^r$}C}(j7BX*&3ho7 zx%x7%XNmdcTa{gGZBsf%KEFfLaNvlT80r2xcgtnoy4bxVa|@5%D@@r7OCP?oU?Nsa zh4z8(#yB5?^5!yfNCUVh*j_8SiAAqNVX4lTo_?5gH`}XC^A#lX(rmp~5Bc7a#Wn#id$Sx{&Q^vGS_-q0R)H;o*`OFBR%R5Wm z5XV+B8i+c`x?=u#YgD%cuAA+04k_oyU`qT%i=ur$=ydBH^0Qo;AWx_~V|WrlNz3_-(On1*>R05#T;Hr|xP2g-LqixR8eT)t;TX%G?>2Mb`Qwf8)}i0EsOo zL_Lzz-aS2hR>Ojx8a5_H=T$Oj=SHeL1l6oNqR&O>IEq`zUway*McuLP_pAPlW0js& z2C~kV=tgnA+X6~L_rLNwYfDiYm-XN(xn_dAH_)2gGs0we-UE=UK2ps+;OI5MwUOCe|@Rdfc!apsC+dNJpJ^YjC1?K(3y zY71W)vHfGsGjRCC`sNjNMg(@CC-)3EQz{JWaWRC}Yq+#M zhl_v>WWqy8)D^MW%!^V-y;kj08k$&MOFhcqy%qhi!LIg`#qtgbg%!t@%=n-QOMxBg z&aT3Ueng&h45JbW3raQ;Lb0UJ;6;xX21d*R0q?Qa#d+zHHpd&Hi3r<3!pc@_DWnLx zHXvQfeCPbNiG$fJU!{kuZ;HMO>63j5tWQ*+kw}=Rz$Q(>+{-r%iOgbI1mZ*^kZfN@ z)CZ(m{XpG=?eR$Li)!rF3b4R)h62oU*coWuvpp`R+-^Dqa;wjd?$?91bH5Er|wA^YQDCcg;WUn$MpepQP;{Fcl&; zUjDj6Dh(dEIteC)>1ZW0PeM}TU*5ijUEgFyEWZYb60w zO9fN1OOsYK?NS4W@V0DPof%OEY^IF%epIxeW(&)Td zqWP4!Q4UIP9m~_fXJtxnb}^U#^K^{o4_%lH%zca)-K+JlnbXw6vF{}63itK99}g0& z^$gvI`=`5ykM~a&wk^*SLm1n-ECY zxB~KcsFp8Ns~vl=sjIhd-|k7Y#)Ne>*^4}qDaVJWOb<`l4{^d5omB_F=&U;UML;VY zdX`@ff6*_8zX$>dU(}A)F99uGdtY}rYgIX$sM9ALphUeU05Yn=^PyJK&Fj)+7h6Xl z9m_I@gCtRx?;d7A1ucS})OfrBHA#5N!&KqfL#PxgB_ExVkuY8>Xd}`k`LfcmvYaA= zlRgR@*M7P%2S!z9$ipT={v`RC1X3Zjxx_&Eqq0Yk09sw9XObKrbnhjY1y1|h?Q%^W z+~R8p>$VD$`M}}G+c83K>PxL=A=|iy&wk^VPuxaA2$5BwD!5stPp%;f1%&J-Tb3*z zBu#O&AY#h%`0_A0SP$Q`@Pa}IO$I#l4R{TV8BLZ*Fw23SZrK+z+2OFv`3&9U)X5hD zcZ*+d3Z=TK#^M%=i+-y*ZZMox45ldr@;eCy(H1FqQCA^=Gx1uFW@DJTi9W<@q#*2s zCtEu|#v&6dK!0_Gplu`AsJ>bdTf>>POip5a>9zKWjnh+%LtS^GMMimb9YaR+J(SYt zFh>BwDJkcCSUxAOxZ9ri{aS z{G0C&NKyGP{QT+f_eUSTQ0~9@@af_4=^u9w`2BgP*DD?yryunGt;->~q zGt_xVFY7tssheWYMwvg9v1b7y)M>RO9vbpjU|QiEYhzlE(Nuu)eh!H38!6H3IVdos zEg%X$tS$(L7;6}O6ucHJIp)|YUVMKF7E*&lmxdj{uYBHcRf6qjx>>ea_$j?rhx zNH*k~WE4AzY)Y?-AUzsP!clf$wu*id3Qm?+(81{rZjn7%9Gp4IV))=>Z?fFr>Y^{iz6}%sTlG_6XBJNC4`la`6 z{YJKF{YJ%ZKrjyfSimkS2R+!*nK@^SEV&JePdk z0bMMyE9yPXj?lFi`t@GFi%i+?nvp4V@B};9v;&1x?RM~|2IDq)MUfB`0+^u>Y|iIG z(14p1ob_m^<9`3n^++bJp1Ax`e7q>4#$_wz!pYOMxb((m#G4urrdxJs-q&y6h*;Rdxf~Uk&&nVN;K3g1>K~=0ym*Qe%;*`)c zR)$9C&DGgi)`q3y6T(BO{HYaXtX77&trNV9Ql`6_cFR}rvEwcHumf~FXdBZNf}`#g zV>z6*S1g>}_CVq6cBbgrC0iHSvwX=H?tqSvuP~mC3kH!KH#eoC=;bQ}Y6bto@6LJb zYr?3*pgQ$4-fZXBvLvYa77Unb+EFKr$D@GnVXW*F>I>)$kUe$JAONLh6XDK)CmmiQ zhj^-y)gBd^^w6WO<@O4dX32aZ;#&SmX}Yz!I#VB|O7hzpeWIX$p=ujMcCQZ@gGh?% zM>Au5-L=nAK=@5^IQ>EO^m0(og9|E}!HJN{bRX`ZZd@~Ci#@Icy=eU7Yh(zhxKutt zmWk(?`A8b(j33hJtx@@evAR?#ZMg&`R;~J}a0dK!)gTtyx#UcxJ)qx{RLu$X+F159 zJfuEj(a4l}869XX^7&cPw?qIDRCLH6(@>Dwf9RrlE*u;RUP9ZmPK?ZHdv-WuteOGX z;QD2|x_n>}eG}x(bA(v$RKC2s0_riuj2Ka3^8<=Gj!?|@QxM|7E?veW=ip^n^EQ_~ zMFmc_J2OT~y&;q-ow^*5Z%aU@?SYn6TD8Uv>So!Us8~Vvj`u=L7aj{FdfuSyVSDcs zKMkF3v(Gb5f0N89Ea{#*%E{Dxo%w6c=$x;90r`imBag|43g1weq}yeiMC{J}!@F-^ zf};!J9f9s3bk`~Z?*K>ti6ZdzEvmqW?HG=wF&3IyqNtjCw6lw?ZAiyJL275#A+&C8 zBeykcDIT7vF#?{IT}rB%0AFpQW$FN>#v(>)=QoKh zYQB5`;lKmh&FoN%8AlHJm@Fs{g9r8GgT5z_33w6{cvH|E1k)E&D=d+}8fqs0i}x?? zUwnA+@x|{iKE3$wp4Ts=zG*!v9)?rG97#&(~ zPTBAxdjTMS$;=>SoSEkh7ZHaN1G{Hb&qc0k=x`Kj&8?!v?9IjuK zM|u2$RaDg--a@D`3HSw@N!3MEDzbV)gjwM`6<1c`*dmu1vZl`uw-A&1GqqfJ*&8#(%ukeAdekl$>3*hLGyL8 z>-q#iG*ns7L`V3g7dzA(n=JAa`rS3__xc)oZQ-Ibp3V>%kGXTIasitP6>KyXl6fF; z*(iB|{auIjx8VOZ&b2$OEI*qwrMAH!+*1k0VdVlvXS_(jWh`W!d z4^g~Cj4Vb$aEetNw4v@mzSf=bxKt;;CYkFC2~WkPI+^-XwF*bfZ;azDhR3P*6*zvx z#|d75w~a@Pwx%}ElPWQfCrc*7D)=tk%kxmnR-L><<0%nL=!4_l0r zVc=HP#C2Usc_PQrmq9msWrLo>MXiGkU!=~9aR#Dn{fsmAB^(4by*8|&^K!voVmZ5> z2~O_4_rU_fr)1`hW!yWjsivoEJcCHsg$oZjAF9C^?v&J4v~#hBGCr%Zu{?;*XTUvC{_F za!jtl8`E^9najH4_#I`(L3)M>6^lK*XzP06CEGLf1zW1)Vh2L+s*Vd{6yw>SOUZO0 zc~nm;fQ8@{B9h4H#~BCHG8T}ffQ_fjIK8agC$*;apjpxY5_|Zq@HB~dwzwBtRv`C3 zQI-p%dS~RhK&!Yq^%AnsJH}BbW_~K(vNDh_V8nLP$qnJEb4O5I3907DbDnHOmpPPk zmazdzbYF z`g_>4!m@L`^gXhKR{vgUQfBJ+xH_9BTD#A>XH?Hxhqb_I*kvszWG%Gl+^KxJdvlJs z4t?xyYbY`;J69pWPFklsjkMH~;IT=_D4il?6lz8R4!cGh3bkog$hQP<2Z-hJ>;?ts zQesnD?r9YOa$~CiUzr$mrAZcJBgJuDH&Uul8rsXL30E}IkmS;9z)gfC@z&)TJ$y}OxGi`nay8_XK1C>}uNiO9Z{QK+V{#&PQ-Vu{Cxu6D zgeNuo1%0Sn`YQn^%_}t03WzPx z6k@u@)7Bo+9n^Y>v$oUa`QUEwZ~yii{=CDV_xN*@J}TT+KonVFqbj~wKbnUuKfdlDJo#AHP#ZzFQDQhDnvS+ZZz9e4NgN{KLQRH@?D zYnPEm^VBwnas;`S`u=>dAk>P}xDH(?rbMODqzV4XNvyPFmJ*MzbFp(s4N(4p z3C#PfgM38&t!|EhXh0`Th2katpU2Q8c57UQ+StuM7l zH6b?zW)ESLqBg9uBT==4#ZQ9I6Xk00Xk<|O8h9@9F{%Had@On0ENuWKc=Zj2f0*XE zZ>GFS;8Pl30i$xaWMM>C6mnE~7xF#WASn=bd!Rtnohf{XXf*G$yixuFd`76rvf`u( zhXuPu0BXq8(PW7i0&PQDT-;-Ob>!rI;H0XS2CgdF%!&fvRK-5K>J&%8qb|Y=&tByIpHL|d2|VWu&>xdyh21)p7siD$ocqm*{=3=$B!Nm#thS!jgJ_RAPbhv` z?(5tFpl-3jUjioGK)RWbmSX{(YhLXs9mvsYycY?iQ}*KZWy<96UH0cO$X)iMl5~nd z`blJ{wT^fTvdJ%+9V_s`Xauk&HyQIWSvW;JPzDLKfrtmtnSYLMY?5TpEV)B-y}Blb z;Cy}wR3%cd-D?zs=z0AVfeSY8{_*tr{;MdqQnn94zfcoMoS~iim8b}mF|pJqBq##X zd?W<*Ww%KlUykso*jAV8%kZqq8D-(FQ7>1s3lvC!Q{!*6Z2J@*~*>vUMu5 zb-c-dq%4t)P3YkoaAZ5zvneS>>iDpw3Q6=|XEs1JO!ZBdS1@7PPfb|Y_=Juf`F3|6 z5P(dh1%G+b%!*(QT32d2z`e9=HmGa>9or2&EwFG+Z2__9v1VE;@KYjdXs?Q!dF9BIOx1f6Pb1`0fb$xSw!CK%i z&(B^mT$na={r3Io)0a>G^jHKUC`V_ia&)GeIu)R& zGwN+A>Ui{*F(?(N{NrP^W2k4dAaA3_Of``R|3` z;q`Y3Nhn$lZHd;-*r>tKdX;OsG}NX~cNfM7?KeIaf*xA3x^L9^OwS!;=cpRgz;IQ< z>vWR<5v-Rn(KYbB=vb-#f5zT~w~Zv*6829as)2_5+OEe;QlvDPo*8bkRMx^4B`?!> zAY@yv63W|1axLEn%x|A_?h;!@N>%8VrHo)QGvdZw&fUgReSz#zKcYyoK4X|4waB5i zY0Fp+od&$%of#%LXhtL++}%$`ghjg9r0WBsVF$~@8;kKujJYN!05hYQi3+RI^G~n_C_mM~M6b>h$rB-FEOOEIi z;7K|12N}29%xJb;EXE%9D_sqi&2Wi4n!Yj&9EOIEV%!Q5_;WEXgFL+aA|7Q;6pK{C za(`K;t#U~BwnHd0Njf!%i1{Bl8m9TtI*FXkVlbp|E1|R$yvn;5iA@?;*Ig_9F>=KW zeNr(VMiU6o-E^bWbf@i%0zD~0?~4|Q!sqDiOoJ7ZluN^N!4S;tB|d;2D>DiJcCQr@ zN;e&9nv`FcU0`8-qa$wju+{BO=#5c4dgvVf&a&|x|Av{Ju_b6i2Yg~UnYz($KH|rt z{A7AG5@ARfGw>YS`C_=jd3q-DI~4kFCa3}i4?456u%3)65UCJd;VNrDK3wUrA*PPV z>fofp?a2qM0dgqlqQ-|XX9Tb_>!R=UVDLe+7UK_E#u%5bAbeJjdL?9zw6Mz)X~WVt z;@eRlmrXGm8173RGn)d(U=CEZ79!t=g}g5oG894Juc8pnsSp|+dCe#db=p-}(Mvmt zIi%NM5vP@+lIhA<5^E@O#b5z}m^EyGJ0|=PfKJ4Il8byU5k+c|jnZH%56;al<`0Hc z^fUsCXH6oT4!GVSH3k4sk8>TC+XDf30=-k6LV;6cr=Oi5y+E_Dcb?}L<;XuDz!Mc7 z!C%rVyNt{OPYX*D1r_0nZSnNZ-UZKjkk!Q_U(5CH`UG1~3sSa#6UFz~Wyd^bNQ&pq zz(N3a%OZx>=za}1MxZXpR-cdL%-I^bvzBXM5SU$(9VFcyun=%HY~kR(=uUD2cwvWppUfUwFJfQm!E;2Nk$F2G#;Hxhld1@ z%7Ud=ovbWANt|p9oe4x0U5DEH5jmyX(=AJaZ5Te~L_=RqF*m_Ck3PbX6|^oFAmBdVzh;VzQfnV5hUZ;j>J9zah5 z=Z3f#PzZm zOC~HagWhsp+uxG(QvkH z%^1v*08^Zvj6_zz({;+zik{R#{YoKZ?JA~^BR&fLAgpn^ehtya8+R^822>2nQ`QyO z6y8s1KqdAG6qJjz%;fB!q;F@s#@TW-+G;nIK;Ye>3WZlDDTyofjfROD1Qb0xu;3V{ zuFZA_^!eysEercQLRKBqk8MAGy88L9jm8c)59&d#`f-iJ*6OH!{D?|gQsgA?M$w1m zhl?cldsU{$KFTq-&~VsgknstJtPNHcxjIdknJ315MgA%!HbJ0&C=r|j@xd|MT3bB% z1cjn=j*)Ygh^jrNRAs^1U@?hYWZP;D;?{I1aGL6Ja33o3Z%FS`I@-2zT4evu>? z_a(LfdarsGs^ncH%01u%S>N#p5lkRGp)KK$#S(&lm+V~yg(r>C-4f_2?9md*b7}eG zo*7EP`UT>JN@`+8{)(W=7WJC@NQU)$7Xs|sYd00-#39C=DCqNbU(lq}xl25PyI$Zei$H63_uUty;6Sq* ziZwMX)u>Jvhz86KLe%BxHniAkwnwd?tXiGc%?Y z7wDbnpO6ox!a>F3i3;jkimP5}8il64=W6CFjg_X82UsEofgl=BYjT*f z>w1E)cv2V3k24a0;H=nge}DhW)vfBDegtVJQ?Y;mK9XE&c?txGZMVPNfBkZ|vlWlr zJL{W)zkr6Eoz4&7wd4D{_bOGH!Zmvu1z4J~GgV3XjZC!xVuF|O1fKN?cyv&zRV72n z7!es_-<1*l8Wo_>BjG@%I=MJ>xV$o=ACVXEludZP5qJdq`K@Lz84TuNg@i7j2#g^t zM?=NzX|!h>Epph`Xu~zpvLi|WfZ5hwP?oGTAw$H;c*j+EHeyauLMn(8h2-I#lERA_=m$6`wy0;onQmoR zxGon~jB%=DG`KIwHdmILVnrRJIV)*J89rmbRK+){O?PJYGD~A8pUN zqXm`-?~u7WonRgNEe8tLSbosiax1fyjClu zbn!CIqcLH0H+Sic*w@SfLtr`7ohnB3-p!N3z0^^$B|K zz`ueZ5K$nRxp&v^pX5lk00<~CjrTy^ob?X>1+MjU5TI-mZW3J)WtT$NlSGR$ySGP+ z(ztN7xuCQj0s8?!KL=)~oyTJQ8JdxeP&qTl)BY8NXX7eMND?*@KihOAmym$MEUuD@G^ODtx^>)JA>#93WM-W zJ|I0BCX#HZfk9-TP7ATARw;t)!kJ_J8MFxORT2!j&Z{aY3cYB!i$nxC8)@qfhbwVJhR6j zdH_ZBxojoip`D#PRCi3~jQ+x$G3VsQp#usieUn-6urw{omg_)}4Ea8A_3F=dCVM1u z>4~-pTb^AqoJ`t^eE9nP9eNL|sjccDwC1qx#r4YCmos$zWm!|q1|kc=oOWco15QV^ z&lyot{R=^A@*qSh zxw@2npqtOql=&=Mbnm_X0GW7vY-R!~exIIV`@%C%51`nlC0Ed{3+%trlv7XG#SyR{ zDk=^|TRfw%u}0Y|){MDNDAm4MCrXr_&SZ?A;XcSin!&|w!RH~K#8t6X!VXQZQOP+| z)944lUDzy@Sxcgmmv!m$0sqp)+4}7{K#_|bIHd0GZ@<642SfV>JgiLY-t%Z9;!qAQ zs?s6#4r>m-?WH;~t+@J+!^`tPQbc)FCuNz2s-F=5kM|mI0d3wnqFb!3C|T9mpM82wR2*joB55 zVupSrpCY;wH9-gv?Bdhy_TA0>-Oi`qu0DOge)jq5?pJoA)<2&P{{GLw|3%^uE~dP6 zXpv!=ju->!(2bFf5z!FL<4fKP8l&DBxNj1{Ic!+gOn?EHApsj2qKa;;z$+8v$ol5i0;AZU_Th2Ad+#jyj;cx{3XzMzeH_OG zAkY)ziJylXjQ}=7qa5^S=>5Dr1L(93N=2+6rklmIhrtYJ3zixNH{zmE-y5B7mpikI zKR#dod^H)*(K!zL`Ou`M-Q%nP*sG&EFjwc(szS3YED1RniUMy0$2UNnTDT|Er+MN) zFp9-qoWD?}87~uj@Z>loMXLuO!NkQFg||pPr*Kxd9V*r(2S58J4C#sIZUz7kzF0)n z$*rPicGiy4EEDFw=n*Wh7b3A34hw0A++u&M$wZL~d;%4h?t1XNyv-#0Cg+dH=@NYSbN^WPQ!o|CDcvIaip;#`vl`t_=Q=O+hgZ`-8gK~;IF6~R%H}1EF zZ@I^@Hl*+9Tp(b7u7o8kWqyH{=aP-&Z zCi(p&`CU08O|QTa^$&8y?Z@w5*zM`#%_p>d_(nOxf1dvj^@Sox3qSxw-qXSV91I8l z4S#VqT19=jzPh_kT1MHYq;nLt6{sLv&bi+MJpAGMBidPiK%#>k89l!-$3}iL=V$}r zsoL#BlVziPJsF>un~G^UuXfytu`(?f8P5UY@6&=YC%|oO6Ex8XiAe`ijY(M7>EPgN@fL~<-H1`;BU5PF^JDVEi&&`^?3 zf^Z_%J9dMJ9uY<9%6ugXq`nb9FqLuHhNv|(re)v|^ym$65K?atE!VOP8ISn}_0a@! z%mtbN$L$-Ve>yEV-VN?eyCCye$36u|t5ZNgmiJr^*s+7G*d+d9Y;9(2io3XV^@!yF zbj@X2f_}p&9qkPd@OFNX6USd+D^NrMK=6`c=K$KYN(17>Os4{TtOr1}6z!U{J^lXa z(-wOEx9j&eA8&A^A_-{4i?@!Um}_f1DU-;h6v%F%`^>d94rM2FA50XUp$Bqa=&LrY ztBH4lVvMYn)#BwA{Z78!Bl+n`sQcBeku1QbbUIDsW1?|H^iw9qJxk|gZjZQusoP^k zw}+$AiL(e`XV4T(>5s9?XdIexA(0n2RFKTc)AmitpS9*{K>|;w4eoBUM>UpoY(;Pb zkP7tn6|nr?AwQ{=335^qz`4Fy4EB)Ah-AjkWXxCJKi%)(_h&bszkPc4+x6|u2WHHn zqUmdX6I2DjJ0-P{qDYsdTJ(e%H5!0nDs0cJ4F^b@3%Mp<08s9`mbJy3jwt~|>|;;zDX z%rzErpq7xUAI7iT|IAqm6DBl6nu`OGQk0g)nnEY{FW3>WuRX~y$D*&MLttb2%TIHY z`nY(S{Qj@G8g|Z=fchU}aYV*o#WG$8(S_VR@;akw3HY#Mu{b-fv>7R9=&RVr9l)Ex z{f8=O!sFE=%_C%HZw5MwWO#j!L|Qc6wSNQI)CMb*Q=O*7qYMBISW%T&t@&h6x`XyI zD7%6T04;og*x)xu#;h-WnM#EwfwNR;8zWqrZEHl}^H(@^Rd>@7$p2u3(uEFfGykRKrN_Vc8*XR~n} z1+&_YJw{LuPtFFuy-;LGlGAk772cxqqa7=JF^7 z^@i3JzxsronLmBf0wwnS@2m|)5?Fw9`+!vmNB0B%f`Vp zR3HS=!LT@gi?O+k^Ud25#3<-IlM0D%$NON?6$MadDDJ!H*0pePLmo7J1^q}Zy{zfoc@T)|b8-F8o>!LT5bQUvg zrM02EKEcj3p^8G$Wc&+O81Q1xfWJ;{m~W%HrNvBXJP*z*`{pWRX89tXX0b%I??Nd5 zv744&A)QNJrg_~wNfQ(T>uC~GI_+|ePTP9V!L{%Xx zLD+6%80UUaKG2*Z6H##Rn8w)|$VF7=gTijrixLo=cV zXcBJ3s0?G>g31N81lJ?;1u{}%iXK%mYyz&>ho?6~%hUH4UsR4t!gDW>pl4g2=9_P% z4Fie_o+6L6CwZ-y!^H@qC966qF5rh4GP!09lax?~(4^6I;Q4SEk|v>Y8M$N^3sOnP zBI!Zn3SNd(+e$9x_sKp$i}w|jXB@Bw(t-=w36D|$v2)qUtNe^C8=0ln!jt&}V-5~J9yV2EH0NV(5GjcDR#vtJG z=+tnW(VLkgIzDN9>{nLyO8|ZRQ)74G0S5BPi?#jc)!JW8y=nKWsow!0pUv7(qY<9a zX9~$B|CITqC(m9@xxP9ZL{s>CZhW1+OG>S`%h29Y@j4Aih9_rpo z-L|kYl00JP`Bf(xiz+M-Yv>9>ofApG_5v)y`~KVa?al4~*}lKMxxaaT^=XTE?0ewA zsN(ni%l-9jlr+Nmkh6`mCv+7=P#Dl>GJ~-9#3~^W1Xy{lP4cSuC1=oMjd_&Cl)P^#HdCl|2eNuV&Pc!k1 zGYa0oWlRD;=kRK~xdaH-@)Z-|>|KW|^dYkR%773G1XO)k$Ua$rE`hp6$oT=VBa|16R%L-7 zt#X2YMSYrlMOAx;-fv_qMY+{5nG7Z=L12^RoG)QjMV&nPreuO1oFvu(aTRH>!xk>H z@3+@mxIje^Ll)Df6M;x+V}b7=vE-}r0sRU}WDBF6AJ0x-ZqJXXPFFAc;&cXOT?h#- zkhlbG(DLns2&r2$tLl7(LYXgK03cQEpU2&hC=_sGm9;Nl)lD|Y!rGzk#396$n3@G( zCd+sl4#t3H5q`$49li+bD=bk6v9L}yH=tdOj#P^csWNH z(h3E{;qJnIqwCa<`)KO1I~nipp_cS`vOB&;k6IkaJxNJ4 z8;wxcvwgz68qpMh>vNqL#VS}Ef22a!&VYzm>RwKej%y}S>Qdkg#kcXls!CnB+;yfb zY*ee8ZUDMN6^KE9OmS*eim(_*AP@>WA26Z=aA?}Ww*QebQ8gyA^A5hQyPQet{cvA(qjgrn_qDuLvf zw^lb32*5skIWcc(;`Pac;o#dgnLNI26V!$PpK@AExlv>o(7zaA!U*_~j!_wnvLP5H z(AdMf2h#!}IT9g=Lv4{QlDQSW8`ZU(5rpW@Aw7>~Dt7PCmX_&b-GxvU@#Ht1K9ZfsbgbhaELdidFZJsSGtrI=J%WSV%h z7#Dyy8y!eMU@b!l=QsExdd4fNQr}Fn1k;CSEke~aE6$tSBBsom+wM99p+Ip2pSKM@ zr4_NMq{cA9kkZf-@W_;gs4fd%&cqiWdR6l&*j@7JYoNi)`5CIjdFAo3Qj6GSUS&;O z*J1S|heDAx7UedF8Vlxmyg3N;WAPu8?vk1AHArS&J~0tEg6bg;Aii*{2|vj|Ht!_4 z+^j{fv2r1=9Q`7lhHHADYvE8xGN}hqVZeoX?Q_EO0}7ngJPJMo4`3P=5fJb*gdr>$ zdj_m8&X-4UrCuOvc8eO2+xtJip}ybM&nyeM{q^R{hiCld=EEP)e1v}>$kz8dkL{3C znR!wOIRN0&=6-Fg%57#tfJPC{EY4&+$KB7@_wV5}{(XB3OAiL^v+edHJh;!F{QL7#c~SCs>QC3U&^_F)u`8fqsvj*WG5ulb9wP<9{AmM`}yhsGstc!THXc?$DJ#rD!jyqCQvh6|$cn-IX z1KgJ9mJXO>GG5^<^xEUAJrvs3=tUf?JgrMVym+uuH}1ZYRBw}(sInkkwP2!OqWG(+ zd#uRG*Bd~lBp&ZG7JHd;Q1zPv7rue!Jd*SA^=Aq}E)0`SSJt>i&8s z4C{3y>Ih(s1M~{1k>@Wqg0rMR*Toy;*3FQr70ys~v~r5-^Yk2vnqZ8dh+LLI8g)OE z6`}&f_eaShIaJ`=450Mw)ZMI1kmV!YEWrLB%#>k>pd`u^2B$V~Qa2%L6Te;@&dxLU zyn$HzWVS4qLyZ$i6dvX^+7E$*qLE=aH~O^M40+CQgo&B4wobO#;jD6`XQA^sy2w1t z$TWhS->)%62i8sVLHT*XNi%JYiqCk&Jur_&a5y*Wa8_@i*Je3ndRgo|SZjM%_k6Bcs-EOx8mFeuqbM8S04Hc63jeX$v z_AwTn4P&wW7Q#cCU7dLtq*+i|$vZco8oWY=6$TAk!~dXaR^5@FRC+Kw(nfT?PXRSi zA|{6-*Q-*vg-2#KO)WW`pf-~+t2UrzyAJydq?)Dv1c$&(IuQ! zjQNl3s)U;yEl`T})oLLX78v|$JP5gkm&aUP#-wy6@hFOw76S*3g@Ju&YwzJ742WUh zV69wWH8CcmCs1z&|4<{DhMtNdI>W>AL=74JwAfeL%uO;?tfgWi8zs(>(5<^;j!s{# zPHDsQ(Mizx0AJjxiJj322RWIOHb%)^!0?bV3als|cHa}(+i zvgi^i9n=EUzt~EhkJiY+tOx@~Os0hfqZ9r5$TC?`+DNbX88#Sz_Y zZk%Ti3Xp+?W1Pk5>NQ)YdWO^?ZFMKKgbna!5`ro#xf|%f3sErhToRw)nNb^&0wUpi zN>j({lfxxbm8GVpPNXtR8l{NZZeGtclndN8GW1cOvwJjpT!cVx3rIS-nZbw&j8hxd zRl}lLjAC&7a^0p+W)~+Ahty>ewx^a<2=h&WZoZhjIu}qqX0s*lRb-r}L$ZK&aI?<} ztxJ%8Qjuw-h22QLDw_&Y0wsC+SI1+IBz-hY{EcNnV4FF@?ztx@e#Y^NR?;_<4+yLD zWObqfg3TXL39cJ54q)BLgpGcSoeml_m)81)+N&8nP*|5B zXMr#`Kyd@|OU_mfJIgRuwm4cWaw#kv&Mxa@7pE{)=>;j!wpj9|KJJX7_bw#$_$E+F zq@NiQBJi8bL?<#8xKhuCI>GVkRnoUG@P^u~%9(rUrt;=s6ExrmA@`yNo4toYfeldw z*Dv7!A%MQ8{{$Ww?M;;J41N%HPV#dCVo0mrwT2h#A!?;TvGabpYXpTMq!FA-14^n7 zog;M0ewj)5o&9kRrx1CNTMpO+hz{^FAQ4eiR@HYol6&&$7}@WE3)>HOUr|}>%Lmk^ zGiDGDjb;A;@nnY*0NQ9v=vvCbG7p}VS{jEN{zG;xTmXlXaS^P+h}2(Ht#Xw>bT;F| zj{8jyL^Y2kJfH)lVJ&=l5S$t`qS3*m7QpJ5Z$2ImP98B+iTgm2sOO6OKs%DMv}KcR5KIl{Z!Tl7I|G zaUGq4X&(2)ka{AV@cB**rhv%VfnXWm)K-Z}GG`!Taxl5m`uvDIa>ydB_#HKG)GRR2 zuRcdUE=bYIX8bTzgb9Wf#qHYnz{7I4_8;G@ee6}D|Js?+c4l}ii>3-nubc5gX|4&I z`Hro$MbU(d^;$#w)wndHMuQ^Ms*!cWHv}nVwYsToV4d=g*;o$Q*(k!n zn$9o+OnX7y%$l5UM)fuTqaf$`<=iog#^XCrQ_YDg2fPX>^u^VH!>CHJ35x{Iu=Aa= zK0z7q=hJ^TKpABJJ%%R9rztwVB_mGrwNDD&c=b4lsE2BeO~$j)UnxlTS7zECv&EvB2K|oR9QT$ z%Y%?`FG@3UIK2(kWlK4W7<95Sm9yd^v_>JIi;DP$laLTd{D^e|VR~cJhprFJ2vlt#2SPuIJ-e!-H6=;Gw)`%_@ty|n zses^}*FL3mk+{p4aE{ga393WtBny}QZk<876L+Oc zVQ_?UfM->DRTj{OAR)}#F>|Z;=xg7++HjT^WB{tg0g8o5kLVt6QT=hl+%E z6K!$`Dz>ixc!5O-9!ESP^fDmQuK@PFxV~TC-h4%R(w{GGzkdIA^W|s6=n z8$dLMSu=G}DN+0I{#pks(bxHdxw%W!ctTTDT9Do5i*eA;A*n9=yu20~;0wuwE^W4vt{oD{}GX4K7NWpkNpBXM{53&z5lQy9Ho(NP}eUA zEW%@2zZlX9slcJ^(828F=-7(+qJcPF^zHtj1y2o6WBMF&sSwS7c1{%`;Q|j$Q;9|W zmlY)+h^gI^m|FTYgp0>_XrA0j3klQ6^1dgqz*R;Tmwi7vgR+X9s3#N)>;y`of}r1} zGoSoC3Fm`6Va(_@3+Iy!VRV5NCrxwS$gk6goUs(^?-mf#>;4$sPs!U<_-4XlaELN> zDP^zSY5rAx_mnRT`>i`=n$GZGvVx#xJTe^ZfZj|SKo5a~?feCR^PnR85L=g7AXvPF zY4lD=`@;*RZWf`=bPLUyPDrpetfZ%+k$tKE{{eo_>ebsxg8sQW6Ne*AeUhp(b4F_b zoefI7+6Z(_sh5*sw`pCNAkWKziZJg?;nJ#>P{gi4?;__culiPX#$=DE>4~1iwOlDa zYWX+bBZ$sBc4dGkr8q^&h<{6VkT@>ik_J@4YlbFI8&{ueL$)Jc?7|%Jp>0=3bchwC zJleGr^M5E`3|G|*VKgB_tY^R}v>X-!g@5c24h!ebQ-ozB z@aJ$C<9<*PItKoX2+3iy^J;u9qm_)hNt-fqrd5gX2;L`L>qOptYO2oM!$Dqd_v#8uO1h5-Uh5sJX!4(1Dq zHIbTw@`Ed@sq)l)5mbfvmU-&RN-rxNi-1VmKM^Z?jV9bGqjNXhepF77&E3 z$?5^gk&36HoTQH>9BV$D#3ZrtV1y!TTSVLapYJ!f*LT}HM9`x{Sv5LY$0RiQ&Oh;? zh)pI#SR5^ZS|d{F1pT+>ZKenmV?DY+Ig3kB0gi>x3x$LpU9CA{La&pL?jjJi^h+gj zB5-0?_0;RWOWjY&76s#icI6C7#ZdlWTOcrjXKue4Am7b)NcB$f+A^jvj1KO+%C5XBy#{xnbE(;RJ(oaSb!vQin<@Z@r=G-(RM5`4# zdfe2`NM%dAb96%)z_M6hG7$qPA!<4;E-t}JF^G7OOXDO9&oH)tZ;0%KopeT(()o?D zOyZ#ugur#N+K_&T+HS%S+1@!2mqFHGD!aoWQ=LQ+(Sum^hub05F};60o|~w{NKY<# z<%q1f+GV*BTn_~d!p;Z6fYUABmi>>NG}8JKJu~#FDyjljWu3e@YF@fnU$_IQsQJA~K&Nq4|3H!i`#XgP5phX$n z{q$=^5ns;UhJ{LQ=VO(1s?E~V7Y>5x*m6e1K#)juKR@Z1(hJn}i?b7qpRWM8qbA8H zihPX^fpYI@ctIJ6BYGEc0!E`awi~mEX+}ZpNoK>{{fC<`C@?5B1rQxBf2;l>G{7L2 zH^AfJIaLPM4lQqf@e;`utP2YbP)@3~%dpetYE(Eg)TfRYFEvbl>Wmom1zw-SH0LQC z7HpQbAERAWy`oj&;Lc-_OnVy1BynvsvgHKh(T;soyR&lz-h=09{Y&z0lESCcQlmciO@VeJP5S9lj~W#wW7R1BvrMtpT@ z;{^Sv){H@U=qz&Z>NQ~W732?&8a2P8Gr-^e2C*84bl0n1_Al!W%1-FH8Yu>a2tjo6 z?s}73Z8U^b4b-NP{+K)|%Iw=w=vRn9dyhm-FBbM+`hmm)e)tlVQeoU~UIO5BnFykE zZjwY-LJ5>GHM3?$QUmybdGewvtI-Ptz#*b#AozVnRI?+?S)Ip{Ch6634da;Hx-i-3 zSvOs(GNP1hYnRspZz(CA$fl_bO#r4gFF;0R3ibB!Ly0&Q-=VmmEtF-6RHw*`P-O|M z$vWwwJV96~-Gf@lv;c8lz5q+Tf~!Q;$;yZ>fhkWBD)y>>K@j)OsEFhKxqP$CB9YX! zQ%!IL=4(bDvNVvcfY)CfUoIKdd4Ka64SeqIuJ3p5f0J%*{O9@qxc`my4hd_?b-C~z zSIC5L>s5bH$NVi$atv#Q_>{$_Dov9nH_ppZ*&uR;2GzbAxGFIrWQT4LslkqdA#$`k zsEdv-#3A27hP$-$)ZZ;c7W#KmrdR5?!U4zZPa+*#D6gf9LPZ^Rx@W-8O*t&@LUwzw zIdOene_O#j3gL{J$=XcwfeC)|p8LpmP$CxY%+y>dhm0^yeZpFaC^aWRvbxS|iW&Ox zz}4M73t80F2_j0X0sdkM`u!AS_7l_<>!wi1(E@%!&6vPAixjh1vC$ZFGZc!>%hz~x zY6{T@RvL?LrNgqAcEpNGf_i@OVF?fo)VrD=ecvkKfOu9FQAr>ez?fFwfl5&(RyJ0BsCN>!xTilrG87QZQDqww=Lf zr@OI&ou{=#8^9CYEr3q$^;L#{c=njM z^iVZkie2KSXt$=|R5J}_uy+Szrtq@>1R&Tp;+W(?3Kk<%BU=uFalGJli^*+xqR5R9 zkqIU)rR}m)S&a3Z$#NDKB5Lj8l`u7tm52BrzFL+r#KC9w9c?@QhgtcWNgfZGHp_8l zF9$0RVx>zTlr@5A!OvgI?T z$wuf5cDB^+=yuu4Z|Q-ay|d*TgesAo4}XfKyd$QFo#J&@>2`>}!}AXL>vH`S&tR_n zmZS0&a9N(W4OEW4d@GJNli5RsT4c)f@hN+cPua&51wt*9C9Z9=>OtYe0OV$L0Xhsh z(bgyEGHWWJnfMDc@!_~M8-LLm@tdlVpN;FPmU@)JK(#8`uJ{;IBw6#J&R1{*OPKs< z(83ekl_RIV&F*+ejN=%w^&?AL&`zK9ot-%AW(Q~IL#c+qXEL&49}c&6l1f} zn&1SLShysU9pQglq7K=E1X}~>4VDO&JQN)-t;diStnttZ)(W83X2|kl0H08~KtsUs z`_6Uc7TpEuW0G_Wc3L8ObEz5Di%h_d7_u5j7kn9Y$Fx94T7c)#x43$(oWbAAg3u}x z}xj>9fHOuJa!br9QYc-va<*(C=b!Kk_|T zI@i=grGRq=^sA7OR&tQzW%m>yxvZ5QsfJh;K9xwC%BWF~onW-}&`A-HpkbcLlJeHoIr@%@Qld)s5t7Up+xla(-wyZNz5U(Y;dHNQ zcdwsM55(AqVl~^MN(6)baNee-ve5b~79MBRefVuXd8u{RexAnDhU6uN>x0Qcv?7m} zF+J#v@vnXX$?zGKGt4lLz(L$TVYYjE_DxHf!Re zKF2w&zbqqeg{aV*GyuC}W`=29m4NFe*GgD74q=JFNCoGmkWRmsY7cvZ7J(u9>hR=xXkEeHSoaBjI=nh%Z4mRK|7yA$0vgg4P^Kmk)78th z_twwIYiqr{t9K|}T$auwz`=nuRl8fX!^@UEUPg=_7^kuMaO66d=yu@pR^_uZkW*Xd zgXz5!1PXb<;zwPVyec6Oft8|vxm8SsUz49m)jZPUs0_UM151LPtI2pxzZiu%z| z`mJ^$KyTfq6>!bQN6-f3t0WwfZpy3vter7=QqYY)#%%kVzpPmL6#Z*mtEPd!KvW5W zk(Wm^bYD9I*f<#zOUD0`*vw|XZwDGAjXze_4s(J}jiiriT>(P?SE0gYmxa^)9VGMH zl@#d#i4+s~UP+M;RraiQmw##}$o_1&a`T>v`Xy1B1gmqEnDtvW@Y~Tq#d+?sMF|QI z-C+`*6ly)e)tqeC=3L)Bl*eG?;dYDExg|88H)?l#rnjj=62+A8wO*{C`3qP9=8zqu zK-*qc$Aa3t>sRgu!oomFG3$^I17Uz;l1Sywm`dWrxKm#Z9L>SA(H+ze$s&yRSc#mo z0S>&&)B}4uTa?8D3+F+$mYZTKVPghLF)C*ym8Qa#>ERC=AwW4}?5K?rPjoCMNVdK= zl2R)(I$C$u?#ebSgRX471yXyN6@Vh1d3Hc7d^gfi2TmvPf$UHZFtCs~Z#=XT(pJt4 z9&t4P-Vz3c$!~pg4q+}Ni(HK83ftjIQVSUbK(x4TBr=@T5iT7sWI36LBcY(SD~9ET zgXX0f;tCHDqMYHL<_hL!pasa=NjsH*S*)1>?mJz!Ed+`zra2+G{|LL*Qe48!inMqERiwLKbIcOci$I^(L!k^-|P zEkwa2Le`+ReeYHubirAZTr0jL8B%8N`n1NDCF{*&nGfo6JClfo{lL@0?pH@EBv7BH zbg%`#fXi!hvBMJc&wjhQWtA5G{uJ)Q?+~RZ0l!5(cc~bQ9wIj%5Z;7GxW$2z12RQr z@~Kua@cgXVS|WOZF)_eN>6nFnmHQwEnju3Lvg(~h84?9Vl4-8^?f&!j<_<{I6Jm!5 zTY&vHLxCGH)Q~J4uS!|Zoo`p~f8D_Y3Gj*fXYltPove>n=m~X!Xo&~#cs>$y@R%Ei z-+|D>1V-;oxL5R-pXQb$go~%i@Bf-VwIY40jF(YA;)Y3>yN3k{(=$n}-f5Hl?mEG_ zQI2rAd5bjFx%?CMygO9c@X93J?NmchV&5!|H%I~pp|{fzNn^U^F?vI+(RIPnkJ9YT z>;-iCMN&gL9#HLYi>P>3it_lY^kQ*l+GNb*uRIhy2-@+1klya@V0RoI`s`v2VNcx( z)kUDtQd?odE!vf|l3}S;m0Ud9zhPmOHoGD;SK({3bZK4oRO^_U6&@!zxTRrd?*|LNIQH#$9l>xy*hzVFpHUdA7(gACP?XyUV zVt!ziDS;VX_w?OCFucY#p-Qp5p0ay$8Nl6e0>n}1GN6SW!%Zt41*0tsRERxBA&^jH zrfFl_jHCfMUphyb<0b@T!zSr-I(FHqY%5PQ>Uo+FHW80Ts51BRG zjbjgW&hg{Uu&lQ_iRQy_H*;XQOC8mY!w2D?($Gg~U|}oJHeN_9XhK|q*upeJZ*kcp zN4j`H&^0vxJ&6&vs&mX4LCpqKL3(v|DxPMN#`sc13RVx^eC;W?&JJ`oQel)l&iNcJ zjqx4jyvBh23A?P-pYYGSi<|eqZt)~=H-n5%l3Ii`9Z-&3wG3-evw{v0%6x}wN@Fgu zC4uz?s`f#h3YBM)i_SQ@^JR;bTZz0)ab=OBQtMcJ(=vN?Si({OAAfV+%WSX603r{lUTFjA`>*&fvoL?}jy>G-9tU4a3 zryFt3GK7iXhzq9dJo-13k~Pg+%0W#|=s}VbE4Nj(0s5cjeyL;A;UQ_ZX^MyK5gq60 z_JV@pgvFyGzA2-0>{`+^US^=wHpBoy|I*GRO28gxQAGF6@D=O5ldM`6T+=DiP#M*A zGIyzPJ}G||j#_7=a@e|a_$)CjDGSB7JrFWEGVZ(2l={`7iXBX6hk7H z61{Vju+>aHKSLiv9#>X3i0Gw;_Eo0HgL|k`6QV^Ugx5fYwecbKH@y=exXLQ18?9&H zvDH)6f+mraE({N^Us#UXf>WOnb`K0P*ViGbk};bu*OX(3qZK%nqEj8MfED8sj#3>r zh9aE^I+b3LQ&`52lD$A*tzP!kDF@}jx9AWE^Wb`WeS4d>laMe?5#8IbA3vgjfU`}n zhr}w!@9uuT`UWT7Rx(1~eZTp1k6hy9Y9%iL>2$I%S(n*SC(gvZ<)bDrzP|3Wzio4f64 z7AG`k5C>yZ1=lEIX5A;yGgr1xD=9;bv|mO9!|ZnystLAmCmKPF;ju4!W(hXq)-6Hb z^pFK0#Sy~U*Yi`Kq|}ABnV&_g1W=)UnqsgLoO0{u)5G%wyKh2=#9*>IA)r<5qt4&) zt}_(DV(`P;qG$LCjk)9icEy(6*5hPUOGN;7#%0m+9{# z1f)D{N+5z$RWZb+i0+g|UWJ81>CD~K&zaZvNB`;r%tQOB+t_K$4tcw45majl z<~8WQb?6_pJ%GAlRn(erPW^xyCtTi&Vr5=mvw%Xou8R}RE3=5a?y$4kL~YF{$*F)8 z+Yq~jcjg$)oe&hEN*cLL;-HpBm{VzlX%mRimt35Yg>YQQJ8*6N^&^m0YQ|6>P>_Wd zay-5s>wJ#-l^4so)>}j~7lz{#K1UZR%1;Fo(c$X$`s#zSSfD@4ql-gm;rI>j2&WVA z1yQf%2d^Q^1xk3^P7va&X-N9j*2P%(ba>1_G3aI~`AFusjh>d{`P(%h50xfuV0FW4 zOCW#&^|C`#XLXdIp-wE);Y2})%2vPb8(kfhO_nf+?WgOjyL+hlY1fXz=(^mTLm6{R ztv;w+!uf}mJQh!EU=)?H3a_Zm;?sf(uyN9cPrDY;$ILxzr`ols1(x3e%n$0E7IQe* z0D3XKjoKUoIV?eo3Iv)_X&>kOnlD~4Tb3;{8DSzxP_9Ix2x>(|&h*@7i6cR>nlyt+ zDC8pr$il(*_AKZ}m7Gh-1d<;?oY7QspLu_yDhZRtPsimYI8B6{$Z;u zoe>V1$*>f61`2e1eEu&UX}(5s1ZuOCCzX$`;b=(VK)=vG?ms@k0xk)-AENWu_64>n zJsVN)8*vrEPT&nk zb)9UNoabGfFV+{;eavU*VvsCJnULVS@)HN(trN8ewAClco4Qnqj*pQY7dWB zN)gL_l-CO!z8E$i4WtX77vxsdsHfZsBb8&%_sHk)9Xg&AfBht!59RON&tkM6(`$v3 zy|NtZ#*=FzTCBM>Nk?gZKoj*tAx8DBr6okGJ7BCnK$ON(E!!OGHQQo*O`>TQ32fB*IKJM9P@>>ww$qJ)#vi{=4E z{M8yp%4z2MuyeN)F^NAPFxrIy0&!X#)a z8IO#GPT=qFtxMXOB~M9`k3H6oVs(}7fKd*L)x&x7;&g>rJiHJTSZ1Z|Tz&W;;YSv1 z1p4~^{(f+g&cWjsp-A!}R6hAFGp(dkqSCsE9X%kECx<69P}UpffX_COG_V*?__Q9u zWTEQb>y+?zqW!q8UxEnJ#{EV_Wtn-FZeA=rgp~v*4S$ z{sslUXTT3gWjLG-{r=YQ6M{#9&SQu%oV5f`XtO5O*2A$@2_uNeWqCnm(^ijP7NB_s(bJPR>Lv0hIFK>`ajY%~0@j00ZbOQQ&yw(;+<| znH)0fWb^W9U6hDVM*Qh2pY%6{Fy`XAVL4>P0l(fNKVFqbD;txWSnku!mz#S)Bk#Z8 z-rf9my+g#9^^$I{?@=P*`d$k4A_XFw^mmyhwCtI|qB~gI58NJzigc%-qz3KNCjtEr z?o6uwfcDn%@chNwe1+b0iBVa;MAz)p6;92d;H}()@33dU*S9(>i(^Q1=*%2gGlA$) z9i`hU=mJ0#Tv##hJXw?C)Y+T=^1kCu1UUsA9<=m4 ze*zr>G`^XiT^!o$u&@#pgh0${1$u86kx;a)w1qqPdys&Lxdjqs?8aWR92IthTN7)H zh5Gz2*&6u>0ulm#ab6a#$~`1c7Q0!Tzp{$uj1#?X_9__SB*ro2uR4#R{3}l0xW-`c3)F9vWF( zFF0KBG1zuF)un&>ky7ha{6Pla57Dy|=K-qB==IKbI2jS-vU5o_`0w)P(|-@q?gT`x zETZ7=_#_Ho!2U-T;SZla{UG{N76ZjTq3Ri0IUXJ z@d2nQO+La(SBMYj8a}EY#}pYoo#$`X!6Xz`g?l(b<6kYgHD7t@jQWtvj@oBMd}KTW zcTI3H$3GvM!o#NS0SbE7a^0W|Rb0W?Q9f8*9#w-{9FIoTjNlMdOK2`O62LErwxF4p zL;5t}R4|pcu3K6~)PZo-K4wKEi>fEC)wydjDT(2dgc_xIZ%rATkD63p zZRlW+DlNj1(ER7@V2ip*FW{Bc{_gIZLyP4=4%BAJX(@qf@x}1HGQLDg2s}f3&q9aFj+q%r`oLa2%*nF$U}_g89NU2L^VS;_KW}$ zL%g$S6qn%OgT85-%lSapP+aS2Gk{=Uw2B)fM8GqFe5}pIPkemTm548xIfZGD;jG8n zi{@JdmuYiV6_F}g1XzlKc$kd?2W^It$ocUQLhYdgmVgp0@@SK2xAEMV-Dcb@m~_dI z?gs)ukYr$$rzXm1?2!baM0=SdK)}?hI+tB?bO(jy8{Rt!L9fHX7=?I45kXXpXMvsn zV1*qBA4A7OfgY|m0=1Ixt{Lq@d0CWHh$lfm$Tx%BK!;s^FqG|6XKNO-2sx|nWM=nP zPAFd|oxzuh7E*L04^4U3@LTq^L4+h!{U0)U6x2w0DqEBNfY>zC0cw_Orm_1veES1L z2EAZ)=8~yrRJSt;T7tA^4`{Q<4NC8h%T~!MzdlFzlVwu#WrU2A9B%_3S)YM1Zp}f4 zMThcP;XQl%;60=|CmL_KO|w&?P-%dbU%pM306-Kgt__5le`%mYR6J$9c z@5pUc=;ItXG%S7m#M4wS`;E%Ma3iA+h7^Vsj^SAe1<4HgSSb!iWf+ZrnLH&;`{m~1 zY#pC4zg|9K7}YO}&VL>ZHcs?~?~drQN6u!xZ24daPn)>4v7J3;Zak`!k1UhtLGAz1 zyFeeu9F4f};AiZIsuAdemA+7-+dwuMtA>jmdI!=*L|j#;_$v2Il(iN_QEr3|EbU^W z$eo`G6)s5iY8+8S4Onnz1e{8tia)QfZcBw9+eN7uqqTxA!jWvdG9Ykijqto;yA*%0 z6l7-~a@4crWK(X~q?dCl65yfP+;XZZmzppTYBoBbSB>HPHKL&| zf#k*nH?>62{4DD;6)*+9iElxt0WcwjHg^Bb+)yn7x6}>An8{{>7G4uJB8xThl7cGe zuPYqS%jac7PVAM>3ZZ}g{>S#)*Snkho3CGDztfuP&uD&Rr9n3MZ%9G6(0yLtA{y6T zwwZLIK^5rnNa-9vnS_)=2OP5kD)KP4=tODk-13xU$R6!6MfY&D)=;5% zQ=(y?5Ad9Ji!^veY$V<@B}MweDrAi+ zs^u(af(jmzjHf4G{m3YUKtf~_#5^lL8E|r_d``$AeH7Kff_s{ggT|HaEnsSu694LXyVq9erm5eR2=-`#W_9JWzgy8H?s`m4;CY@*Q^d;iZ zwP?wn6CK0}lNzCv0d;|GxB`&|Xd}v`VvY+4?If0wL~gxD&3Sq8;usYblDlU-urpD= zLMX%Q_!oQt6hK33&Az@rt&l~+w;uV6<>)fxR`Si+fdgF#KR3~)N@W3myJ(|^RSxNc zN}VdU4>_5EB$x1CZ3iPYcAOJR%vP_rd?CX^_A#zGxa-Jxb3MCflH7p$0(4w^vr>JA zrZ650Xd@uiyn)r^O_nU49Zmn(u3T0hEpUm*@#L^dV6u$0VO@=6Ywg@JO`gAM0Mq0N zQD_vwe1dz63jtt>-%iJD1c~qzK!oT37A*?gp{)0{oKu@W zIAH&c#c(05Sm6Cr+^`MqXuJY-oK{S@nx}Z;9b{QAp|k)dH47l+6b)4(TM4x?Q7d}3 zSRiq}NK|Bd-Q$;TPpM&Ii<=VZbC_amx3^)44nzx`!oar^C8KV;CG7 z&Q9lT=88wX2IZ!m>p3$mhn1H`;y#DL7g!%jCLL0`PM|e2dg}087_7+G+CAj%E~eI( z7Kx>Gx$)LuKPsatLoP$>69|m+Rm5p0IOuMqSZ>RTyKelD`bMD%XNVIitZLo^MM>U@ z;fJsy9BQhJ=wgyfCC%=;&iDzs-owZjZl(g9jJn3oVESNUX9?CQ1Ht7>2%I|8!TIW6 zLchWKhtpG-S!jWTJU{%d`~%4xYVOa6dqkSXl7HfWHDJ^7)ERa@sVo*8Z&6?0ZnbEk z{FK+kR>4-1^jw2HVwh+<9PuSZ1#d?1E1>YBhADJQt!!ew=cjTX;`ice7*U zvLDOtXXLrye|e3xvEd&O#&lPtt{{KG5K)&6%EuFdriwoICf5(DQ{==2AffOns!2721s3uG09;KM~=5=&R%$i%hM&x9;X^_bzIq1 z!6bpNijkHpbUl+~*`fxcIuVy4#gl!FbYCnv1P6d$&;CG~q@u6dJ~`gZr^}u16S%Mu zJ~Gr_pZu}joNradA2pXj;_g-L{btW2AaVm{FWCDzVLGx}T-D`Cj#ZM|V2J<*H9a^- zZxhJYd+uSaP;xbe6towgT=!Gf`IId9R$9iMonw~=+vB5)3uK#X82ppV#b^O5j6n^c z4=5}MP6eK_I)IwG+>z0V)!BJq3iN%g#q+=%^bdP<4)TO0B#W<+0?6F>7_rJov-25B zcx;cC=fsA(T)Lbghq@<{QTwm z!{GfdKphdlgIBWV;tMpxg_?f>u8C5)5W*`QStokqB?7f9VQTQzA)ZJv0eMY3-YX3X zn~73jM!s|ko{yf|CGB~~uh1Hy)^ zFBPM;*o|uNPi;p9|L&KM%Sl^@(Rv|R@xw`}3o z6NZJjWYn91mB(-{tAS#f;BTe}Rse-{e&#XSQb3Z1z^?Jr#3n0>GvK>e_!vhSzjY3> zjXoAGJd|)@> zf%A@B2XHB`UHHQawWMPQ6fIg)XW0`>LfSjDG}|FHiH&OxL?>$4VK`YGNa`8YHKC1Fwh#;`KIz63 zA1%RvXe5pPM_?EF0Bq)MPbg`FP|{#RH;4yQu;(mfBG0pnh4Gw@X|R<_fRri6)0#N= zpkke+s8RnUeS6pdd@v$;;3G}*K*c_eHVn`+BbT8RqE$gEF=3OE!?u!=iL8`8xx^So zZZQGu+_TJjiDSt;bGbyJYh+2Wl^_H?GxQ~d1o1d&yN_JKuFa71l_^R3)qE#y$|h9r zm4-$J`i8eijK#%pMo~Z(Y}IHDchB|J$^>}`xt@jYs1>B;IQ-RBM-5-Ej!1GsP1p~_ zHq7uE=v{`_l-CQAD~8MPDiQvLf+FUm#;DT>5aLYstQgU~%5)4jP8nU}f-Kq@vs1JN zuyhLvq(VH4ER{!HA4uiNyI=X8X1{gEb%mjRj3DE>wPEGGq=G?^-Wg4RpTsE@S^mIe zesjpj@idH1%0I-2;RczhR)E*SWG;#m2laeJ!-aP zMIy1>3`D&Ul$eoQ(0^V7S9+C@WMx!Wkx~i~HYh@^KkeYET1dxzIYG$Ek0y=sQTuZH z`usxFZ9WPRqo_@e9!W|bOH#6Kr3#8As{HH+%qjHy1!I^kNf4HJgj^4Pi)gn1&oN>= zHUzKL^`wd5QigPZ!yT-*Y|k3|?JYNUB{; zBv6*0BQFhku(=#d&4e(;?>Jk}%YsP3U%^B$vZ~yvBiKQbZ6eAO<)4K}!yabwf!DAX zljtqDTBQ1tCb5FaK+g)KP!2^x&?WKmhCS)|LwILE5OQ){D|XvC&`%=z#zbjtu~jRU z1REOc=&^aZ3{3rjITfz{&}=TZJ|SLR>v;Axe$}EHcoc$jvabhBn9ldKGpze5XfuLv zAo-BNU-Yl(@n?k{4q9-Q)2({O`4OLF$m)9Fj^@?Qsqf;AH?YqYn3VkD9C_aD_Lr-> zEvhhGf4M_E2~IHo{&;#IMg0mCh914j>fN zLE&q_!8(HhjLX2GBh8yyS!giSJ5E+7+l#lTro!YdJRNC|FKYGqN|p+(br(7pS*jMy zExm^@q=kGXglyLse<@cZ3xrbLq2aK7=Wh@`9?n)qmFXNkWHv0ksgq`A-izT>Uv7g+ z4K73=Ln4E0n~uNGI3${;Jjx<5$3ZP}F)4uTR+vzq90I+p4{guYOoHaXitr}gkWeE~ zz!4z;b@Rhf?ot;*_wsm6xtr}OYe)ryFm&~mm|LL==m@fL&3;^p5D;%b3WUn}8yH2t zYH2Mrf4U^+cRhQt+P+4hRP;LhSmYGln1)&-yg))hmD-t@Y3=f9G>A{5haIz(B zUx^u%w|sm{TC8GF5=H%kzYt&x4hY!0R|m~haSioZ9IgNz&NhfUK`E5^<$;F$INhf< zEkfXBdqZvE@C&~N#UUWytt_Jy8Z|Eu(F0!qbYpPFXfiY(<2eU4fb1Fep~VfSAGONo zE)v9d(Mw*%l7-|gtcXZ(NzkLJ8#*7#hE6lzBKA}8N_}~jkQ0PzmZjdK$5Nv4hcmc3 zJKvykK{%gC&>5l!oG24#+x)Fl1rP5Br8qEY$gA{vMS7Mq_{cy*oYbTcEtC}l3m9k@ z8Kxc^0>QOYBT4-7-bIT<;Kh018-X?w0)b@WOIXR;=X^Cor9|muA%_E}ImpvIkRCR- z__la-@fK_CJEF@UK5~dmq)rN#6tfVpKt!pxpDiut?x4~Qmx=p};&8C*!BmQ!J>brA zQt+$+KMU&^WzKlddX6o&Q(3milmMVi@N4LdFUvyj$AM$=^Aa8ICs^I|k( zq%8iGc7gbJVSg{}UnKq#gH(%lcKmwwc7u{hT*Xi>g5jUaV1uuS4FVH}V-I9nj&~1C zj6?7;^iqN>&O{N5Fd#gQ%1khknu&)71ryOUfM)Wzk%T!xIUWj!LG#4yJ0bqs;Y4&E z)9{6z(8M52o=1zitS_hNc@R^XsTG^E%X5H5^o@XE0RuSXTdlMINOvFS7rpl|rZG*! zC~#y3BLwl`0Korpj*6BVcp=9FKqm;o-{lpGQf#fghXf@w8UKU+2InZcwuE(y2N%`y zxp1=E8DN+xS^0=ARp3h?1VBYxZLQlWye_GCH{XUJhrsfAVoWa&gB8ACoyNsdabuxy z0qH^(P@-pV4?-_;4>k~GF-!;3jT&wOd1uO>K$7XS$c-YyGOvp$=rP4Z8CP<=&lmCl z=n}@bYGIYH;or6+PO(MIeAfKb?+@dsVCEVuUvrtsl-&o5i0Bkepy_eE{nfin9>2>3 z&!S;gLCh=5mfm&*rJ|Y@H)rmu6<#-~B-o6jHjfq&nJ}Pt;AHUzsRX-w%oq%W+1*hF zqKv15G5dgg{CfNO>K?rwe%|@`nPtvc@a*dT{`ThGceEDSGPN|$f!ENdfegux!Ik^{ z#j_D(Jg{56K?a<$d29+|75>7MM{fh?_G7of6x_y*(WJ>R3lH4=fv2n&~_0uHjm<$QG9ViQB zdBDIxHFh^)pdb{nV?2H#k%P`-%PGnPRmL77{wl>q^9NtNj8R_d zNI8Bf?5MM-SQrDRu^mv<@skVb0nsQ;AQ`(5#^Om(<~M?r7Ab=7qqvZ0bAMww-x-u> zywk$flmTQDgd$8;YnqO|Dj6KjB-7or6vR#wd$Wq>s)1K{ED=(r43w%-V`+b)>^sjq zco;8H-^ZH`-!t$c54VToM2%ulf>V*f`m57M(~w85uTDLdE2v0(!|5nk<9c0G)t$jK zRI~9LYm(EUW53#r7|1>Y&@Rqrr<-VQQE+D?6~Wt`!#AY4Jb$^qa50YZZ!l7S`}*nX z{^ry5VCRQ-zka(P{P4Hmu0DOg28!xEONrcE91&l)I7L%{s}p1?{k5=Odx&K}6^I@q zfajA(vjaVyE{V{%zj8Jj2In}m7&}+l#o}D0W1YHds~0RdO7}q4aaF0_qmRscl+Km+ z5L^2-0mK93l<*0m#QE~6iC&IYcB6V1p}D6TsAAeGk2TWN7UIGs6}Vg?`#OkFL=Fg&M^Tixh8xs&2rO^~rbco(`-WGhyEM zzZ&1iTb!Q4=3cQ+L0C4G`Po=y(Q31lh{19Le2zFWL<}my4t6>tmHT$*=v|%pnZ+b| zHy%V^9W$l@1J=E$QTag_7NXS{VGJ3kuGSpws)jC)7}4xfwu+E}M{Ca2Fo!r*k}frx z+mq4a%i}S!NT_^qO{XvU1Eunf6jCRun_L#yK(JyGPtZ~xFG6GFE zeX}w_?krR?0-FQyqC}xbYPlUToO!!_b^YbT*W0ZrE(=)+D8}MNfLp+U9wEZhPy zi)Tal2o-3FP>m%JP_c)>7ZZ5+5(+jlj5(LkVjdj!9eik#ydSuW=)nwvq+#L~!AMLM zIkGb(?X0DoFH|1M>7*4?9rCZOOptF&B_+O=;Q8td2zLFt->ot#cgt)RY7U~VOTjo~ z@jNu@cP{71Z5;s@1y7>p120NF9D$6>=J2Oy=q;Cf3PMYH8S`%!axOnDk3cp=SFsWh zTl3~uw%Zu_3Fnc&I#bOUx#NaoIZ*KX@`HlV(#UANkV0m9z(we#^)l0=oqEI+w>?l( z$B3jxL8VbWqtoDwol-UmIpnr@+P(|)J@~S2JniKG81Gx!6w6+mR_Qi zvF4Lh+}XGVi~G*-?X{*3>|FU8NP?Zs_3itwpQC=Wr!^*Y%P{Q-hAG}{j<7m)6&iP( zb>O~ce4N3$QXvxhW!UQ!^Yy`s+^kO1{pB{LdDYa8S;hJVV~igd^t0WaA_rTJyvLR< zCAPHeYVP{myvd5@v)-dc*>(o^hmzlSs5d>~y>xTxIDxDRVI83?gB=n2KCb<<9(_2;X634=AL1 z*-u$#P!>~|aCFzfosg|+5HMJ`V+5)35s~zvg<6}46eVs2#BD@smy%u9?56JfMj$gw zsBX8%TJgyEu+U3VD+UUhJ}b&`gpwfY?+Zc$j&tSeEmlFFZp@z|*sXRCU0bL+cBRO=nVLdg;{Vo>x zP+%hE<~3Fe|MB=Iz-;4D_d%C;V73_s zeV$hCj!bxJE))Lo3^gejv1U&v$+fngqAswVq0kM?Xp5)o49mGP*)bfYZd>?BO>~U# z9Y<%!^8>-Dzi*jo91%v!JR&ERYsb@|&DN1ry3ry?A<6gh@TC}?vtu?a zg580aW;_LfieYuxug{D zBixSEq{>~U+I7Z^r|;Kp+Bt^MhN@N%spo$h@U zHRT(RDq^NPhpVD;T^p!2Qe#a^gUSZ(W(ZTOHRMud%|b zBHRL|qxA4)wx2+kaIL1N7j!tn4g|AO%go_Z#+5@SVXHsaD?yTRJtTOTGyBSB5*!;S z1cbPHeUfI+aWH%tYce)_X}tjyKhP2wjMyY$!=v{7-S>}~CX&|1E!m0Mu~h7-=Lp!s zRGY)27l%M@^p`*e{ZlFg@xWvw4K0l0`QhTMM8CBOvh`Uu73w%Fr9M4|ZjUx=$1T{-z#ahrbI1%Qr4boB0h6?KuGfzF_WEfVXGFDlJ+AMv4 zKd@vx0(c-hiEQ6dHmbkl>Az_JOV-_KfNg;KrB>Q16RMCNs80 zz@0-o%1+oZd`cRW5HjIZU1AS&|7iC->$zg-PmP+_a^v%`hQ;;D`jst0nIMvI8ye3gy;jCVfcAs9tA4SCg?)?D(CG9yxCG09)P_75M34v$;zfb zwv~(uv<2+Q-4U?!T9g*$#STKXNVi8p++U73#?3phIQKYx=u(v#%V2_ z_l_q5leV+d<=~mWd>cwt1}jPYAVW7CGJ6a?KiuOXHnIolJEjGNeS{jB_!jE@+;6fh z;?Tf)obS|z^sLkg1Q=shJDR|MJU1tjiK;)8IguHd`h@q_np+`vs5_`%9XSZ|cHqaD zZ%@~+PlR+)+74eSh8Oo?h#+06@6f=4vwtLh%hX+mEj)#V^Gb@b*ln026>YOVQzn)! z?=xgz#_+4Kw$Knm1)1P1Dh^mUsL9J9vgrQnmrpxe^c2}{-`)Lw^=;JhFPDOibRwB# zIb1}Sxq19zxJF+ha?fz=;;Jrb;EGmlCaVyK_*P^QBLogBi6G+V!yk9g<%d7PY#32U zyl>dI+4kjXwN99CF{0ls)al*^sboQA#W2;*b!SlBPswTIi>R+gq6gPOFqH!p$<&#` zI|gNADE?28gwvGE1-haYSzZF%6?St zAh!;oj?fQIfBXFY{`ONqmc1r?_IM0ekwg;t5+)~lnpV#=A*Gz_8;<}t0VLErw;Y z5YhkYg^JMN|CYBm%>|W1t6*vfNzH_JGY#Piby7MywWo@DNu<#1vAPpThTJig4UoHM z$8aJbSeW~}E3c$tl(1h)nK8c5HU(-z#c@Amf3^zz<4Q$sNH-t~+;;3S@wt!O*yM~xQvoM>qTbx^%#GCuJ1!A^$D5aME zZ3)gOoIHORg&>Qr3~*2Y#DI;K!dm0)X>@=mp63qV@Rw-;Y^Q2osHVc`)Sdxcf@g>Y1oZmdYkkZ>0T6B7W)x#W5NAIA#@F{a z%;98!4tCqS>+26s*4z8f-#*;j4u1Gg{PF|*-^fS!`sL^C?e)j&TeP9M-nskp^Ruf@ zH&=J~<>`QhX>M7S=Kjxb(u-5B`Z%s9353{W{LPP2@BbTTMt#_mXH(de&-AMR1k4ZP zO9TNCiv%L)-m~+=Qml`V?}3dI{m1jq!*8Fy5iMQg9}JKmT@hd-WNT^N;5@Up}EMEB?9ra`*fW zcldPuPn7a;-IO-GXqez=GnB_cNRpqa6hgrPLqsH01fA>!esp)j7RG%?eIFKwls)p` zLsTYVcrCXBNzn0TL#Y{K^bqb9y^U7t(RHgyM_C{+(oEcJ&vmQGt^*_bS}j_a?m{Di z9KB>w6{tq0PKqCfLDwc>DUln5aCI)ZKr9Bx5loW+sliJJ_eb4Jhs3zdOBeZM;DkW= zg8iauch4mG*3?imJ}Ehy&{rj#{K@t;qMwla4!c9jj}KDRTzqz~(BVi9ii61bfTlGq zUvSspQ-uY(T`l32)wm#Wb{451dW+pB+e^F&TafV^7w~7UmV+SC>9SDwozw!cg%Hr;LP`zb21bv7QxLn6-#Z(! z2h>z(D27?jwzc4KqJAR3+KLs6RJN$J}u5 zJCaf#)1Q&JnkzD~s4-M$TAOHpHA*fXtQJl_OPCBeCU{Tg;vlD;sp>H(t4J-0c8Iuo zr8_E^!UTX)?~ot1+5{nu?e^=(k9XJiUq9|p%02sdbN%VV(?Nc5pjXur;=wobM;1Ql z1o9o_XhkKc&*Rg?G%a(qP|_)r#3>UCs*|53MQM`F9D9$-(imco`A0W%v>y-?$p-}= zk`fQA%|;US_E3fd+~1Qy7CY~s4&D#`_O}6!leT(kM`{Sdm9lMK9{%q@(KH_Dfei;) z0EaB^l`Jvvu!`pGt~!T;gkPvEkZ&hjD31V>pU0A-6Bj8&C6#M59zD85W4s1!NmOBl zSIjZvM)JjXp%*lRF;EsN-=6Y_f9*lRXmvOB-xZI$Kmz4;*qNWW z?PXa!4XH>!^Z3yHLKUx+ucf9R8hsUHBayh=4Uc`dCd}@fl*a~QeT066EV1{-PBA{DdxrDmWTslLKbu zB>4ie67z{*`5Nmahmo(CF#bV} ztiRlU{iWtjKvw+48FKKb>})Pi*d_xXZPVI5I2|bF3jwcrqXKtje4DKZ^--D6#y9aT zVuQ#bB}O;sRW7GYJ^zd>%0piYoZ`V@zjf~<`G&5laXf#E(p!kV3?8Z8Iexa0D|3D{ zNAEuBd6(>3421^;^h{B0+m0JlJb0}an#vSabPjPvl|<*RkOh7T@~IrCh^EdIzHT_c z)O&#{&tP za-aw%D95o(X)y>BY;u-a3nKWXLlfyBe8d2W!db6d8H`xH+w3YvD68+7S!NmhtsreC zQN@C?qO4n3QUb6+I@GT_^>;>v>bX0jm*`oh86Iq(;2iev%951waN5okxjPvE^T*H@ z^A$5+g0H}@DJ@AsIgNy2`zW>5vyu+RJuO7>|FL%;a8gw1!iS3)qU4+ehn$Db0VL;~ zbL>dYGh_rLgNUFYibzsW5kv$9K|xd$5Cs)MML-Y`L?x&oD17g!`q#{KiRCm$LsIPVtwkUL~hZe--Rai zQfGPmZ%SRpnCo2^(Kj&V1fJ2lkddO4QvhbGFZCTGD}-b$Wn^UJ$UezK2M-Gkii9f; zS7*IPnXgNzsZo=JD#nc)IB90KKst1i8)L|viPSuVs(VUVX2_=c!p|#8%*Kdqr z+~r0;?CPtsx4n9fp0vtS{+85}DqS@R%{ogxY(@GgDP2K^Rb(CH8H4J!h31%~QC_GA zWOZqqQ1NcBngzli-_v&*RaMGE+G0vHUus)ArbP3l+}MY^a>~GUn%n-#ymLtcE_-d$ zMs73RNCq?1R;y}6ZLPYyfqDv#Tu*Cj+p<#!nZ_?An_O<$Kn4kndPr|-GWOTLYipT& zrGL_LyDH;BX6h?te9t;u?oAoLw~bX3Jksnu{h^F0ho8-6+&Vk%o$$t@Cf@|7WK;GKV{K0 zWthJ4>~)*1vE0B}-|Ko6S3m6>6_?C-RbvRozLXQ+4I1z$5GileB@=G1T&8S3ZpLd& zo{_$?H9@4;DwCMhtxVLnrTuxXbI?x(t5f50V5+fn@{9f;HZ=~FkaA}sRivcN4S#r+ zpxJ(>%uADT{Rga$I$|5c-GA|!6o_3Y8dB*Ng<%`du5A&oP6!MfP zX_c!(%xKT2JlR9vGV^9g4OFK=ZY!+r`<&8HH0sQ>Z{4M-c$bCL#u%MryU!z&mEGnz zPnz-nlwrcOg{Ge9DF>9y#7^z1JETi)xv(hZPU%F9lT^cqBtWVK#g2a$3ezAq*VckQT- z5vm5a*soDi#p_``I@HAjeWyN z|1^95k9Wm0_rCNT{%SPKp=P$l?388=yQ=-E7Z88)#$9(NpVK#1Z^KAg%CV{siI`WP z-}SxJOl6*il6Hi;Sj=qVrhVC1SZ=x5(xxhuzj4=1eE~JW-MHh4p1EaIMSX!B_^D+I z_oC9DG#Wc#`8Sri%K`tlmR1R49%z#jMmDbJu%}$=pbkSLA3J2QTg?wpHI#mr z-S%DEv^U#-e|*D8BWYvH)72Vwk~I30ivZNya;t?-Dv{I}k$&i^+5GzC&6F$s=qcQ7 z31f##wV>{;*|=V-W-Ys=l#;3-P#YFQ>U%^Ie^- zqIKeyK0xXzPnjFesZF!_Ef1bi*;p@QVq0Z;3tXS^q)&!1Z!VJd`Gm%XG?m> zCcx;6s4I0`a-&Xl0#rTpJ!OUO{`Otg`A-%!Ry$3dC+BJYq{ZX({dJw^lxvz|vZ8g2 zKlM$YSNOM{>yXXyr+Djk>gKR$n@!4hi&`S5516=+mxKGih-KikU4TC28F zSDBTy9vd&3YZ3dFx&QQmUiTkP(CRO{%%eVLT;J|Wd3vKUiZ1g{oPTmRM|pCy%6)Qq znO=+3@6@52T-j$}UYI5~H$r*UAY2^`$a=Q?HV!46(YH1Is zt23opYA6Go#y$GwHM!VQc4fPEeNsjsJ4Qyy9p}}oKvh=iQvyqMl$U}7l15cbP%kAg zF)%^DPLmON>HnwPNiDTFiFwgdnxuWtm`!Y+72;%{enWlL+P=$)Ks$3W&4Q0d6{qw@ zB{@^e5~)_yxJ=A*_)-rBxj0|S5!v-JB}tt)RAQ9ER?oKB)5H(4C9XJ zWlBliu|q3)%!fV>l2U=H)?ms74Ka({r4s!c3#+5d=tt<~k+h-o=ZhE}QI}gDl5VVN z%44=t_OY!&?0Tj&NL7jlKNN4M9BseTqD74 zlc#C?cjupKnwCTUyCeTHXmJyB<;bZOk=XqAocvKQSB~lNGvXf3Ab%Gy-w7dM*cJY4LASLjn_t4K0iWVzGBK! zBU1iSf5dB}EX$A5msja-{2OKdp&PFyTb56@yg%CV{%FhlWAyJ&eQ}Ido*<)`K^v3$ zmi!g3jn$g)ib{hF607Y`{WmuCPx&ie8)u0pSmKG6_yJ2i#S%YgiF>Bq-ST_AGpO~+ zld@D_%lp$)-cf(VYcnnJY)d@X5i7&@o`Ih!V;gd#HTIs zHnp#EmU+Q%l_361TL(tu1j| zOWfWPceKQvEpb;%+}#rQ%@9t>-Rh4F+Ps)JPP3Mfu}5r1tz67EX3}DRF|)QX=KU<% z+c9xg?dO;{n^rz9?F!tZ^@@qJYY)f7Ikep|aZc@OOq@%r5TEw@a%zjP zZ%mv|`#mPkuh}!E{k{U)fS9!0H zMDDcT*G6j}6Svi7$HeWlZ833s?P5&aK`WLg?e}%mn#aVQw23isXYIw9xQq5xOx#t= zo;U6Hb<=9a#ND-FF>w!VRZQGdI~)`D(lX>r`+dDNXH48j3&q5JwIwleK-(7+2eq3q zaY(D2KkfI2wQezSM4J;6N43{u;(pr2n7F@Iyg=IT8=$q0i3e))V&XyCu9$dm+7C;A zqEAe160ZdO#}u{_#}tkd#}sZ7#}q~q#}pno;xQZpNYKf~^ z;zUba-4fd@vE33oEV0uPyDYKW5_>GM*An|IvELHcu*5Yj@%@&#mL;xjiR)P6x|X<} zC9ZFY8(89ombj56ZfuF0SmLIZxS1txZi!o1;+B@Ul_hR%iQ8D>wwAb^C2nttJ6Ph5 zmbjB8?re#>SmLghxSJ*JZi#zX;+~ecmnH6PiThaMzLq#(iG!9nWQoI;IAV#TmbjlK z?r(_)SmJ?}c#tI?tX+{JSk+qUNX{qYzqzCcR@Kg{7xjC5@^DCxCm@p%{Nb>^ znB00I9Em3OPjvbd{r;fa=CDT`vZy^24%$8Tkk99j*t`yp$K!SCACTKjB#lzb`x0Gl zPblp7x;;LR&EpOPyk3XT8FktseqYEH2}Jef`wWeY89X4^XLMwwx=V(9(j{x=b@**Q zx8ED|+QJ^MBP<9&n-;zxU$jmVP<|pcdc6Xw~<&C&QVY#12BouJET#isM>UP=WstQ?2 zzte4eP*QMI@^JMDTVf~_ad@MVu+Qalg>1fn(;0QkmBUU~$Y~2Zf_y>}PCmpZWNiY@ zfUICh-8IDHaRh^Ix8E7?+q@B<%dY=M$_E_I#IW0Gb9nh1VVE6Rln00afNL@pDo~vxWi7D#~%#X^p7PC z56EK?RjTYo@gGBRBDj(oyxPYieh0iV$61z#`cGXj2bX1P5yK`5?x`pPjj{1xvOm5~9=@T;UL$Aaaj)->Xf zbqhL!c1P4Ed0KLwaX47xc;2`+OdI*r)H&u=(9lryMUKm%|-)`J!Q2#^aJ-3riXY zT|PMijHPALgRv@6zu)c%27Dpe@JPTGm0TZj1Vd6_`0Y-cKV&RED%n_CjuTl&wLocG*H9do(O>24oL7obrSd*%p`I=L);*0h_Uzk+1CZ&)S_$-(Dv1!T)TetX#Ma!ShTOAkrnyZs*d0>59jz~^vB9FjGi zA^Fc22-xjm7YE)@;|7|>+Jr&@PuLaqglx{JM-o=uDOpbV;Q*ylB?hl1zHT*7z%`2ZX79W|!e)ULEE&l}^QV#pQp@7@% zm4nzXMPS74i8_o_9Hl?mkFU48!;%BT9%slG^2U!sC$bV zpK#eDQE$j&mz?jj`)!`6)Ou1Pg>0^%U2>06m!+(aM^eENaCoF#2)M!lxuPlLi^?Ru zNGKHbhK)ipNk78n;B&eC_Moan!VWn`!#1bWACUC+c>Ph?Q$AzulSi>vL&1>WEwc_I zPPa@Xlaemrlf4;`4C!&%q$Jk!z?d-U81=iPiucLVPMcI~9*5*0k6jj%`d7*ZqZ~DN zs8rFiWubsS5_Y)4Udal!piiEzW0RsGAgAT^uTCii+-}KHF0ZVOQ&Pk4aY`94g}W!{ zjCdWvC3G$56DUy)k#Vz;E*H2D_PGGu{nKGSh}T!6_5(W=?qIrNG+dI3fO{jc!i~TW)I1R zOQ|SH036=Q2-O9A#J`Hm9tj&tVUH zj51Ze-C-;)dCx5y843q{(U3H4>~^~|8dVjO6b6zjjc=!riALN}S1{s{vO~7fkXh)!S69V;mtyu|(oX zV>0Y_d1OMelr=6X4#GB1Bp?NiG&Q{*yO9x$Pmn(0kYCD(V9+5KWcppMsMLb8DQ;<3 zx;!C=*|gNx%4wviTXv#5;PFZ=?{c|pQg!>Cl0_s_OC{*0Rc`!-)K;o>5VQxQK`F5v zl8iQISe5djh(9X1-z(*)%>7jv!uW*S_=J>AQtrw@BRg8!g%R0bcKJz1(B_g-%S-bu zZTae!yc`NURec$iW~;~H@rT{fa8y-Sl7)@5N(!+JvSa1DrDh8IL-H6yuRScg&840? zAj#@-L( zq2rapQx#m&QHmNxPg2OkmPVyCkuQ=rJPxVZqCr>C6L3a?A&dr_(CeNv{m$ox__I9bQ58do+~z#*AE;P*$RZ|C$% zpH6xxL8skj?4*=>C*V^RpD!Q>M!;tCyJS_uL1{*~+#$(*cB24KsduFMl7_GpBtFS6 z-e5%9k!nk#QkuA=9ZLlp(;Bx+cf=!iUy}BwKk9OZr7)20Nkl48$^34=L#jn1qs4qm zx(Z⪙X1IZE`uLQ@WOJZ$LgDk_sR!Jv*a>7?t)zZkHp`D~+_M%_~iqklQ8gHL3Mw z|M{iA8i+~}W8@&CYbv|WmniK@Y1f71Fp<`2#3PM-XV7n#OeF=bY9s5bW;|kEmY2;) zlzicq=4H?wj7ZJvla{w+A?e21!csO$C8d8tCJT=mpg!PC^hc$Hi^|@x`<;?cZLE9C2ib5L~3H2rL|-@}n=IcW{Um+)K1lK#U<=8-O(4C{EM)N?wdK^2kxCY^6~ochG5;Sts5cG(l9l5tDNA`-Mo zuUl@%COKW2Id-Y=q+#uenAPE68J9ACBN&ug!z-O?H98TH{{3=3Ce()d|nQ4k93t}@J#hv_1{P{`WG0|XXt3X9qjccx;<`b-%HcWCZj4+^twZ` zU2^0_Li(OHs>L+FC!=zb%1)_ArJ?E$OAB7AcjEh7a#-^4zvt}% zLx(5JU}s>+@WGKjLu7#LPrqnba+1DilH4r0Uy=;}sxvroa#~Q0-zqaJ<+PVNvlo}3 z>1X7WGHk2I>I_+&oaRzzn&RYimO5h;C#Sje&nIY_I^&_1S7#!W>I{NXjl3(>h`3UX zR4dg8vvLeRfHQC|uD~t$4(`W8_!%C@Gk6uRV;32uR=+z8SK>CjfEnZztXj^26Yx=d z2ajXUcw_l;*cqS39e4>dW;B*Bh~?3XO|cse#0mHizJZ_Nw^$;R@%>(GhCOfyuEqoS z1zx}`k}={W9SUJNtdH%mFAl>8a6W#G*RinVIrY6|(1kUy5w^uJ4#P)r6>h>ExEBxO zS9k+6XElDm05-<<*cXT5M4XFDaV>7aJ$M*T;3d3;_hd7EzcPBU5q7`;F2H5@Jidun z@D3Kg$N2tA=*33Z0R#91uEV$SFrLKk@fK#2sR3#~*2lKk2Z!P$RP%(?^2_iA+>Y<# zQ9Oq~V@4^U)aUbKX|!WqY=eDp7*56o_#8HnDGcg++h9)|gyV4uuEEXt7GA_(F{@04 zP~Te^%cC0`Vh0T32%LhC;7Z(tyYUd7!po?pUZ~%1j@@t(K8-stOCDqSf>;J^SO=S7 zC#6i!=uR9}7SgmK#7Q^~=imZdj8CDOm!#HrGj79O_z@n#uki=`9dpQ(67@aB(T4S~ z33kLDO8Ku3hLkexV~A3|XFSfvB})14NqkNz%kNOi@_Uq$rhD-dUO$Bwm9qSg#J4e% zOnr%yJedu1DrLFCO8MSW#N~-?#BSm`#0{15Jx#F_uXiV&qm=J^L@8y)W4Kf)zqgwA zUnG8+cn9%r;sbbu*H0+r`%dE(UjKzy&D&D%$4j13zmo%tDCPCiN?ET;N~wdYpi74eCjZoamTFa3l2li+nXZ~iq~2FE zf|OO!hH9pXdR@&JQMOP@zG#DLrhtXEO2s+4?cLm%FcEwL?j#hw_& zfj9z_@j;x4^Kl_Qfy?m)+=yFoJMP1S_ywN8OL!T7#|-htb{4{7sJC}z`zsOK(1mre zA-2H|*d2SLG!L~n>1^o7mwc~&d`WsIkl!jQ{*kPxtACFK zY95NppZfR7=k?=NOi-`Oaak5+HT3?C{ynAnoGc_=9lcyAuPmc!)s^xgHFGR3A+_Jr zn%M03sF^=;<>>dwhX<*+f~F-YWn(5OD{0y^Wo1p9t4xq`Sy@Hu7vj~*s+zW5SxwXQ z<2gao-c)g-roE?>mHJpIub)s#B7IAIS!vg_o5XP{KRYD7luqgIDH9}LD_xQL|UM)=VjdTL+~iLN8?vP3xzuDdn$H3cU%+TADUPSzFT5sUYnpysHqf-URNPS0^y9LTrX5jnV@*4$Y@%s;Kdq^zT~l#0P5WKhT+_0s z{MtgcN7+*9e`PD_w<=}lR9Ci<{ibZIX$_R^G_94gz4TX=9pv&{Wk=ax%1)Z5ACFR4 zPgb$)+&Rjwnx^*$yJ?z!{7E6cPQBhk(_U8gl=gtKm!`d|?5$}ZDJ4^UuI#I6XOsa= z`(7E;wCl={%%zGK!|8IgXtGOB6!Df@A}_183~iU(*~E#*K>Yoe4))=oKC(|Ra} zNd8j}mF-aull-R~F6|oS2u+)*OpyJ9k1I!N+A87~aFbFhqgR!ZiFYcKW&3#lpmMaP zeWDyA`HuTl3|_z=@n^h+88R5_mj!cT ze$>mq1j&EI>N+yDpUiU5POP_Iy_4Nxx^<@Gkiov;T6P%kHCxgo@(a2!rXz1)=L z<`FN%$5C(J$oqOZDn8HaFX2|)fqJnp8DMo4!~ikm)r8b zIes^l*Jt5;G{^OxAYOsb;(FA}b@{&6h~L1sQEy+#`+7Mq9^v&b@oPMfdbuylT_gS# z?_j2QWBc@aK$go(To_AW8PwZhvRrjyH`c^@sMiy+Tq|O|Jtncb4lz#bgL=Iov0iV8 zBY1rbPQqz82hDn9G4V1q>yvfF8}Jp}j=RvTR}K&##-sQZp1}+FBmRuHFazbXS?}Z| z&X0QiBipIhKVmsvuY&42%Q$)69H-UmA&DFCdNXW;ov;T6upbV=Q8*4K;|!dK3-NJW zj%I!JJn>7o6?foU_#Pg_Pw;a*iD&UUyn@&9cZ^r{m864NujM8#h74KlC%tm@;$Glh=OJEtSgw@fFHL)Hx!B*G-yI~)Upt?R+?Y9v)1}EV(oP!H+ zF)qVZxDGeqE4UqZ;k&4=|5d+#7?0vtcm^-vkN7j*!VFoA@5zEWF+Uc?`>-5VK|6Y} z7B;|U*ap>g$ZEZNU;z8!5FCZ$a5B!odAJZC$K|*NpU0PQE1Ks;-XeYv58@~IIi5sy zU9?*N@9+v<$KNqNtFc@*%#8)HIF`Z+SPh-%$2!;uTVOlvg1s<=15jO`t=2mkC*V|^ zh4b-Id;(YCv$!5##@Fx-l*3j3J!?B%A8(NLxR3YCV>Ohnw*EP@eO{lq8A=ybf4>V# z7gCQSC|x`~mM)pDbeVLg;%qeA<4cH_<1@G(H>24O-%b1;euzi#IG(|acm;3Z9n4Jo z(QJ3CJB6tIR2)lVB~*9XQ16@VZFMIM6*oe4rwSE!Ky@bx6`Sqs{=|Q_fBviesPz40 zr1L*}Kbhy{N3-81;dC_nLHana>}P!(NnFYM>u@8Q{hyu0>OLPTf9%J@cnr;c&pG1n z@h7~6akS6P{!Sj^!dMdZaVPmbeVkY{`#CjuzaBQlHrN@>{!N%zACHpn8A&`2r=Z!d zQTHQJ+qoE@!ZoOm6U+N%e`Xu6@4~%!5I;q;A9I@c0_x+%@_qXFm3W)i&HhV{cw>F? zqdtBt%atOoh-SaVL#&T$$#VMmvDkvw+oRcE=}X)Xhhj2LM6;hVmv|vA#TB>~&Hl+2 z;vKjL_u(Nl`z7YM*I8aS=Y3owzKLdkBrEOc+*k-pU|BT#Ar4|c*2N~+8qNMkPvQ`o z^GQY!k43ZJF_TyyACq){jCdKYMzgU=+=HGD*Z{KjJ~+Irs=Zj!&c6e|VAj z6?`4v!uQeaH+)9?6@G)?;Z-#I3u*#aoTRfkUnduFLA)2uenMqpJNmE=Hb%35(2=+Y z25|rmN3&mG&i|Rg>kr|h_#~SBf#--{!dLMPd1%0!R<(eMA?GT!{pZr`3EJ9sjFb1xaefD}AM0WhRCmNv z%bVl->JF_c4xv6@LE;g_W6>PfpGiC)AH!w18qM+iO~kL^oA@q%faW;<7sS?lVvYaa za^s(!e+OwsdX2z8TdzNR{jbWofA#u~VZGDOe;NDnpLPCgJo$Di&c+3(&+C!n$~^D& z46m=p&A1(Rqj|pTL*gTN9M9lIG|zM0Aijf{>DT1M0%)G!DotDoZRo|?Xr9;7=LgC5 zbl~;w7{LB$p3fRhJPD`cJY0n4d90Ph>rkH;B)_+ncqf|Yul5rk#$$LA&!KtV>L=n` z7#DBkhisS!&GS_yiOXSCbYcxO&r>xeZiAh%7lzS1KQ)qg98SSmsP3y7C);nHmwJkL z4Vv>DUMAj#=J}|-#0T+H{1Q*2c^>LA@pZh7ndsl-K=b@lG2&9F&wr5htxoL0TG$X< zpgs>mmhVQ~7tQlcLy41dB2L4(Xr5o)Ao>#g=d<}16 z1}XUCWIM8=c|NHSv3Y$%S>h__KtI;SCfFJ~VNW#A9}OfPfn#wp&P4OP(PPBRa5X-U zo6tO8^d|AU_yK-`=Jglmd7^K5{YU%-f5(jUYs~XQ`G|{R0#?98bmRTl0Gnew?26|3 zpeXSWOu`BHAe!fa9wB}lpT=kLMKsU%a5S3pSf&%t!$tT6u0(VG%0}X?sLzL#dh2cC{bhmgP|5PXTU@dHj zEwDXy!@k%LhoU*pWFql2oQn%_DVp<3))H^PEw}^spgFJP5b;qwfoJg&n)69+5@(>^ z%!;|uysp!nM^cv8tDpn@SQndMYwUzQF@yuroHsI-crwn!`S=)`^F>w@KaZR6HGC7z zc_JSWe}Z4&*Z3`(^Fw|i{v9(iKAau%p*b%kfmq#dK2GvcBD(Q@H0OgfCvJybu@6Sk zoClIbJOLlXIrs>g^FN*@eimQESMYT-=Y70S{1JYJ=Jm1P5S#Not`h%>>H-V3-B~af zn)5vFB`$-N(T+Ye=XaRb-L~TOj@Sc(XwK^xPCN!5z!~@un)5lHBwmHj;Y;``n)5i` zAwGZ~mUBSQG1GGi-}pus2368--pn3grX=3v_W*f12 zJ#%eh^Sb7i#OC$Q-HFZXocj|G!_hbir{g?agy!|pD~ZkPq&E_8#hqwgKfRyWypH-9 zv3Wi9Ib!p=>Ys?s>#O6WgQJc&^E&H1#D%dWmcy#(#2Q!+n_?U6jOuv~>UY982uI>L zoPx9PVO)$);Tklr?|zwh8}7orco09uFYz>9z{_|YZ)2t$#`@<#^ZM{&#HFwzR!0xk z!iLxa+haHEi~VpYCgVh$hI4TtF2xnN7B`@J&V||!J8%!~!$Wu!PvBX+gxByUX2@xL zZ&u8Wg|Gyc#VY7PKi0)2*cv;bdEI=7cp#3zu{arL;(UAzm!W!2hf0s%KUxqXs7_5G3`i(x6Oh~{>spSLv9>;L+B%dZ(n`4)e~U+{O#$aq3_%!frW z0V`l4y77K&fX%TTcEvsz#UYr46YxQtgOA|j_%xc=6}?FO3cikS;rnP_PxKk_SNIKn zhgb1e)Z&f&k_B^NLA)2sU}dzU59?rKY=s@M2L^Ef4#zS00M5XN@KJmc&Fh4oBR0#6 zzbePeP+t5`m52Y;@!r*}r?p&sjo07Acku)K1i!$q@mu^6f5G1|Bgad2%!frW0V`l4 zy77KA$8(z#x5KX32ctLylW+n)h;#4}G_PxXn)q3K5nsXA@hyBGKf=%OEBpq(!>jl! zY8)?FFc%iYd$9~wMmzej4mQSC*b#eR5C`CJ9D@(w415S5#V2tUK8G*itM~@Kg9q?q z{2Wi=dHex?#@{fWtc{JZC3e8>7{LBG3`gT6 zoR0Hw5k7${aUE_%^ZLP^#Bbw%JdFDF?+J2$2I6!0J^qBZFir~eI9Wa$=E1^P63by# zbYcyxhfT2!cE(;9#z8m|$Ke#5g%9Imdiop?cD`{urML5qba;vS^HqEU-@ya;F@BDx@I3y2 zKjUv0PyKTb=EWj-AC|{z=)#&oAu8yDabT#nD+dfbfLaW}q) zAL0=_j%V;9Ucno92QyRuooKOV+ocoNUy_xKau!Z_-~Y?ucNV@WKBRndtxupTzWHrN?^VHgMDNF0Y# za27s{i}5L3gD>FAxDCzg?)MTO#82@{JdGFdGG52qn2Ga-Incb$z8G;StccaogXZ=1 z4T)P|d+dgN(Y&sHC~-1Q#A!Ge&Fkrx60g9uxB<7Ic^&;8;(d4skKze5ub;m}d<}16 z2F@2|Mf1A(Lc}GoELK4Wn%B$MC2oSPu@m-0^E&x~#3OJlPR5yNULXG$@iJVE&*LVP z!y~~D9BOU(U_TgogzmB&t6XO&5{WTM~zouA>*GpkVtd1Tu=ixOZZh`Hw8}`M1 zI24m{B2L4(xDc1(3S5gDa0~9hJ-81K;ZZz+XYmqV!<(3aafz&$8w+6xEQ?hzJ)auK z=|7rJ|EeF8h5eEf3t(~lSKZ%X7~ek{C*gFQhl}tDT#4&&BW}f=_%`mx!*~o&V)}gp zjC^&FMj=yo}fJHfEx|o&)n^F)W1@u{wIN7B<8d*dDuKU+jlNF&QV~G@OeI zaVf6AwYUMd;11k_`|uDR#S?fIFX1)3i5Y08XT{uD2uomDtbz{oV_j^5t+5mK#1Ia| z5jYkn<4l~7kKr<0jnCsId=1~kcku)K1i!$q@mu^6f5G1|BklFPe_z&<2Cg2(X;UPSXgVCM5w?(lkM`T;r7e7=f#|F6=#UI}gJ#oB1z z_p2pw2kedk?2qRCzD5&I!s$2<7omBdua(5>a3gNTo%lBH$HRCGPvSZJ9)H4HsO}vf zC++uamH!*{pfKmAtYjZjh(P3hHxN`z_B

    CJeaSlF$kK@z$EWU`Z;OqDnzKUSNdY$ck3*X0&@G~^e8-7Fl9bUy>QKO$}_Oo*l z7sPw93|2-v`mhc*##Y!7dteX;;BXv+51@HH=0n7f;*+=vpTn2%ReS^A!2|d)evYT` zJpO<`<8PRL{iSi7rC)z(q{CtQ&ByR0reA+)q|bj^x%~Ie>o`WadlJv#_xKau!Z^yG zY?ucNV@WKBRndtxupTzWHrN?^VHgMDNF0Y#a27s{i}5L3gD>FAxD9vVUOb4O;+J?D zFW_aoj<+!r<9so&~XYoaR1z*Rv@O}IUKf|x^8~hHh;;*PN&X)yqVL`kX%V1@+qYvv~ zV{C;Tu?Gfm01n47_yEqphwxE+5?A4K_!7Q~Z{Rz406)gh@f4oNAMj`V4dWT-ya)4Q z5xft}V>NVPO{|a2uq}4M-Wb8bI10z(RGf_qa0xEQXK+1k#_hNp-@^~_2p-2XcoDDQ z4ZMSy8RyH11+X}l#!6^IFV@CJ*b+NncMM>E9EPKD5>Cf?xCo!XmADQ!qWN5eoy2eB zemsoF@FbqY@9`(Rg>j7YWy3sJ7)xR~tcvFI4{8wC!=~5Z?!|-nDSnBk@d94P>v$V8G0v9*^J6hAg%zu?XIW<*^#NuqM{WX4n?HU~i1zU>t?xaVpNn1-Jy4<1@G(H{*8Pjql-ycm$8* z8N7&B@CM$&%(OdmVgW3UrLhv)(2KRP5w^q**c}7dABW*+oP^VH9xlQsa3!w8jkpze z;@h|%592XBiRbWp{0VPi9PQ0)m&h)Zz=uEhc+=qwoD4xKxcnPoJP0T=hGb`rCLRbRJVik0tAM0Wh zY>l0;Cx&n!j=-@v8E4{rd<>W2YJ46y;cNINzKb8=C-?<^jo;#r_zV7y8EJ23$9z~6 z6R-j%q8snW2G|_iVOQ*f|6A^B`4#K)4St7L@mJJnZ)U+o2BJcZ}+2mBd-!+6@8_h4Qug7;y0 zliDGjTpXhRbj@ zK98I5HGC7_#Sick`~ttmZ}CU`1%Jnkv@^0}J}im}SOF8!jrU^%Y>w&ou`rHjAMKMm z*ce-3N9=(?9Du`d3_gG}@F9E@pTt%89KM9F;v4w?%k^#luJZBU^}Btj4V5$$ZHqzlgy+2%yez6N{Vts6eZLtgX#t06^Q8*r_;%r=iOK>?p zgX?iKZpYpD9)5^N@Hn2qi+BZZV0t^#$oB_mKmOJA%NJSh3f{mwn3?`y?dkNWWg$ zNQVKOcN>ml@By5G58!8^&|~EB$(9WB;XJ zuWY2l|JLi3|6T3ef7kE+Z#}P&-rqBhlYdu#FTFi&q<<#Pi{`-mSPV;HMXZhch2Blf@`4#43!1|Pr~_z*sdPvRL>y1~1|jyn%NxGwt%6SOAM-X{>}c^kQvnge|cHcE#HF|b*Ww1;f;(^z?!!ZP z6i?t;yoA^ACT3t9I4kDHLRbRJVik0tAM0WhY>l0;Cx&n!j=-@v8E0bp^WKg8o5VQ% z1bh(Z;3N1rK8?@fi}(t@j&I@n_z`}FU*R|S9bUy>QDa_A7R-eO@m?&0mC=qqtb>iQ z6?ViP7{mcM9LL}TnEt$XBmbm7@7+j;^yj@B>5%@scOxDCr=IsN)ouFqg3=B%$Fu&` z{mx?aw@P6}td1V6g$=O!QT#74jEpEUqxC8g#K0Jg+@dTd5 zOLz@$Vg~wCSur;j!V*{(tDpn@SQndMYwUzQF@ytg1dheYI1}gNW4H`gWs{7x5K*9pA$D@gw{Uzrt_uJG_d&qDFrz3+BRtcrTX0%4kO)*1^Wu3OiyC4B`MB zj$`lvoPiJFqxdAQ!sqZMd==locklpyjGyBvJdZ!%&-fe0)33S*^I{Ra56fdUbYV@b zkIk?xcER2l!NE8R$KzC-jSFxIF2`qZJ#NPBxEtTY5Ag^d$1`{luiy>5gPG~y<-`J5 z97|&*w4oPkVooKOV+ocoNUy_xKau z!Z`X>*)R_l#*$bLtD+NYU_ES#ZLl-;!Y~fPkvI;g;4FL?7vod724BFJaU1T!y?78m z#V_$RUck$E9dBbM`gu7pKNiDMSP`qE2Ww$NY=P~u8}`M1I24m{B2L4(xDc1(3S5gD za0~9hJ-81K;ZZz+XYmqV!<(3a`-Nr2+*k-pU|Fn!4)kMPY=W(^6ZXUq4#W{S7ANCO zoR5#;GF*+%<0gC!-^6$E1N;QPz_0OJ{1Jb_-!UW4OURD-uqY;A1x!RY-j5BiIkv;D z*axFH1e0(AK8SPh5qun<#%J+Gd<9>}xA1-Z2tUKG@EiONui~$$WjFdSSuhtC#Cx#} zRz^GeunsoHR@f1HU=Ro3a2$gV;0$~SAH^qe6+VYA;j8!tzJmwwWBeRX;d%T4f5zW1 zp64apgL$zC-iPI}8oID1*2iYp7Q0|?jNo7#h2wE5&c+3}1efD8xE?p-cHE8c;fHtx zkK-A>qCI34HVB76c@;yT=j zTX848jr;L19>bG(4!_5r@D|49GLE-wm@L2nXT_9E+22CeBCc7Nn1l{f+Ul6Qs{syoA^ACT3vVCoAU0LRbRR z@1sS2WBe!mK3Yb4{7QOgjQeE4Tv!nA#WGkK?U;TaEh9beV_c{_Rznxo#QN9_+hP~& zjS(D-qi{S<#o4$3m*8@I2G`?e+>X2PJ^T=l;Bh>I7x4<-z&n_kai5%60E=U3tb{i7 zVr^`MEwKZ3#{l-nVK^Em;dGpbi|`3tiR*A9ZpEGWHtxs6cnnYCIs6`f!dn=}xKB3B zgN3mqmcy#(#2Q!+n_?U6jJ+_7gK#8{!znlmAI8P_6t2M+@MYYFyKpZa#82@{JdGFd zGG52qn2B+p9GD-AVJWPL)zO2supzd<_Sg;kVm};;$v6?G;apsZOK}CR#SORxciQDfXE3+BRtcrTX0%4kO)*1^Wu z3OiyC4B`MBj$`lvoPiJFqxdAQ!sqZMd==locklpyjGyBvJdZ!%&-fe0GwyQ_=EWj- zAC|{z=)#&oAu8yDabT#nD+dfbfLaW}q)AL0=_j%V;9Ucno9 z2QxG7lM@SIaV(9M(1u>Djg3&M&Gi0a!vEZSihuNeH|D&G2J|YoH zBOTJ8e`Tb@GRARNWBT*2jC6T~aofl7X?zx6#8>cjd<);lkMJ}63ctbc@GAa_>CeA1 z_QOB>`B(pG_xrxXxN&C2M{{BUERLnI657yxE~MWF+7Rq@O%6TZ($tcqS-JH7RHiT4y&RQYhXQWifynn_QEg@!jU)* zr{FAn7#HJHxCURqmvI~J!o7G9KgBQcG+w~VcpYzJCdNf`V16uyrLZDaM-SG*hS&nz zV>j%J{ctEI<3yZ>b8#Uq#TB>~H{cfBfqQTt9>Sw|0?*%5?B_i zpacC_7n@*f?1VirgadH|j>XA16X)Y&xC~e0^SB9L!#D9=`~W|}FYs&p7JtNF@OR9} ze8KFP4~t>~R=`Abcjd<);lkMJ}6 z3ctbc@GAa_8uJ9RU@k0(_hK2WjCS;49c+xPup{=sAP&IcI0hfU8Tb%BicjJyd=6j2 zSMd#e2M^%K_&J`!^Y{b)jK5(#^9Aq0yjTS9!}3@SU04(AV>4`vU9dMsa4?R-@i-M{ z;{sfQ%kddpkDGBj?#B1ooKOV+ocoNUy_xKau!nnLfdovs6!NOP)%VAY? zVhyZ^O|cDj#$Fi4K{yh};S`*O594Bd3fJHZ_%d$8UAPwy;-~l}p2iD!8L#7Q%#_ba zryQ6ci(x6Oh}F@9wXh+!!1mY;`(i&Fipe+;r{P>&h)Zz=uEhc+=qwoD4xKx zcnPoJP0WzrNS~~j8w+6xEQ?jpfqtxuO|Ug~!k!qyfj9!k;$)nO^YJlUhO6;;+=Q>; zoA@q%fS=$O_%(iuKjJU=J7z3kq*Hdxhea^~D_|nJ@qTQ8&9NPJ#XcCtA((^{@Ijn| zkKp6@G(L+j;w$(%zJ>4ONB9|jh2P+Jcoly|t)P)USuhtC#Cx#}Rz^GeunsoHR@f1H zU=Ro3a2$gV;0$~SAH^qe6+VYA;j8!tzJmwwWBeRX;d%T4f5zW1zL1el_h4Qug7;y0 ztcEVEiS@A=w#6>k8zVRvN8xy!inDP6F2Uva46et`xE*)nd-x$9!Q*%aFX9!vfp;)- zVI!S#VgW3UrLhv)(2KRP5w^q**c}7dABW*+oP^VH9xlQsa3!w8jkpze;@h|%592XB ziRbWp{0VPiToEIEvSA)9j3u!gRz)Y)zh;#u#f*`#r zAR_4doZa6^=I(Wd^@{iP-kbRlzB`#G|2b23W_D(G7RzH*^uzkt7+YdH493pb6=ShK z4#hDz31{G3T!brd9d5y0xF3(;DLjXl@fP04$CxIcb3S=vR?LGwSR8$^BG$k<*Z`Yi zYYf1S7>+U68wcVDjKit;5zfaYxC%F5%JqKda^3fouJ@Afz;`hkd*J{ahGTIu&cu&#F|Ne*xD|Kfmv|Jv!SC=2-o~HsSA2%+ z-03kJ=EXu-0?T40d>QNF>(~t6!a(eV5!fC3;2<1{<8d0!!Ueb#SK~(9fqU>EevRMa z_jnzD#E0m?=eyEjCd`S?VNooF<*_RIVSQ|jEwLR2V`uD&vDhDn;uxHSGjJ|0!WFm< zx8N?^k4Nwnp2N#{3-9A&OvC4%yfG{0K_4uRzE}}!U>$6LO|dlwU`Gtc80?J$aRkQU zRQw3%;}Tqj8*n=&;8%DY&)@~ThCkrXXmFi8EoQ_Vm>-Ma3-}^d!B?;zHo_Lz7TaSO zMqy9vheL2QPQ>Xr2jg)$uEou`6Zhd^Jc)^T32)*(e1xgFj_rk6FgF&&Vps+%V0EmG zuVE8>6W_rQ?1J6!J$xUB<2amxAL2ax1V6>ka2tMs2k;o4#`Aa;@8ARc4WH%nPZ=;f z=EK5R63by_tckB;Lu`(1FbG325_@1@9E_uI0)BwAaUm|lHMj{s$Gvz6PvBX+h&S*q z{(`Bve(i~wF&7rV=dm=tgw?PX`r{ke3g5;K_%23cFC2iwa4b&7nfNg-#+A4px8iR6 z5|83H_#Ixs+xQdyiqCKzJ3VH@yjTcJU|Fn$FJoPN9h>1>7>J!P0=r`$9E2lrJWj(| zxB!>pYTSrBa1S2Dukl;_9tkbViR~~LJ7ZUj z#r`-H$KWKKfpc*YuE2G;1$W_oJc6h29A3s-cpo2Q8m?n|V^++AK3E)mu_D&MI@kc4 zVrvY*ju?(H*c%7p2#mw2_z}*>CAbPV;C4*FukbjY!3%f|f54y7;QDo1%!oNKKNi6k z@I|bGuV6iFge|Zww#P7x!k*XR20J!zTD9zJnpy1-s#U_&yHDaX1A(#CiA$eu|&rHv9q);4wUn z=kY4u!3X#oKFf9N4457BVPPzZ<*+i=#8+@GM@$8+aFg!Bkwo_QcGX3k%@$SQ=l#YFG>X@eOQ+Z(|317o)Kk4!~hJ z7ANCO{1_MGN?eayaW{U6NAVl{4zJ*C{0V=>XSj}?9TZp0n92M^-c_$_{q*YQVuh#p+OPKTK=Cq9Qou@sia zs_2LHu`#y9b{LGEu`9-6e;kTqa1zeIxwr^d;5yubyKp}q!Bcn+FXJt|kB>18*Rj1Z zE9OBTERMcd5o=%_Y=BL%H3ncu496JkjRSE6#^F@_2)cpT5*1-yno z;Lm7q{W>jX#2lC(i{K0RB38jyupTzT7T6ZsV;DwZPwa<7a5PTD={N`DaXGHV&A1cy z;bA<9iFgTb;yrwXskx5rg;_8+7Q|v$1}k87l+y_*pO^nH_`G~O&Qk|tXY7ix*dK@D z7@UMNa4s&w6}S$!;4a*cNAMJ$!^?OJ@8e@k!+B(HOu6rx^LhDK-FNNp{=EOYx34eT z%|SQ<$Khn0fq(V%{MGr5n2zT776xDlzKdP4C;rj-HHW`jh)Z!5eui6d7yhTuhktkb z|J~#JyRJ9;Sbq-T*LVic;}yJx_wX0=V1JetGhjA+TA!cS*VmtPznpN!t3OAtue0mp zfFTSYh5CDmrqZuJPp_}H>*Imt3}1u#`-!&GuRm9xa^2mzAOByu?*5;$J^4>*r@O}& zbJ+g;(f#G0-R?bNys3HKdtnyLjRmn7mca^G9c$xj__Xd5^1rsc|J$bbzioQvJmLBJ zr0M;uhd)RAieO1Bixsgt*24Puv|cYfX*&Nd?fkoMr~ki?Pg9no{rh%P=8ygS`LnOX zGIBhT1M_1MOqu^F^FNi3Vf=rezxuPs<5t`Gl=a`aen08_Vaoh>&Zqyc^S3GUKV|-_ z?XbLE!f{<%K0fL&vBp7wd@l;a=g{C(2)CuKgR%qO*da`$><%KGG7U;gZI zSIYA)<$3ospLc)NepG)>x>U+}1;=sQE{<28v>p7T%Ohob;NJG&N%K|DNBLNZV|ism zxs8OQzYvx{eg3}^{V!u(d>xyie!oW`{hcrZyJH_5gd=f0PQzKa0QG)&HT@fL2kyax zsNdI-^1Zpv<(u;UP3L@A!uw8F;Rf7}3HTKr$1`{Vui+2)SG|9;UTSB%8(|AoSrU&V&l9NS+7NyJ)G-TWqcXypnm_?f7<(8_2*wsG972}d%T8sP~T@t ze-0)!#|@sC33Fh6EP^lKi&zC;!Ft#TTVPvkk6{>vJ+U7S!O=Jor{f%q$K|*dH{(v+ zhllYbCgLT$iTCgkrsjCT3$tKuEQrOh3|7GESQ}r%Cio`4gCW=jyWxBIJ`TrmI0Zk% zdH4x_il5;&`~nZ)F+7du@haZI2lyL4%W+f&%#Qi6FqXt}SQ%^LtJn~mV;c;@P>jSL z*cS)mD4c*F;A~uo%Ww^D!q0Ip9>NoN7BAuryo`zfWz zY?v1dVF@gYmGEV(i?3rddrps;40jJ+c5#Z!sB=bFW@!&0e?or)43j{#f+E(^J5Ww0bj%__zKp;M%V(|VtWk3 zDC~*-a0rgZi8vkSU_36zwYV8~;yyf#CovH(;Z3}Uk1#d&Q}V(rm>UaXF)V`>usYVp z*RTn`iSJ+tcEN7=9=?ymaU4#;4{;uTf}i4NxDCI+19%Ki<9WP_ckluJhR@206SaTK zfY~u07RHiT4l83#d=(pFb8Le_7>beD1N-7&9EB6`1DuTuaT%_`P53$P#Y1=k&*DYA zfp_s2OvU|_JTWuo!UFg_md2N`8rDL8d;?qI+t>l$#c1q>18^9Q#mP7mKgPwl64&Ea z+>Kx2QTztK!z*|jf5Kn!898C5)}!>84fA3lEP-XQ626Re@pWv5Z($&I!U*h+eQ*$t z#PK){XW;@|imP!W?!Y~G5WmK6@q4_EKjK65;C@Q!Fcap)=ddW2!tz)Z{jfeZ#+KL) zgRwJq#aQf*LvajF!WlRh7vTzAhg)zL?#Cl|3eVwXyoLAiF{a7nT#vjlE9OBTERMcd z5o=%_Y=BL%H3ncu496JkjRSE6#^F@_2)cpT5*1-yno;Lm7qKc%#o z5p!UEEP^lKi&zC;!Ft#TTVPvkk6{>vJ+U7S!O=Jor{f%q$K|*dH{(v+hllYbCgLT$ ziTCgkrj`oVnb|>Z7>K!F%o-VUmT31 zZ~}gSvvDCV!!@`GKgYdz2v6WyyofjOF8+e43^*?6DTvz~~$I|!`R>NB8k8fZr zd>cF9yBLkVZ~zX&u{arL;>WlcSK@lyio5YkJc{4ocX$PF<4^c2KEwT@(qlHvi-oWR zmc>f=GSx;1M6S|Y>KTh06Sth#$az8h$Ao#r{YIAAD7@N z+<@CL0l&iIcm^-vHT(g8Mnm54uC_;MF(c-{{8$8Ez!$L!zJm3z5w^g#*dD_$3VUKd z9D<{9B2LFS7>~PZwFAm00H~~Mv*|-pw;Tqh8pW|LUgeUMUUc?)C7k|N2 zd|#3$X2x7t0H4Rw_!3sbTIi2&U@LqZJK(z*jlFOH4#TlH8E4|hxENRBdfbY;@k>04 z-{5z61#ja|_$xjmZ;)}k{>N;X7YkttEQ^)!Wvq*@V>5gU1F;iEV0Y|;gK#8{$7whV z7vNG{jT>GYrw(Oz?ocM*VG-2hFH3(#td6y?J~l$#j(>GJ|K06;i+2Cn`zXedGZn3-<9}_w zjAMRG#u+#V<8e8z#m%@A_u*kYiHUd#Z{j_Cgn#$@V~ykeNK;VX_irBkpWvtX8E!*; zzrO?YAH&ml9N%uGb}_6rRJ&cnk02V@$*I)f=;-zQ3st{l(E2 zD`E}Q@Aqs#e^YFY0oW1s{Y+!%?~Mb|b@{pWyROGi8veA7OP=<4T=QJ}U8nPpPRG;E ztHpYwpAY(Ytp&eti|sKCqp&CT!yz~tC*pLRga7LO-D>)+exHl+{n7bsHDB~`?4Lb8 z97(&z<1|e99G!E&qQ3{hYPtT=-@C2{dOu;6<62*Y<)dH!xcmCc-F)31tNqrK_K$`C z#rEm-+3NLSB)L7XFAm00H~~Mv*|-pw;Tqh8pW|LUgeNfN^HI*{!*2GYDfjJm&X50< z`*#1kzc1T$JF_;O%x~FRPC$#EQ4%kVy`UmYa*cIy=$6VzUeiFO*QAH{^k#u&aGf?|RU z-}c?Q8NTWlz5(5Ob&ClJ4~Pnj2=5*n5F8oNF|3o}8y(WcIs6|7OI1L(keDZxb&8Jc z9`z4}j&c2CR&r5TL{#^ffNnwIQC&g;!XrC`&^D|3$nG(YKZuDAiRcs)>X;ng;Hd5a zVbNU!f}_J?!h(ak$moM(!XhK2t=%JHLZbh%Dk?gpM?jeReRTII8Fx%jjEq%Hb%&7l z-8;#kj*&969FK$Ax0h=3&@Pd|=H8&_pomT(GDnmD{-1vn9uyock;x@p!Xjjp&QZuR zGJJz0!^1-&WEmybbc_xPm!ewD8u5|Un1&zMx*DdsIGehPqb!t7<<5l}UtMRJiXkDj{g{|t;>rP!a$)>J@sZO0c zv&vJ?E1fsRrY^=*H`S(2Juh{hI##tB?_g7%Iu@|18*QpnuMMo~)V$E+Rj;Y7>Smhi z)MKlOQimTWzXS$L?0+-DIkpZBw_?R5!<_ZojEconx>X?@?3T$2N7R zO?7G>S>;_Y)y=o5yKbsmU{iP3RHu#=t;YMvR2Oekr_O)q^<|MwU3yd9Vw<|`rn*mT z>ePLU^?22~V>KN`O?69c>PnmHmf6&)?`hEEU2apS&gKdBrKDDW9 zVX9kYQ`gQ^r=C+*^RI)cPQ8|}s_SB^Q`Zix>eTrvJzv(@)b%mdt+%NgY^wXrrf#&U zZi7wTBvai+o4T2%I<>8_n%;S)y3ICqOH6g@9Hmv>YE#`-o4QS=x@|UfJ56=lZR+-$ z>UP-F9W~Xd*P2$-aoSY3)28l%scx4|-E~vlZkxKhrn)a|>K>Wu5^UMEG(zOt#SVX8Z5Q&-ni zcgUtrUBA-Pd)TI~g{kg{OX9oP2GM|-FG&1M@@C-ZR$>&>Mq#ST`<*sZ&P>ORCm#)?yjlsl1<$sQ{82ox-_Yi zpO;r`>e8F)uG-XPH`QIUsmpJwQ^&qm>p@Xd-3^;Mb$wWGUvApesrzQ=x?47NHB5E4 zZR+Zp>h9RoH8j=zU{j~=f2P~_qfK2qQ=NKEU^V|bnCkA?)O9h{-M6WWG1dKKQ`g5- z_rRuZu&M56o4V1ax`(E^eDYX!^@chRr@Bc}XYS-_;OGj;|Ef+8cCAyxR99Sn)ck)^ zU1_Ot9dEq+PIqc~sIH;>nCoiDfO3u@&i{0szx2v!Kf}-YYa`)|XVQAmReq=HRJ*## zk2$Z445%Q#)1A(XlwX+h4w~{*hR##xCd_%WWk7ZvChGZ@;8M3*>gxSYomzKPJN5i~ zBz0#I%Sc`8R6BI1AFJ=t(~s3KmG@&dU6nknrWBc{_k>JuZux~e&(%xH)p*tT z8oT7(%54}yy0J1`k1Nonu1S8w2>+eBc2Z{^@9m48hPp?g8gC!dcq3i%HWy4@)>4)< z-gjN{0Az8- zWqb^ytenTzogNk^56pRqk{2f-DsP|^|5F|-P`l?1V~1J*bXIv$w>*t_Z)e_MskO>0 z;48~Rhe(}%=-R&Azjzw6WuR)`P@B9_Wo7+HOXFGRt(Ryx}%^6Dt`;;p8~W z_9dk8GD=9D${T5uw?^`Yxsi8U^3-!$<&CzyC`|;9%j1J z4_(_gT=GiD->AGvQf$?}UDXWr5$B}NDsP3Sm!nSQO|i*4CV4%Q(yXU(vb-ryF^#<;EkqrB1bPx=mh?i8I z%xKjgkt&rpPqfOL;O6xDNnQ!^7TDyimOOQSPYCCL5Qf zzq7w|eZKh@^)l4G+Em_Ro4lMY4dZ<`*1y;ivOSRPxXN2%lQ*@kOs`sz=&YvKC@0Iq z%bB-KYOSWXVF%g&rqXHF>x)k{FGJm1P_=J`OY+q!*uiCd-YOSVsVXR?1PzM5ZR(U6y%JN`;zE*0j@@5S* zj8bkakHELRi~%xU)xPyMd3$AN+R}~bP3$Pkm+9SLlUHz@VZ6h%Dy*j0kQ*z>tZ}q& zlV~-)eI@U)8`B#rd7-R-TWs>)nAH`c$z9$rQq>)$q;yeYCXQ1{l-oz?V4_3|<< z)4m-xd3Qc`Z~nC&oIL+_+T^`4&oI=z^>k;|zJn@{?ZIxFytRuBL){ZxcUF1+qrHqK zvQX9fmtd0@A{&eM((5$q^<`R|v@fGGZ?8?>Sy?$JWPWm9=2@~n%j+Z6zWp|NUFGC- z12@`NPHyZ~j`kg}$=fI=LpQjwJObs$V7FNw2W|4Ye`^?9)r)&NtL4!oLGt9akD6Y6 zUitU7e-$3e{@nD!)+*0WR^}$ToOwrO+*Z%u82KVfb?!%ZR(Wx912c6mQ`NrXHhJr_ zcsO1!J}GZ_YHwq<6sf!uHhC4~jqIvUcUJ8yk;dCl%R%Lx60N59sN^-&VWL&u1IY`N z;VSR6XqD%a&BIXZyY8&=T0i4$q?3UvPgV)bysMI@j@NZ(l@};ClG`RlDlbvAYG1$X z9!6^!t~;wdpS0daloYAF??kJ-I8C+h zqD|g0$s40XZ1RSu_cm@zoyxmxlh;14hhzUNC5}!U5yo~|mJ+jGLAR~1r zZ(7deykBkd4is`PFL&)-vt?&VF(lbn~zCa*wI_wsVf4L$YzOJkE)Pj1BT z*8Iz#ocF9vUPLhuLz>4*QcdTjn)r>0yK? z$5~GAfR^4#ulHq>X_>c9^4vL|d?0!Hcv5YTtd?)4Rvt!0H`*84%G;>I@{moOWnNv$ zo9Ra0Y{^R?Pi=p#+LtJK?wo%PebYPX{IhIAEc5QWIlTiUPfu@Yo4itQdKl_E$8;y9 zj?VS@yI%4VrB1ES@)WgfU#uLwWY%G#Ro=9=-bvR3%Gu-%mppgYmw3t3>q~i?yhO>9 zm&r+;Rr_+cOFllWV3YToqEb@|Sgylpa2wXd4|Sgp@j+PSxU1K&wrzBO#}ewI9UUN1yRo{vm~YM;8sZPmUU zZ_D;iPpl}Vj?Q&@<0LOshNwJ0o4ihv=jV)Y{BM=_K=SnVzP3%?LdkRI`QaasJpbz2 z@qx+PzXmpW7bI_# z4A-50=(>EjN!|$=sM^<1eyrLT8R%a7rnOIQUt^oRA#UcaFy%F|$(td0CH2ILQtIej zr#C_J%E=Hlz0GX$c1oT**SjA`ULbibZ1Qphc^EYvEpq;6)xOrj$=g5Kg;_3-=8|{T zjs4MV$y47=q^4ILS6VIK@*y5ZRyW$0PQK_sJug+BY|@kR=F4OGm(3&H@Va`S>b84NvcY&+e4im zv_$Hr=^jzd7uD^OI&=H{qo8P@ zJ9W5QhkJAwp~FZW9?;<-9Y*W$hz^hHFiwXFI!x4Ik`7PmFjPbZFF}nGP*-Umf<>;XoY@*5Obc4hNjo#b78FqjulY<^TR)>^L69|MPFA1)h(Is!+Rc zWU%`9k_A1N)E+I%RvfN&v!jaZ z*llDerj@|_P+v#` zP?Y0piB_=_Xh=hGGd0W!s7>e-&7kh81ER1u*j&qpn+P7u!d@{b=EGrNAFC<7UBEe* z205kgUd5o5;(jUdVZAVv(duQer3(|up#8;7Sh5{8)u1&fG^^NDwnj(jn3>IhXU6|v zRyG|z{+CzGcGMz+*6^9hhAn!)1+AhBaLL1eQ|NGN^-eKV(V8M4gH5eDaN7KL_+3s( zgVy4=*GU1IL2Frvnc3u5R}EULLd?o$#zEXe>q4xUP1a*rX;X;V+IZyxYg>qwv3Y(M zRvZhlayI0SXxkNH6%8fZFEbj-i5d{<}-dn=o1 zSR}NBLad1Gs4)`^+Of7KkHu_)7lW5rs8_~jW>4s)aHj}+bb24$@fo}|z$)6bXbRrB zH72ZzP408VeIeR}IoOonf=<79*@V@$9W{T9LAz4up*}Fge6=vh-W0xgOph@ERoS``Ao74e#j) z2v~oc#A+BgSyS=Eh5cZgR2z86=2uSG54Snq0al(CS{Y|3P26I=GNudPcbPtLUxw-E z{tsZ4W!4{M4{HVoMQbjYZP)5N231Q@XvbjpAOWbOaMaj|V%O3iE`OtDc5$IRkaez= zT__Ku_PTB{yW0aH+(8U8XI)#nmf5(K&N{cg-O6?7kuGAkiQCBTsR7}4)w#{=Jkw#S zyKpseTiIQj4z!mjoCzH5V!Fa_Z(X>f9WNAGAD#QR-M5oK{}Em$-5z%9Fez*Ob>TjC zv1bv+0MpH)OYLe5Ly(I^bCd93JAO&DrGj4!X@6eWHAH7?%LI>kX@5!BwOflYUBots zOZ$ha-BKi9+A8r2T_^1`RJ-EnQq4nzoA@~@I(i_p$jrl68p?9pLgCR~_P_3NN&wC^yzaO^>$x zHEoDEWd<{~zoBb~30|4l%mkz-+Hn0jDt4U~0v%zJ6!vCz3%?<_k%H%VVPC~A{{TW5 zrH_HX?cQr<2F*zmfiZt2!EW8 zF0z}F1nzio9TD=RY`6Ud@ChQ*gnN=*jR)x5i6+m|KA7yzSB3FOCUt4Qlk85fhVRKb z9!YlV)9}Jg(eWc>x8xWipQ_`DWVeu~v1vMfn(R)r0e`w~{}LIB@)+Z2O#N535a(!2 zxK@b2|BaV6lz*qq5oIx4?H2EY<@u&I_wUk&2xNh%VR|tZ8H(~O$B4;Jc|%ct4*b^W z_@D2zL8e!|0?#Mf48hN^Lhp&V`-Ou26?&ffTceD@vV47`fh-gzRV04XO+yz$Xd&7b z3cu_XiQlx}13kn<_rI#n1fRl*$q5eta$bH_i^(>5$K z!P+K#8NU;ze^qd0J|3>=%9ld?za#56iZtZJoJebW+~j_THGwL0~& z$!KgeF%{XQh~8*wsn+PQAM&+|O7mi>#Uo^u(n_et`Fo*gR$MJL`%i2|%Gngk|L;{= zm(YzAk^f6qtsO$Jh0%GkC!UTVJWF-ugyJyx57%r|NpEfE@JJcdDVc zeo#%l^^gz2x@t59m7Y+2*D$!7Hd5A`O%TqMg zTVA4x-trSo_0|T_OmF#%=6Y+R;B}qvW0Pp9w>FDbdTWco?$gBGD%$9+0MS-&Z4#dyv>72>(F40YI?H1kj zR=DV)xAushdTX!frMDtPZ@slo^wC?9;vc=WU-Z>m2Sh);bx`!zTZhB|y%i+}>aA!o zNN*h$gZ0)CF{H3%y2{N85=suY@Tw@X^)S`W-5mqE{BYI!^$HB$q9Y2Kve-yfOyhS^ z8Kt(0jsK6<|5%XrPHK&#DALF}`fIA|Rxv7S_2PLL^$w#8Nou`Ot$?=DRLh(nXjl(d zWD&e4MOD@I@l|P%WyYw+Ay#+`Wm!EulcL-~{~y!3gVtjUxou#g!#GvA9Yzqw@r7Jn zrsD+FdP4->v$7M_>ND?R2QUAar1R`1tM=2rL#Nsl)qcuzzhHQR^Yl@_B4!E{oyID48U15m?L4MFcr+;8lC&?fe4ftgV?wAsfN(t0v|0T-GK<+id` z4Xh66D_7=j!;FLe8N`;C*l~M278uoVsXq5WwCNcoHfQ^rW-+~d4#!#hIk!iLal4dc z%dZ^SvKYlScPUJ{@`|;r!?|6t9$%N7=Ia9NNYFoV9C?^4UyoxhL2Qm}&BD~%wqKaO zaWhBNe+}F0aFV&#QAOmd zW-R~cFmvt8vgNGF>?`mumT`3AYq*J9^-pdm7iC`=M!wcJJ=tm#m|ki&>n40*dQvO4 zAgXdZ#q?g>#C}jUIAt*X?QL$CHe>lBrZ;PQn(2<3F!xnC=FV1FHw2qwjQSF>Qrn6G^OX&O1pim_zYVWu<_Y%TX8%Lfl&dX+=$Wn2wz7iq=)R--XX z&M(i`RFm!l)0_JU6=FnKjy(>yW37ERxPMl(WO{|(Ebn2OF^(dyPz`5RG51sz_E^)7 z?e`kV5iT-~nbFbQ4(`PCfWB<)o#}lUfmImqGWL_`u@Br18o=BYE!j>3Yxd=g4VG$% z$Mytwx|HdgCva50TbbVbEZeO0fZGl4vX`vU%yrzy*S$;m+Hx%GwluvLW~*2x=?&Xy z{gnMij$z8;UF^NsGNx!JSw6#^ZI(L2bUMTB_EnkwaJ@;lBVTI`V#=RsY~gxmmhry8 z7P9|kik0a}O>e|^F))ScKhxRTF`Rnf?M9ZP8kX!-s#NO12{EM4S5CJ4#2?!A}_;{cQU;ZE~fXik^|Etz4;pY zk)s+{neG4O#_dNre7*FNEzC0YiTQ4hxn?ladzIw~NBA+akQ4Z*}E@JnqYGyYZ=?K{JR*Yw`$1OH0+&KgO`!pcbc=ESd*k-Gt1lN;oJgs zOfy_J6%eiqhro(qd_%rwykp9R8v@t0jrr>Rh_4Ro_?lY4mV5tXI~Pr(efJusls9KD z#b@)?c`U~>B*6&zr!BaB9_3BEWv1CYU5Xqb!M zGa6=^-sob2`)7X{zTdT`v2-$212+!O-DvpvndReWa?JhCawNr3%0|A?m;IJl$CRQ) z`09j1B~Y-R`AvBo$n?Lh*m93QS*y<#Y#KR(sot;|ygF>+xx41(7})Znm>%d(Z%Y0E z{%Wd+U76}bjVHt28uIG^!L{^#3nYE%Wix1pjdOGii+Y6z6pP_J!vU#FNq)|1{ z3&*L|UKmTFJr^N)f;t=l?<8$3h2A+dVxm0gvbr*Wk6THrR=vHwV2}sD?Y*#RXlZ#$eRG-S`n88 z%va<#$1SfD4of*|u{#Emexltf*xVq>-h-sS7&`}GqiDAs_qRzjzYNLEVjNGxTf{OX zwdz*kbq0@Ox7ZMbr~g1i{{gUGrsK>?-6U6a#v|JtwsrS_qwYz3nD&o5s%z??jTPX`X|@a6GafYrcYd9vh{A=EyTF$YsZ`g<rVkTh#a2Rc8DQOAhJ_LWkKYC*iaf+te6)BkyBzPiqh%@F*^|8w#fbk-V@PhHzIo` zO1A=dDbhznGDnmh1!K9weFY>xh%F<*`y%?3!%ch1em)@j%2_#(43Mo*iB;IY6cBeqb*ZiyOecD0j;O{7{}@8>70ar6a)eQY-HPajn|<8sgld+H^r= zfofbXo>-{be>5Z`)tr}b6onKOyjb-xHlylsH7^fAq^JRb5V@k>y#QlrYDEo_>FSF= zz{^tma{~BUeL5N7y_(L`(-$@6Ux<8H?ViJ*i?JV0!PQkpD;!O$tBpw;VQiz(F9XC7 zd>7{Anou?NPstwDTh9E>+e{bXG23B7#dl=l$v)(!_lWRvy_ z|!XyXfvAwJE1wM;GNO-)By34b|V>jH?;ho@cvM`?4Lp zAhV)h5Ma1jP9vxvGz;Xp1{$y}=7E`z3^%{G7GS^mJWzQ_eSAP%`~s}72e;NS6T^?kLJDu5$8|y2i1YOSv)8S^|coD0-@k%(TVfX zO%@YRL1BwUo$`>}ZeddZE5R0jpbo8uS`0)nSlw+A$g56-1@Yt>X_2@BdQleR+Cw4M zBHIku35(_^YN#m|lZL~?Ig9&Ofu&kJ%LaDYB7HCIXQ*!$ z!-j%aV6o*5h`%g)8bNfmJiyOrwdF$IQ}|kj>;<;Las=-z11zn077wz#^$S>-Wm8N) z>R!u=@vw5#(vuV1M9XQjA(Cv_j?@10mO+ala>cS@4Jh2S9M7*>hUJbmAU?HBX#;<+ zEVq_NoVk`gLjgWnE_DL$t7Y5Q2x+BNr;8wZT18cb-dd}L;lTW@hBkpnfR*P|5QD82 zl)-S@XSF#N3Q<MK1f0TE{r-kBvu&_zVJPW;6gkH1)R6$$}jz-a^; zAtL#OND#wL=?iwz`_9n$!>K$xR(g8~*6J0%iFWH36+rJd2enakdcj7teP|lWyy|W; za*mNm#&a-uh0?H-MtSQTG6&OrS)A#B$clZcj@WD3?n0JOt20J~u{IwC8|#e3+b!zy z_d~{dw&jtw)^FVp5&do+M(^}z@xNF zFpiaHKcU9|4$I))P>Kob}iywei z*Jt=lLG9J9I3)Xh4$wQcbOcv+%DaC7&weN`c{Qpmgx$u~Lm}7JJOKJ#v!}sUzk5X> z=}b))gXBV=H-fg3d@VrSLMgc*Zl(5>U?za3^a3xGG!=%z$dPmMoune0QxDKN1K#z6 zloJeBho~v9(Q!0z9@OJ0-W|pgXcoVvr|G3T6wc5;?C30o<%4&VZnZ{Ow`gZ^h}@

    6lK_4-=0q7$q@kVLXdCs1kEI3IR z{n!%pF)rDlj~!SUF^se53yMs|ecq?yxTXY!=pkeSkodw1$EE)z{# zG!gXakB);r!^kC$neO-qK%HgW4?D9h1JRySupjbst+&F?yn3e~KfhW8 zcxz|_m!{T|yash&+MWQL>nI=R9oXaUOn{yrJ#+Q!=s|JNl6j2hq z%`~+I?r01B@fjjpDTzxR0c5NPY#R+P0Y}@(Tfk8uEw+JP5S?fR9_C(7*n;U4zBp7v zXst7Np>%mVY=+VC{&2LDBApS$F8VbTHg{8ntH8o(rrtpOwtQhhEl9il$GNJdfCdPqi-M?An`3M>QS5vuD7EQTH> zLh>lp=BjQi89YIZqc^8OjHlC_!6r~~PL7XJ+;xCNnpqdYAE)9gfF;oyo`O!$BNgf= zX(Ud3u=f{iGns0egP1~FSAlq%?wkbi4E44K@hn|`h@Ln{Kl!~pPo)UNRBE~$k{4)c zEQlAW{d3Is zWw)q3mpE=ye?M5S>)yiy(i>;7sPC8%Jqw8M9x z*X>dR;1#(n1n)Jy^M+&&wY&lB4TTSYS4}SJWZYcQom55Z}}Em5}^ECD`Ui z`qcx(Ph^+~$E zUFV_;JjMAYAbJU(?fm|U%10r&TByw+;v-t6BhEGA$UKOw6`p*8@ranh*?Ww5TpEs!iVr72j1~R(iN%T3Wsr;) zCHaXZh);Ln@0i%{3}T|F#7XFJVa{VPNpzZzAWn!|$3Q$OdX|RGQ)1;%NG6M{7!Xs$ zPd-RHElw@{z2MpX3wnd&gV2=?OP7XdBf5w}%J+-*KDOx@Et1@3YFA!K?E;nkqm$eOtJ{>m?IpC<>P z_0QEk@Y1&f@00quaB|kae+Fa*IEF!MpbwXm20gur_TaSvXb;)VCwD`)@e4Mrr#0k< z8+Za9aXSSvBggUkFeA@#9(1A*OeCS;FROvJ-i`UoV*KKOB!&|bLp4Spe#$`Q?um< zcu`oJaI}dsqTqHj?fMCYEmWos)VGq;Ye)uA(*tm{jmGinxSawkKp~L+ z*$FI&!o~sHL2jD>f@u~XUW8DSJFpT;uQx+Ij5-d1`c7)eb*No*x;Wz8P0hJl5Kca2 zptpxo|AhCw^yL=ZM$i>b`uEYo{!ovklDwSmr~6q@I6!_}Z#hWkxeSSXwIdXw$d=Ev zqv?YSu)|c+5$Z?CNFQ@lCJ;qK@))hH1@%Ol z{Sw%5>aZVflj!U$sGp!~RiJ*7(rZKg6jgr$$z%!%g?b7#84HEe^vE6xXDBlT3TJ5z z=Y!{{ET1f#r`E-A)2X!j2HaktYipr?k%shu`X$=Pb?D2K#kH*~^n?f8RSM_T>KeW6 z3%A#4^HwO_phG)hGmSEN^L~@Az6Q8Odw8_ork}h=xkF>RLh>#-cLMPq#qwf)pLXMe z94w$3Cz228J&&G86v(@?bn3Ya*khVl19}-`#`$z6oy~_{7FB4BsGrcCQwSoPPX7e& zDebg`!ZVu8rN-w}E&(=Q(BzT8UQ)|laP*3f^XB9=HNaOaSU}71_U;X>=K=PX&K?0T zmu&c{yrYKZAik$M79f5g%TgeIq-E#e=o4KY1xKH0#WZ-wj%+80_$2H!B)`%gd?Sqo zwDeN&@+pAx!vfmPA$_NJ{386Iy8NpBq#8M@0^TKY{wYjf7TbStXvg)+kkgXG%bl+xh@`8hQAxaAsHY| zeBnIdrpV+M@0M`qqww3psRwv>#D-WX+!b-0QQQ+AO91YRHXWewK%D;sk%wZ@R$!0B z_gYX-7wUZw9}6#DsWU`nt{7yBlDr^hi6UHuc_Q}tLo!=@&;Xu_*g8;nCenQ&`CKe| z2E7-;ohRIv!g3A3D>3N=^j?d9?O`QH)SeIUMz~&v-dmB^77DrI{dlOq6SE9ZcrV6R z0r7))$aDEeVLk=wpM)iT{GfgoQA6N1Pvo72$QR+j#rChF{|xAT6WJk<%oj;b;h{jZ z?+@a4QOg^|AHseru%DtzHCXv2G_HX-%VwN6yU2&_;b^727Y;pFdG#(t+~nV;p)Z|Q zgSbkz7!Tkf8#IHSryLLlJujK+4V&JwY7>Cfvhy-H@{z3`BEU6rDJoCuTDf%(6ny2s z$sn$iC3thWUb=Ep;U`ydj^>D9Hp*#VLEI$gmxRJ*`H>4aTVyEL7PrcR zd`RMeX$pwjWcY3nx64IVPzaPITf=*htQ7{m9dc9!I0}{#JPJbOBOV2zav0YN!(_t- zAnuf-_=|^KGKEvf-LlbHfN)u?E`r!2d-EvRE7#ydK{Y~7<593rp5Z-1q@4c>#`eny zPAm?{E{gyT%8O$G4#^Q*bdbGyWptgq*?Pq|E<@PR0R7G(t+2 zJsG6P_1geW%bR>$d`4E{XLMF3Tt^V+ zzbwaY0JtK{{)FUJ>FEsYnrt%@;JQ4~75#EU#*YA&CjadUa8ur`4RA}UcKrUydqIfo zj-1G!9o&`me1YAQPD=pp%l!-63iMb;i%qyfPkR zo-E2oiC^SY24Cfl#{l1?ya6gf>`+MhDwBB2xK3HfMYi=yLS69ulyNMwL78X);IABEuu<8_ zmCQ|w8&_O6EB`(RwnYiN1+Z0l#UMcGzZYPeGL=7#+pe51iXZ}&lEnaml%oZRbB7|o z0|YDEUIBzC7w!UtDh=)dgemvA&aqSJxEWxVGPEKB+^sxh5Uz|X0L)01JrUV4#k>_jqB5Qr+T%(zgCr%r z48RFxy9#hp5k`Ph%Jh%WOIG|jFGx|c@e^nDw9>XSz!~LkLx8i&KwhWMDQT4e&MVo) zuzq4|rc|^PU zjZ3Gx5$O5HRD_rL3|i|4^-RiNtnVBvp7Di_{m0AjR^=!cDyvq;Yhm)<{v^+39WHUo z)A4)|%ye1a7!o~(pnztQ_@mwpn5*K)i%yMdtze^eNB&B&p7|HZHSpoDG8;GGU1_r= zWnrad%cGEN6T|hpc9GX%z2gO@^jPWxZvV-5pf$WwCMe^S?%+_aq$0E<@ zBz`l7q;@#p-b<==9M~%|4~FRiYJ3sI?-a#V-3?+nuOa>-NPxFV6z3!F&BCh_z*do5 z79s)SpK!#2Z&`TS2o!$x0d|P7ocjffOT6lb3P&4=go!_11B(&;=YV%q^lc0*PF&^W zCSLe|Mu&eEo72F{6E^w4zKZwp(EBD7F0U1cwa(yu7ngXS^iwqBlVP~Hd!+nSfI?~{Xmc`%O$2zd4b1u zm^^qIdf~DGr_Foh+xEaBWK}*q+$XmMLS(}JH*PeQIL$2%aSYb13s)h!}-+ssl37a+~@K*_wEbXW+808l2>`W zyq2N7HGL~LdjjOjby!!iqJ;N`>5tN%*Oxq*`x3k_(sn#Ne3Os40+%lxBVqHqJj0uW zAM#RdhqVs*~ox$_2ID0uV2&R$P_2u9oNN<%XKG8m80KiO-;SS5^7g?Vj4@FnIUX zSxeyIA!=#B9;sW?f6s44_QFD~aGqgm*Wl;TYHvk|w4TptXj`8y2*|M`@50+H;Tfv^ z%q{TIA;b!j9p`g(wNoHF@7$C(yIrbC$p8J3N8He5yel2{elpr4X7XXk$nIZo9rb|U z6sKo#xQ_l+8-B+e^8kIUA1_+tn)AxAqGMxFoXN!($}1_$8kSti?;KcewC^E^?qqug z;jE(0XMuT;|73`GQg9TkdQoUo1ct<$7t_^bJ{LqE`oR1CHS{?YdTS}14}p9s`4`mJ z(P20EUQfsPgHAt6^MK?A8py{B{xo6{u#I#|1K3CYoEApXH(vV>P_irZ4pKmMJexzb zI0M8e3g>NnG+np{aF}NESU*DN-$F8mHuLuQCVJpGU0U1#diSU&=j`{XJD1TP zkm81LAJW(Bz#fre29QpXyHE00dXS%_YMjll*fcKS(I>FdCT094i@~QO&h!l|Z zN|^pmvHV@!531q@??0&yck(Z)-wBwr$Or+?MRefBd!=|xFy<=k_yuqib+W<{Wdo@Zj27pm6#Nl0o9JD~#=K)KecCM!>_F7vB4P#<_K4`)u(??=|{yP^~ zy6E=@I_t4mS{^}Uit>rLhb(b^J9tk-3H|~kTb#j{4%n`G@Dle-*uMpME-W~ae<4QC zg8ED0z?s}Dv7O5Xuf@w!;N^&Vyn?(D)#m}c6=6JfbH&6a0PjTOjR1ufO$%f*^u;-clkWfTkc;3 z-fFp`7P`(y{>44NM&|!OENkUGF5~*jN_+ybPcFR-$w>L0i#Z2mg`40Vl!v1M4$1vo z0f~}-A4k;D@;Gk}4$B;#mX1iDGXODi7gsrs%8%W^ixhRZXkzMM+ z%2nBx>kQXq&Q^fyvMnb%H{_^n@Y19b3F1w;dI3z|l5@Boe_Og81-K&*nS*#&UONYy z_as?@cwbibf!+h@z=ypLWh5`KkK|nbMkHO{>j{y^GK^=^4Eb^bh?#OrIf!J*qSXPO z$kFw{%a(WAgZET6CG!fcmySQkzYpOyKg!4DpzujL^g_6wWo9FQJegh+ z;ENoy9U@<)Lw$(2D^9$u5h~SQwMQQH}k`^_+3pt)VqXH3xm}Fs`c1U&ag2g0^X}zc7h6B#Y|R zf%ku3js<=3=dO@n;=tz!OXqS~f7y_!@V-2Q?`uT{uN%%}%a7NEPPK*XO4^K%rm@=f z;q~5)EI8Y6r`l5hR?%hNPkPXGo(?=|@ff)9qKdZQdDAPdP_3r@%K&_+2|HdxHTZCJ zExk#Eh%eQ5hr&AAJQ2k8B)5SWM1NL? z`jT+#4}X_MA3piGBC0q+{i@j11`5~2(&4aqU6?t-$_)|X0KGJk$LZ8f(ef4aZi(AB zp>SJdo`S+1(c&t2cSQ#`fP13B->`XK)IJ69KwQd&!b9;7LGqDkcLB!IMKw2IkHxBP zu#zE~^6^rpxW?t!EYYq2B2UClP6o0?nZ~g4RIK51muKQRC$i5)rybCHA)1tem6sxs zr;Ar&mjU3l2wDkaIU+CuB5%ZC3#h*p=__G6SFGcmz&r7RGnx0|2Je?Xh#t2={3vF+ z!1O0^WCa|379l*#<%wE(Q2!!koCEkON-hKOn{eP>$`=#3GFc$1K7^z1Vo?_me~AA4 zzW)?Wd4v2*+`9v!v#iQ5x{Ea9fw@xVc!20CyHtgUo1DSlguBb@TvS>m-`oS{A^XgL zh^L%$3Ld=Vl$GEG$OBw_-X=Y{>>ntrIYT{2Uat#(JLGAeo`U7mHXw$`k-S)hN^=Pi zCU>8N!cN(DCa_)d&&g1Tkso+pcT{ej0n>4ECa(eUat;4pEBy2@5@vCLDd6!<1}o(mfbo-0gE$#Gw@bct_knCvWX)^-bsuV zUX{B~0ro+5C;{+Mj^GO1C)tA2jn6WH)8Rbn8wu~O%E(ki?WXkS)6-STT%NBzlyB2P z^i;0$g5|Fa;cekY<@yrnZB{Pw+_Ocg&I##OWjL=g0m}QoLENpp=R`JK8O#a(Ugg#` z5F?atMM2!BgmM8dQW^IUdU1-^Wr)NpGseQhF=Y;)5+*9|tHQ%^rA;efNlMxZh+I^@ zOaOLCX*Cv(t|<1e0j?^Ex4^rmq@}~=btQ{)$aG~Ze+%d8xZnp}rblS_1f}a~p%VM$KvPd)HjR6^L4`cqOa7G6-f`_Hlw_t7cn4 zX=D8s;%%QCfF;KOo@Lw3>H{C`8@9!@L-ZZcJC3t~qPd`}+aN0c59%E;2QaUEr-3Q4CK{a|yn+ZMFPOqdJ$*v^X~KkiaxOm5>xE8tF8 zwir=Ow5^Etq+YzvOpf5n&y-`2U~_6F56@|@Ioid;H-fTc%ob3Vt-lC6%SYORvSPD8 zw45o4N2?3{uJ&}Sl>XYTzT`St$DD#k=o7#aT6{22O^uPekp)0)F=f{ zb1Oyt1lU7v|HA%Ws>R*0k5ahc8%Y&;SF@kG1;XC}>ci!ngEZtbY#yQpaloR;my3ZX zsUg=~PEq~0u#!UFZ1Xhb@Hjg|Y9EN4rTrnm&e33N@Xk{_zkjK8`zv_22?q+i+W)l_ zw|bAt9)+>{H2x-xJ)pjAA@ZDF@VfGX-f~j?ipExf=_;cdarNQ;I4Orn~?7_f`5?lPe;x=`iAjlyx;IJ z*zEs)J}3ih`#@%(*n{>U+eY9HuGt9kLq73#ak5qkmZz-c*Kk@ZRBYAhhE?!5V`3&K zGv_%$a@J8!Yi2L$0Npu_9N>NKn$n=po3tPF`K>s?T)=-@QC&EcPh}RRo&fjX&?}H% zJa0AVOJ>i4a9y^oIj+lx+CgT;2(EQIQ$=3nT&T)-XsjeNUaVZnVL$Bp(ghB1 z9fb^sq#t<oNcG$y8A789077YMQ{3rJy3bS5E_ziG#NCv|i*Y!0 z<2_3Z&E;<)j?!UXz2j&J#sSJL3(r6#fll&f>=^y!0gy;j`Nc@3Cq-f90%iP(ATH4g z-Y{RL5+9&&h4!kj`G}1Ch|=lQLSPv*wG==mtxbk{7TIw%>Ir3fgP2WKhJ*N&s=6c2 zXEd63gwJVAO?Y@gF?B$ENrC)qUeTTYaP*q`{Rv_Yy?h1Y8+w!jg}1am1>SSXmOt-) zM>m_n=6g!vO5q0@#~ZwlbdjIfCpyMeiO+QF9Ef>Tmy?t))Y=o+SGpPsE8i$C2_T<} z_l9Hvty%(O->Fv-c>h5W17PJR&EaE(Uo`hSB%MVuM-W{^W$wX1(VE|gM2KVw z-agUYA0SdZ=F;MRaj^|-9uP(RfE^S~p2FrK(JcUaQDV$3fN1e@FpM1*O&0+i5gjxD zB%oZ=Ix5tWz+#1G7;MIgE(x#_FK#*@qy#aC&!vuuX;$!XL!9EGRGKKoE72|C#_RQM z@r-APJE8&a3-5~Sp74H8ROdfOa9?cZTEhb|ly@o*MYS=whezU1K3Yu|9p{1fSRiTR z{O$zLESX|d4nUTe#*@|)(O?=xvPB&}E<%3C6U;NQu`b*`7t;qq@`Y&6<=>a0FHf#N z#2*!5?58N!5Wrc+=i|m)hmt&$V?qg)T!ITAci znaf$Qmz?n$z+1NDMSQh1@`B+bE7XJD8tKvwA+42KZv^2htzSTLpM2dDAX3)MhU5Y1 z+7}`RDg?Y^(%lIlQMzZr!*Mx`%hE}*0&;((U1>i6PRjed4LKz{R|l3Xt29Jp zDY70flBeY!E;yc%uGJ94S^0}sn{#r{WDw8Gafd-nm41C8iNk|gAU>0k%YeO*iR|{J zjQRzeuVg7#*nBNN#6uxR_J0TB8|lSc%(wEkH@xS{YlETpPB!AdtnyxV%7DlRxsyMx z`zX5}hOtjFn=9f-$>u^KPqx6X=}{}Te-U&lHtm~{1)#~-adk(y-JN3h(sv0iUQlG{L8z8 zNX6nEL~z>6h2{f_!u7+0%D)d_`jB#J6R;@7?;#|km6`kl<-Vic{M<+65AA3;*<-w;2~bItG-V-H_*ZFK^S{M|bDwnQ;_)M8%1MplqR0nasQ0DXB%y_A2yr8{O9`aWq zuayG+NbZYbtPI{)WjQDN`AYq%@KB)C;T!v|yx=p#AIfU}PRUDc$)6K=V-E@2v(m=goh9nKWfH9Rdad)98!l5 zfMk?9yBQ=8t1U-EH6Kvj9U8)1zQ~h`@xUW{= za@+&;Mkino)tKe*_efpC$2aM!#~5_vV|5L`P8n*OCa{vJ*4YV=r8cPyktgbe6gbLO z4=#lIQ?(PPsL#|Dhk!j-mpMT4g<7gBL|&@yyxzW2i=KeUYc<>(HgnXLyqkQZj*5cY zx9TM>f#j;jYrx*Ar8+|Wz3R*#>3mT0H$mZ}x~wgDpVTG1Fnm^zaYZgq{i^|Neo^Z> zg7;N*+K)T>rs5BA;n}K5aUd3`pKHSVch&4Ato%^p13>(#_S+4SUuyPX1n6v}0$?u2 z7H?r}rLli|cyKjVE{P!AjC~z|xf_d5g}+tCmtP^d(HNf%y-mgw8^GIQ{Fe^{wi<07 zK_tL9nJabMjA^m(x7|1_5g^c57O9J~b}juj8H>-FWEd8?OX`SYW(#3?e^_HoQCkY3$4A>%WZm`54k!OK6OH zaM5NJ+!~v06ev^wV~&wjZ8vF-r93M z{aLNK9D`dQ&ASB@)@ZqdKwPWc=BksgR=`W^I<4j>@YZYH+5+>_lDI=QXmv9n>94i9 zi;y;IZoH-2q#60fHfyUsBZw_plOy15)lTGt7oc_I^XF~aMy{M~*WT=adZ2cY6@oPH zdXU_qU7ZgsSo_i#AVkXzfMlrV*cDiqMr|OnQw#bE;x29eBN*GQkmd z`g}xlSR3yI(?_&%%it(R+dmK3QLV8fc(GcJ51F!g+lLwX3sP9ZSDFnh}_j4a%uLSR<}KP_qF^tAU@FkSpnj6?Fny?UTPJ( zBbHZM>|}t~+9f_o%+V}(H}O?_m)0=L6TKELZ&f`@sh;J%=A zULOrg7o`uh{vMl#Acu_qiT2PREzlm`aUHlL{14(fvfmEa8P%l>xK7Xc!->(!)gUuw z1TPe0ORYtFT)Q~X$FJnYa6&U)_Ldg#{JPBiAm}TW7lW=dwdUvILPg9G-Aa<2khs!V zPGH^0u{gxt$)_WTtElHmNP5tV4&ZrGY$@=(D2@+KylLGQ7+XzixZdwW#gc(-#aTD7 z07_f~Y&#V_3cWz`c?>H-WS$9Z2LH-h9s75tN-ll2?p!b5h=YaT%o<0KhnhuYLWDenv3G=?ENi4j-rEt!Ja;Z`+@ZM3x z8-Vw8%?^4Ws7N+Ee55Joq40^SuY;A(WR(lOJWA%H&o5-p3*lE(r=F>}F zh6|`cN$|c?9fHl(B8I=`@eyragSS>3*a8J#v8=G1zPt-~>&2!D0Di*0HbgcEPoDSv zMPe+78^w^ja2q1V#3Hg#(djZoc8XOQ0K3GC3%G~fBAtIS7A_(KK|CTd`6x0*OgRG( zE3RLIu{iOe2du=4GyHwcIkD~}B+rYGzc5ZOhy|R(Ulg65K=P9K_zaSl#n{r2ydn}0 zLGr4&^8w(Rh|Ge>BXNH`cmH6loc_u`H3qHOwdCHkakzi%@?MKZxS| z;ln3k(FEYL$l>#hJW-T)s$Yb{W9h5VYH~-4yhwCizWBhUb}#9}6&!Dwb`hA5jOE{o ztdXy|y1G_AH3#sOe{;=kopc)y$@Ov#m)HE{{kpKZK{~sD=P%o{zfc*)6L*-b=?TeQ zayV~8cgrgL{ZP2PHVg`TnZY~pIBCWoE62;1_rW_R131k{ zlqW6$9GBi3Ad)0ce1yU!x&1w`%W`x*@UBYd9o%(tjwN{4%!}u<1LkRO*2gX{R# zoW@RQUj~vB&4<9(q$m7|$K-thxK6273*4y>dDu+ru?aS(TNiw+cgck^HV;+@=E%A4{ENI=M5=$${Px76?NdsfCug6{tl;b z9}xFYuq#50AdkHO`{=w8#7J7mJGTAQb1w`Zpw>qa=RvaMhkl6e_J^M+3Y-LyXo@}o zk;4>T5h6$EZZ~+3A%{7HZW5Vy0XRW*FN1fIUY>>CDN5#)J(*HB!g~s(WAiYQzq)6|*DJY79iWJ3;y?4Lga)!Qs3v!lnxyD&Y z6?|u*ywn@!k0_4^`^Pl=3R?D*s^7!>899Cic~0l~)V-j*i$K1luBm{$qV#A8v5Lr5 zrZ?CK1>svV&4U3}5&3A}QwYx@K9FY{wCp3fUqXaW)Rk+!c${?}oP8l%-VJ9e(s(t{ zOvG_b)m(hXtIihUQa=z&;hF%UmDtNWBKC=-9`Lze)H4D(AhsVsJZmwrF5=mUp$g6p zim_ENI3#BB5!s4A$`J3c_=d0DBVrKuwszuLcNiQM-*IMSFShU!lY`Kk!Dp0c&KZBS zNZ~F&R;(TbFLA>910eCD;~f|zh#wn3mnddAz)O+{9tgW+QThUq6yd-x0Z0{ooL;Ai zq5eRo3u^^khS0gDnklCA2A3s1PKE1iafW-U95J7_x#Wr;xqzA{%y^v37x%vgq(F4% zhmOvOovzTG6;1C#S187Eovui%<&wZTk;~`$ytv5)!D7)M8svgl%$3}WVo6UJlnA?~ zfLs!OYvARw=-e81rQ(NcK$eLUl|Wt*t$3D;M`n15>76*uyYt_Rwprx*>63W= zFSyU5_BO~DVKNkUrt+ydT${;pTw^wu&mY6wLgt){3v&l~X(kMg$@Pa2$WgZX6K!#lFZq`~E_?F=th4-e8_Zp>5g$lbDN6xylV)6D zbC<2Mr|^<5bGZ~9-N_%H)D12(QTWClFqht9VJ| zn%vBlfpU3}%b(ZfFX?D!h3sGjNToCwLs%uhJspz&79g(qkG)EK_N_A6S5=m*rVZXnjG zYy_g)s3M+09aKf!V;)ki9-tAnDvv8khgFYqAdjdzE8xXW6)%PGs1lrm+AG)7uyar| z=RtQ&_1KQ+j_Mqz)=sL3JBZ^djc*cX_4^NixTw(aAg*eD5`4O;QO{uRt`hfycqk7u z=seZA&oK8=19?Y|xB8q1;-g-0W#@#d$0a~t)nhpzerj1Y4E)tR&J+UFo;_%FpqhUa z20is<>umMc32>g+5u_l(-Q6v(qGa6cf0Dk>7BNG<1AS)5aTJiR@ydUIw_tnQWq zc|m!7Ld!0ymb@veMBN+?XP4C1Qz5*pdY=cRRITHFuuOUV3hs*9&U^W;DxFKs*VG8! z^--?&+=0P$HJv~z)VSY(tW=4->!V85=ij|r{lh=i4Yh4C$W7J!AxMqtyao zw^id75Z+M@rsJURsvcbIy{GDV!r6WGvJS#NP}#PKP^-S->EArM zVDLnRaXI9vn!xd%sc*UL_FUEf84+HndDFnXRGT&YsIQbqKX9*AFyB>gR57nUy;VKB zfP1GV^Y*9rs*IQKKd6YVF#o7p^V-QLb^jU2XLb4ukY7|-FCa~|q)H&owE5Q|G}qp9 z`e&i-Ux5gg+Q6gGS!oStL%2^{$$7zk&AkS?1KPopAl68|073OC2+j^_KjP;4U*7i* zmti|h`U9UGM-<_l_ieHZpZzX6qD2FmZNcZjZVe$BG`a!453cJ1cSB;hfHFOflj|Al z_@|vUfrrc4rJPdFq0VT)+`+SenP)$?$Dkp}+_QbMINEQ9a zd#9>t-*u21gw7848?71+pEcxf1ZTJC5idI3reAojc!zG=gS$&L=Fr`v?M*=L(+&>w zfa-a|u9loR&_i1M7f#kA+EEP1V>-_l#1q=t4alctT?_6R6>$>toNjX|^aVZVqSQ<3 zyaC)RvdVz&HD2chgEzE=2avav@)fvu^kfIfdusd)UOtcqKYkD-oPPutEP5;lGDN)L z%2B8&u?2TZtmW|_Omr>-GF&WO0TLmCxE>oR#;4{K%zwK0)&kgdp7_QBR2A! zFIEg517Vz4%QtAeNcj=2kvDRsF;P@T0+J+h^3m#K5z_=-Qp7)&;8I0?U63>}it~qb zQG5zMGsG^wmNG@7t8kVj-t$GBEdoQK%Mo|@s>>C{d?NEi9&g{w7Y1H1Ef6MQFgPQ+ zZ-&8HVZoK+Lh*YXTo(x|o@bmBgHynr7hAb!Ef$|l;Ov6P;~MWpVa9L4DiJR|KrV^K zyr6MeG~%_OQt^@}-DM)5XQNldFdk8_3ZE5lc1;l1GRnm_JiWOtl6h4Oy$oLymEz0+ zc&QQ}xe`|`W;F$OL)b5bvzx;FZ#1b!bc+YMC1O%wep@u%59A$jbr8s1(Sg@0kI6zV zuR6-2tq>lUV_)F(I7<_*Lbyn~MF`|7zln#?O|Ea`h0{1sD zNVI%533f5EPcI;2Wv>7|ikRY`Ksdm?J$eK$t5>cZGSL-1h^7 z`Ept_2n*zOF4>-ucer+PR<`&CUJB&}?!JrUey*pTlNNVD&dYT+FfW!}b#NEttpeCx zl#8~)u0;OMt1+gkSuTi~isWS3LP_qnE!8v=2(8qJ=0NUK%Xt>CUv1(I`v;UI-yPPf za6N=J>K;!w4yuv&Av~n^E`*(}I?XrUVdd=v$Psmmi{5rBfoFzCRmMti_UaGbbL^l> zctky>{`ek3M`gon9!{#h8OU+XF@!EhF!8Ww>Bs!2Sz z4pKI}wic{*ormzG3g;U=MB!@V^zr&F#5<+js{jd8z2CuSxH|DSgb}JB6T(RK?;eoT zN`}E%lp4-2WQtbPBjF`R9oPsGtLj~WU7Ruxgk8Ma+z$l1)>z2SCDU#?eq_F;mB%;Jd;gontHOU$-3niK59w0{Ad9ifkffY{M5++`o7M!fQB zPxZ%wbD)V_**`|DI45wV7CML%4Y>{Tb^q~e72y}uhxRByY<2hyWqh*|6_*0!uKnBnT9&!We z3h(0$qPERo7ffq7X+KF9|9~!pA~-P&rF@G?pN zxV!sEqg`SCiQ1(A`I#nhp7@34a@uDqx^Vr*Of;VXp}F{(t5p_aE8km|;uQD5R^kqq zAdiW)&A~YeXI_guEz!pS_=bL@unG&ZlcY65O?v2cRG29?zR8lsa)EO zc69jmTZlX6@bKE*XA;gtk0Wn@@Acbm9Qok3|DruZn(-4gLu2^@pP0v){-j#Y^rs99 zhIZ=YX0V!et1%ifJsP>m|GF%#C;WDJ%jJ-cBf_B@`iYl?hK)N2`w>O_nC{4}oOh2} z$r~R=XL3$8ri%Odv5jZq`?vwTazB0*Ua6~17(5RLHn9bF+>?Gi5AEclU`VEX7r`x< z_dT~D^A2pMhtuQeEXX(eG{W~S7TuuTcBKWh+hh25-!WwXzVFQC z8OJVh7?RyBrb4pk*(D(NTI_>=Q?h;u#*F?bM2pPnKmc4>;O&**EU76MJFTe07I@f4 zPh%n6Pg@$H)dy%WSE;SZB?S;0+JrNM&ZiC!2Z!i3XXCciJrUeta<78!2!)yhX-B8m z!OKx<#@)L;Eg1-%16h9u;V~M|1x-f^xQgRR9zZvEX)usXBn*NmW-)}pl>J{GMq6#+I)pl9LKjLa`64_;XL&YUCZ`wTSVG#>Q_GK!{jgAlI>;BsmVjrs|~SQ@t)&f+MPqsLQuHXsRfIUYW* z(UvzjzH;i~1!M)S8v$J-3?9%iETZJM0i2V+My9IsSU5c;-4%|f%$97xCsc}Zp<0?TN+~w$UE}n zJtVH8E*C%C#D-^Rl7~po1@ROsxO(9wx_p6ww|K_AhmR-?0e3=;_`tcUUK+)z;=z_#N?p1?D^Lr4U6fLdLmJl)L1PnsOmE|xvCH~>bUznKB zT~WB$S&rxtqQwzFBE{(EfSeXzIDd;0lb*voTCC>LEk^wH4P3{H`x*@5#J8>x;uXse z!6k^@ondfIY;=T|auLI4w?gdY8^2QY;7WUym}U#}YSEfg`x_#PH?iInt+^^!BVMk9 z!7cHIhr`?A&&eQngvU`p?utA7T*E!_{TCqb3yZEmJ`hKZ0I3yyvLJjYzUB4FN1}Qy zbdN<~BmzAVH?t7vsaVgmqGw`OBj}!s5Jw1Kh{f)JycE5*LHJ61_!Gj{B9@b?H)8l~ zaBsyfLWFnX$0Be}a_MO3j>`cb&=wc@Xf1TEvQ8w--DJBTAas|CcTRZ7SJJEgq~BiHosgwQ(D}-Syc^L^&g5LhU%Gb(BtYKcDd_D@gP}y-LxKna|Uyv|)gG;U9viBu$5whz9IE$3$Iq^O%zvV9B zs|arve13khV>52UN9c5llzTwS{(7jD2o-<5%{VRuh<;U~cFOUuz{!~^-9huB(~{4;b9Wsj~n+DEdP z51c)gQ+RyAYaDnTJBG5rKunJ)h zsVT-Fw(3`2Ts*8Ew}kG9%HUmMcB(yZe8Ovj#zAMVZt+OvpvKR}`8=j3PXfeIeanSF zC$)-ep2t<^70@}WM!nGp7d2uQI9HW14_@$^palrzu9jSeGY^%)Q*uw0+XO-{{AXl9 zywzd8fqc}0atKc-*FQm!h;bl4HI}FO{%R|~06ai-XbxSVTE)esAZ6DFcEPHjHGG~_ zzpO{}5VbNDT&VhoOFyTS#R-rwb^I|%xSC`N5}}qzkVy4{5A(FT!n3I;HI#d)XtgI1 zBu2gDeIc>RzW`jE`i+;I;#C0;k$6oI-%g3@>!Bb?YW;QSl2xZR2%Dl@encZu)mWbV zq^aFZ($x{}cQaH7kGGlX4RIfpHHmMFd}T2Vx&rlw zJGe9IlnI)2R!#2#WSOdN2XaMaSwVMA_2-_iT;1U5-gUKo2Dl3KuD$r`f5}hTBFH-o z<%xdBOFRztQCyzw=MVyUf2$q{GvHMSBmDwhQL)PQQgc%;0U&1zrVT{KH*H zmTciMb?I=vZI|8Ta`E!K0C?D3n+?pC8?AxgwuH9{Y&V>6%n>>d>dOCNE`a>FL>Wj? z94&%I@o*SPySV#Kq>~5XIEnUiohF+SKEYWIwM+wdj*h#*;5=>UgVtQ38$8HerF#Z& zH)-WNkQy4l2j&l{iZ?^y{g&Kszout5VfTivyn{1Sk<0Z*GjW&i5*v|~2Xatce+=X? zahS_kj$(co+TtmUZ@`O}a2p6+kSOEJE?D&9dgp0z>l3_0iK=%%CW}K{_D>P(b|6r$ zSlSH2JQ2VNZn3zq30^LUA76o76FoiyQZ9~d`~R|k#X+Dt%;PJ(V`IMA`t%8g>%Lx2 zko3R6$?50T_j2=ey^B$8(S4sx0v^Bo;UyLk>CO~qXAj-j7=K^RND z%+Z!O%Hs<=p1k=QNT3QXrX*6F8zLmpwGh}PQ+77E6l%)bI#Ow8Gk8g(@IvU)shWrO z3~F->(KG4ytMHjc136dCrirOAxJWa9g3l7l;N_0XR4*3MOR4e)2+L@GPav<*`R~A8 zrFtDf?vgj3T{L79xCivl19+*WR$O3xNQ1UP_=tXA2o4W9@m27Vie7FdFB@TxP5R#6kveMa)wE;Q-fG@+L36?3XuN zLU=&_#OnvvGA9K-ZR8p^*d3AwJ3@yIAU}W{mTuP29g&^5c6L<$IsxYPvJU6Q4svTZ z2p#1*3vf;{Xc)|o%jdj{*+ov~$+N5MR1KY*Ts9O)cbVpe271barGR+J34KAlrSlyK zedH=n9IdZx>H-5lsSiYR{bjdckO1k=^#m+ku+L!G$q|r~vRe(}g~;4|xIQKSNwoCf5>t%)>lsL#yz&r`c$ww^g9K@^5I*rP znkbND*@}Oo6uIggqNhrSUlBb`hBp8*Lt3SQWXgTVV4fxaX@IcV^2TiV%$4tsg5=4h zUtyjv$31|v0y%FByquMtd8vw2bIf~@3)ri(Jw89qzo ztTu>nS+?bjw^UZufv`*#b%gm9DR_*$CW|>EESH(V;I2yp$E%PNXCYpd-0(BZtL0l> zM7$x>c&pt_*@>$>w`5%&IB&}eE|%Vr-EM%~mEOGn|GsSN1uqZe2c8$yO7muD(nD!< z47w+>&kZ%fACP0plRHO8 z<#88{a8mySLg%b**}<8MnzsX-tNO_wNH>+ok4Jc@6&JvHs!p9?;H8H0JknboIt$?m zHNz4@Uv-jK$?(oiE}Z$RjXB@~)uT-yK`P}Fkija+9AQILC!S=4s<7s0*(p`L5|D7! zd>*1ls5WtyAIiirTXR2G`V-)9_NR8jXg*b=74oAeHJ^IY^aSavSE=D*P7AZ>qXJ zFsM~&k zfdL6z`x$89!yY@1(}Zze-#{|qmxaJg9P5P!O)4ru%*oEED*w-W0l&MagJVY^I*v`p zXZQVFj_R?e0lf4IdkmXlX1v5P{0R@!Bb}xJGs=lCmeEzbeQk_uXT%=+D_^|hmNbX$ z_8H!^ z=|fk!VJE1E4RpTLhBprQkuP6>{&c%OxBz;>iF+U|=W#WNK5>a9n410u5=+hFpo=3z z1ds_7wg$pP>cOd65{+I89jc^v(drbs&$W(JI`S7t8o3Te^mJ;q8$L5=K^RCTz306e zSv05#?6PSY_i#B>#y3$e{m3_M9!+(C5dWiBL0CZ6#xOWTlDo?Wd#*KgzHKgZi{$Ty z>bOm&L4e$$VLW!;rNmQ+aF1^N0_1&~$Q7>#bY~fawX~C$y&uxsVL(2jl^>vcObI-C zJ)t{Xjx-k+-a=;~Y;!@Z#8xi;>=O=O!+gJ}aslLk7;O*ETDWr0Xd^OLL3mJ1t^{{T zd@_L8iZ;B+e^}ftLxdyZ8{Xt;CoXZ>{-|ilb2EGKb0#1T!koK>0AcS3E>Jw-3VyJ- z%fIGHvBnu^DMZxph!H9}%mFziO3fh*6aHN82^aO85HCXP=6f$v99|5&)53>KxKU!- zcL*CTTFwR+BSu^U7c0Jh2S}V~|2qPm5i9tmcW1?rP2h@z%VFrwiTe-0ofnqe_ZEw@ z{JUQe>HG-BMdA1hnp+}t?z1n63@)@?7JeTQp%j@VkY(a9uNYks<9Yk(Rgu7X^fhtd zF9^%U19O;v67%g~@LAaN;Abj3@t|oYZTZxh%OiXhSjdJs5L(K)yCJlapVlDWKDn_a z$bLDb34{k^pKO?0%ZEIlv6242LwHd3@Is(Na-J>1+R9MwR}RamtpGV9JKO`rPVWD2 z43tyf0Aerm`6qUe7TySZOgeB|9Az}mgPr878pJy;qc~r5mjCdMSQj~pQ#Ds9xaj95 zTXDVHT}E97@sJz2=;tX1nu2)AUc=EAZ+Vjc>y3{bRttj@a>q^xePvr)aHwr^rNUpn zz6UNqcIHedP&RK15+tv1w;C)5mP2<^?&G3+hvb0$Z zXLxf@2_mG*HohQdrEMxmp>z_^os&Dc#&KS@;kTIJJwCiQzd{Z-g|JfY=Ulm3_UB3T z4LPg;M|)F-^K$Gf+43tGyq1f8gO|7R_0NF3lO?xd_g*&q7myEfu_;>iQF``-@RJmqJLzJ3f36&v=(K)Z3XF(jMb zw8k0ucg#{CO=bwDi|FST2z!pE zw*`lnr#ga^QxAe&1%1nxY$c80Ou33iKf~#)ruWw1-cYaCfV`zDzHi>svjFHmQ0LBw z@R4L+c=<$@ytw_DtQtc2h3;iSXe!#Ip)F>j^)K*ZE<(SDorM_5{g9=Y&poS^c)JhC zeWGDq==O_Lo^&4&2Ck1;i}(=`+KAb_-g8h4;!|kkFaz?*b}009K!mF#$0pt6Nhtv^cNo*!aP9S>jCpX z(SftDAaRc`?qJcAFXxj&-h*9;aNzVgROEz1cuMq`44+}5IgdZ#Vi~V%M2PlWABq&2 zd@G+80pEa&5;?zsM2qa5Xi|(w^aCAZwm3X#+lx=JyXi(*yc6VLUl#aEck z{O@*6YXCuq#Zv+5*s>EM4d}z0w+4RTp=q#TD6~Uby@aQ~8t=#Vp|MZDb? zBYN^v-h4Erk{4&D=A4Grv{AfDINfpztY#Q-5q9Q^+3-B8FW&>R2MxmaIp#LN&z;Hj zsd-~8@O}PQUEyuPeI6AT+7;vbqHj5)UOaL)@JkvbAmUQR8R)W_3P_fpk@&u1(RF-Z zdDaM@tJY>A;*Ri6@V4_zGLCxp$4togoZ>umZwAlIO{sMfL}uj03wP$!jr%(b+RB-* zB^_-DQ!84_4@c~ye|a5eKlzM;-2r;h0uXCDIt9LLD77n)2kA%7$`4WNQE+BU56eOD z54fsGukonZ~A|M6y)l+b1=pyG* zXX*7^2=UJ&IW;Px-+LqMIntNF?mWFML6eHfh8JuvP|Q(4E>i1Ma3%C91-eT#nM+%j zDZW31rPPjlm@*o_8RQD}=O+rT(&nb{d5u1lfh(sWYk<5?xJ$lXL zyTEspzYD_mdWc>; zS@RTI2SDg0EECZ(Z}F1nGCtyFUl^Pa>mI|Iujt6zApFGNhXL^yHQd(*2>-7@0!5Q4 zFb@(x&4)p-c)(knPKxB7;6lWfaj**&hrMBUN+cV@b(lEB)rD}em#fbaqB|!pk)mo7 z3{H#s+@nQ_%BB!Ti&3X=n8jk+R0uDKz^yPS5$&ST_)DUsV zqlaR@H=I2ZcU-|e7Q=b#!4vU-OBFWqd_xHFG}m2?4}Oy5%7({<9GmubpK#USfBV8C*JWm5umT zbCWlwL+CEcdDiM7$Hc*>r?lX6<|TLY#qKQ!zX#_dPyPUMLe^`GM)=D75is|YKiR^- zUltw#7a&_s0v9Mx@Z=y-p5)D`NwUrk=u+hP07OWYPnsY)UT?GuT)JHN8YDw5lAp&l~Z^r>Y7}~14+4T)e0@EkagLvQhrH+BH-ngyz~;t+p>LUAn!=-!N5Y@;i|l)s?7vvrP_GHZlAi#_0jz* zi|;>I^@S68H}y47uRPR7UP|^e2O8=QHPfRlB=3s=eGH)u`N2h;U2Q;ezpP^@<-yx})azhQVF6jvs}5ubk_Ed{7~L zm48xexKQv}HP{Hq7nPd@VyeB2LIce-|N8K0uHiL3ILX=%ZNXV;ZaerSYqh+mX`i3p=uEnt2^d+`&9uXb=cgnrtj zXK?1Po#_NFK%2)SLZDV&2@<3=Dno=M&4VXD$=V=Jty8rKUhhfMhH)J*U5m?uvkYwu zZ`RJ#a<0Q5OPj*=uWYRjj|e$h{Ax5QSL@I7_dIPi*LyB$J$^*k%bMR_=*qNyi(!66 zoBa=bUe!kNiM*qw^YrGfHi9?w+}9fNUW^CYG2Rqdt7UzK2yeCCl_2l5;k@kgK|8ew z+(&KN5wsJP*1h09YiB>g?u*ugOTDIgCtE}~r0?OU=4|y*JZCtf@B14DcKRqT0v*-A z^#HNg|M(3MPyMHO7#@2YmtN!b zt*by1^hNvNEK#4u9a56M!~+J&di4$nQ}ph<;GU`%{CCmnPlI8et~WqwO3Tn|!=cO6 zJG?;4vh-oxIcDpHoTTOGiC-Yh)ptYylBb)shC#l*{vzTP=pVcRIir`f26tBfYBi9B zdOEM$7U^fW?s!h0&Rz30{X0%o%Jqsz@KT}Y@jRqb_umI$m41VZ7S*~buZ=#`y}0iA zNOx|9Mm*6c@qUOxzUcAZK$;nDR)I4&)SnAtVaV$S zVrdBHr&b*eyu7>Z^;o8kGc@o098-5xNp@*SC2%6++ zsQL*yFN4QlFz`0?Xa&y4P{C^#Ck!T^!TB0`u7iP}q5WJK_!~NL{}NzW$(!v04XZ{X zY>?q9XL7-YHjapJ($JoB)(}IJL4brB{^m8@Q-<(u@DgU2(iFNR!>Z*V$%YrtK~fF9 zdDfg}c=0b9k#5j)AnTO8hnGV}G zpotx$`EBYWyH5miRMUX~jtNYMcI@8W@HFo5*N8m+V+77rRiMLTQ)En_~oy799r?b8``k4a{-X6 z{_F+h6yqwrsRP&7DCrT9aBEu9^<{gWRBtH-NlJr3(P5q2L$bZc$7wxZCtCANw8Z!D|e6X~qud?orlQ=X8htmKx85vxl^W=cA9PX#;SNX?qp8C-i|!dQWNaU3hs$wcNo!r_s-0_k#Qk z@bZ%M6d1gs-5xM_O<#D`?+x{7jOM;2&-1W*N4I#v!ciRR1)-B@R0A*0Vh1nUyNJI0 z6T6BS&WYTxK939CUAXmu&_f6=V0wxvyd>f!_FV??#v2`QC_bW(56B5Il`B)eVonrv zeqvE3h`*TG6io^c<(x_fiVul^1c`O_Xj!m`-iAOY#TBl0g@_*|kfCBGkGH49h?g)A z6K>0JDB;47yRHaP7zkvfIL5iuY2mmG22oZWh!(u%K2|vJ(ng$E5CFS) zVYLR%62ynC&?Sn}nJ`EaPkD-wEZWb5vlOu+9tNo*_*)pHiHB7{ri!OeomkLqId26Nc z$wc%j(SqN|RV`leuX#h%aDRPM{CEq<*P@0CDQ`p$576(#3f?dJUg(w(eh?{tAkarq zGZwl}qVZUG`7Cy$Vualf>%W0(Q(4Y$x-gUHXFz8z>+%(1Av?K2XDJ_liw0WBP=1f+ zKKYs}A^YVX4L&{OQob}i<#R55pm6OD&PQGy2!j*&pEmH~E2ncc)K5C}fzV&R=kYc` z%1bZ^lrwk}OOWjIEu00*oIT)9%AjX}gvbHh`G?AJykGQ`>|GbaFu978^<4Q)7YOs@ z?SX(4$aB1_{fwO655lu@{a+x3vZ5PEk^DFvjhNxtNz)yvYl7tTbf{FlrKNx=%?Cot;=6EbOINkFtfy&SBle$lggzF;)N*Jo)CsAuMOZ%slIyFd8)GKiWmxly&*iSM)0^>sBXRkIj8>Z2G{4+ve)oZtcLI${eqgqk6To$LasX8 zP|febOO47X2J)7&TaE~~)ebH}-%(B3%U!jr9d!3pMN5$Ts`m=my;DJHAn(n7igu8Y$8=QxBw<~m>nhE>#(p>pc^wu79gt?Da zYYO2BZ5CH9W3+^xAhB8$UmEe+qa++rf|kzDxg}~te+Dv1yU(??WG(a;KvFd8SrDdb z1CD}A(`ti3(zRdz+n=UItrAr-}JqGu_D=k-3xAjNtqZ~ncY?{I;^Mg93g2ut*NB{;Aey^v?7xAYnT zaz~%s9`Ww#2A(S1)7S7lc3)rbjIa-MTb}pV>c7Uq%R{|4PZ1yK$9q8dShu?a?u%|| z3C`5uxgQX7!v!uYTNrA1BZsBoz*o>&87c?D=RU(vJ)zrgcxMdc0mC337_1F@Pr{3h zVP-6%A2ek0BJv?agL~k-4Ba`m@iv6>BMv7F(Y$=s$%;f$d>CrW1xpZh^rXpq0-h>8q8eoOwe&UpywaGuXW_+}??HzBIhz6~Nbq$c_-cF<5iD_0}+VJdWs{p@`R1-WwdF zzh;7?Rq6m>RuJgBLR+!E0&eMi%W5&%$U^V>H6j zXbcx7tc-pb3}^d{g1F|m-^hIt$N{6(qhRi2w2xEN<3^XcqjoXcbR9ZZqbN*|G&iFq zybbS^krVesVMc?t0}^4>Wed1Sqp^kHP8((La&wf?%TkbNqcyy@BF5+*XYjE`9e={9 zi!)mFH<0m01NqubFdF<0x zG;9DM#YP>u>UY7Y85a~U8m%{nmlC6@ULco@6rbIjMzeS&sK#j0en4&;_2X5rJ4WZ< zLwMKdXf}M_Gg4a+;l7c9%e8NfJOUwnXVm>A3_cjOErRf)(a8)5KN*$#fP6NpDuV8d z(Oj`IUd7{jSp-AxnMkwf1HcP55Gh76604EAeW419>8H92|?qYszK6_-`d<2|5HeST5!cUB=IeC6+ zJiIsB^2|7ct1+L9SDV7%v+*V_a+#X^#n-Nx$x16kH#dnqhD-_Jzae36qEX(2cK2gd24JCZXG59$?~p2zG%cyZ$T2 znbf_4w#1n1=?fBT@|a7q@g{SBK)eK#^a5~+CX?16LXt_#GqB4yxgP>A1t!hy;Pb4> zV7_(>O)h={DKe=o2f1d_bta;ho7mZaRG6$r(M+o}nfV2z%H+?rFn?%rY!q~lOhgR0 zCnoM02=vrs^A?ytGf6{17+v94TB|uces_4m>k1uj@L3+&f&21NPwJyZW2SLcW9%@_ z(Z+o!1b+PHjrg34{Q3*5Cbi&Eesa?#Ku#&uPr;@8`$Xam6 zD2Ov=N7@z#;zV0Z;PW_*{SnTbDU!?SE_C__7`Rfb4LCPy$jgfEv~w3Y4;s1?5j<%& zkAz<2TL#XXF5Lp>L#uen`UE}x6~ve7DERcFqxTWWpW3}fI|E4PU2&PT^CIlBs5hUa z99qQnqFlN-6n1&^)t zM*$$k^rQ;H3$#29+(q)@AE|`)aIb!e`tjp^muVgEK`y1>z7UpC+AxqSG$jkdtK`LV zfNS(*7+O|Nmw9dTI=PmCs~`^nu9C82(1c_V{iV_B#@|*ZCM2J^Ib9jB| z3;i7govEnHNOLiYe=!SD*c-%B^q&Etm2hkUvQI4Q1F~NnHHF;)(Wo;5S&JFGie@7& za$63Hk>kC*L>_n2u3~FAj=@a~Nd|Ej=NCihA?k5I;3*`( zd&o-|^PI|CjBbcVM2q(=(4-g<$=z0*7!wJf@nXj>Fh~%;h5(W%da}9=dU0SMS%t4JrX-Ez~HesXbj{NG2a>7Q_+um(q|%!SBIaA z?7DFF0woG~c`1@hL6Ip z3!HrtzuklIv+&^C$w_|C-O6!!nr~)oj$=Dl8B`C>++^LKA#|7bd7kYdgUi5q$_^9Z z#Y_HM4Wzeh{Vlxs$kfH)PRON$5y)5i@K5C@4{e0bUz$6@AV8Y%Zi+xTYbJz2@>efF zf~CbuwB@9H)El}G`S0K05@gm$aEUU3lmBEHv;)yo_@)(Z_kLAMV2>V3#@|7H~VE0;n?F8K$X*>|RxAG8I;Ox{EzSxhd2^9$Ippwr6c})Fv0mM<&@~q8C z4Lb$haWyLtoU^LsVcbO>;`y?xnrH)^n=0n6*RDolrKFLj$sMcyiQ z6*wQYjg$Bj>bs{f@Kvr3AbzS|Bk259(tl+nb?Q$<2vje*rWm9;bJh{8cJj89I91yY zT)fJ=js_;GBEB?|)V?@0B3V_hgJD%wR=_ZJ8+sc23OE~`A=Y*ngW|A7!ytz=uT(&6F%qLKhfxuL&m-F6Fto)^o5gqWQElinnA>ZG2cdJ&Lb~8E zk7>sygLBk+aSG$4?Xm#!xaNHroU`WR0&^GbQ9ZT_0_B9>@u8Z4|utYRRLZ^V2d`!;8PxFAiLQ_A`$Nfm+EX zAcM3egW)Aud(6|(liEX9AVakDtvJ3=tp#uVIHfftkT7jVH$cL*FcWYQn%`zXBDJnO zdp@l_{R&>9w53PDMQgKodJ&^-sXanW|nW}m5T2-3XYAJN-+8f>gkfBwkLzk(o+YgeZ4ebPj60PZWIJ<;b zFoBe6&$s|vrY+``$1B?3(XhL!9p`GqH7)!K8egleHwE`lyLuYjW9=MYV5p%KL-H+SV*5(q9_PHj0fR`8A##oS-TJKD_ex<#;2x6t5;@aRo{fCV}9?&xefmrJ^I8U?D zAB97AP&Whuc}S1tr5RiOE@#t+bwdpx9{M9w5KsO43?RMrlyQjeqql4i;R#*b1kzW3 z*aMuO-ktAof8B~RfdG9xKXIR|uRDt-rRdf?r%Kaja0ijD_m2mcp__23oT-nmg3m0y zHz%&y`W7yT=ID;!!ga2m%f-Dsy)T!y^Y!=qZkqz#b{Wji=%t+@JgaNmaTe+=U!Z|S z`Y(Jpp3^__f9E}~FK+=zvHptpBwWzHSdb^Q$>Rl5J5Ft65~ zzDJX8=-GdQ+|*Nepsmp(mqT|;Z`%`1x~)(724U~$O~1g)U0v{E-#vYNYv}Il*LYI< zPA`gs`Fnjnk4GPMGbcp&q!%5B!Dro6hwzJDKO3B>A-xuynZal(0+}18@T!l6;m~k! zmWC=M1pk{!^yXJRbQsK;Psaz}A;jRwP#}l&J%G=l<1Rxo?9*j5a`>*NXxxZ({_r|- z*D|;nweBQ7M=x9tEl6ay$aUdtilU8wEZ1SpXe4jE& zqLEW?{t3*qKca!3zV;~OGdlN$pP6Nr_&)0zmojE=^~2|!>pZEO`*A8f%-eGcw(~c2 zgzbW?MfhC!g0G!Lm9;=F_UBso67MJYzH|(qgJth|AIEavjj&oVfp6uNCM_XZ^=2!M z>u=X*K(6lE1b)^G=e%z14KBv7+r|sV>+5#{=AY}%z-(x;8nzp=KSI8#{Wg66*PA=q z&0kwVyJgu2eBatC5#P7PaAkY@>Yre{qt6V;ttrGBA{%-U3GNU*umQ29`Fy7yrXW+0 zBh=O&(e0>jF1Vxgm`6N&+Q_$z19eG(fiJb;(B2^Wb{I%7 z%}R&vB)u2_yAT?~)9p}-jez+ndN3TV3#0CQdc!GUG=vefmp4>I(x6DVK27t(;4_L= z^28yFw%mj+n;ta*mkR@sJQ~sr$b9O>jVPeM>ci(5>Te9;Sz4kXEF_nIK#J(ZZ*X>w z(p_M8p4RigP)zL#A-q7}?}P9nt>cBu68hK*1`lXB@8PbckLZ~V$YT=R_dKC` z$I#rTv?Ch^&*&0wo_$WYk|BISHCzyVNh|(^?iKyn55m`E!h5XVP$d6&Z>h~aaM-fQ zONZ}i2QOrNppw>T%KYWVN|c;<6I`_Xg|punIbb;; zv2wy#7{p19ck;%|-?^rkAT2F{Oq2l=aC(yDmI4UR%ApT%CJN=?(J(kC-?xF!^V04! zxMEqvfiB209~j_Yp!^JBiJY<%+$GtP7iOzv9M55INQYpM8hMN_;ajr05(H^Imu~Jz z{W==)TyE$9*DvJ3PQIrz9yMqu6M6ggSKnVjCQL#Z(OzdtHQ31sO#rAui-+uegyl38= zIrp5IH|E~!w;RZfTJ6dJ+q6#@`Tp;2$ZF3PG-#ElJ=0F*cI*IbkMG9t3E;XHSP2% z7`m!`qAle#B;G;6^5#0cKRv z7>sSS{U=MD<~ZHNc;;?o4rAUtR{rO=VRu4QI^o2{FdY8| z1F!-AFax=bB8X1ICSl+Sfz2YkCVCN~2{|K0uaD3YCE^;Qw?$-1XxS>9-(y_SVxxkg z7}1RDdaOucktj~24gkwGVZQ^k?cxLP^bV2D%=J!DJQg5c`0%*-E|E_EeYdFE2FN}5 zpBiXM5W#LRv{&3>HZoCMHZcZyBC5qhONLm^jrFN;V#xbUcPQMa%YlY+_{cTJ&P&Ij*yD*gzn@O-3CBa=Wx;Qfr4CS^;3EyigBAikBr%gJqXY zXJUM}e0l|Pdt~+HFq9w=Eh0f8jkU6Wc=Wm`vfia9mz23S_dZa2&l9xt)2F6LRlR$laBf zxyam;&!$8CfgJrBw1;x<7KlHRRxXB*<-vzwc_N4MNO^`F!AnLKp|AQOKo z2D#_bt|$hdCAB+{dm%H&0=$%sibL*|%v*8oNY zLC#NIVdcnQ6>NvVLUo-nK!6&MhF+kW&;5Lnn$ZJeS*&LA7A#Rdbhef%n>83jkjl*f zZJA2l3S_Xl?h52`rOXfyQP=MS8LG;8fn|k?V~%*G+Qb6s46RmsnP6L^#ytfH zQ+v*WwpPV_$B@>kp_`y3T-nlBTd!s@Yq~*Q^@7fg%Dy9do77h~aBfylogo*Y=6?q= zQth7zWR!Y62jW}Q)FM#5RehrSAFXQg#8ZqqOHV3Rxv>_Ktm6JaFGZE$x!P29g`WON zl~xqur_@tEn5Wf;4iHaMkvzeGhTIi3koCN)s#!&VYic{=h3hIQ0`_hwude_%Rp~6yZsE^>Abwlf z@DH!=sHg~x?5=80@AIBYvj^?IYRui@fofyMI3KFtPoein?PY@Wv0A+Zy(g*`Q>hth zE~Db7>Pb4ZJX1XfKue}t6b|Hbb>l0OL`q57RF=Yxs8SKnts*#}jvIn3s(2F&CY zsC$86`Ka8pVD^)$cnHc0)w%-^|Ey-5fU++t@EK@dmGKJ%zNu!sXW!N372y1#A{s;I zPj%TI$X}|}PjLEZQMX{vS1Wt~)qa|LRUrMfW(-CbYCX8i1Zb^`fF)4#;$2##wTObf z#ai$HfF)Y7>kwF~&3yotAnhN<^2@X-Ja`eKo#v_^t0lA0uuZeEqPJaZTpY9=+F5u) zaJ^;>fOx#tm#_9N?MDZ2?$(_91Gz_ARs{kH+6k82_i7&)-6m>#xC-ynCNjL)uT74C zy#t!dJSa=j-mV7nptgnQgAZv*pJC{*R{u0qAJNJj2J)zuKMXTEru}gh7G7%SSWbJT z72H8DM=N&`%5t?l=Hp*$QDXq!XxCX6$j zUNuCoK&w^<%06mu?_w;Uv<`eG3N@EL7{q7Iz(@a!R%`@VzG}JEq2-%)j4so6?Nl`& ze`q=V!SYi(avRovX$@yX&PP`)8=Stn2`ugT>Edi*&tF%O_j;jj`c0S(&^6;n6{u^+ zLeV1K5UzuZb+0}HEYWRy1-Ydt62n4}t|yZM%XERyK?~MpFnhLKXX5<~(H&rBG*tJb zI5?3*F#i&4cGPL7ctlC z6f;2^bi4kB&W%_ef!u`SBLJIqV-Le#gl;ZRW<}~I@F(D+biKI@2h|!JBg+Q#%kx@XLuGbIf+@=dWiQaZy4JIrP=^jzpVcq2QKpxdqFA2G0y4ieQ zj_a~|K_FQ-fsaOtt~WnF{DbsL+=*;mZys~b)$J;Y-fLZ%x}d$$y{E5{r;C1pX};Ax z;cNI#H+3q&dtEqdA0Ko_6G6+@r4|7w(4}+&@}q9YW?1;7J2n}}Lfy8}(DGRq+!5f5 zE;J0tuR2>Ell`W9#+C28t}FelAG$oAGQu0#7T}jIyga7jqmQl$;Hz(F1J!=|H2&JD zzkUL@iG}*%kTVi<>~M)R$%^eU*M1y@b{JZCs_+=o@bZXP7?6 z2xV*a8GM1(>5oeYgzFc_g0@~ijwgjT=o4n5w^2XpC3>6mV|W-~v)+-Dj?jlOR~V_^ z6AqnG`uhpcvPEC_8(6mLvxb2dt&a=3JXYEYJ_w=l!CPv4;j zX#4fwLLiPm!)^hVB>h<)%{i#AxC*Kd>9_6z=V5&tIxa`_V|JoRDFmJoG0}q+CkYV{bCmOPwO*-0n+q)xSgHRkK)k| zteP$WFZESB!$P(`j0vF}eRn#gIO4`t|Fyn%Jm&XCZ)8p-PhaO4z*~LBaDaFEUkqa2 z>uYkW`=I}gPgcHuUNW>4=x=g8`=}qO!yrEC|7Pl_Q2*yXfY16ETj>0vzr~&8tNw91 zSib2O^RUBreGY3aKlH9#jDPC$=_~%yPh^_X$8e9UhOZ$w2f)v;lQEFLVbxoRFEmWN z1kM11;4KI=OtS-6WccwJEQ<}+bD&M~ohLR2dsfJ?o zdrlheG7vjuNc02CX+!5|^wJEAt(egnLuO;l_N<`+pWSnYNikqKZ#c?z;)201480sf zz29LW*U(j95N{0M1JKJewBXkv-WsCjgXNvU<1LWy4QVx@`hy`k2ef>Hy&tp`7_Nl@ zd^9vqg!m`JMQ#j*2H$UB`E2O?7sS69nic{0YH0EX;F}?O2spnRh73XPhhY*^cs~sf zc!=Vc!G}ou`dSqd`kE4r4y>j4^F4@3pbc z4D`+!Cr<_Ed1H=*&I`tlbZsvhqZn(a8{7W_aLMS&NaM2cK69v7j9Gj;t{QvRhw5v_ zMm$!1-8g3#zzyTe7ZAT`e3k~zTgEL+hu=09=c$=H#>*vvylbrP0dUV~*bFWAjYBtJ zVh@bH`1(FHUZvOk$T*1Z&tqd46IV}+)3}Rf7;9Pqo*JVQApXqw7c+U8#@OE=_uS~( z44hfU-XCE7g)t-q$d|@@ham9Em>CLMw(%Pi6FJ5PHPOp8I&##njaC*!-WYGQ!k%ZG zw*V|}jT6^G^*iG@E^F_N8$Ce_Hk~^G)yqvwn8#mXYR@2MrK#C@^j4Y5wncBXDbNRI z*P5oh0dk#b3`5dz)9q-`)|=|Gl(NY*geP$}n^s;$FT&)_9C)PZ5DzD8F|AC5p{=HF z2GF8S9WLfL&&ls5z(n2t$Ue`p%ZK|C_mrt|gKw1BD2Cnhlu zEEy&}55_(<4SNLSGgB!(Hkl^NMhxP)sbv|kWSL6e0Lu&0nB@?EY5L5-{gufp8z9?c z?+v*e)7l=O<(d{4(R*#$N%!ZCN%KW-jk#S}aE6)duffRHnN#nf7j6#d1A+DC8k1mQ zgL&j`fQ{xoob4v_6jrA_*~Hx34)b{%uU{1Llhxz>;K6rn4dks{8G&W zLm+q3{Gtbjd&=D40>EkW%Wj~hnJ>_9J!AIe|8Si(cN>k~IdjNum_2WvG!~o}%wu@a z_@eo(4|JxRm)gPJC3Bm7n9*f(#3gWEF~4M~=Bjz|3J6>?pJgC+-R%1c%5IoF#z5ev zIhqd0E%U-Fkh^VO@)(?V%w3m3F5kSC`H2E^S}1yj<{SJuiqGb3E>>U6FS8;3)$Glp z>NoR_Mv(h%{ul<9ALbMbfRClU0i3>;A`Jj`z^>^<72e!$_7ZXOsB7L(9)Qj)FDe%`sIf${T(21 z#BwzO$m5o3T#%A2No@d9EG_wRov^&&9-eAR$Oq?1iyPnDQx-k9!?KL8{ZGrWA3*-H9I%CekJUE~Q}MMP;2Pp*9XlU}{H>v~0W7iJXL^6BwKPB5AnRwA`IlK;`4y*Nt4$oh za%)vCNFi2druRdwamB#0!s^10c%}7ZIEJ*!n$M4awY6m&kZY{7E zhF)0ba?yEd-BJy5udIIWVJO?$oVn2)>$L~a%d;+JNcz_5 zxd)?uXKl-0NPKS<^`Pv7wYLwD`PRP|fTh5CY%F>otv#6e`eg0!q3) z%NMJ=11x;C-sd>KSs%Ire781aPVR?wi3j9{un2oc^EDn}!HkbIK zZ@1~sWhUNc597F9HXG)Hw%ewM2YP#KdeUP_u<0}rs`uI)WWbSV6U}na0h`{>F_t8o zEI1kxW$%LW9HqYk)9I@$=4`oMfCa(wQahr$90LeBURwzrc>CUgjov`WN z1mX{E9?l2ykxk{TP@Q2@i68${n~Rr$d}cF}OG&29TE>RYZL*n7%d#YHuVR?P=U=m zZi*jmCOBaZpKN+_e=oGTRS3?{HhWJ%^;er!fk1wh_|?Wg3bC;YkQ0iZu_!~c{&v5Ksq|Mf+E|uI^a8b>;S&=Z}h?J>M;q> z#h(YLx;i*Rk9}7Lecb|_K8=HUmxW-)RYkdb0~O{k0#ogMk;zV&qKHEe`hI`vZ<6O{ohztBm^-l3N0w7+@^aI!>x_<)LEsmNo z^gZJ5m5@sigF3_BUa_P(lqHJte9!g?XAWt)SwJsm*;}@QaJL+%qvs`(aRR0Q=lbB{9OhhS8U{3_*!&73b{98BNwbZ zapwcTTe12Qw7e6ZboAegBV8c=L2PEyJ6~wcVYWbADFDky(PKY`7A?GjKC!iM~ z^%Fn~l>L4}^&)9|70AU>V-jVF{K=JSsa(%&)H+#a9XP{fTq%GJa_a{e+9)5dfZQf& zcMhDJWqmqC5pu-|utdt43{UpR?I$pn1X=M4K%#um7t`D)mzlu1U#3jJ01wE&hC)k{ z9LloDL76ih_72Ij(}6rJEez$4$aAa&AC;@PHXW00;~{rkW-J9rmaR8{mLg}}hrkJG z;Q{?yhM7tEfPztPdSAuY_a+?3lcfp%MdXD#oJEa(DoSJtF6cu)Rh zF6=%|yF<$Z+2A%fAIc_<5YLwP{h=&J4r>q2*YZLNkZ5)l6`+wB9(4;kGULt3Wd4gdrzR~E-YRHz?VLe$}OyJ`x~B+0!dKfnU*w_-)meo5LMd zmg${)Y8Ydj0Y6rq7)3u(;b$P1p~9JEeX2rALgzEJ z;uPdERV5ve&s8n{s%Mruwi||CsF^z<_fnOp4rQ-YE}y|{Wy7jNjw<;VXt~OlnWNWg zTy=mqYI!y|{j^^rVb)*!!Gu77mJkhOpmvTK%0-$@3d}CnI=6xN60H>vpDfj`a_&J| zQ|6+VX%ko_4%RBN!n#~*&c6u^(S9EVv!PmhR@PT&e{oA&spX|WV3k&f@6l@Q=I`jO z(VST**r`dL;EmU|HA8Q=cGwfNJzAAOXi3n9aSz<9vX)&A$YWZoqYyu?IaNY0Sv$da zEk!HK&E$mUM2|F8E3*#BliD|C^Y3T}cR}Y}Exa~Z?rU#Z(tDt-D~_o=)cl!ue58%8 z1Mpbe`T+t@w1YQ6%g|Od0eGs_=PUC}Q*;|MwbzpXo@)U-#FeG3al=gnGXP~b!&LgZVkS1j$W9qQV2NL>NI}D@w$os3&L@(8xz~3J3-ee zLHFqo(Dv%obr?$2`7rspPxo70utwMRM(2P=a{Yrz2oD$z1P8#ta~;BGfL6To(SX}-RfdceOEWUFKG95@4f&$ zz!?s(Jk-4$1@T9^DSN>A7=;Shd!pO@CwdvW^L%BV>ds$;^=G;;=87_P1MDIGTvvf- zJ+gGY8QHziwI~mPm%5crA@EAqpRaGW&SpH!=IAcRfF)Pgt_Vi`nx{7Ks_9%A(go<( zHvlbAKaZKQ#rl(sS(fOpbwzKfK5;6P1?e9Y04&p2D+`^$`W-xFwp?F^W!@0|y0s7p z)u(U;TcHo2p_O`Z4kKHocjK>yuGZhGfRU}yZ)S!vO#dJZV6DE!5eTf)`|bi~xIRXq zw_e};E5tYGYtiqC(|a;2u}zq6kPzEvH7H2pco5NGsF>3W{k_k986Iej_0@8|VTxEx;4 zNBoA~J$+L;$@lf+_M-PtU!70nBYnT-nDk?P)&$U==*QlMmJEF?6OK>yl?Q|6nf~f> zj3rZ_(*T^$^b-&(P{P2Dsm_iayE#!<0dwB^jKqL)k&Yu6m#yG8Ey*c-U};{`e8Y;wwNNHOy)c z+%i;I2)Wya$ny>NwFWB=lrndv|H@=7i zSZF+^hq3_UTm>zG#+!6978!GyAX{uaNyl@8F=r9PHyU3v)3MojpC5mOv0N%>k;d~~ zL5nha7s05v7+sih*lN7)0_)Mnw`-s?#@P2Xkg>*c&%qgI?8}XIo3T<`C_7`%9Txv6_K2!xm%xxTD0>7yX9(iBt=y;Y{%Hn6waXNs_p~iX4A$AV2Lo* zs{$>Nrb?q=A<9%(540_&=$kOK)ud-Q8EwjC#y-Y$#|=}rsnT8Z%5NAve=$M@~73WKG&a|63 zk@Kc4`4GQgTC@PYi>6l^ETo&p@-4n(>d!6tvS~3F@hhgPGobUTsr5Q&$uTwOPv_^F zranRMjmeLDah_@E0m!{I9pwDpnFcok?Y-%24tgI<(R2j!O)r^sDKHh~#{SW?)*aSA znJOGduh8VU1GLX3J@ZIkOc9+R@YVF59`!d*gaBMNM-_*bE9NnOVh~r&XX1gpWop2WIxUOw?f%3 z^WQv{>SHl7pYChf#A*6j>Ysp4f6F&+y9+H(+dxZzr4oJ9K+D$B7|S9{EIrP}mQgyW zUTqO?Knt_1<|nq+(w_Hhou$D&C=0i|^@Oq*%X+?)ah6E_DAG2|q%siLZaK(jeupK$ z255&Zi&z9YYAIP40>>;dRUm%c(tikaCR=K>2WN_99&gCWS3cP-6&1KhKGTLf_5vYigk152%$ zu=mj7G8-d%WHC$wcx;)N4)DbC4|ACrmS&Zp?5QPjDCYjmQp*#_ca{&#YkaWWWyvz% z^6djaf#qfmaDKG-90lhmOQ}Za6&RkI7Hoar1Om&g6H5SWv}W+}-fT_ayAokN@D2lvv}W~!K$O)`3M^Z! zZMkzLSRh-?tnF&C(@Fv`@JEaW*zB|0iLlQs{@vE*5B`7 z5a+ESbV@H+m#)M(FIx8=h3a%`oh_hUvIY+Y4SDK^kh@|n)B{|#=B|LUYu5K=pe57# zH$UPm>uw8rFRb%f2zhCBBJ!2>#YPBZTiZ6o$a1XRxl`s^>$8yc+PZ2#EWEKgHN-UY ztaTV=y|pSFY(u8_(|NGGx1N~+W zzc=&GF5?q{8BjO{`UeK20OPvq5jY2>HiXW>ZQldqR_q4&-BZ^9@3DS1FrKs50poR+ ze%z3%7a;4s?=0{`N6@()R^dEmHav?F--sEjF~X5sxy_Ecs{?-YlG)%H6V5zK!E61AN+x0*Pl?aNcg{n*2SWbTgwtrf`>+yiZvb33liC#pcDVoITFZl z@pTSVZxAKd0J%}@h{oiPPAk){FdPon$)NuH%0I zZ|@t7w%fRQ(AIrHS>PRRPy2DY6R1zqh*a)oH$lRtXf;e96VP{+so zdk))miy+_0;|w@E-x&ohcBM*!vrBar4ZC_Xh_pZ27~|`*CxlF`%e#F$G-J#1EMVGUzOLpBf6ngECG8xm&Z#gt}zc2xu4jUO= zI{MS^>hXhhLZ@%M+s<~kaow{UUz1*vbO{eE2lb>JT>!S8yJ zA@-o_EIbW9x)2z*^uKWJKBf__Ju*CD(NjANonC3U_y2xs$EH9_yF!+L+yDL!938wQ zFdfrbwzQr37;UFA2O-}%uPWygO1H4fM?7)5uI-o=wr{~7I_Q?ga$fh}70u|)XsEZod5QLwx0KD!%DBB{ebVijZ%FAujATfd^N-WkIDTJIGXg$hmEEU z`heDK&n8^|vy#cv=6Os2wwT}n$(A9kPPBT%q)zMAd}7)xCw8I!YYvI1= zymC$hKjayc_ugB!L(kAzBOx^`muEYM+k1g$#1b6Qm|*)488A{1mKAO|{^9T4)=Xm|#Kw!C6##aL zG_J6_g%8hA>=A>Rl}-?^Q_$Nh{{9PE5=DIrwCoedJD|5;oJYwl>_J=UBD#`E=dX!rU#9PN;eC4uqox&}N$zteXg*547B;d3c9 z;^1^>8+mCkFr$|7dbD?MjA_i~b)b&@upa#5&OAapKGF_66V7`BKk*1EXu3&DBXB)A zb}_D}l;v5#sRJ4FPAj(p`00W#*9>27)-&5Zf#zAyZE#;^+xcRgbEfgI{oENm{y1;4 z8+hjDMMG*q!^*h!5hdu^`ie)Lpw&+(CJOyU+ui6b6cspx05NzM?rESH$dbh(v11BY zg2Z(`4#8r9FT|INC-l5S#J280hKgF;Z&nCHcgU?2Z37{U~7z6G#W z1TlfSPApsk@o@2O#DBYSeOut0%y|ZM(~E5(*sS3I4C$YQ+0fj)DIb{@5yhdmWe`1t zR-?XwzjZtMk!{Yl#C6-g;b=SVc7O)kIHt2Y4Phpzv;P`k>;`j>?DDt^uDky33=Q@@ z=i$1W{|Ml_4`5}&A%$;&<6}FtJ^C{Ea*DhKymJLlSm}8(8~nYR4+ORMKoYmyMtv6r}{VHjY?H~UIdR)A^ z06)NXD)0lZ4gc@Ho@RBsQ3Gswy2jnd;JQguuGLLjG8@}0-Upa}D$}cKzKC&5i!WoL ztEFNf&~}V~)plc98)+ZT*sDXG58&+B>^!X6F3JU_Q)NDuoqI4BX&1+kzsnx3>RrEa zKK5SRVY@x30xRA3^1Oq?Z~U67;|pe~d$c$M4NgxGv+0~$^X~NA17GFe`#QN5G}dXl z3~gO~DB1=U>;5;V{xu<8XJlcGhX0-XZ3gkE8Vzt{noLaP3$t7W3;dbTOoyyw}2> z^MnYrJ)K9P?G?y7)4K*YiazFp(9_p>2srzlONLbc{b``OT-t`Q4!B(xItT8UgKO6q zZY6_)L&4)w7bP^EXEr@-FWa_ghn!yss`syfzz@xw45?vu(HQOUgBO4qQKB|5BmXIY zo>9U47TRcM*0#nZ(7PO)Q5TqTFN=X^{Ea|JO{iA_+9tlFCpPI>YfvZOI1BugdD*~E z?Hh!4T2w6fr@M84&KW61FqfH$&%iUQ{vb%rPNfGvC$cBnxpm>Y{d;FmoPgENkK&-w zE{6q&E^y-buJf3lee?j#q+9ezTz8+q7~0_i-$Tby&cOHB^gHxAU8isBY;lF2o}PT4 zdwsIUb?-_U;OuksAgFymcEiZ}{i4U%|L6|rae2kNFrY$h@DCi?5In9Q=)({4ZUyS# z1?zC_mc>*5?uWP)c@$&5!Mk#4P>1e$3)x{6Q-K-YDGFm7;nx7|$R0`H9M$9DfBx^@ zKOx^cr7~ptTn~lDzM(mg?Du&WuKV9)ve2ah1Kk0OayX6$^a5NfQOh8^`JfJV?0GX_ zMqPdh>gZ0tFzzum8PJdI!4+iO&YjROem%XM2_L!uKk-H%;3r*n#uz3qXAWmdff+ng z%asCtT7xWTp5D_L?To>HKx$^U&7jWO!QAxh>-<#al!yf90!5GBNA%~nihM==Kxpz4 zT^WG;io}+T{$tqcfn8wabAK9HZ;J z4!9n4O2XRU%8Y{DRu00QbAQRxHy#yYf$=QIjo7RH7F-X>J%-l1DOcg4mFc?Nl-^m*n)OU{&Zl*I?admaT~@#+xS98%@fj?Mw~d<0L-K| zTxlnJ(Yv1F=7u>=O=^#J+K@`XPp{Vi*E4*J!OBdR=HQ?8S&w$Mb_SR^4R7Fj!4Sr6 zK4S7|pnOG%q8{i%s02s=UO249nTfIUwJd`U;pWqL3OF| z0^=IsO|NZWedZcm>(C7tl%|1m@PTQ-xRqp#>u%_d(Rr9ggWt1m7f`)2=nV{sVWi^y z52Ku+Nz9!MGfxKp@C2q`N33zg^~kZ@`$ly>0G`qDDQL(1;QbrBi$2P@*`>iVz6CSL z6XyBgdSWXF@Jza>z%#k&WQ<|THm=%J+wuvX_Wd95OrOcUYsQaCkeYdhNu*gLhv9m5 zdTUVUl;%6LU?j@|KH}FYDDo9ue*N!01z!QuU##x=-+L#<1JQea3hz_n>af$d+26qQ zI~|DY{=a>MK9|vTV0l2_3&0FKasldGOEUHwbnS0o2G@RrvADH1fXBTjv$Gy684G!S zq%-Zc@+UZl6#WXSw_RVz4qeNP$1tC};2D1Q9rTa5!n-@N#}u@qN=yT0^x2sh!L2_4HH}#=TAJ5iR(%2$72kWC$XY2Wx`lknR=1bX%B57JAK0^U}o4Q zf;#gFo#k22@r3ARKV_MBPWL!a7u0wNypQNZ$KF?zssLU;v9~Np{^BI^s{g)s4aY;G zckdZs>f?R|Jbec-!`5#WtAhRWcL48lYzM9ftc?WcKm(s`*EQ8Jib3hzbq3$7fNQsb zuYq?Tax z$~AvlYkC3Geea{4ag*7wnQ`OM&a!17F#7@5{5ik)J?sVN7-jm1BD}r6qV8^J@)J8c z0{9D8?27;1r!n8b+xw3)kn7{XQhwk2tDvu+e<1MvJ$vEWrN}I(7*LB(@<44B#^vh8 zy2zle^pXb0hk)u*ah zq|vw@QFR>fBi&h37&Vd~>*%%qz>JBf2RzmW!I5rU$`K5Fd=8^r1V_M3ytx-TC$;2V zoP2u~_@`u*0A}jt%Fr;anGV;}QyGZN@QH+;nWxKQMzflI1Ly3Y{0ixu>ilZn0$Ve5 z`UvL*5c3rcwnM^Cv}Xy^U$lGjpHKbISRmTiGmL3FnQL@A6My)peeLPE?x1nJ9do#{ z+ty%?y;JQG7*}TlbG&vFKcnpu&#X_^u7%*SkK6>QZns!V>pto>EIRa>3A|$zzXR4I zk=1ag!Q922_j7ISQ)?bL`_^MMvET3&X#0$YFWNHGUcy`x7%cIdhcjAU3}egkQ^9evRe z4S!$^Bjem5HR?3?jM1a$C5-9i5B%6YEV7I%b>=_+*m)GNZF@g}rFPb2$h43D42}*T z_v5*~aPWV-4+?_2tT-&|a42@k5@QLo~&wQ|bL0w?F{l)dXdkp91;K^sk z@i6239DxXC}+2-!1wIJP_@_S0O0#wVwI(T_-0siiQ)VQ{9=SL@DVGcu8-|t zWzgXpzz+_Z04r|BP+YtFZ-X|Edd%s0e(eUGUJtp04Y|r#$-7wX|Gahk-N3fZFA14; zjhN|gpSKJ$9lA4M@3@gA09(JW7(u5=%g}ZXqh7lSUBKU^?q;-IW2=M5e!@-8(8dI6 z_kkbLI@ElD*0FRg;CpOm_RPtF^%m!?slfEing}bszO4kl--kG8=pVy1!R0!AfC1G) zz%x+N19mOH7T1H0)At!{%gw`W;z?lK%kZF~M;Z7f_~F8M*zyY9j&?|I4OH(dSa<(j z5A+RzZR^D9Tf4Ivu-Cp;B-#!&DA{rBBh1P+maBTFMm&YydE9E~wOe@-ZI{Nbkm~Ao z7bCNOu@9JT!DAuSy(WJG)1mebNI5pG4tqTsO$XkoPI=DoM?YNmEXE%v>6JAaQvGBS zIQu_moaQo;Z_@x%Eno(I3F`Wz4E+y~cI>PAr^ukj$ZVtPEd5 zXIq-SNT+8dIK$VBKJ9igx8J3FNwi((@W+4cXE8z9Epz~+y7%S#>F^~C+8i6Ff~QBL z-_be^egus34@Pc1hZ(`s%a^-hzoGy0N5UEc<5HII+W<%I;R9_S!z8om(P(qw9G+0n}avj+jaB?Zd&} zr+abW`-X8>>9;lmnEs#L!0!@x7~>w0&Ru-qfIQB@%J~l};l(*Lj|bkZga%Ic0`AZr z&fKj%tL;PU6+Z)*VH0bBXL#9-kR9Qv!)Ql-U?p!<<`J}`{pP~fn4h1(Gxk>itdIMX z8TRo{0-$q3q7$eSkIw3WN-J4gGT$&-*Mf|@d9|d zU*riwhg4>h99!)MXOA^MaP3rT9Il;*?Eq)bZ@eSD>gVCQcOeUeeb)TXqwr0EY`-OM zf$86nnFN>4?n8}bg5*Y7o^aO@B zeF4s4*`8>Jw_zoF#9z$ZkIZa>`!dRjKEvp3O@JBG(+SsOYZv|RE-b%?5w!C;2U>e~ zJ@j^Xy&M`lwycEK_P8D7JEhL%9WfceX*cyx$alFBhPG>U?q2pEcxJWRC1yRkC+`H_ z;nPvHj+OYN^cYO6lD+MeYrqV2UR2yO4{jB5InzXr`N*K6Z?z;hd14_x^U z)?Hnh`Wz$+z&W^k58&NiF`{=LcmkXrClv6W`NJ`0uTLF;8Pb#46YoD8Vmw1TaJ3#b zlUah{6}g{{7ngCA1zD4*bFHVkZGdIPY9e5)w@9F zS#g-D!*k*Ub5`fYXnyDy#F>#`xhV3MpqDNlnlPkGqQz}+UKXC-09V9g)=934e8%_J z#O6onT^FZXfObPD1}-jmQ?mhDchI>zp42Jl9vF;m? z4@4GUr-x$OFxYz}9{dKm$0C(q8h9f9pp%s$s+EDjQ?cb0lsyx^?I4gTTDze4TpWPRmWGg$oOiBu@_ zmq)Imw@{`=pcf#URD?jFe6a_r7s>TZaV(av8S^fY-Wxz$D(BXNmLOTGG-%7@E1vlZ zmVVa&mdkt=l|rN&(?X%LPiOR2NIQF2SSh#guc=na)E6+cTHfNDu|_tr2TPbduoYmf zOrcx8PO2fGh07Km;9M^^GCJNMv;M>&Hp-Gm0X9hohIgCguGIh$@*RWCNO_MwM3g*v z0xVl(nKe+hRc3ENFIqk=2Q4u&U?f1Sbn^j-lPkM|Wt$wthpVE|FCEO;9G1fvaU78$4?sIAJ6^;fj>)2oSdYuDe*h%Qhsgjb(hvnh zC*-gy=%vaVQvgm%2gV<#Ni!^!x~4IAL2Z`AlJY;r%0qke%9Eg{^_S)HU-@J? zdp8(UsN8zSi8gMU*-NTSUvOSl>jnc{QCScS8YIhre=BFiGhMd3lt|q`jEj}2k1GPk+ zm0YCxGmExZTgP{Qsdioh1Zl%YgSJdNh%<-(dwFX-=3fugy2~76ZN<7loka#%sJk~5 zjDN@3L2ogm;<|MxmxVT|JPgn_kU95uuNY6XH#0Wv5X|aUM;AZfZT-2T zb!vDVbL;GX9aOuf{ebUsl&fIZ4};L!yD$Rkwx~07b`N1az+usTw2mIEv-Svz#kJFO zo@jDjS06k*-_67bd)YS!rni0qsD0Y9Zq>JHeem}y$`87KC*~hqW_^eL0YPoh4jlgu zvaZ!0fI6rSSE9l9J^|zQ;2Fl_UOE6g9u1fc@qEw%7%yKwT0=gsge`BIG_*rcb5R@S z5{B#He=P)cMDrccFtQw9<59mk0y8><5#pF9SAiKDHU*sHF1bT$eEt#Gosb=icH)~} zurev;0H~8!JjL~tMzg^)brzBox@o2Vgof!(RnX38`U04l4Q_*T)`~FbpPhXb{ByFI zahw}_2-oxKod9+I?|Z<%peCaoACb%hp1wkE1(%Q8jyH(-`58W1yqLg@#4fRqukCJ8sUpPph_76( z6U1Sj$Jr~2Y{mc+MZzr5_KAwlK<*cX(HP(Xv6IR9Byr~@Xa~ibYA|$2=y!wVuxQvE z$RlD5BmbkK>UwY<6Ti6092f1@0wjw*eZZL_jxce5LhPRgL#g6aeIQSYv5YoO372C4 zr-eQ98)>3EJ+Cuj1AYFpBHspsI46?7f#tkt%ku99vHTHOE{cV4V&GN(xfF&jiIQ{C zyDYA;YIH?RrQdK>G@ge^UlY?RK>WH`)f&AU!s7&3Zi-X8A$Lo(-vioh;d2kjJ0kA~ zwA>Y?S<|~G#&(0ieKCr2cp&OC$bKk_ABF(_K)w`ukHv4?rk{vc!Qjjgwusu{RX<`_ z{7i_J=w*uLMyP%+db8$`C2Z)hzYt&eyJRoLp87z(65XCdXSOJ-M=wV_W{jRIiq3|X z*CM4TdU;~sLD+jMdTql1--*XurrwKtb~_d~tmlkOiX8NPv%GKns9R;@}hL zEEH|{2!9q8uVYAXr}hqg2Zs>#LjmCNS8~dK>QMZYXQB>()T?qT#&fpK3JWJ1{kd7uzl z9?I_HVd#;}p`pieS1V|FBELTYONP8M5wxdrJ>$`5(w*X&@*JPK=kic%d;nQ8vIS@_ zWEE}; z@N@8^2layhzROoU(C|afWme{=oIMfXmn_i`bMR6AJf7vNQS)_uv`z}_7&k$ImN-;gKR23->5Tph(Zdj(mTA>%LK5_qEu6A?F z4N>oz6A4ubH6XV_O&kTHm%`SQfc4)w<==-jC^E{E}|a07a~ zR65OpyjkW@DyW7Q|{N%JEQ75LExd8@z z`mQQ*3j+6)Dhq-8s@W2-JW!{ZCwr)}Kce?Y4Pi+9SS|Me@`*}44`hb=$&;W@)vatG zpQ#?nKxV4tw=pBcDP|zERQZK4`$FAr2;@t(s3VZCRA0Iy+3Lzp&~nrR78!C?K?U^Q zsHAj&JT;a3`&$*qWZ^rtfZp(XRiXsI2Q|?SHzZ&AF^^QB`t(HaqxyCl7Cxyn0hm~! z3gO%FS;a1f>Mv@w1ta^a9&q>lrcO-+=lB1k?Y-mVs>=WIon-FZi71MqqJGp71a~nc z)3zxn(>7VMWiqoZ2wpdvO<39NhAk9D3B9+_kq)7Q^eP}o@1b|;p(7wg>hJwN<+i!A zn?HWvyk6Nm_nhb6bM86MdHQ+I%)PlU;ES0#I*PxXxe*N|U(I}Me?YDbA8`#p_6(Qq z0LWh9r%5XJ4u6#c$Ufn{wnQiP4L?L{!hYfN=HqVv@NcQ29}vFo>v;UY@bwp>TO z!KWM(e&Ayi9vgmkJv@F~xJYa6@!>QL{3nF3r<8DFc(Xs?Eq@C?Osnxp;T9?)Cx?6K z(>Nvk+198#H5{Xsb6R-haJ>5T@RN7oxiiA^IH_lbU!mH6R(RhFaakSy_(v!_JN(@p z(a<^JCJw>5;RR3O@$%4ZjbKkLUkFA8sbA)5Vr__H73a!GhK zx%Q>ugU-R%UKT#@_qe+}y#FTnlq{6Mmijyf%E? zYbd-f{4zGOwW4DEu82FUi!-daqcSrc8UGTu2;d+YMcZHAG7Vo?}d@rNC?g=0F zchub*esV)x?hB93z+3JQAFvXT4}>43c=urVw0hjF3E!B+<)QG|WDF07&wLAzkA&Z# z?ex*`U)JFASorI|LtmZ~pJsE!X2e>>H{vw0R)8X5rXyKV~a}3Qs8~%P7ch7~t z_dcHcclbE+&F91K5p7-wfA|p|crkq6&Uo2N;q|D)y&N|Gin>?A$8pcitKqHb+Fl!8 z$epgQg}1*Gcdv&##_-%5;ddzlycu3|9v*)y{3_S`+u>ET1HKckI|*O(ZupwlQ1_p3 ziQ@8m;ZIrs@_u*^+P6OlZy^i>;YUA2;fLXEXgK*Oyxul|{5bsFF}V9Ad;mZCf8nDy z0LZ7|Q)lAtv+#wtq1n&FLqEjb7vUdM%lb0BGLPrJ3SUntXr*!6i@4j<7rd8RKu@4$0y_0LaP4;p2GqDL9N1 z&z)+_+6o}28OPS*a=P&%Wz#c^HC&Wu8o#9CdzNwQAl|v!_$z}#&NhaR#@#taJI{eQ z*SN6cbe9$byfMaHKzXI*T>ngIEC<7hfXE-^M*jR!6@ zKBJ5LGUI#i;BvX~#zko13S*m90J+lGipHj^jD41)@M`1e7XfmOu_d*TYmIH+K;3o5 z8mbD{8?}d^^T9dWtSh*6Ng+vxZMTEE9gk^kLmoIpRrea1c);eq>& zua|InzL`~Svejd2F;5Dyu9(6ailvHKmkJYqce3NDWtyKuoiW<0bKkdGUi z(xCK&QNA2?PZ|;0S)MW;rdi@?A!x`O34##@nOW=iom>d;d1lJEBX^8#~bv z{etm_p9ADYOwOmIBMDo8!-GPzQY4Vm!l)Uc?clKMB2_o-La9+4o0)bMQ*3A zeSG8-3alqYu73m|Cq@>L>HjTqF)gbnMV_EB`{c;C8*q0@J7T!2&WDiQJ=S9B7 zi90{?5@+Rt$goH-9GUqh9=|AZ!KdiU#gWr#PWyXgh?d+-BBxLyyEL)|m+$3~-T#Fa zu83UJkGEVI>Hi&`yDG8|&DU2)w#wkSYa)023Z1_;vWgCk>mv2Utm`B9o`S-EMDF}9 z9=IX$`L(#*7+JZ%*=0Sv<;BRiiRCXxPN!)5N~DRC^J-)z118o+_8h_Gwa8~=8m~v*ItS0a z5m~wx&%GJBnVzq=BDr1A(A$vCtAPA0veSIj zeGy4siU+=o%vpn;eHA(FMnJAK58!O>X!GTxj7xX`*a7c(0rMenuE+FU6u!%$JU|qhnTNUqVO>DCp+OShnq(;isJ}# z^{u!ZY0ml!T3=Hp@Wm*}}HJ>>ch3A>CpNj|1H$Qn2mkZ1zw!ybwXs+BE zmy68(F9+ns=0=y{xxbq&WIdOd-~Ashmzp0wgUe;+Qu5o&%`NvsLsyu!6rQg%H@ppx zUuE7~hr6rIduaH-#ysp@6kcnt-VeM zn5*h;^Ov9Co%fh0{tboqnqP5_?lV(#W!;Z+8F2T2`37-*jrqhg=*vUqOD6#GVRI)g z?MKWXQQv&j3^(HPnEAaA@Z96(L$tU&VcxM2cTbwjDTh2|9zx3Sw0YS6fPBXMf+m`0 z&1Xqio-_NGqlJH)r_!eSy!jbDJ};PS*TeU{XnyM$6ux9$8N*v%Hjkp_{ffEjD?InA z*|ijPYt7-q@xW{5&%eao8|LaCqwY=fLhd?x%N+O|m$%KQ&IZUk=2Hgl-Zj7M#9RJj zu6Yz)de8hRtugPLFD=C5ADFAJ1jv8Q7dFKMADZby0Qr&Gdp_RzvH9FHsQbix{?~x~ zpZOX+44;}m*$j7|nOjq1|J;0hGraQ)^NK@p_ocb=+W`5>{0Y_0mC@s<=I$AtbrA~p zik`X{kb6fTqk6SZ^zzHl(7w?XH2&=ut^F2?= zdguOV;gIM#mjL9@Xlfn`4~rVKjvOAnU~3H45z+o4+B-6O>P`Sz6}@^}6do1bh#Jw+ z(JW&Vj)`8q8{TLbPug?oNze_Y@%i7Ja)Bg(pQj)MoDYxeu*h5#6)~&s`bK{{RDWRdjr}J zdfZ~X<=*H+o8xj{^zJ)xxj*{KGCcl3^jpuN^AASfy$uhniMGtfTONvj_gb{@aP*QD zD10P(+l#n+G&*(+E{{bwq#E&fbQ3bgC!$Y1j251Z{`(%h^QmY%ja5%a>nIC96TO(W z^k<{nP5|V&=m{4Bu7xBf9g^D10;e(@oKrx1vubarbs~$zs&K6P{_(%K{4aU{ z*UG2S>nW;y7G1Ur9{)VL{q4AX5xr{_K)#H2XL0!|THw}zm9tvtn%{HQqvru+uUXrC z4_~zRtc%HS_nCDD*~`AO_836jezSU?#H;t8b<%El{D4_McmN;=&N_=~*+H|eCn_F1 zYbh!1A+vDAE|%J?c?q;|*sN2Jz;lPsx@7^LJ7U&`>!W8!&bo;1nN_o9oQxKZniV0- zI(pWMW?YV$wS5boJ9gGwiZRE{`pbuaJbuE`DpEThRfOEmLqXF zC;Y2T@W8p@yVH2#dEswUHaI_g3!A<$d{!OmE()(`L*2#Uufbs~8jIdb0rI{FjRGs<$%YVWP zXRoE&vc{+x7&vi4T58`{Ev-()hl2 z=#0Oiv3){^KLj3v?ioMbiR|NNUn6JP_!9{9EJaef3qHhWz7u-+9o#)V<1z?$kt1h5 zN8)ts%>7!7n#f5r_hdZa=`+{9rY3mq1!REnvp9E&Fvarp2Osl8&b=GuP5XWw4gKnQ zS}T8j6U(>!<~8`c)fI1|8(X)I;dka|_n^bs81w)+v?NZWslOlIiU zw4wgdd>$nu$s6!{^mVESD~_cwJl;+;-T8Uy4S#8(r|z%c?!zGO@xwa2ZST;JUO>@7 zp&y=w`oluYC_o(^I&o_}vnsUe0=(duP@Ia$v7z-J!sWQoFR9g>5cKgrem7iB z3e7nYb*F^(qDuYuPz|ZcC7}=7QFv+S(hUJ}S?KVeqww<3j>qG2CH$o5_MQG>^KzyBUwzt)Kc0rWb7s8GK!;0aH13YaubQ#hBzpbuj33hXzjns%v^Rb<j1CO6J^Kf#l zvuDmY4@0@{Nzd!RY z+u$2MpScYc^Ht%#Yw+CB;ajPK;WH@U zzZYHsRD~sNgC}tLJpAk`KzzPf~cU zap!gbxzHG>2gu)zH66IS)Ht*U4P9wmdpF*BwQ)rg3Xw85jBmfuxP@xaKaH&~M&Yf- z2eSckyRmo+6y9m9S%tUUYpiz@8hXH3c_uplpz--FlF&ppKJ_fT_7}VVRxPd>OPTq5 zlk@3!+H~tz@uFX>{Q_^@e4k(8ZL>Fe8^7l~_ea#m4{XMh$)|pXE~oFH2;H#H&G_4N zRz3dy`r3n0zSYy~&y*|uFL!JR=p#ZGo-?y1vMSUi^FS+JzeBU20 zq;7Uu=ubl2nepr*)L%PebE;_9&6q_(ef^A?L%93LjEBFAr*4=rhotYu84F1!?w;`@ zs*Cr`_<-`}y)()Tbop->`W(>RSl$K5>x{4c8FhCW|DbpCE@LxV^K6jPq!tGMYJ87=ipPxS zH$dIv#(wn4K4I+t9bBF?zH=}xPZ>|q5b?C}%Qzl*#yElE>a)hZ)W)7OzF=hezm1Ky z!rk-6T|&SymVAH~UNqJ|h3>v&j8l1h+1TPL^yL-f&~tG2s_|>?T3l(&h z_h&!86Lp&%f1;|J^}tfR@oUde4%%RYcJ%SvuTj7K&htBMuo9{v;ckbOq!mZjB zz1V8@1t|H=!n07D9pz^)NF(}FAW_}*b8$as*Ka94{ga!6i=F_)Hk&?!XF6Bki)Z={ zn~#y*uJ|b4`v;iLfUM{K9<@uC(L6r3H;M5s_i-e5KQIUQznt=O{5~LbA?52sLUr#0 zc2(%MGx6HvLbs6fofPUD1>nV@u3EhLve3%60C`nts}1qK>q1{`gSwkS@BJQiw}!5Q z7=l=wckV~w{h>=3N%C-L;zkrc5!#j%;K|T~+zk3$=sRD-=lnbLNBZ1e4xI*@hZv?A z7i@-tZ(K{6^Si&fTgCb<+?^6aDfJd!TmCjbt?PRS;cY#$SEIc5B+{I|U#v#?q9-{l z+g=ey`R`UeiuSjA?RJ!GKgJ-H-`D;W-Lx|Ap=4m3Yh>w9Hv-Hub1(cJ+lYqD@vTXo zCte)E8+QI}FMj`dK3B}1p(R7O>>s+g0hdEVTfU4(jtqT3PJ2w~lkWrk_|TRI;%$Em zJ^v59=#pZl9V&pTVuU|933soC z_o&A^Uke|&F&;k{(K_hExyFKBQFpJgKmBg^8PDvE2ktk1{eQT706VepvWJXSM3je( zwe0RA#yMkX_Hp9_8cLop9yk$@Pa0=2#^5DmQDmPA_QPd;!XgzKXnr-`>vzp`THcJ3zm%U zi1HoZIhZqYJ_mK5kdOzC4sFE1w~Iq3P<**M^w^1j`)BCrS(0gBQ_lT(WYPKGMeWFf zo$=bqJNCo_fBs}A03Hx}g*NANLQlMfrp^s*@jTvqUg+QdR8PM$W8kN#cy-1#kKxHr zXS_wn#$GcY=Z=fRX1+pxcIwQR?#CTYhoBYn@|kCCgUdf={+hn4+h)ct#pV8)7g4%> zeCC>e;qHZ*d(o%<*~|!?{^x`@qYLDT@GUD*_k8&EO;Gq+_^y|5_n+`6Lt9oFb0c`? zfw0%1@F?T%FY&-h#(xIT>}q3I+7WLuKBGC~9>W|5$YVyCd%s>Vo<9Q3zGWQ0EvEVl zW2}Hz?-R*Vpxrm}+GTid|H%Ih;&MP_%|(DbF!J=X068eK=L@(T61j^k>(I#6f5qKl zk-PW89uB@qa|t(2sUU6Q`iQG(m{eO`Mu0-Ld zk$pOG`7E-CO5o>_cNjhRW#rC{0QpsgH(SG;^olpuN@R zNyO5N%*gt-dy zJ!T%tXq3lIi{tWy*+?btNwZA({weeO|G)!Jo7+EtS3hGu#qWF8JmP<-d(K=z&GG^4$D%vXPm2i`TWu>kU(x%)p+_`W&! zm$-aje*b1b{?{Bi6d)g(Klw8r|H$n79qv9hAAm{=Yu#;Y0QrS^=LTqfpXiz=aoIn* zNeUQwK(u@n>JE$^Liy^T=s}RpU|E}Z0hdFfO`N~Oqc_)~gR7$1AKW zw0~T*_cTBrAH9g7fhR;yCf1!4wWyq&9R2E#cjZX|NuNnB&b}Pn4myPu=k1ZV<9vqL=?%LE)w_X3l^1WOQV3i^2ZIL)#6F3=B^$8QgAp|G*AIBZL2s zTfwLN|JK6r(Bkcu4Gf4cbiSuyPHIj@e8BWN^$92vh~cGdc=A+@KRX42>;W(LXk^+uU4xxwSmERicm^#?`5y*UiPptN;p* zRQYc245>cM9U2**=pVsct;4M9XI2c2R)Blg)!c#M(SaS;2}puk5^=1tWWrj~Z|%^Z znC{^fLnF&4cbyh$beF-gxhwdWO;}5;F>8nE9vT}QADoyg{<N! zLD0Ic^P%E)iXbqC`+N)S`<-2avQe+@XfJ#eRZD+TBDa z?B8f+=^$Q*uLcylYK;u;f*x3;mP4Z>C+J9SM4I#AlPM~Z}O>rUSWvsU!s02(IrbjxnedD2Y1FO%d%wy z!<=Lk?y$Tc-@7X(40l6G1+aWVm5l7Xd|+aX--hZH`sFK@tAf!njt#2DCRDrQgJbBs zEJSXcf}JJzRM8#DIdI7*Mbi0}5_ppg}$`G0>=g=nuf?svh8%YF-XB-YEx~{T4{7 z5c6BO2LT{5bEWi;j1S45av{mz1EV8LhnC?tR@l(s;NViOCD4umkOkt5q!ImN1i>n> zX$L?wr2)v`$daLcE=f`y_s#g=@ZbQkfD3qhXc_33x|tYSKDcu~5fD|p8DB9-R3o78 zcE!XFW8i46_ViCQr#}(T;in`~DDEEy!Q=9DlPlbU+z3{Hp9o}Ec!gi0&{7l!mGBfx zf{x$cA&!7x<0m3I1sTRq=!}s1&{R#$%q>D2&Im!u)Ax_mgf>p#-`pANp|m&OW#zg` zZC0kOH?yeR*zB3szSym$Z626S3OF+LQgJd_4E(N;=3j?lfyOgO%^21B&AMytNMm#ts?$(S_Sn;8c!5@ z%8SZYYu=hy=s?fwtM3+&T5F&*OUvTTxYx0n#=(L6P&>)x0TytwHR7Cja49s0ChF&S3c8~ z$#%C`oh>cpLKo&FS5qp?vpRa(Mf2jVvD(dROS_Z?mn9lDuZ!=RMmN&vNVj}LxhvDv zU3Om3h#|`tdOF)OU9D|}S}v8@JNJ*(#cIXxx?0Pc1lL?KF=kEF)#`!82oEiZZH@wf za@0F|T60!;aH2RiG&(jkvD>_{(Mha`Wwm{H6M^gStEMZbK3q(8uCu$NE771(B@R?6 z0YIRDTmZ#VLEvG@%D46;8e+9m*XvoU3MGfJ9phLmwQ{WDR$EUSeka8eOElDUm$Mv= z)?C3V6y;E1oUQDFcqzZgHBnQ-$?3uxwR#JEVqQAC+WRn6!;^y;4S$8zKE7jYGmN(a z0D4z|u;+C(1&ncTWNH_)CF;~Cn(FbGM)1DQa?YBUY3;C*YTlBVH-)$5VymU_3rNak zUV+*bAabx=J?iLcY0J#Bx=OiXS3=GU@CK+~>JekvQ6hy%km~u1<-5w6_EIXnOHGq`|eYMG)@oF0FXL?IATaK1ET*x-9lGSrmeex zRZ2R5VJc$SikWySYmt6o5EHRfo0t)zY(6`WA81dVngcwVNXN671ACCv7>n6!&y*L4 z7Ft@+LY~N-E9JyU;hHUEy2`EFVv+@#c+ghkiW+J)rc;5)@m2I(eP?G+saeiaqoVY= z`Ko{2DBvDJ!tNEiebH?w06O^`;Ew35tQvae~Nem?F+HxE+A|$_@o|k#eNonDt+ryJ!%a_>#F6&^$mJxzwcZlc01cP=uvThTOisWA zIA%?bP`MvmQoCzijAgEZ*)a&kqmvV0HBx9JJIS?`FiZJH&pH;wL)XYw#oCm!DVJ!W zvspjGW$UX_T~(g}w$jvY`350SG6fN>SNKtn(N!JIgQ*ot5HC|`s5_Qu63jB!+0oKE z4+sdJSZ}XDF<0)IfW=n{Bi9{KRv;S1f?RuEJPIsnl;}}WEs&#}ZO?R-TKhBya;87q znNOv_fr0uIaTdgCHKX;{Xd)F*FWOMtU-F(l&|NMyGRevcIr5r7*y0YRwA@~Tlmp^G z8Nd-A>*Gm^4!UVMS1qMX$Gn1tW`fK89riqBuNi?y97~BZl9e>O1VM#1#X_mjsl@?n zdH=4vEE#tgq7q?3sDa-fOQdUrq9yUbvud+h;FZv2vWXOx zt+dd!(ruc7$K8x59*eh0=uFZJO=RfJ^c1X8roE^UCFLNBQzwR_1sDv)t59mqv{9|d z0-u2!f^jQq8gHBj^#MRGLJG~#LJOcA?U_Ds&BmqjpQMj!dFkcqBaf6znuKaVY_QR^ z+)_&UAfZGwCK5SdK@k#0FEF+X%BD}m;p;GTF0x+e$>h4?mXP#ai`GSq(KCUw`4U*Q zUlAg;b^%Dl9au4GO^jN@gQ+A*82*bEozdiQCn5ZK$6uCetn}82@fSlNxJ1fwHEa)r zVwPkn=|q#mQY51%QOJNIP>bc9C7aMITOPN{i#l>xl%gsn#WW@FIT#zy6Tt-HWfG|t zYWZOZd zh8q&;R9-K+WZJ#t63JMStC1o`XEy`~T(m+A%Fj_Rc7YkV_-(P>$`rF1O3N07ZB9|W zSRztno{wh)tMgAcwdbZZ5#JWS1t=SxU+#3PIKcoCX%)r`c}=2MR8$Jk{lD(!XD`nR#t2>{uTC=TPnDJCn6S;a@OR105x7ozsC2w@K zwim3X_OinP0xI=_(@eq-qOh)8$^SNjbW`pZGGsQ9lvJ;!>@s*keDvUOp(B%RlS?n5 zEIyL32-A|(lVPWkQlMP2G^PlPiok}rnBbOjyJ8mVSHbG0%-P)z^27P+&($>Fg+!=Xb&) zU?T$1-xgt1tp`aRf|dp4Zqq_?lO8=q9HnGfE<RHAL3e# zZJoVcASGTA+qT!0WzQ@dN`BDL*iP^$4NVL|H~iBe{5Jy=qhsT>b+IL*u|LQD65C}5 z*dS};;F&`4PbTM!e!xW85KAW89BqC60w9>s+vTr7)x*~9{m{Ymp#zvU4^n}|nM9L9 z8c8T&*wvzv_=#62E0UbSq~L<I3*NMko?GN>yCQ0@~6Rx4E=WCP1SaCaE<5n^Z$U zWCdLb@P>JT`xaBi9Xymy~v4rYU4ftzC<(gsq+h)&{6(!Ejs*j`bmKGqFUIV|u`fgblE# zF|iQ#f@=0ZRwtUXQ<(?}l8jFek^qQkGDQwntwB^yX>COPlue;N$9Ck9XQ@*{ z6uKqkFi8p^Y`7MsUNC+>0w9U?ndz{;A)T2X=^+NL3siG*9iXs)2t~aIN@xzYT`9Z7 zYjVS5(keH+GmY)Dm-mnF2o0fD-qyu7+bZ@~n6*$Sbp}n~EGa#>@Rs_4uU6u&BcruT zKmZ|NfeDgLksLOZux`;+)RRF~Z0Fvaw zKTw!9Fhp2U9kXYmK3>Hjnn*WKYY_#ETYO1NCtwvBP#7&!6nLy|3<{i#ZcRoSCXy*z zmPo`J+k^((BBVOFe={8m#0S)CF|jS1$t@6A7hBptJU&>{-UZRFHJ@4KqEzfM;4eTDxlD{Hu#88%HAHkW}ym*|IXywC4()HW}v%a+L>6haW=D1t@ba z2{I|K+b9nWism^#3$Rq<1F&#t^RO&B?}rDi<%=yu=FmD+tA#&}dvH@|>ybu(k3q_- z9S4GlwIdi}eH`YaW-;bAjmYJ)o=(w+blE@Ri3VUNT}{I3VRt2tt`s3o z6`E`NXD^;TFnh`D!P!e^FPpu??4i0?e{7RY7UTZ_{x8A*LHu8e|I6@y2mBwBADESa z2qKDs)V-q&?O?v_ z1^oF^6;2BpZZ}j4FbqpIPS(iR6F=OzDHjgVjJ_C9jW|a!(~fqt_$f38@_~g|P~;Sv z%+nt!6`y!htVutdau7Gs(jvnb_})B>T{h*nz0XPMw6-xeK;0#`B0~vatM(iRRR&CQ zAefwGXL%^voyq4hWr|ZddQnr7&L#}FP%9AJ;MXfyF{UfS+b!D?yGs1Zxn zOT8!$AsogR3&b#w&{C2I8rU)&2vCTLAuWpefG*sTZBvs`-fg^VXaLTuTJ_87LR1I( z7}NLJOt}F0i64cbMf5`<;9HVSX~Bcwek;M7N?YqDyDD#%ha+ifDOoeHAeDsW0@gm_ zMuXHL67_IF!5|NLrK4TMUhpbp8Eby)y!mR0FkXmpE)tDNKX_T{x-rC7jl0so^H!0d?;|YIZKlvASUrs{L6B*52>~K?2}drePgfC3>QmE7CG{!F(A^6cIubOL z2f2uIl=cLR1aXlwTk1sg5(y!E$YS+i5`w6lLWiU+O|F%##0eoc7dpl0)5;ENC4-uvv&u-GB(RQ=5WoUmMFX#PM+VkO z3~>ZnK1(aN&!QoS4KcK{y(mYjyN{+8P&#dirF;trB@v5jftE{p<0{H!vlgK8Xc2C( zA{QDA51VYVEB;#+W{B(_f=639CcYLQY;)YSHfBL=t}Tn8TZb5dGIqCNis>CnH(~1X zTr4PEtgIj~g4FwzcP092T_oZ0btQzei;LJ7hGaX31FGZ~O5k$wAypqsrSZw|$IQ=A-iMPAW-8Y*FXk4A@D}mMYfP^OC9qPsIp~@oEykE* zyy0)~hUj5Bl=GYHSzueE?dFgKis6>Rj^EJ6G52D4_IkFqvw2~|VK&}C0c+X(< z^b{Bk+ul-INJ(AE(e7eBL=}}{j8H1jlONKa)5OJBDjSu0)V%6;C#qJ7`YBCM0)&BX z)x+fk$kgF-0)#<#P|o_`Z7O#Q=@={8vc?8?njAu`v^BUZ_MVMnlUwbaIdez|8LWb6 z^pR2Q78$Iyt3~;^er^fERC_WIrskC`3mER<S&vkO8Ezsre0nUPV@ITdyUQihk>k|I=De1@+GpCjZn%BC&wV9;D| zqo-2Ifb|Fwq>oaIV!E&NwzcIJxV@CsKxB7m@^LUr#uldVkFvaq=pq-DJU;=Wh8y3- z_wkP~1Z$OAO9<5=6-vu!iL727S+P9CIuH_``{N{G&Zbgj?NmpAlmE*qI5)`y1x%^N zBq~`u#!>4Mw1IcFAO;@-dKn^+ez`9$CkeB1)hfM)0{|+~E7yWJZpgDB#4d8;!7mqx zoGoRrDYO?#M5{&8Qd27~Tl~fvTs}CqY;a^?H%wEeeSU_qI^wELDJX&|g=A49jG(BJ zUP4?Kq!ikBE7eWNWPO^_R946i0ZEmRCL%wqVzF0EAf1*<2AJNwnGiZbrFm19>tXd< z?S!5xoNdxZmhuLSBJ5GdQDiGBys;D&^>u1vnsD}s@B-N6;7p_j9;>j;Hfq^e(HMU> z8$E=<<0>unVN-&w&Zq_Bhmem$11LgmOvD@f^1m#uSH&?IzbV5r6Y=`lvY?{ZX)D{= zrPVMlciY_~NE!kFS2Wq8M?hVG^brI_ytgq<*q6Y+Xdu_|+z#=9*e6zrz%>*Lt5?OE zMo&G&-hRF-Xe=e6cf)ul!*MDL&ob+jys2ZmWta0Er845mh4g@JN+W|yVn}DBmpQbi z?zSS8U{7xoG>CNPQdulRx)Fgx{>XGu+mO~S#A*-_T&Dwpk7PQK`UKQq+lrT^v{FK> zmi%UgUg=a2cBPZHYU#$VSURb(OAm!V+M{`@Xc1KErCsfLfe;>=sI)Bj9bgX5N|iiG z^-IwqTT_ZBJ*r|Z+oRX1(qP?+Bx^-m0{L>bS&jC{a(9`is3hgUI{g^|Pm8xYtWfU+ z@DqD2G#CZnX%qGiUB zt)#e+NZ28lBH~SnMN-rha*_Om1WYCrg`w6_{Zbb$N-8qt-29AiOTnbTU|gFkXhgQO zOs>~4M1JYUc4FU35~;Is$Jy2#OJT1N@l&uOX;&y0u|>0^#pcVw)d8%z3Lx>)gHQ#8 z3GvGk?2y7%kNAdAOdDhU6@IMs)eE6CjdT$dp)>8`7sAPq^MBbO-WzW%1;t3jL1fY$V>_QQ1hU^*rsbJ2cj{wM8altnk zfrpk|J|%CQ1ts1}dd4PgTIM3r@g&U)+5-{SMA8%YE+}0#o}^U_o&#(hwXFW}<-%gl z)lf#%8BH#Kaqz^(I+(Ft>&u>hcfDS=GF%&^llwE(Uq#+DapS17)(a%an*M}@7#+vq zCI&}u_N1L()1zkFBuJ_vi4hdbKvzKtE(56+RCp0srTK2)v!ZuFGO7MH*>n(u@5Agt z!xl%eJg{G<(skg8NW~*oTLG?7$aHR(kX`2ZgdhY0L-DntN9s1D1I_qPU8z+K`%NbJKC+qIpZxa;(Uc;Bue@M0m8$pwu4o(1fP zbW{O%vBu4MuqXJJOQ5xUXQoH&nWTTL2ss2gyY`oJ?F;eC?=tp^Z&hk_zXRVOutB@z z$R+3tKsXMj7(O{h%r&O5BcHO4E$npV3a$3M_{sxj!w(_nKrgMNG)q{E5IZOE5B?50 z!qP$o$qHr>*K)6{l;g>DB9NJkOzyzZ3V_(qogW(SUpze63M-}B;_Z~k?K8k%ayzjH zmBc|rmdGix=zA%7Luwg!;;WTCA)%yQCf^FdT5Uj*jtuyR-~|@8SH|ptI%BeN)sZ3i z*`b&QelB!=!XYPl2_am+7iPhEoNZ^+)AYVG*N|0#P~#>-A;Ib0yH4Q)DD;R83CN`Y zA~h|HMzTOifn>T9Ho;LN4QV;mBqU9VEcb%kXVk?q1=I6^@okcO# zVwa%pK@$5Fdpk>ctCh5?9pt0HJzQcb<>FK%oPS>aVlP?PI5@r#Yg~TCUh09+Y_bI? zl_1WJC(=`+u`i%r%&#{0BJF}U_cjE(j@k?PR&4l@5jsA%kgU^f2^91}h{i+(>AfC+ zcuSmdsy@e9FZ@*9@b>uKYpPr~Q~8wqB1Yk3H;FyJ4Y71u@7;^XwGR>kA$^dLwu$0m zF3Y`{q9lyaZ*6(KMTVV16?ZqtO<>rqQ4*br?<&$GC~8w=5G9gXV9&2MTSHxTw&)2< zn=mlvJ#h`yK;+s|%1=W@AOH=44Neq*$5hjh(#j9l3HM%Tq_TxmXNh3@1$U&_OC;_! ztlF!@U+!BdzG|Up2nm`oP#iSIw5nmF8J^rpTa(3}f9wENrs=pRHkB(|cz~1O4(^~; zSB1unz-oaWUKxcsE^LNfSrx#u1AzEm*Tyjnpo}RHt|IhRP)u7E_f^Z;Rm(8W?N};j zgkF#JzAaGvTK&=rHAf5_hrGew77l9x5>O~@7I`TWL5YPNUa7EGp2@~p0|KJ93CmM1tujk8_2jp2y%L0Yk6 z#9_PG6y(lM5zwP}lZYeLbROrpfn z+BF~h%yRb9_LT?VhaWX(J zBmU2ggAPqgyRgu0QC8i;&Mla4+#;>*iiYJ)h9*cDNon*n*eNM;IjmHL5YDv9tziPs z>?w7J91+J~zE>=bZRtD@@7{>;|eYH%WDLSq66iU$=_1t8lF_wh*gF%d2`L0%N zUIU&{lq81tAT)p&2R#Nej`z}2AaK))jqflzHW5QUH2MAY*oa=AsHAyS zRtL7Fa&-{rRAj!*5|Q(RELfHQ4GNA3B z|7p9{HdcM@ZfmoJRS~#_*&wY*H^CSqHc0mM0okkhmbgJOZTl^Q97_^HMj2JvKq*0r z>jES9%1!Z}rfECVq%wp}`I6~7)6`U)wlfLjOrp7BilzWE?RNua`u-4T+76{0A9J<2 z0!VSXP7?-g)zwTQpQvz@bMX*!5T6@M$>{LrrdV^M-YO;?hCb&YmZ}t`;!Pe=N~md? znTlP=k}i64&jkZG3;;%UMgAxrfO;+RFoMeJDhwe2kc-p3^D8d=qSZHk#hb*&FD`Rx zp1xoxC#Q+8!c880&k6DXE(+d|AD?vD)MRill`OS`P^OGf0l>(?18K~qn=n%#C z*hK=i=rwVRo>E4eb@+)?I(2C}*b~>B*2-vrL6Y_d%5_0iatdJ>htZIKwRt=b^SG7+ z5n};o4(=T8URf0|p#ea0GF`F5u=wV|P?0txO$&?~9$^m_T$DSroHSg}x2UB;NanXx zcVNh9H$hRmJ94n)%*un$$YHCN=$Qnx^S6upEOoO^+o|o7aJ1PL0j-J>Aof zb3^yE|0ke}D5jj8XHtj1lP7y0o@V-Cl<=njULY$?35cFwwso>)4IH99X@P&Bx^{o3q_k&wP~tP zOp6^&^{MG$rpMLkb*Lt;o67uKEsWcOvp81k-?gM`v=HvEcPr?QNlfe;<87JdQ+Tzd zb*>tKet9sMXhN6{v#GcpO_+=|Fv}DqQ)z{BOah+J2*gt$>oSr=_d&21b`}*C;i}Nh z2Ufd3&=p}l#n$#9C@IXV@AJi2V&jeuoP@DKTPl4X376Hd3WTHb3AY~q&~==LC9$=u z68bag{W$+xMK<1?5iDYwAx&b!qbW@@+F}Ov#zC~b^8Z==?@?#Nw zU`=rzXy7N(v@yc~8(6FtzQ0oRPMEcIm@}wX!EqZ`Rs{@l0FWGHZM6yvr1WI-64TL? zLe^{Uaj+4pof$P$P>#FMp@Nbvh`>8{{EoX=0t@3?CYjXPkYR#%IUOYi+GA~dgOW(x zh@mEBR0)R=;pq?VYCskcuIgS9INgOjLuzMJWAM(VMr~U1?rdtbcQ#2+fp_P>K7=5! zPJW`c_weIIkeWPJ0m%tc%>|GGy7zUsA-o#Eb$c;YRt3y+0Faz#+mEUOtO}WupESsKrUd1P@5+Od_%7mr^g-H#W)=biJoyZXdBg0=cKZs6AMW6T-lVe7s^c>kU{= zg>Jf{TC{9SZw%_v`&hh%aug6|ii|4@VRgl?pxpqh#TIB4Wm*j)DRtT@-jNg=sq}I} z*Z`fUc1Q~>%stquH}&C10MK7u^*!S2kv;(@hXtljKq7ej6OPS>M63bp0-RlAj|>im ze&7~pIkoa6a5G$a%WrCKf0<^4zGWS%4IG#*V}}33YY+LF%>jFUXm>cHG&u+ zf2E(ayBKn@!W0umd+vX5_bQYFbaFfR>3Qhyn=)__S;QASDVgwQ~GkolUp zElYj7;}IgrL_LfkfauNWBsAshPnClP;#=sm78Dk#HZt7&q_PvVXG_?cA=J`>FF^s0 zmYjnW9y~}E$s5OT9w82)MVb#sV#&lC+?oI33{?9g{pDVX#s3&yC_*5z$U~-s9$<2+ z!O}w#->Fh}v3i=+T?CmXb(a8#Y`7ALKNrqa4)!$&^@JcZIDSy`Xh2OA_&I4 zdCZX`DerXJk}s4)NFAy^YOsOr668sWs5bYS9n5D3U21cOX~+A|2JqZMO7| zLsN)cN{F%j?2QR=cmxMVhr!MliFCn-<$7djS6S%gTd`SMI5piwF7I?plQB(th3g$r^+fWk#=Q3MTyiTkc(&?=(Q zF$#8ET^_kC#d1%|s5*Pv^}aWiY^lOr$g>&+oeHSY(;iqaN4&Ea0U7f_YjSPfd19xt z5bUE_gt%Z2w`(oPO(RN)gzL($J25IgiIlwoW;PUSGn*#uSa@1@H%8nW_gQxFF=?F1f^Kp^^t_C>_pMrw6Lq(_*w(sm`xdIhpX5 zHW*#)52^woduEFUQs1kR+Qd~EAPxk*L%bbyMv-AJ3ZxgPncz7sil(HscnN(|h?i-5 zH%hZqJlk^%;xQyMkj;rLL*fsHLG6GfCWx%4LRDo*b-)Go`i%5aG!spI*6Y7 zYVVQ_slgSo;`WM6<=kdSkyTVGtZA=ePGi3o!sOmIkKWE|8^IiD(1%w}g#?zmLZaRd z$rlN^T?njCk|kSRmy={2IN5+@&Fky47=hZ_(Sl8eT)aFop|yj@Cjbvz%U34t-LcG4%RMRK7p;3vzHEyjZfe-X8aaTkco-0p~aIEgK&jl@h=@6Ti!nb z!#RGdhb?P%Og$TOKMcJFxPf?Xp=V%6BJyxzH?xXwlUh0)CR$*Sq%6_k+EmBvD?~3v z+J&&&Fq8?k2_2C>QojzY(o2viUI`%9A)8|~DgL`O zRJ*K72h|f|I5jo5R|D9bR>dosm-1mrhmT18i=#uZ{X-rdf{&?IqrWAf-u)qRdjX6@ zg_1W-J`1F}FY2m)mYz@z4acdN^3e2b*dq5&gkj+jX_BV&voz zMX0Qc{pL3~iwSGVD>!-5XV@64tvQnXG)eYO!IVU^6$8L82DK93q0>%7HIsVKF7_E# z%sQQrSp}T^x01N2tdgz)*B!G}0axNl`lIu%1llrR4U8=^@f1=x$GCBVb0+4IV?|T7 zYgafGK*e~I_HoHV&7^fe$|*^lcc;5yTiI>WP*D@Gjw)f~Dsm&c);^$yARm($%cR=` z*}@DP?c%S*Eb?18bj9emlzUtANFgY^6;&$q;tQ%~cl17zw8g{Qrwk-bPaEWvXh^S1 zxZU(GVnpV&tsw|*U0|kv5kqznoF$FvB$0!isb?Ih60^MG+srtz0*EU2s#owKZo<<`*nlJN;F zn@W>8sjhf~jFZu0kc}z}DR(1W~yyoBi>Lar7_XpXig_s7SJ8Q%@YGCNuuw$VN)Ci5`({UmCWw zi@FP2B#{enUhJ1Kh@isz51yAurnF*NPd|OVIJKC^N60tIy;|UCof#x969+VSU)$ML zOv_igmnV1PiRdu3kx?&qr(92G;8S8oC3ORmf;K?1bmi^-vnzA=Y}aq(u!2Yduah7x z5c#}maVzRLqgwn^o^>V~Ec!s$6Dn&Ei6)Jo%5W2KwkozntSj`6`!@0Ghr+5sQ>tr8l1eO2+ z<$)mfa={uYbRjQ#H`GHW{1;h`DMG8nbvWi8yv1*;Ov@zFm3}{AHFs^oaXJ1H!mr|N zOm|!Baa;g5r^|lBc>x|Bx0K2z8MtKBt}rLNQ;tOs0XsZx$YauR++f;O-&W41)X^R| zun0ezu+NO<_DH3zkfOb^Cfdv2Ry7bdOT}Kv$BCqezEG8s$d6pc z(&nI*CilTTq_T1hY(DEcdsYV%RURViC=H4lL2IbT$#3Y7z2r0Uyghrm{S^T-T>&B| z8I}}@%t;x+&9mPjKCg{EK@>maNwmjx(N6Qiri&&{}2&Rnx<5o?nV5S$@)`4qEwbPZnp)lA_bCd zfpgLzRX69QV9wE9vB{f{R0IGB3LytBo^qpSid4v+Y@Amp9De{uo@6g|vZ=2Ke-W#X zR^61_2&cA=;(gG}xs4Qw7hLJ|9Lxr4y9k-4JrUs`C_WDMK2`T}ckL zcP%*id@`=hsvh$OinVu*gQ96#dr`%fc~9Sw>vf?%DubsjrtRV2_HM+Y*&>1c33-T# z(gwf>JX>!O$6ymQvF$=r3fU5;1&1ENEzgs^)VY0?$^>Oxv?ke<%f9UR3;8;)X$ToS zq)UcbPwhU>$AmzrKxl*$7$Rv|Ac(*+>~U^`5sx}sU~c$^bX%%hZ$k@&fO0t<2#l8k z#3gdcZ))Wh@H}+}o7&l3^+N=Zz3Q<1HbvqQi2~WwQWs7Na!bg7;AAq;n3hZg`*IVu zF-`3_nB->SvfO>Z-(qRf0EROSp%hBr4|Ylr+d=l`4Gixnxe(>&oZKz1Se?CnMc_dW z`jJFBPx5n#S6K8|toDGZ7wBC+f+%q74MoGv(CW_pIJX$l4v2{N?gz3V5|x)bfJ&#NRzN{QhiSAUdAd4)Ex{uV za^RFzkrK5yfP6}<1PBmwS{PvFv@o#40tS>EJf8-6ftboNuL!HQgo$saqiEVt7Y~r6 zk#gXa?LZ8&6TxZ=FR&djwq1!hBn*|(qrEJOk|MG#&k@QbmU`eBQx0nvl#Y(PQ;Tps zm%P}~YXWZ@9lWJ!#70PaP*XYKJ>(~m@XC-kRq#XE-; z2UiEI$|`_zQEE|Ev9|7V3E@)^;bhFL$_MMMl*lBdTV(cjiw{^+TC;N$I}v8#A;Fpw z*fjyYu7r^twk*WW>%%>H2BG@x(i*b)UTFwMhKup>!LbP(!L?$HCjFg9x!(#(r?NUg z#`b1#7BaUkl^^ODC{&s^ml{z~=N_9(B2AezC1p}A^uzk4rDo*Hv!@(kSXydz7y89k zSDhm@R|L<^cL&djSS=a4IPN7aCbtzivRC(@p z)XYF{4aKr`)doznv%KAKa;iNSJ@%^*>!ioL*YL&wn$ ztixW%(INQdN8opdNTc0==|IUPkqVStQ0$hI={{$uC=ET73rP3uGPy)3lydF`gXwGQ z)UKNbVf2yK-%ML?22LG2{zWYz+J1Zh;u94rIng>WSFHRKb6QL@O)a&6+O{KPO2 zSE?#b#Ja6SSANzan5|SAcNXB)(HGQW}ZBZHjsEc)^iCR8SYt zXFiUPfC4nXgk+|OFL#!!Lqp)%145}lE()w_f0~4qxm~5)D8wVEWR6J)wA_)d8_EvYza>pv5s{Zn9ZO zN@l>N;RV8+Vj-SF9}98UffYeQ?!}(;68_qg>n;qKSWmT_RLd&Xt{5AgzzoOaReH09 zJ`h)F)2GcKrVM6Y1FjY*VCk^MPWV`e!Y=zklkZ4i?#;5zM{)@xvJ?&pV5Q69r6~}t zpTc1TsaWC)y${l~<&WBjfy5sDc(V?%Mx00{@613&g>M%8^>Sl|Fk0X=fwXNDm*_0E zD%jJbB_mf|z$^tp$;nbAMmj`DVO&F1Q75T2utcp_i*ZzntouqOC`F>cV^*LQTR4qy z!dlutKG9@Na63GmQiuyDsZd%ij#urF{t?&dn09(sU_h>fwC(oP>LulakX;c10m8Y# zip5`h1pO5O!&d>~P%j$|i^95~1_m|`<(qOFUK^NTPTHbW$N}U7DRU=)E!Ao?^YPcS z6Wjp8jaE=!@e63jT`t&XA-6^FqzTr-4L;Q_KLIlJ(YFFbr5>58A!cr&K<${i5Plbr zCDk$Kw#h2z**Ic{ZNLSsv@Egw3q3rO#C5y?&Igk2K$ZB5Z5&9`DGI?!?DRf)#4B$Tq4$gsrdoykC z;mvcOlrVm@=lYP{yg}yL^PN_1<1YEOuPy+6gP@ZD<^ue0eX!dQ#B!G*=u~XSHNWOsF%&`s%lH#dp)o2zfWm3c{qz3iEMy5wk z<{gXF&HxbI17j%VcgQ}Lz zC~_K{_yc)A0*`TY5`Sou0!~L2i5fU@)in#~DOjCz@pne|u0$efs>DxI5^-&?}dqm1uwmg0xHR z25?u1?*W+!s^)5{u~X>?m8iU+&?{D?z0YyC@vfnP9hJr;s>NeEq)7Ih``%!wlwn1c zRMd89Vb&q8C=tOSAfC|_kvDR>CFV(WELnp%hJ0)`mU|gVbTeI@?O?|$(K@q4YZZn^ zpFpHQbf2?%w_XQ(NfVVeVy9Z-44P6l)*KAJ+&k@TBvdR*Skk1(U(U6uqnzPTr0lGo zl?Q&dy0b9_)XHh+_^8M1A!rm@s1BBE5t%$%phb5meB{94PWp{~VZX3lDdn@G!pmQ> z{`$(m1pl$#$~=aHZ{f{Qkj~ZlIDEjR+#;w&D@ZUGAR1mepAj)G`;7E? z0YohYE4Thqk0c=1w%wp|;W+K#cy>qAwyBB~IelcsIbmE_5Hbr2-NNuLqe&IA(oLx~ zMlwxzvBX791l4goe3$!Ri{R=2mR$vq1MCj+Kr^&T>rb<#S67fnP6T-fi+~E?(!UwM z#XZhD4p~X}2R<)+eFi~{DBP<9t4iFxku&|Y2ymokJI!k9S?;qchhB|o* zMQy7X1Sph&6e_txM9e#(E@(zu7K3z;R4}8lPt4M9RkAC3S?ZE=g%k`5^^=YRiu0u+ z^cuA

    +{|WJ5ZUhty;}HQp&jRtLmj$UkarI;)qaVLfMVK(Qj!tEG07fzd|1C?0D} z3-+TJB3xp&bmt=ZC=S36AArOQE-kmKl8G^NtTuxmbO!};O5b^VQR8!Pr9)wbKB=fy z6O&jt52!YUPfs!z*hk9qi`Ws;SBujtM}Qx|5d512V}V_2qOR8dA?oYkeTOOu_d?wk zP52`=rSPi78J#}`1e=lepax(@Qj`g((j+PvfLvPoi&O$6uv1~@v6qjNUkW*pm_tqD zoJz|eU9jDbnXyWJz{FL9lr!isNJ(74dNa8NRd;=JPBe&|DG#b~hKN4(F^1zf=S8-5 zz%l^}Z#y*fiIK8Gz6sCTi=mx1NCoXFRgFS$P)IB`|JDnxCl3m)EZ37mz1~|Z7K_!t zWQmVxQ&Pn#0+}4Q>7FhatlNx;6U5=M2(aL}Qub+6wulwC&tMZft6*VN zB34C(PsH-qgJtOr4ECYoN;dGMs(~bgeXx49QA_rVi1qOr?%*BXjV^UiDE<}8L`9m* zctI_NNfyFYPdsBST8|Ua?v>RlxfQ#vt~ZCNY6k*IYEO!M1zV$2e~db%qr#NOl55%unVxa4^e1RdzD z(jOC)Pt%hei6U|f&Q7&@5#L^F&y*I3-lue^wDc>P$*c~ncs!O&Ey$d zvC6arHiz|7pF{wyu7u%N+YuK*ImnbqDE6ju=tXieP4R3^&8Zf{$Z4tEiEJx*WZfb> z0Q=7q*nmzXRLCsUB;|NZsqNQlqYZ*d<{@0kH<5xo>YRNVM0CQIqUA0_sh+@ENc13K zwd-wHftU!ekpN#onkjDVCnTG~dDKv=ZFfgNty~z|T|swxRz`!uGS$g%ar{|+Mk)QI z_Ur~%2TXAlKsnE1(3`%a<|oCb;5v<{ zK~D=L5vb>KgJ|qh1goN2JcLLRedt{m44DNSl}pz?GEg&KV`OA%d zaxG}y2swjdbifi&*+dB}QA3_QC1>A;cjQdO;%BgAJWb}2O`13Y?;+pAkCWzB@%$(@ zQ#;S2J^8%Hbwv+5oL3ddS)EE_|8yIoud&aVN$K0b$axlBqPPXls%Tr_nS+k3*n_B1 z0P%|y8{D&`Ap{nXnA!rRqTcW{^ZO73;A}RuO*nC!W(tZ~88%2Wlw05O1{JCeah~R! zcQy$gD8m)(QVfxs=-7GpMdy%)R`nujNlq=}&+KIyTph4hs{nFzyn=_yAjQ)OnQvQC zJqB~RCX&pB@tfL^M3*A|F^V*RT1&ixv?g4;y~G`lYnMqkt))l>DB^wu*1C4@VU0Bot{3u5**dN(icyTGr$aFKOPj zQKYge06hYLBx-OvxSK+(9!lJLG8`)pg2Z`5xTZ^zx?>}y-GhpnfDTl`RG=p4j|@Jx z**Gbdl89BMoHLufS0pWPJs~2883ZU+T7xq3#l>DHm`Ui^fDq5`=W@o8Z9&u)SdS1d zY`jofVWQESysNZ?_Nt{rgTqVYaA=d2tQfD2NkbOg8?U5gYvBHyGxiV&h3vQP>#Xp5C3lhDt#2BCyTU8+`u zy;;qb6JDuQo;>khZOUC`+rp$-iUTaEvW30uFq`H;D)1+xJhNHtp;gzsy0&513-d5M zEgAH%9j#sjYK-5vCRs;o0?&z11+H%{YG!W|%D`a*2HYdVW>LCn?wD$Oj&?QMTrnIL8Fb73+mo1{kcE9V7?vl8f#NDp&5Myi8D}{^L(Q zLse>J7s*iRxP>#RlA)H+x)jscxXw#rM}0ucc1kq?@;|{f>ShcQTLp)QUgk^x=E$dB z0R3_ogK@n_Gy#*X4iapvh#9eK;L zTugAqB%C;V(hOJfwG3#kI^bTM=BuVpq}vtAXJI--{)41#J^GI4c5jq-!WH z)|cFinQp7W2CSl1E@2w#vf_ z1OtXa5f5m)gvh!E<%rSbUb_SQxt1ys$AvCh_z)|pyOfo-1cW7t?I8B-z+}_R zj^I3Lr%Ln&HQG{YKVw(OzOy-79P_w2BQ1MV2@1?G z?!a&(9p~6V&rP9SV*jeuQYv7Fuq_gHw-rUk%$Ckl4$P8yau_fJk$Y%(&_Ot9*@90> z=rAfnjwHzlGEe55L(&5}m5{r+)rM^l&Qc-Mo^2~2B+>R(Nv;?KA}~lxpc-3US*XS0 zNYoVisDpNOmpUv2v*$5B6y=p045>Bb;JK(f_pBnw11g($6vbBl3{CNzZM(sWL!RW2 z*rz;US|nf6!D#kmSJVVdcO{IRaHM}mUY_RPoGZ!)kO-`H#6`6tA)(?!Siv?r2!E&$q?O+ijKpEDKrp{s zRI}G+C#JC~du?vE#R0b$x761GPm)XVQmnobMiMnT82#Zdp5Q&9Bnqn+G!x<`1JC`_ zjBi2wq|A3M_J)%?!vN~!-bPZ-3R~4cFG#~Mm%3g`#bm`m}Beh~& zD!1@mX%~0O&iU!Y4dGf%B@hP&Q2jdxRY;b z-Bjn^g2bB>XH`gE&l3cTU7e)>i$6@q9eNRRCj^l~wv%nmkjAUD^|}Qo4HxyASgp`* z`ugGsXGV;wx=Xu$H@SY>KT9q4PlU}D3%S;o)1k);djryzVICa50UgDN~3H+muf5EN;V1Pzdq+!J>Zv_!cTt%om3 zwe9UY``h>X&LdxyMS5dB(;``!C{$&hJkRf(L&D03dWg7~q;%}uU;G!QgbioM$54-{ zL|?6t8jPL<0ulvy3=$6L_SMOH^%7m-b}Q{IAcc*)42|DNa(ZE-v z5D}kiuGbU6usgkYafXx!ncunYmRLC|s}qhHU1WO9rowAVm=n+16ELSZMPE_uokcUx z09Ne)j29mB?GeMg(0ts|3?SeVveP&Jul%y;Nrf=_?l-~au~T}+b6Rs?T>VBP+2 z>+QxQCAY`Xkf3OSSg?U!VJ&2xyS3N#lEUq$!VL{r=dN8HA%F$=fZPyC9c|LCg?JpK zy6eB;FuAUsjaJT6eIB=~2fOluzyuOh=0+r{1tY%w)p4N#sNy3CIr%XZ+`Rruej~pL zpOW9&a1DNt5$ z@$2okDD%xIAb)=jgNc|XRQD&S>Ft-#_YZ@Ae))Lw0L31^e0T@x4U)P8F;MLW%iIQ+ zy{OH|5yf{$&9vhM@r9;5RrU!5kJ znLp{YnE+Q_cCFbDl3n%(*>^jLg;o)cK}MZ|$~lS@liy1=4}#P5mcXCH)feLcctDCj z5{M=+49#VW1Y*E2)kir*%$j7s{{BWQ`1wg4(Xuyz}# zCijw)le(E~k;x0Ry`_0I4+C%x5Nh-{Q5{`)rfYt96*4Hiy*|r{-+T{Lj+p&+cxuD8 zJ;>i2!H|J4!p|S{aYc_zvTDP-CDAM`F_Zh-;1&rK-WjQoc6W}_G(0cuQABd^TOG(T z0g%HKK;um_Kopz`uNL$#OlPRI zqOUeIJb5asWBO$&A4W*VwK*tgTj4CS|H`}6g=MumCMk2E^)?sk+r zfl6RJH3B2-xR#*Gx5x)|u_L7$OcL%Hl)tg#Y@o-UNwO3guW;|A@`$m}JEGL`F8C>6 z=mZO09r6X|dFV`#@3Ipe9l$i*Aof+MaF-6+96&NVHr9h%R9pC8k!>zi*a>LrYYc-X zOpqEvW;@1%(}&O%71KLHJwb9JA=MdJo#Lm0EJ6*zA za|Sx2z&qZZo04R|tr6*D5k93FW)~yq?`<&9@8avIWgujWTgvbLLaN)?y_VO`vS=t;AOu2J!G4fY>C{rdIpmTlaO9j$Wof>zPPLD_2rhz%nT z-hRBfzyFrOx95Y~-yd%8KF8jYyb6Mp$Q&!!^OyfQkWUQs7FTj@@Ylh=71M;F=ZQYB zSCbV3St>=dr0?SBCz?r=xt;5}1GG#LWgYK-SYIX%@%(Z{)hH&AP-=dLG1P&H)5;1P z>fRkqVmiNstp@iCYB`y8R83t-J8+9tMM+U)HsBR2_YeYB#Wxe{s7sjO4#8AWC4&oM zsDj|jGv>gNQLZ1dxKSZAGW}7;3aW zVeXS9>&%iTuLXhtf9}bT_GhkrN^U37TW+?oxwg}`P1xKdoXwogg&M{nWf$F0L)ND% zyU>Ff77@u@g14aiJnX2!TMs)jH%fY4hwd&x*{0&q9j=^#o{4-{Ezl=s6D79#Ya7dW zrDK#Fr3DX2ir5kNEM6puzr*ti^B; z`U0C>olu`vHrvw6FxkVyz={Xr%wH(uN5qPoPkNab4 zK6{qTRzH#!{L&TSJVk`llqP>iDU(3x(XBF4ca`0M+|rxM**+U$yD~x6pUc+Fko_G%7kM-v4pV-RDQaq|>{swSbxJg1%UmHi&_i{F z0En@EgH@y89sEoB7((g&)_(nO{Gu26n~dTYs>T2P-=!A?E~raXm?UD)l)DZHMBoc; zD2V0YlMO7wfQ*%c5=T_jX5!(BbtsC+lRF+bq91|7V$|cX7{g)VE+BKW5^$jcOEDKE z)O*2ZF37uJuSd2K-9NaMF5VwyQX?To%}rreA9mq%9l^wu`|)z+Boq_$AY{69;ELNf z%WVagP{fyHmM%yA1ZT-o(dXUFJGCnvOEHi2D@o~9sNx)P6Jdj|w@U#Y`cFGS;=vDo z#_CKxN^IttxdR;RYVHR1Of)A0dhxl(%@hy!$Z3UW!UYx1ig&hh9THy~eEn>=Rs@PZ%zIu9bV%CCQ&SIDDprqYQ(Ko+H| z9?DvM=YiVq8HfU=!}DcVbnTaI)-@sq1#&Ef=VKG3i%-)ec7Mj=`prmO9P8aq!ld)z z0;}eBOT^qlil2w&vg44CRu-#1PnSxh)MD5MZ!SC{h}Xh9U_yT&rh*#dnZ)zjkhI+> zfQ7d*l3@sF5Q2*C%0OJ#?&oA-_rJZne+F9`UP6>MhOj1&qFu_H1sY63Rj5oxuB4EH zEr4aP|8U+EQg9$YPzCn$?Qh@1qQCw8fFI-e_skTxs1J}q=+B3A{?N<;zy&(5Y4yna zB{Sq1-{0QdeYrc(hTP$gSQ7?m+w#b!wSk#>P3v+1-@w)ez=mAE*e+)$iAgFucSH4OZq(+K~ASgT(47wQbkE8*-LP0zig z4Q`|>zZfRh@(HZDa&nZnHCj(2n2=y>`GGxzx{X_V!e}A)aC)L1fnwJhxe3lVQzOPtJCVllBC!^& zzI69n;(;?DB?yYTSna&6v}dk5S}8h&__$^;0#d@O&(O7oy?Oilhld`hR!9-8{orfgG8$-xYLI?L1@+nD>Ab>jSmM((fafw&zp=Y zT(aFwx7UrUjc9j+M6tJbU%nmms*Md08m{9kE-t_q5hpnja9CdPn`%{{eCl3m5eRL@ zEE35HP`lvCq=0mq?1n#wEwiRtnN~*Vw(^?3S-PQQ5_8}mdrdzK!&YP-0N*A!&I(!= z{GM(N%Eq0%+#$<29(Ap;YhfyFDYD#L!D}7-1J>&;mQ*v@o_$81*f?d=R9R)yxX&po zZi~y#D#KSIv7;6+OE3G0C_W?4!E5HFy4t?5{tAbY*JhfV^V#fT!DG|0O1ftdBf6`u zpc>~vU(NEV*6e6Q&xEvkNgg*HNZ&B`I?L^nScZO4+|%Ja4Tb0^%RmT$PsQ*o>i+`O z1HPP|+W{AcDu|t%E&>Ze&XJADr1Iz|-iC4#To!oQddEX}+ z)J1{bq9LY+hu3VCLljuj;ON=u%QY;$Q(*s*xV_jimBvC=mcYgiUWo4FA9usp8BEqO z&M8;e^O?x<@jC3LiE}A$l6<~4OW!Gq`J!5mQy)^x67fHz{+wvHsJNRA7kCLtzBUJE z@aBWO=q=35kJRDjhqVrxNKzg$PjCcH$PQ-4wQCxc5nToCzrBW1-6g$3j_O6&@$FmvLIQR5Z#OguFfAiyYZafGxV6&Cn+DkbN=D$o8wc zknZTQM>#%Tiaf>RkeBov;NVek{saShSQyYu$`l1f!30FYp%V={h=gn=FOoz}q&rE@ zjp-R7J4Hb?T#*PSjrFb3Q)qq8lBm&z7uFP-J&Aa+_epk|1e9oHY@vf@*(d5=NC)*p zWL{i1Ymj1=d+-PWanZ&b0G$k5xV>9cMr-Av7iD^Lyi{r zMmT0-@EbES=tFIWENL06RmB0!v}eF&M>#AvD^#EBt+9@gJfY|M2o);Ky@fyAi?%jn zM0rHjgv?klNz)DVav*16%kqN>SXmYYwcw9vgFAn=CE>vnA8S5IUc_wHDeO+D#iyvP z2RDopkJYKn5<`8gs3%AQAuyd3LYJYU%!C<`LUbdA7mR52a2{g-IA>L^0Xjkuz_~`K zvLVyC^;@(byG+PElOXvlCEaWn;o26&EEq)ndUfylDOodP5DKA@#3|I%BC}#R=a@Cc z5wKdnxumNk)UXluWfkgWg**w^eWY}HWadTk8gMkrH)xA3oi#$Zg^b;G)?%&I$3a9F z*&nqeB*5_Wgm8^{tC;PFqyn%GoU;jhJ}bh>UTx3;MLV^riHhRXA!}>Wh$hmBx z9mu&HD9O1P52#&Xd&ac_E&^yFC?@byO~Z4H2jjW6>ki}%GyvlWtiA>*h2EIaQpk=$ zHcxhy!yZ$Vaxf_5hB^|Jt4Si5sb8Sqr$k(m-Cv0v0Qc^e&!B9Vx@>l8#0P%7PzE1##s|*EKy-D zt-z9ug=L&dw}DjdIRTJ%R4_J2Tc(WvNoXIb`8D#isdFD)o#usJ^8wwZy{0pxP&=|G zV_5|58Hyi-mFW&u)}}H^SBFmpegY^w{Pbg2T@_+3AoIWoM~!eMW)uM`Y%)E&STMkJ zOm!Q2P5Zt_RYffPDL4wy153{9(qfhbFwL4X(yxFURH4o6q0z&u%@}_&u zrA;{^w{Y59G{b=UPW;~K#hmuC4=C-)qeK*pWGAA8Y4S|n!Op|QDfWufh;7!$kCqhZEm3UOD!CGx;@HI*cGHcIU`}li&Op zB%d#5=#g-J*{wAIfZ<{RZJr$Q7ZZDAvKG{BMqwvoM7Mz_o&Z9%#6dcmKHVa-TZF~({c;Q&ai+}?yuC0W;{e1#UVHhW#wTg>l7&*vXpT-?{|Gb$D&nnGwEAdnI<((%y4-{0NdzO8Q49^5Y!2_xGBjCzU^u*UmsA!!_A z!AJz~epGn=kkCM1TI=uT)+n4Zb3Jcj#ksW%Xc;lM+*C{H39Yg&Aw9aT#bQ_&oa^CA z{{NSqBu}NzSKCU#swtn-gD-JvU_Qvm!s`dN*l0R09VWu*Bu~hFCvj6$KMioQ@?lYS z#O(qwk7k0iEzUkXjP&$^wpnPwfUi+i<^l>nI5Rj%68QX2o;6Zv$1rq4USh`t5;UYK z*F%(GM^hn0ffgsI)`R43CviQEvi``>iz0orO4)%?&3?Uktg{&jOQ-0r(&V%n;lT!> zFo(s$)&YwxQl_Wp0xC*gjLZaTf91t|MDHFQCeXWM7M$S`%TYOYqRuRaV){r2x`z4; z&r5@fK7a-FoEULaB6*;4WLAebGJH|7Tnlo5Vn;?uvww%8jre`=-_Mp;XGj!A4UBqR z+J|4Ct#+4E=uo`%eDv546>n$&QY1fWg>_VZ7f;Fl@+=7gM;se-%n1q`tS2+?*XR1eHiRn6Xbrcf0>gm zKpl+#CxDYgwu{-L;Eiqtjofq3!z*(tkN?OWWzQ6ozXoCv)gXb9wV(|6p5x5ud z-WOLe;Ufg9o2U~Eg+E~@KpL)K)m~l$O&)s0xRjUNd2&v7&!W7WbFK2SlAfNO6b&(G z;Y?4%agq<4D0baJy%qBHSQ{AK&%XKiji0<&EeL%<*a8~vL=*+anMNim-ok3YdLIKW zd+G+>YqcAG0g8TfnxXo88!4!_r87&9Clrs!KT5_4<_88SwJ4!%>{oCRvf<*uLm-0R zTrRGU_VN!Ohq*c?n6rpH3_z<;5B(qY?ey7`-`<;SJV2r$mO@U$blQw1}g`u zI*qSPOT-;pbftyUjdxH|TMjd<1dEyIP6KV31?{eyjW>P+*b5y^$Ec14CD4M2b~rL zYXW&lX09)|`S^y=rvm>UpT}jKv_rHDl^_CTR-+uY>j}N!sBFPPn^iZiczdT?oJuKPtgXhnY z&%{~Z4i4V``sIF~TuvuB6uOL6K*^w9d%5fkIMG#xW!IJ9QC&U>Dmt~(M`NE8ec7oI zA4DL=7ps*61X4ZQXPwGo+=Mih<$ouAxsNGsrzZjIaA+KST4f%)h z>LZqygfkxz1GQhgBhzR&Xo1rVXKbVoNjj_~sv(?4A~x>O3@eM(pjt;<5@lOx4A#rF zylGhOIH}x0mO`Yzo-IRg+M3&T>%KmL?b%A1@4+zF`J+c-G2T zg~8TlaTlO42-`BQB})pxLS_c{%+N>MfBO0Em2;1~MtLUQ6iu`uZ%hbDiG ziiz@XBAu0AmlK3Yykq?0YISn9eg(Q^N=LHtT#`(}!twCvuphGCN%Fm7r~sXUSg*Li zFR)D^<*+-bYmusjZ?1%1ua^jXhP~>WP}#8Fsk&<^FJ|=tXsf72a=q62k+SOG$UaLQ zJ87wyxcXn|kLaH$t08(I$_3L$lRg-|GpV6 zu_l1$JuZ*o0yHi{btnBcuMSn_81Ols#+L`091zj0M974IS4%Vl4q|E zYxW_fYZyG{ieXc*0$My0Ics;f_xC6u`4_nWx$Tz%%Zs=cFVz%IxUsx)hLNr&pf#2{ zwR(wjfpowCC{iI_9t6kT7!UBxefIYS>!+Uhef zk#eBIJUUa9X}H7%!;nIP{I^}$F`-pjYP(t^rU0Hnk4|dGfDp=0Da#N@1-Sj`IjXat z!^}mEfPaBK9VvG8n5B|d!xdW}9>dGz94JtwY5G#PQ`fH@xpxw-!o?BXL~e^No3uZV z>HiHhB8a8ChcExwqd?4qCqBMjZP7~rxdoKWkK{i7_7)%g^s`E~Kr{mPQsK^2V=u?1 z6sTll>PbfQQSF51E);6Fs6PM+NmFX>5=|X-uk$yuj$HNV&e0u~L^D<+>-XY}SIrcr zws>&@b}2fE+%&g?2ahc+D)CuoPB9MXad1W@inyFsqWo}N6N%Y7M{mK`u{A|Hs~iA< zpkkX5h9n%7)D)dc;8OGnJ4*!xDOasei}ZN87!o`R#sZ{IW+`S(CQ#87Unj<_b(k;~ zl|=_fEZU6LO=nOIh;PTyFTv~AtLgX*$|ws2_ssz6@~J6s8pxDP(5YHtfZdtLaz~IT z*i{x6zvS};Mo)K^E|;?@_Waq~U%&1jzU+U#Md>m0 zw!HuC=2!Xc+1c)OEItXRQ>;EYL@@`ij6~U)l)ZN8w;T@LTa2Tbf}T4Axg6|#Py?xn zzsppRe?lq%PGqUI;|t$T1h=sv5Kie?^riIQD%Z@47>?uku-nPhD*M4 z%unTWi4j1JfhIoMkO1)bf|2U}G1sX(GvraiRZ<%7d3_1q=w;0k(^Fv>;zN^Pk1tb) z8r~=fN)rwNa4H%X{|Qo(UmC9Vb5I1TsH`dXB!yD9_mb{eXdXJX^?ngugODHykn5dL zaS;R`HjJ{MH2%aYio|ND!FCzCxr_%`qXVBZS!a~RsFH_iC1Rm-ld!dy>$Mh2KrD`D z-QjA-=-Q3kD2vlsU;3rCRXaX9TBhk2Tq*~6%b0!}FMEvh=;|!gEpiI%jLT+6O}m|p zdYwS+lbUurf%q=C*QV?rptc9ge+hX@E=O>e_vI4vxt`MVF-Iej?TF&XL(J5B#0Xzr zo~>T45&_pU!}d+e`XF)m|6fg?b@O-wd(`wsB}_Ug^`RMM25h{ z5>=_iS>h)lsuc*BITWHk7*=~X8(zRO)VJImZVn$^1x{&)PfuxNp?vqHr^oUIj-Ex; zOmiW!04a@t7wo-$-~?|jS_NbV(P}f8T0>N#Qo9HC4l^BqlHRv&WMc8GPEI(z~-{Fm+A2l5lHx`IrJo6tw^t0X9|jnpdz5lu*E>Njx1s1>&Bw-tDPiN;>U7l3Ngvr=9L>*ND=M93G>dgu{`xH6A!Wd17PyiMxbV35K z$3CI5M?@cXieTdC@9CSO^v0WdUJFDA9GWCxR;a|DdDP2G2z~tW`4<$8d&s{w6M1$v zXcvJ-(D>l<*ZbRdgHHWAy>12UUR+$SBq?@<_B`+vYS$iV9)@@jTxO=WHHTjCBWi5S zqmOL6lFc35F|qhXzdI&XBDnfi=>{&#SPog1xjX3F&J``E$iDkIGpG;Q@n(aA9_@DP zU6D`FeR8Gma*;PX5qQw@ieHF2E{I?;{LHOWCp1}u!KibOQ|O2GEq@#r5F8erv8NtL%?a_Qx{)i!Ohc z3f5*p5K(3$S{$M_Lnwk4_m+$?4bDrkxf-)h-qzaPz_FOmjv;iUC&LsJo#pQ0h z|C@dQef(%v+a(!}r?9u6+MlSW#&T*(f#|i}gue9Rx!{IFZ9H0>Y|cVzye&>77U+@L zJb5^wQg4U8S}g^yMeT_CaH4yHv1E!f9DNf2LqkXgMZ0(|SleCHUbSbeetHr@N}&nq zWu>mf2QT%%QE5aI=&amgcU)e=2_V<61$u`9m)#ZU^9&}788c2jhYKDuk!N_x1~Qbm z2Bfzz(=>#4*k&U+MBPDs%f!m_+yQBL2=20a3FaC~>_F_2gw2U!B4p0=Gda(qUIAju zPL)A>=!{etNOumG5xOzo=5i}F*oXVGS7-Pgu200*_#wzneT$vy@64A5?_yl!@~$RbBl1ZpP;mk#>( z$8Ct8mj@t6(X$A=oW-N5{RYkw-KoR5)@ni;7+OqdDE9=ce06qx#HzIJS5C0a^#8G4 zf>SH>tZocUkkUR=9*X#&PODDBd3px?Eo;M(BF1CDXn}k-daYIQK-&MK!~R9RlXO#w z;A?4R>G|?%-~c{IarC5d9A+pZ0m<=~^)T>^?ofqkR3`Be4BLwXswcph`qc_$rOo|8 zl1(q`iR9IwVY|OZBwObw;zr%`H}nch--)%xW^$DRB!)k{JG$LULg?xPr@Z|pQH*fzTAmYQ}V18)knh2~;99f<$ z6qeR~T!?D52aQ_6V0HqfNn)M*7k)fULddHf9|Xtzv!?>RU>d zI}I8{*-`_jK~LFn62(k1a9}Wo><%>pAk#vGpuv)xh17}YA!OlftPAV{kSQ1y=1xIa zxpT=+dpV$#$*xIA9h+&kiEo%W3?5%aL5R{!EY1nG1kkJPYJo$<40u^u7D3NMc91s_ z0gwoEB_`7Yg%wb%;{Uuu8VymUv|*ctCvd=2Kk$Hplya#>8gxLvN?t}0E(WllG+wTj zXuh}&Q8-(ANt8#{%iuBLo=%Y+V2?T|s7NctIo^d{%xCnRoSd&f@++im!ttSekGkL~ zI-u`Q&^5~839S@+7-#T=^jdzxkk&_wL#97}7V0U-Y9&t1Q2)Q4L2AhmHkfS+CqKM-^N5F@ z6B-5~`r{NbX#` z_Pg1!re4h^Xx0!M$B8Fk2i||RsbME8lM;rHLq~rALXlmkKsu%$u}2jPW_@+CIl|+n z@ROl|u3QrhYHMaRNq>USl@FlO*MPArl7|v5&Ckl^=w|QbZzv_4ZP67A&%|E-XI_8+ z%cxBfdNQvY&%%Cveu=tsxD01?h#-hoN^@ev?2s1z{Y2v*p>B6L8*qTAERWsEuijXZ zFCCa5S{f_)R#v512_Rg7Tm;BMh`yL%~-T_d*V73ohZgGG=5vt&MP9_LwrkLW$?M0i! z#T=s0!cCazNMZlS*nbqz-Jx`5f8bAsALS}CV--@|x^?EG77Ot~(GTTG6eRoZedleFVxR4irEAi(jZs?@zgkngpZC0hJa-;qGvJT z=4pxHK->*lDQEw>ZV>dhNZRQ%S%utEx!nD2 zAB_yj31lU&+I0y?+quMn19L1i64%B2cusaHB5Vu7=WFCAb#G?#2^og^-cg04fJ^(pt2|cnYd$ zCrz)Da`>dF+D@SMKnqS(4Ti;N1H!ipq)Pu-&^9WcmJQV9nX300kc`R-jpoA%);M_e z4N)4-b~#X?=FSwQW=L*ls^?^jqT%EhmAz0SM#x!w!LdeOP>s`4dbMftrV-h>SAZwN zZeAI!I;LM;29l@y*yT6PInwLC-rY~>YUAa|7Y(5h6W9FAsXp!pt}{(vM1lBk74`MKcxpwvU@wQF0HWJi3&>fyq<`mjrn_VBWfW-SK}lo3a9mpOn4+l+g=8B zX~oTEBt#Q$rC&3=QX`pp4DVb!%|Qb z0n#}%01D2O7q2%p7MQ+0|K}T}Y|J9vVj=qs*`p|OSH~!xB@N{4qXtl@%?KX_=$vV= zfL@>bHzprTN97}8FnZG2L{150!cP@6bRJCUEeBS)mu#7Unuih8JkwuQ!7>aLJiBnC zp!Lri>2V{1tTyB%Rh=NOMH8tSn?RJWf6VV&pFzaVm?O$y2m+%f7i*?5IX_RZv4L~v7X+j*tk(nr&Yi){9q=!Jt&&Xu(ekV?f=vyLfu z9L^Zwy%3%-3oj%UK`*Bw*EA1=lx6WnyM+ScS$at=7aU&}bHNXFz6Q0_(@0mBs_P=~;?_)TEH_6Z=wf^vD2UTB zs=W3N`NLEu$itL!dAz@fR4Z^P0X9L8X6#;nM3%48S(iGSBKJ-tmQ#%+bSCCr-xOi4 z&vt*@UwwG{4-~aOJ6+xgFTXj!#57mR#1!>yL@?lQ9n2YT7j8VUR^Lc8yvBB}nK4 zZg#t7dyQ#FEtacMCC-{|OyQZ33%L(a3bW@&%p03&&2~O%9x(3!+G!(0B&UaJv{GPRdMWqlX1t$)h*?kxaYDuYX2mqjFj2BeS@^D z6R7LGLw*!$6J&QdAPd1z>Ow!&bh2TpkmLTrI0N5~E!yfAT(ii3y#VRdtuFDbfR z7QtY)=$bfuJ3;Zvpznn%_URNoDS93nl*cIw=kP!@A(bj`r8WV4S`P$Of064)r(3)+ zY^e;Z#AYVwYy>L~(Ic&|rhV$)uz~C4cg_~t$~<&_w>o14&wv$D+R#9dkX^A%TA)cv zH){Yl_ZAU{Y2=ln63e(ah|DslfULW}J*a0&C1a^5bcY|gL}Bh&LrE6U|MlzL?Vytp z!mE-GA||xfa0J@o+X1i-{Aa>{(0vctMs%&FW@K^@fYfQ}KI+LjK`x4XM@7OyI)g3h zlCyS?1Y{tr8JB5InI7-P;kSAC4M7`?^lAXde?LmDAAy~Q#9jaLBzf01MvpFY@1+e@ z5DWETq2ydN^h_i*$H2U?24;(-i9*ik(qUy<*b&FDt6)a66gCcbdqvb>54fcReGFaP++BDq=zPj#|w-)L<&)T`x38sw6 zhHf7MuA>5|W+U`b3XUS=ASau`LTVYJ&Vtwan4#FmGa5=IcojujMQ?%+wB1`56lb4i z(NnF;hJ|rvWT+w%CFM4%ts$EL9^&x>TN|W*Ny-73 z6u?C01G(P)1j`{TSZJxG;VIon0aql?WM7?vM7%sM&8N80Db!~a?4v*j{rPa^6n$km z-Qv{h7z=vvm%}EM%Qy^&9%r6<9V~;(pHQ?7aths*rsIQm_YdFR-$8dc;IGdIe;pj~ z&&1G|$Dm}Z*+o62aHz68d_vvVx5Dpqty;sla6JJd%5)tHam_c9-I+9~^@~zSgx73O zFHbbcF_@!ui&{6V@ntDQ+fx8IBPghLJkTy28Jl36f^h`*}B=At4j zNte|G_hxgLhUCG09pP78FPxmk$Kd4%!Lzj2N{H{L7xP=;NQXWjfarN9C68z#fytAD zJk!=@dJeJUJ=G=|S3p7ngxA64&0CG?VDtFjH99Cp-LPpo>m0ID<68G;rGjS3o{cXE z?luK@cgFO9q~G7Cobt(@#u`F*AvIyQCH|^52L!KH*6EJu_p5-nI+hSO;)yY0w?bf) z^V*$+?~oyYUCyMyp?rc!8h%cJ$S}c{{!GQy_&k09MFTe6ML2;mtkw)eQwnh?X)k92 zkhd^edH2p`Ie8$wVz4U?Z5MH#)tBVSb*KRiey~SAE8gTMe|^htRFl7$BKIak_k=S; z`M%o02cv8<(?SN?YvIBW@i*pJaB5}cZmDr4VHI%}p)d`s>0yblIqlm%@b{;J z^UQG3SEVzAyO^_Mj1#*+L6;wcP>g!eNc(xyKJ4UL*0Xdq#|_-B_8HlO(z&5dGnTvS z8}XMY$K`gl?=hY`{GF+N=5PUM)!8^SN3Lwt&=j`_XEoTx(Q>mvDR1vYfY3j~SK`lS zFNKO$p=1V)T-1tA{r43$@}R^kk3^!T*0h;ETd-biUr@+bi>sNiJ$3usm2(t`cij3;m5~P^1v36tQdJB4pusnGgB)aqmkXb zily_?a*EzS9d%i=9u#@i4V6F`{y~PDEfk%9g4NmVQ1cu)CqV-MzZAd1c!K=OWba7< zSbF$McFPU&OwM&tlrTDj>Dm-iWOahq$=iek9jP-?IqTgyys6i}0Qgj@JNZwK6spfq zkC2b~PkAT=Cy*Eje~JnP1AL7mpoJ?9^9!G@ly4Yf$eJCF>cNv+z zQqdS4!95I|dOBIz*!VGYPP1u?ClLR$B(rG{xDDCS0;@z>Jybd~*A_iOz&5(+Szb2D zPnXaBnC%S=YY^9Fv_|%3O|XJaqk94{iA3 z?oj26E0gq#>Ak?Z*s_S90IL#iuFK+=D>p7C=uOSoQfu9#BJ$xRrKmoMH>k${yu&g2 z7HMJYnNttTOK4%{i&w;tBlHX3#ueH%fi4H~2RpF>HdUJAOZd_RHI^HJz0>63NZf9M z90AT(VFV~QDbR&KAmihp^{wX780$a|AgQyb^4XGa>Q4V|O=x%0( z!lAruvpV58(3gwT?T%;AXb@w$)|!Y)T(GMYb$zJce!=qL=6F zcuEbNDvgpcBqsWD$o7mnJXgG=@Rma1Iw%=!9CO;K&hSS;14n87sl_HeK;T3}Y3%ie zGKEB1mk?bRmGkPju05$poJg;sftM-|{S5dI^um=90|Ka`#pTsC%6|%q9kI%2q{zL3 zcdR5n-f1kv$9YN;9&=)`&M-e(pU}(*uQw}TNGI3g9A#t?p3+}_SQ^GbtJ5mR0l)va z1Yy?8U_imWLURcTX`&MSk7+aMB!?z#Bo)*J^&X@{6_uefi93o15{UEcoHK0 zgF>WD$DDfUQFJjy?3BF7%T-G{6EWk#yP@(M+}LB#mhwzKtyRwotLEbJ^||dY9qPQh z^A@dm<4TXYmn##L6$(*k(^-b5nQraB}WV-JELuQ51H^ zD=mD*S3wEdS1vh7u;H4LI&W@90q!nJpXGBGN2NYVZ&J})Ab)t;`$qh%SH@*=BWOko)#K0Xse6UlALJBfG|&u7#>13VvJ1Pi>%@r0y1H9 zM}+a>8FB8wca`~(yDW}=@*UwalW620d5N54(r>|BQwpN9T5-t4{3Uykuap1+Wu-oS z_@b_s%B==aL_) zuu!1ojK;Ge;2`Q5@VBfC%ZpMzt40uJ%q*@X`kY7W7Kqw8w3i+kA}f+=vI6EwTmcM6m#99k z@T5J`_*7&&HJguG`qeKV_dkDlxIg&#&zp~5Z@*Qz`GNiUeDHq(>Lk}dol>)DcYvZN zH=jO!APy@0Dw-)HqtunhjFAS;&jX*0RE}A94%b{GZz=?qrwfK|gf7+SQo{}MoM6{1NqT=9NFFbN1R%B$1K5mJ(X?iRMS{v*Yq(?RA`Mlt+C@6??{URL=wgnK z?f1Xk-uz>K|Hr4FzkD2Y0M;_N$CB%EgKUy)2Qtk(ZCTbDtTfDeB0ZvtZ@MBs@4(kGwwV-pp zxZcu=i?B4vBc0Li#`Ev@pT2&4crMOR%Yx=&n$K+bI}41N<2NbeGfvAzGBGw(iOjTd zHYD`LtZC~qE-RSGNr@shFn8HFL)j){ECeG^)LSaGNPYK-=`1nBc>+)^y=`V`L>F}g zP$b>u0PhBCFgXHnrt=&~?iv!0D-MhW9T*iB3_Uy$9_zot-a>U_{)=;{rs0dpDE#J_ z`4^K(RSa-)`m(P)(><2%dp8!NX~UnMU92fRhnxl@x8yOy4v!Jj2%0KQBLQKdA}i7} zl0c6pPD?hNn{6y@=O+jWPDSPukI*pJE0p4CW(fm)|MAPsgBsfG zYKZ(W(0dj%!0|wG7>@_BF524G!hMn+Mp@?nU4A!e&dy$%05mNPoMo{EavG{fP-s-_ zbthExn%=3TB|g+Ol6kDt>vSxyB4o5Wv0V@EW8oIQ(0shc3zd72PV?r4r928aY!XL<@N@b0xS>ouq0)F+%ofdFPbfO1RSD|OX z)&9{huciay&5u{S9x57I<5SHfMb4w#i)Bp}GF)F7vJkGZzZ`TyF-)T( zDVbN9`3`xQvKW-Lc9DS%x8(NSYJTp9$0%gWz=VUdQxsyBzcuC!{K*`A9-5k)nF%eU zqyXbdtoEcj485iPNuD_=4(fV~o?Xn?zq|i}>hGW5eZ0k~`sM4*-8&@n{rm?7sylK% zfBwQw?g!bZzCDBloRV4V8|j>w;5nrM8lKIn^}63h>_KUA#eWc_4F^m&m3w-wy&i2s z<%so8)k8+|X~t+SFrK@$=dp5VJqekcDVPiznQJtF(lXk^C_@mbxE&2kK5b;&wueFA z>7!%JfrUgKjC8(9$fte2qYL}Ba?oVV(!QWvQQv-86SC8qlsSrAnN zhKHgC3`KE1bO6|L$Wl{!%(2a3WsTWJ1)j?_&0-@6>>jPp7V7TQt_ZRGYiNAOJDLit zKGIr>Rd<4JrCEPxQ`G(pcI1IrfZzQm^80`L9&H|PKRJ}X`mN>#9H zN<_2J!>*Y=j!i@J`WCx}B{K*~+LzA#1l^WVcE-c~g1<$N>(w^IY}?%DSlI1Y zNBoENCL=IvRW`5|qsp#7kz_bJ6Go zQ12H1Wf3Z400}un?mBGk`(j!SW$Wpt8;{oOzLv^5@=wJx2wf$-)JPN+(4uaq*#D*M zPRHafeR?%~S-nI4X!QwXn31blf{tqj;d~@;p?O1)pUZSHJKv!CnMqdGX9?Anl1dJ) zrt_yG)WzS$bNV`~OrBmgf>7Mrm2S{vLD#a zs$U@Lmo)tD)~+cTQSm_)C|C)SKNI$XqR2;9{x8fWnIR4PY! zLi-kGs~#(Os~CIn>~Z{t>FW56h=Sv71Mh8V@y(hs#U`R1ws7(@giv|jr3w*U%KTMs zJr52P1^9-r0SeMuEB24K$QOY=iT^#5)`ods0lOrx+yPAeI``GGm8#82on6W-UAn-3T|@A`C>Vs`*?9hPs2(r5O4thOXULKPGnr`R~wo;LDCBMua5bb zRc6Td@#tkKuEvW_^afMRM=;CH?M&B7xID;e_Q#$lOHGISgas7i?HVJvJ1IANK_3@C zif`~EGjxq4h)rrkF;d=joJEvD(Cq#La!BQe^W|Ezl@#JB$6+y?2g^WIRNd5J$l2Mx zL0}8tfKFS(Y3jF_4n|gMVur?5Ls97}$F3!g#{NOJ^15V!0J!edkPCJ?0;2+oT3HhBBx^ZkR%skUCytgV+c z!)4be9oBL^x-SXG9s)GIVc^ z-mB)Y&k2i$xk0(_^Il<2YQeyf?xp*R(=09-krk=K&iov}drFObzO=eBoL!*lo2(GP zoz7LgDt&kzFnWrq)Fddr9Kr>8Ph=(Tf}p|Y&iybs^FvS#*257T;|kPr7rb)sqM8al z$yzZ_RhC#X?<|$p%CSzDnFlhF=5bulkr3_rjc~A|s}mIacT7RF9b)HTj0-I~pYMyL z1pXGlLVYtU{O+ln%OimQiRky5nuUTvKZSTIXdUZ!)8)0;o}%ZIu5+u+)&kxai)6;` z*gQO=ye+ag^W{rBz(JuTm6M6f-dv2)W3DP`x81Tk>R3S`2|ZeoAadG+>SbXoU>V&( z*(DS0lfh_~(0Gu|QdCO4xA6pIqq^A%GnP@BiiS9o_nx^Bh+W9p1smlUP^8NBS$Lp> zc@j&eXBTsQHv52<@shQdTw|*j8d<*EAnGbGP7Zic%{0MfXW9PV0BeYBK0$5xK)bcU z3b|INasBn79dUlVqe-z_y<|pC1cF>Hb~~_R2_{U@w|#Q>jQBxmK*_?ZFu+lQ1C6CS z*r1z_AAUjKtNs39tYu%t z65ewWv@jo373SHm{SYf z@i&Z0bh&11u$msVB{v!YWJ-$9)TB3hNDL zj74~ zSa_11G|NpM7U)Y96Sb1bE*m9?Yf1qa>J<^YeBWvA@TS+7zRy@-fI1t)qL7PkBZ+0 zmjiPY3mqA)bXvial@Bb5}gNa-zH%ZnQLWCDYe9rt9e% z0@R|ehLB&(HH2);!ox$vee%mW;V`4DMJ95v2^L`5bIh}y#B5ldK&gqYZ3)j&uycfV`)6u9h9k+OjE z)l0B8l)X!+xH@i&FBpWCU=W0-B_C6lb~2_Pu{CRE&Ofp>Yi3oQ3zz+A_pc?3HSI<1 zzHNY(Kvt_&&?L%%ivG}_yYY@~PGjSxLNMaR;K)P@y0k;h0-FT(!&=i@f~M zDIvV9vGT*GW!WKQ+3%OT?Os7DqvkpjN-FRBD0Kh!pAS-O5AUg_rL!`q?_ScJdH3)n zXxRbE#zJhy`U%kFAQ<-_Z*T6Uqrl#pqvHIBvru(^ptf^eTm<)rX(+m`{*c=@iY7v8 zlDpa{FAY0Ff}*1N;%u`-#78?q0JCO)3q%}v0KkKO{{ZZ_*x{!~vl!1kK0-keL%0mR zWVA=r9OT3#{R+q_^{e{3kE-5~cI50KJAt_DG;NkvXwVHkg=HxSS@W+hn~DH@U9Arh zE8{XWpM%^$Is=3)&Jcn0GpgJ~TCh3;I(E5x z$NWvF&Pu~EmNyMuLGm}9233d*+%yPUXMXOY$h3MKy@pmZZfIx~Lfr0IG9WmWCc{RO zyM?wrxV70Mds9jVa`&imIWRSIs7$+Rq|(v7Mx8#% zPX2}}lXFP!Dk&+@2ZQlQWvv)3WVti<{9MeO9cHW3%+S(7CMG)L*aT`{v4Xi2D@bj5 z6iYZi+T(GRq{kX<8$90`E%J%1_tv#l#-Swx)W#=&6 z&&bIZXEPJx8AlXd4m6s8R6;mW_d}kH9v&eFwNS{rx+W039X;t#^mbrh*E777{`|p` zoN(zP{`zD5HPp@E46mVq-=%KG;(W9_LO{NcL^%3r!*@=I{obLg6Fg);0(TU+ku8j@ zLOxFxlkwy8^|?gAcKGFziw0MspRwTVK+6yr8a+GTok*7`_-+oaQI0a3%4zruDgx5=u~C%T+PlvZtHbEs9C77S>uZZSmziC4>=sp zE<0UT24yW1A1?iN=Zh=E6~LOfqO+hUOzTryNb&AH?>YQ{x=RJ8qo9Cthv!pz*U z^>DvfZg51Ri&!68{TCF&Q1udSRvFdPU^eu5le#OxMvCz2(Rg^Q4ka--Csk{tnYe(-CCMaMBhfVK#a8?YXa$iKdT19d z5azDy!CG?NL8+Sh`D;&JWZjF7;ds72Iz=0?Xq1|v-L_TdAbMU`ok(qP4oZ=b(<5MF zI>}@_;i4e&AHuP)@&;9=j<_5AYk*BcDRy#wNDA@o*(C9RiX$S*UZ4WqB_**v?aHV( z<%=dKn->*5;*PaffFu$*4MZfLt8>}OraLI~k_ZzQCA;e#<&HgYMJ?b%Ae5k-B`qve z?_^od&=bN}HpQ^(tpTsZ0Y%KB&1z&Gy7w!nJ#L=EEBd4nerVRIv3AL|CbX}j{&%Lx zT1A>~4&5H@KFQ=yJjsNSZVhMAx5S81N@pPOTx5#!=sLL?M3o8hkR=11?IkpBrY%iU zS=$Vw-2hunLJ-^|sSSdg1s>L0B7C^rC=hCa#t5w#Dzrj&NO(y%M^G+@;A759+C#V9 z%SRrSor#0!9F|o&Enljrgg7DE5kE^TvkWX8)c6!ZTyAeNrVtWi zEJw!x2V}mdIG{_ApCZ>gp|w7uv^qzvf0jC{v~Bz2_U5k2Bd-UP{ z=I4*M`(HkO`T6D}LngO(cVB;fI6$A;U%&1@-2LzUJDqaC@9hhzxVB<|g5U(_*#=}2 zB{t5mRDH@72HQuyM4a%buYnWbYv|X#Fk$@ESB#(fit$rlK}Xi3&+xd%1P`em6BYp3 zovaW^+r2^n{fzPweISKHd&lzn6xmeY4c0i?F3WJ&Ie55sCcsf(Ao%y||;4QAuHCWnR`wxKI0l{(u z&hX*$`!B(;$aCm|hr&61K3t)xo{36SX_So%6g1fJDoa`l8nZN+a7Dc7C~p$?Xj}y6 zi_!#SLkw*V&9m>4vUETbMmU$0_zrSifwm**j|P{s=s0ve0|}`^l76CAThqFIF7aEq zYdtHw9LSFjV*L3B)dt452;fH}&E0^VAtST+e>B%D{;x(7#Bnf^SWzK?Odts`ktxN9 z$~xy4kO85-hIizE;L=zlWjV>g_`vIUJ z+QC%rmqZwSTnb=avPJe$VpMBFAYmLNu(Fc`y^v2f+O;Q z(s*dwr;P{9@d^ZIFNKZ)=usWw4Pl<43j|ql)KlU7F1I8&)t_#Yg-0&k+@>pODSQb9 zH!LF<7~%rJ?EU5LZ+mzSD;Hgf>JAAZ{rdIc?ZNNgzL&~q_xx*rcL0uzN{HVMe*boW z0XIk-Pxor;m`s|wUQ{}(5+U|YNa;dy7vM>n-W51yV8PXC6^{AD2b6Pu|NVcUAMk%H zcE|s5fAeYhAMfry{PXti{y*;jxPQ3)WIw)t>;8Sz<105yd8O}R!7GD*UurC&$Kf|0 zKYo)p$}WT%b}Hqo8d#4mBSLi(4ANX61Cf}J=Ug{>z~i4XdRXek*~FxCA7K*{mlWA+ zHj@ByNieVCFnJYy@!g@yo>e9#67Gmi;MnEae+k4P0?@C9;mQ~EOw=z)zMWDOfbEKN z-A9ylqlV1I@)#~`NVwJ*^~nZFXWoc{5m($Dus@Z=D5y!^05tNeGyLA>uTYNmy+LQ5 zzJMzUW;bT_YXF!*uOcg1hKweC*_GFU*2;q6X{w`@r>W0NmlH_7tnn5XBj6c>Js%jZ z9H(fZ>vf^&gFzeMjN-oodp$bUxFx+Hsi&?uR6#?e?+{85OFU$SC$j6hv;JoC^vHQ) ziC585R0C8W*;DSO8i_k zuT0X#clPZ{j#Qmb6p`w<(ex+SwV(I*thx2L6 z?!)rpWWkOrD%QdgP6 z7%PlzHd^~DIKJ9p)rsB?or`CD43}Nq5jK&<_)zNr->hlFcuzfHsO4}~(RJJLP@0Il zqEnWsb6uyrUZ^R>aOBPbXPKRvX6#Hmdn;V_x7zPnsN)!*CiWk(*1hW^L4`mK!TEy6 zA%vwOpeq=WdXAW`Y<}QbC>e$_5J1nm{0L~s{0T$4NB)GPU{a8R=(`7rovv2sl4KjM zt*Fq?u8Foyb9~G~r=M?Oas5X3%7eF@G&q__&G78AV?K8{FQg|qR36r?jOcN2ALnv7 zcYRKd|o74k{C9*mjLb;DDIYOcvL79%>{fgG@gllnO7wl!Znd;lnsD9;$&H+5((kh!kf zX4*o8<~pP{R*#@hpSFJwB5ZaYm~Gos@xX293YW1mTy|zF!cf*zT1bb(Q(UhcopOd9 zoc+-f5i!Jw8pLsZ*O&u;4USzKS&?sm#Z)-zC0JZx+`V4E z!hs4=f=nR;JP@P$h=GHNZNPuRVt0os>s^_oOHR6BV;KnpO5zE4z7?T~M%ZZHFcCx+ zK0%iU9~>$KI4{A{T4&z%T@6`B zfE^u;+@mn02p8ZuB0QN?OCa+M{Ur5A!O@f5C`R<5fB_q<4i5*@??>OGb;A%TJ<}Fz zc6ZBclH2El|AGSYAGe==zI_J>`FGRre!jc;{PypIyIZ1FzI-0Eg8zWrpK`x@Douc3o8&CR^pNBV=bz#DzXMa=9H0# zQ^IG9Gm0bVgvK&S%DwYmH2OgqO^7MnJKQ>ao-#kxT7QIZ$kohx6&Dn7smg;`#U)mW z*BV2}*;QGZM2NK5d>rQ%$WA-5_|oh^NId+8aM6z-bUCwpn@48piXlR?+cVAqNXJU@ zg~|4V1j&3VEU6eNka91{3tJ z=kj0z#<>Dkw@50X%=X(;;FwisX6PheV8CT%DYTeIJ4DNnQ0|q5`;|KpW|c4})2Omx zU1d_2ZaEert=Wq>N&lEre07GbfGv+1bV55QtS3*dU50q32=b9L20p#scorMg{iCHe ztTP*M$aQcP*!fbK8V<1!#imRRpR(R-u<5Ica5M6VQl<#wvMa*n9!+}pNKrO$yDB%X z9FsUh7Rrw|8dnBSoFA=hOlD_%8QU2}ag}rDGknLRDrLo?vebQq?~c*0=*2n+|3vi* zgB8hNoyMmY9W2mZcHpFVkO=4>lsID|LeP*Xt&Z6{M_*n9f<*#Dy>woT+$pr_ zfmP=w9l?-+u}cs|6gBrh3R%ad2Y$wfo(_~mk1Q>-Cw|=wC4Zgw(>=;C&+}xQyy&A$-Me;e+;^URouX= zrQ+M-fPPmnSkxGzJ=G~rY2Ky`JSyx(YxX#w#TGKFF6FZn*rIiSVCWTq6XN_*t6h6F z%_44B7ntQFa?qSm0!3<;lKa9lVk+lktK9_XRJPm=@Ddt`E{;=(6uVzifKQ_0u-2TM zmZ7;Fx|Om~%2^x|-4R!+D&z9j&gK}gL7|m5%v<2Ekr!hIYz#E*M6Wh@&cvq|EP zd-wLsr$GO}#CQ!W35f@kRQ~>rqmT3VZvs%+6*8d`FM<}1o1F&D@@G?uh?`o!R?kw{ za*e%JCdi9}Knd87g}=uL^RP_hFru<<+xNfS{3^fUaS^+AZZ~-*mH6c! z!0x2P-2ed~Ju@rR64uBMe*4>cyAhhQBF2khsJ~&F5W1n53Ka?(NfDLs;?+@E{5%p{ zpQo=6znj)HmKu3G_9U0{owy5wAcw$`s{H;~f&ftooyGGJkRBc#e4PTY%~V}d%)iN> z&;M)ozf`T^r^F>sYS$dEa1O|_{IVaO&Y)5d{YtO5ULKYjrN@4i^u+O$Pmm9~;Skac z@*~n#gRsD$yWtQle|3BWvI%DqaaPJA>KpUJ!CW$B1Cb&fn&BY8#S_Z4i~hppkUTcv zQODQF+Q~BNu*T*$Jox5&bkU_ZQIhGnX5f&*!KA1H8YV+P1CSuf9n=Kov8=C7Hb*#O zdCs)+h_EgJ_!R*VeaaLXObbqbJA$tR=sf)VA&o1x-3*Fw_vj$&zx(L1jyB`PqX0&kGx-y9rks-|kQhHwz;L2j zL@gqlp#oNv+12LqCsc?==WrAq1i0(n{lmAwtMSO=*XINDc;=s~QHY@Cx!%6n#1IHA zj%=jDySj6@1^NogdZh7A@#x8jJ~B}``X-u(?Phi7x=!c$Ch+E1ibZ6_{UH)iFjDGk zX(OdEVxJdy5$ffLM^lm+!H_OlO&2wV zR9#^il!EDApP|%hvOl$9U3E`R`Eb9#{mcxOckuS1-p4Pu52#@Ybzt`r7ykNJHn`;j zI(|p$2l2%;V_i`iX4hjKQCpHGn{n#-?w##LQ_{eHPaV!D;%OW@_BM)1gu_!-@ukXde$Bw!g703H2zl9hpYFv0gXa-83MGjN0|-Reb>R!8>DFO~-KE*?Z?x^15mf zd!tw}ZCf~$s+avvl|i|e@&;^ssjd%Ks;(2ie(@-N9ixx3e|}u>>#=E{q}ss~US!%W zu73Y?`^yc=Wh_P_36x`aYY{;b&eiJg_!Cfu&rA~5?{bPTJg1O~ms*Xipk%MEo*Bt? zhLaUj=!`M)qeZBL545T?uc?9SS-B&Ty@7WrEnMxcen{9)WmFFz9|oS&Lm;%6q@MOI634tB-{BWcZIZ1jAEtXtU^bZl{#>+X7au|XEKLTZ3)`CdGQ z&HB5;37Vv1udm>-qw=Wtj3uV7v0dI0YLK>D0DDb6Q-LQ{{Z=-rJFN^NxNEf4gSRKI z59$Yzg!kqRssWv%E&6tMijEE_wKvTC_*P(CL%!`u#(W8ncdt*;(EFGO9c=C)qaE|!EH~E z()g=Cv{zX+HwTq${)d%Q2UXJqBr)n?qacfu;LvF;C&6Jo>NpHhM@GQmPJ*L;v4!vD zYP}NBvwJSC&%`{aPm=fcCr>gnQ@1_5>6ceqpm2bU|4X?y2{(-qXbmnisYFf!YJR&W z0dF&t_Iou6w7^9>E9OT(HVdAUKQ-;J{WH@4Fh0Y!!(9udvHLDH;7NKii&ZTX1ndh~kYzA<3xr4h&AdlMTz1ya+Bqg;q*4vDLB?0g43hyTsp_!MRqzZDh|3tR zW)?HkC+crQkBkKRS7W%EZQTq*d!fac*$^kiq)uka;8VzV6xdBXF9WEkOx zWPmfD5B~E%kqZUUoo)BkYKHuzH$a3=BO>GyiWb~KMe*$tTA>?0&(*NzH zDQ8S!QH^12o;Kl532uk|HV{F;i2+=6{rc<_nOdjNbfL;3Ey}!Ya6I7rz%zZlR&z#l zUr}R(%BbE3l=%qF60%pN5sn)WMvlf9G$CkYSpnA6<EW0gV5S{uw;VP*ZC;-I zjY(;$s0_!Ua@?3b-Q9-sjxbq_Mob4V1JTMho3!CVIi$}e#jep{6!AEAz?}p6*}3F= zs2I@0q8Q*d>q-Vsk!iBz-PL9BvtJ+7XXCcRjiMpIsNsy9I2=9)4M!sKNOm&YYc)hAU)_D4eJ%zfrVgWo+b7; z^Ra_dwDTFmuA;|?JTb_SSn%EHIC%86x-De;12IpzGJggrM&txs9o+y+<#Vr8;#?Q+ zwkG=7&KpK&T9=X2x{RC#8A;D0H2%%%ig}q(mF(RusLxZMr-{KFO}P)Y&M=@xbTe8g zY~$^Y1>}_}|D6bGswV*yr5wqgn0dkPTV5ZBpIoX0ZXdo726%Eb_)vljNXK=Jz;KXU z&H}C!i!Uy*&&ux*P>`+|P&)R5+uxD3``NhJa0Z^W+N1n2P_-WK7Q05$ny2CukcH`< zwPblNaU@wWeEAdTEGqZf0Mk`xs$cXd)u^W>^{8J_L^}Ri@1b9JE;)-U2ZYCo{)HOH z)N1wwVykawB$7xtEdu{Sl8jX7gTk}|I}Hb>Q8vJL>o1v(bPsPLY-+3=i#>pkCr7)N z@(o~d@$guKhaNT7V%1cx%Je|e1Uyq*TK!C(Z-Udg{ zQISo|$R&8icu{&WSCSU#2ZENJXqD8n3vB^M!XG7=^_z>Ula50s8-2tjlh5NOJDK3| zQj}-nf(EtwLzs6w?5?EgKvo4BSuv@}(*e@UdZH2%Uy}ofh63hxW)+B-^!;jYTu;2Q zq2{9Nz;OG9YQddVSOI@F* zOD%NwSakfTWpw~I8j@)JTg*cD=8%PM8a^?@#xt1d;}X!aO!{VVQz*DX?=<*M*B7Dk zLD$8sLzCPjtR?{%0}&NM4aUV8ldDLXYzUzYC~_J*S!EEQIC%N6^X}eB@_s^()N;fa zkD00=+u9Gp@$nk`23o#%_nlXY#w8 z34}|8P(`Z%wZ^0`+pBU^wkV?sZZdO1R>zln{J-tBvw+lzQ_Kvz?I}AHO)+H!hLjL* zDZG*y84*)2GotCND-M_$#Bw^W-dt}fX?Zp}*Y&2Ubc-&sDT14QBhD78vW;@?Tat~+C&-tkk7JQCR~BkR`t~BS zB@iUAC?OT|s(G*R^((7PqyaaQ1S2!f)rc~hEooeo`=>jwJzkuixn3I?f;cUdgKf7P z=-4G60wEW3;uCvzr=X+H2`X08(=lQ9t&PvZ1`^kB8Z3J zH4rNBq)Y7zEU_7(`VJwzptqpeN(!1Ki=y(A@B&%pNm65rUk4vn;6Pgckkz+rq{4i< zb4UhYbZ{r=@mNO18azT$5Jd@Cb`q;qyY5`inQA#)=-9%a5UAQHyuUpZ&L!%hK2Gpa z81Et%Tn!tXkHmdqxsS(CS#3Lr9*L0f#o6n{PdhKC!9)G(ICn>4qj;~GENrp@Q6y;ob3ek5u zK|EI)dq(xUt0I#1E7h6_9!VtABb;U?lovWsMlVBpH|*?afuL$1@*abNs?-Kzeneax zEs}=c)XNO}k1&Q6RJHc6ga7eA24`ooxr4!~fsfBc%x~m_VrBqOm4njl$v5->^ zNAx2+T#jnJQx zKWgyXi&}%9OBAV;V8iRp*4wsHr!6^GaVH*}?$JHQS=ElD+1d2VPBq;@S#Y`Rh7XM! zo)ot(Ge3ag-+hjJT7?l*18x_ZHg1vNo$Oa_SXVARQ%-P45hP&vxO$;Y)Y9N&1LTMg7SDRL}^zMpoEuUXJS=zU>%zjQ*E)B?ziBRQKAmy4S(Y zyLae3c>t|bAaw^UNka(ba~*kp0B$8}x#O*v1JS^e&0?C+QfI>>>Uy$k$ea>%v;7RL zvo=`aoYiUE)lSG%c!J2j@Idihffi#Ol~i$O9^!(Lh;DkKTy~NyKO-b1qB10~WD!i? zj`gM+PmEYB^&oJH@dhmtGR_LYp@1Mlg^=FYSma*meceEM_xtqm;WgdpTq_(XyS?Yt>7l$H>!sV=tBaiLt;LRHEY2|TDa;@Mh+OlJ0#NFsIp37&@qHuJ5ZLE zv0|=}Xr=I?64uxi#o?x^w7f$6a|3)xVExr`eNR54D)vVJD5M6H-b)*<9EOG~?C9$|#(rkf#eGD2j*RlMpF9J{tBs{@6a3`%zw-Uzkb)_G@>2N!r@MopO3{M)!qO z(}3|uqkRjkp85M$!x3#Ok*c4c5BL)r>*r|MHPg@x2s*llZPSZ|WtV<{#G_~gPwL)X zZM-KVUx2hF8|~wvucs<`iI5H=n#d>Y14|)zGz)$jvMhh8IaTB!zZfTeM7$-#x?e($ zo`(=Nq*cCMggaHTu=t|-^O66CP5|;Oa+~OZjHdF;)0QB8+)_@i70Wq{LmKp$0U(N! z98=4%hg+)AU*(PU)KDXYG`D5qO7Qw(pOp+K95%s| zhcqt_B%~K}29P}4TO`}yIA_vE!@8)TiW;Drq8M=`=3i81+r@HxG>26&9SrKmF}8RRU{wsEscNx*DvwViZ= z5&+;bTAvk%UUW9fTHdq;2|#Z+U!Pz71kJ$Nr<#RCS<1;pLH@A(EmTOB!$HAd+9G$i z?{DvJKfk>_xc}qRw^BYBzdScQ-2l#WRL}hK9%UDJ)yHxD<^dIlfByP#`~NcbE=+AC zTe@if6kSi;2|QzaRFXh&Zp@s}3&XYmwIncm_MK3;ZMxfM+t>rR+db#;+t2r{N4_cx zddF@9m8nuy=F0W>){Ef@Nb`~*{{9b~2_^?s`fA+Qwwn0mFH=*S@%wr5`;SvBw^ZDo zacc$U!e;Z27~pm`1&o}my#&LGe~|;a^t;_+d8`VFX{6l;7GO*T?LXv!O*y2x8x#Yp zU#~Gv{giB+VqlZz$N_Ko94GSx*t7f|!4dcm6Df=)%w~_m9=iDA$hJQvkGI=hgnG?M*qXsxQoz&e z`>8_ZS@AA(PR{|Mb`FJA&wy|LEYD%GKB`!F5aT(bM;{sVJ9kcz*AwzfI&$lAlk7f+ z5MtCa)i#GQ^6mo?fm$)afTJ%LsHAr~M}!By5Yklme1^c9y;K8dQ&S3i)c&lz1jQOd z^9;7Yrj&<*sTCvXVn`yi2$UY)k_98 zfhD1h79{8Ci>(?+#$aawUNOjTm zFqm!lv_?rukpAueBY5k2ekd{E{fo}D&M?gYG-VP0#?fC9LV(Eqfi^h4X_e{0Y=q1c6a1|z z)8wtd%tol~bBM&yPGnUkfEyD%<#8+;s-&^2<&d6XS-KP~hX&Dx9cB^*$u#&GtGP~= zDCY{j$pRIVehB5byoh(-B8bcOTRd7u!Jw)sTy?=bD2FN!i41DIR_hrXNgMfg`|TcL z@VDo^4`1LjwfYLbJ^%lD|GW45ujqrpbf44+0w&ASscnmbmN*%kO^_Gi(^+*o@4bKw zG}wm9OR7V@%azaC;xOzJowhw>`PKgVWgq_-!ZhlQJ-jrW`@p&TGXoh~!6ApVR=z>z z!&>=p^E0}Xe1Nwc>iAnohc*5crh&3n8qd|IqgBl*(ED<8($|`iXPc9u{N`t1kfRxN z>*>b^JSPlCff1Sk9;mXS!nxHaLQ7tm#!k^&&X5Hng_;Z!6R+5NXpzmK6c*sNI#h^q zBI3ZEy&;p1$e-aWA#=t2u+w!4k#|p0a^%yb?F9N|sd`;!+7@A*OLM=$@p;{Y^piE4 z^hZ)utS8=ZTa4=WrhbN zS}(DEi>pf%flZA9@sgSDW+;s7Z}>V@UB%Z7j+GthV$_yVefll`$Wadkt+6bk=}nr$ zfO&)19P>^u<`_u!0fiQT!2XD!VN_yaDzgwi++lboxES!`U88C@UcHg?*-`9^Q*fCRTfrK0KCbHbYICy?c}sez^Pg{-L+q z{rc|x--9({x?V6S+{&@*6@|2%L1w0AglH#Bm$POd;ii-k7EC5yTzja7@QQ3e7mMCrhA?(|d(ZomN4>r0{YP`N zaC3?EidvnQTH(f$d5H)h1Q|3tIM0*vAIjc!B~Pa)cNMu&#@pizqhWx8aQ*dTY>PQ2mz;Bw$(!>X`DX`rk++c6-QU0 z!?>=T&JcN8KVj?Z%~o{+)TKyc-$K3#@=^k!cFw3!Yxi6w*`4Za&~dq;NH?HSTBS3)R&wV!J@GU-6P^PcN=x#EW0p;@gKM)S= zk*)YiEop+E6H}5{*G=?eJ8F`V?odE`Kwmjs4u#j&9bg|t7 z?)}T{=bKv;Cys;b$?LPp-dC{QWZbQj3*yB|-kv^}hG0l! zNnM8mwkwNA%G=;Ox|kdWA7yeFf0W5#T$r4l4#~(7^-BKXGZe*pT+u^Oq7H5rXXvg_ zOyr@F>ji_(UK6u?WY9^jJq3@2>iaAd%li93K+qn2emXg)5=GBL#q%z2f_mnH+8GQ) zEw^EW3^}|bjv}xM-JX>sw3r0$9Qo#z#vDikh;YPaZyYY}|KP=ozoL2%UBD=}_wDP0 zwJJA24n{+Xkw}H5v9tKRCByj0>wAiaKzy%}PbEcGtQJpElOm zM-`&1GETsw3GF3ubV>8kk;Ksjq+^dI;;@TlOcV%XBJe9eg5abd^y==APF>!cricCr8?*77ylRvV>Z zmh%DgOW7P8RA9$oGFp3FkH~~ju z0s7k;H0|BH`Tq6p#lwp~Zth>)exc9nr*9uWJ$$^~z5n$K^8q=&mt3Yt{(grZJzvoe z7xnCSU*Q5%H@)2J`HS8I{^R|6xM6OHs%Yhs&bO}-!k38POr0+0sUS`ivCx)hWHq}? zK})VX3D!QP6sVBhV>M5}Zq&Ak?dXKv`gP~Y?51^Q_Z`_j4+0dp)87S&|b1`|a&=7(Xi&T%&ot;dQUUxYmHQvsy&AUldKRP zKPe92fC@j>4zFby{H_tF(fYVh>F)f?-OagNZ(!4hIv4{GOH1>dU|!V9_NKQzGqC`ikzR zMD5akRXWXL1|Uii?-z(MAQ*@lAcA33&ZtV%??QMk7&Q=uKn5M-6=LX`sU#8Y4tO+i zJSNq4R!PMRNiJ?{ZuR@v$)wcLGq4lrN#im@IETVC>uWTv<3HgY?ViPOKi(G z(m0cFv!~RO>fZO7k59L!)+zRQOL-2MV3yOr_wbw473Yta|Mu|P4(+Je{wMqm_ZTn03r4Ec1srDeasz25sVO5# zO_5ifY#CmRLJ*=qSjQ28Joee;K!SmZP1kOt**w{<*}~WM#u-0&mVn(zib8=>ECBc< zYvu{pCEgnpZ3)#<?K7sE&$e+Q-2EE>#WhhI=H&B6PC=6fhpp>2&A4tAP0!~zVBsm2P zuCyMMCy0@ery9scb17uKpu>cniHr(G?8tnGqBvq8K_H6@KC`1J*lzf2Ak=bc( z*zrvnO5lbXNy+q4=CLHlBD-Ea6Zs515HZFg5CiJblElTq>1jQ}cSRjlzDs~%q}_1T zFk&+>R&2)uA;U$EZM9i7gM zHDDo?ZhIT`hqY!}r$s((5S$=@`Xf^y#Gaah1ZUdyrn4yRNlXzkR1kd$FL(?qB5`^s z{0T})CJJvkq*OpnAZknUo9;xxF1zOmF_D5-Q*Gy}d_w(`+QZ!`*bqJ}vbLwMpnnz0 zsm(I#kunPX%(X3Ldx_-_(X-1d`3X4#!Dv{=R6f)U-AIwBP}cA+GQTK?5XJ!nyFm0( zWL=Ib%744iYSmt|00rY8ozr9ydAP7t!ZhJ!)_V&mhG6iYSj!rC9lK`AZw%WuR@)mE z;J++5S#0FJV|m8hr5ZMZ&y^2V_MtLKw_%64YN9ba2q~CxH}C%r9-2f=z&Xz^O6T-E>}Tyw=Q@FN=u>*zHX(We06FSZLw{sBLj&ykO2 zR$<%=)@$;c0BI*p_!dT4E>Ve#n$)^>JnVS zH(>RM44>|i=UY#_-N(ECM2KyGhdF%jbv5jW~z@f&b?SxKo$s?Wxhr79{xQ+@xhruO%Hs2M+V&y zb_&~}_+BIJD))0gcycW_gP?2D-y_pOWe|C%Gqk2aw^E!<;1O!+42GA&1jy5g>>o>HthQ*(<+8*V2{QJ-MvE6dnkF0K|)3b|-hs7JYIDR5+Kl <*L~KT#;!qG~w4yj=ZPXn*&VX9X zh$m&mRGZdyJ$v{XFHsP}5<-r02yhAZL2{yV`J_JUU_-BOq1{9<9oK?0iPTRQ$cBl&JSZMi5|w%koHBI63>~T2pu?n43s3?bts+Y zL|dDpd>j@p)iGAHV;GEoU2O3h@_l2%16*yio*+N6g~wl_UQ7o2snfU_G80e5B+{p; zN-zQs2&_p-#z3~k8lDDIItF~5YQt2(6*8JIOruRIA_Y@)+6vwWUY)48vmu#v6idTa zfRKrZ!P7ZZ1K8eLnfe%6QI~6ULRtR1DneQGed=n^=v-LY5ogeY=bVCNJuoPgk!_kO zR_)ifJqj3=$m@oVbfGNT;wgtoV)~t1MlV`mdhMYK*Q-q8a|g>snNB?9*Gg_^6IGnd zm z-&qoZ|Fg0ZY^2A|fk4vSMBXeBcfBJbk7JWaMr8~WLIiPfg;>QQlMGkhw0<8UjtD|n z~s`kjt~UD2lAb>oo>sqw;vrlDJj0_|bvGo$9iRQ9WcM?Ogp9{ZPX4zNnRx`ykfeF(8j=(0ZLu?lc32MTcj|J!jQn=Q>iF#zBz$qOu}; zd@&qZ5nMw%O+^hQJ=prkx7-v8H@)&X^01k%5zKZu5vfY2hedfwK1(0X++K==Bgs~s z360f{;wC0eJSgM>^oaZ{$Mk+$5&dA4l@u}oBr*CT9`Od0kJhEEW!q?&X@_z)_At}k zFYs+xj858psfQR$?gAcA)oNEC(a!OB)@MGJH!mkv0YDe3|B;j;gQx#&1G#QZz~S~m znp2NO;z_Ky>A=+7f>L3v4%CwI9Wx^@6CR{zd^w-ZRWZ~IkWg!;4fDFiREGTd!1Zc_ z6`og}MtY&J#e!gCyim_rnR56SWq-|j?eCD%Yqv*5Yb49tUf~tf=?tnK~1&2 z6MpwFC79EQGEG>TvU;z!!611RKH#A)Fj7vuLv;O?+Fdkm^dwX!>2oHBpZ+z*=aNc0 ztH{q60P2B!p-v3su|AAMEKN6a`bX!d$6%=lcr_{?RkOiI9ogWckPYqx<&;O2b^#8e zC$VERF1-;{+RYiIk>v8pB1*VRfd290oz=;?hn-(6;_K%Mt5KC;8UX; z2D#ISA3>cXy^23fX-$G?vz89REYnh2kfn0lQWNV-noB}TJUXiK#!~87*y?3}gK|*5 zi8;=_Z@2fzC_$35=xHBs-~Rwd&IcwC%}^5_Y!1J{lv;0rWwNj~E9>vsXtV!lDhF@S z96UW`{PdJVOaZTYJ3E;m$98w3Qr4)L1%nX_Aqk&JLb=x5_JMRIlF#XqrwO%Ks=kyI zgSvm18LJaPTsAOPa~m~Y_b*9JQyrD>QE4$Og+&Y}8O-`TJOK?D33HLMxg zT}`)EU`q@A1tlJ50ewC*1Ch}+DA*#=l zhl-F?)9D3m{)8J8bJP|OAYNBpV#yN z!!HsQ;={~4{P^YD{WJG{TFHO5drNq$JifOK;FzB8j2fma(;+LFe6VANe%z|<>~_Ds z+kN_Y{~(bdM+dzlM8}H%QtWm7bFrHm8Pm*=T?^fNELc6T%<5=`an|Q?W57OBZ!&WP zk>)KMn$!;p-ewyQ1SP_Sy7F1*s$fLGuS`efBjTI4UZ9d(*1vXe(|@9X-T?0UH*OaW zLScLJYC0XVvQ1cV0m!GReOM0ZE=bx5T)gH|jh2iEvDVSR2K@Bp3u1<+_%~6U8jWH; z-XuZH$+c2libE-oeb`cm49Gql1QddYa!>q3&(-@Wf4t}&;t&eicnB?1UN0tq3fhWbdK9@kmRH(C9sCyI){f0D2lnwpm%iYJDdxxKN32L=h z1@&`N5AE(k{E%CD*|nTwr9-LLVkTzew(@e1(||TDE44#AQ&#B0jY^+AzlwkQ+qT}dDtY@1&hZvNH>}j7R+rNnf*(4 z{KrdL8Nk^bp%5Xy>1;(D&2%Y?1r9zb_Qe6HK=X7n_j?aq39=3MJl~9$pI^GEdm-(v2UnJF|YCKA9HYvFMIQUKUt$#@5NY(JbY|{IfUvK@gvla`1(yaKi3!mzSRcM{|4)43zM2rVW$-{R z0>wg`(_udq_UL`U=m5!^7&IY<4l&9Q5ynP=Mo0>o`Zmz!H6DeI8A=vv2P#ULbp^|F z{j(uImetP+%{ZkaXHz)R3Hpixd^eJyyTcKFm0z|4v!vZ{NIETNR2Wy+&Eyp!-^<6D zPeLtxH;HOfjK^rql)@5`tJ-;*+V#p)l{0v|_$-awHaU&(EqDxD|N9Y^NYe0tS5Vln zdG=)fmtYfl z$N}~(1U*Od8;RNrzc}b%7Lc;bj=4fJGG^)RWSBrR0cQr4vNo)HrwB0sXy5>)l%Y4V zKMu{;vTzueFmmU7L~qQ+Bq2%QVC3KER+Tuw3{6{KpVO0uH$i(M>|h$ghwV&CA$1Hn ze<(jIYxDlof9-uET#0}FJD`D3enPMRH$+xx2^;3=Zjed{LPtIz?E`-hOR@AkO-dJq z2-`$N;bn~(r;^l+D zJY#Vo)4{W=`f+4mZMO)kr|mvD?==IYletb3jB9963>glJ2?dz#oh_99iCjzl1*OpS z7r^xT%g}!r`7ire+1=!Pb4sWY-W@`X$&wuta*Nc0{0u*Scr}4?c?y>(A(gCF*A#tM z;ZChG^b!aSGs9kiUH~LC&e01k*R&Y_eJBNs$*=Y4V8K9RLt2a;UvFFRc0FZZ%FsSS z{hJm26DduxoO(rbnGo(!pCEud;UZv~1a-dGAW znln9ym9f|Z{PwD3r5)vz&ew18?h~{q(FxSAjCwVk*nRHDboDLJFr^#d<|uOFSkJY? z8ORv}lo5PlhE&@od4ZB4>J!RB1aYFyhIq2mjij6CD_D>O3i+c%9;##!(LxU~#VBFF z?NCYuJs$j2sNto20a49o0Wwe;GY>!0z_S$ymR9jJAg#*lF>4pVCEV)Rsw>2zx4 zyf$>&MeBwx6QCahD7pZ;rjKU339n14=3?iTFo#exl|W2x6YiO35M_vBiB7l3xo`{l@rDni+hm_}bgOIe1<;;Zi(F~}u>khNY zcJcaR{a4Z$-V#BIX|ci-Ea`HcGzU-nPB16+9EH8Ym#t+_5HoeFFrgVz~!F zD?pt{se`X6hlx(^l9g_$^pvn!G!C9|6lxg>54WvI4(3eRqOi^06s4Tq?ixBg{q;!CHSdyHOHwy8xe;kI9nu?Qg5IM0 z809CeZg~vc<=j%;!?M!h<;@5BM@o1oKMKpof|jz2Iq6N(vBcwfh4xy^$O$e_*ks4d zN#ts}TQr>{%Sn-sWFzDnks;X@1}4k58Ow;s73k5&$D!H)QoZrv{e7fncEo-eos0<9 zK^eN7Xv2D2q8fmwigkF-v z5LlYE#0iT%0KUY7NK6WoENt8(d@MTy*J1rW-oL9tz2s5+ySm1tOIMG9g7;7H3{8)bo_ZG%R*r#fUmv!XvgbXfiE5Ca@447DC`60b*OvCZI@J zo}}3+zjQPtcoh{0$~Wk8QPXY@J*t5C=YUKl%R=`DM(&m6M>hWU?V}wD8(i9?hy6+9Fc=8dWU*4 z?|!*QfoW9NTwEMK@BKe4cbCRPG#%zv|4H`;a{TM|Nv(W;a2|10paQ1(rt%V*-KI=@ zvzl{S&}S2_l})G+ROeJKzL)M`3AXiQd$Hd1#!2ble$q82h`HoiDdpmjF%{W1DFA47 z=3v_dy3N87nUy7cNIo(${KBPLIB&rn+Z;+=Ove!=1_5dk(~MkXH&9gz);8TB6s67C zj#^DZ#G@_$*vWxhHZ4a{$;9z3(}a|hwKlQL#5VrAV7InMhy9>DHc7rj&qCA)*Vny$ zdC%mN&?&N_AW+3M8}4^>M@gd!X5?Av2XW>sfhcHyO&?)$NvtLUC~<%JukJcXCdd<$ z-zJcN+rAb1$74BaLn;n=FApqQOc5%P#eS$WMZEEFcYu|dDu^vuZx^S$1ynt|yU201 zyWoP<8`XyNi-Ypl3===P>3-?8;!q?*U^bF>*lPU__b1>sgoPtn4i}9R5zkzn} zNES^hLtXpIkba#rNe|ifaNms8>4Ok%QzGI=f$L^-uz{O1AOeD)YS!W~ogo`cq{CX< zBqubvPdQ5;(ztxUfVw5WV*Oz-2ml`X7RE4C6`fXY)>I(Bxapqgzt z*PUA)PK8r!*mP6hfxqlqTDKJAguMX$mXwmbG8AOw5yl~XzSLLPJ_nUiTb>Ao163(9 zcS3MVY@E;ug&zR9OcX|LI26XXKnbwZHc9-8V3lYD?2BIK7tujdhUmGUjaW`NgIXG% z53+^*WIY$M$Vo=+n5aq>#9^5($IbPCz^uDvZ?AL6RgKC7k=J>JiXYeWvujW2L}XF9 z6Oy-l;DA_Wvo#0s$x8GvIH!hPThSqqWz_Z>)AqX4F8f-91Ht+?@BWSgpGZTzxqXio z=6`&Cfu2$C(4Q|x;d4$8(fK-%#^>Ms=eID>d-j6A;|>DsK&e2vLgaO1he2~QQ2eAr zdrB>02#Xf<>kdq!DfB>GRS5(;>EA%I_Qz(Mny%$wd38hz8woT565grbCB>iT4Tu8?X)I5Y~P z1FwOlx7n_NqSDPQY|zC49jilt-AP$tM&|n>^9!|7j{RuNx|9Z_=w;gs{gotc0i_L4 zK0?-Je}po#!xk4%_T%|MEWs5Ru5D+q6fz?(RaC-^4lA!dm&=qum+f>0Q(;>Jxl)Jl zj||=-9I6;}(*V|o9)k9rAdFyqf#-%-)Po^G&hw;Vm?_(73${`b&&QOQp@eCxX*9=R zeF|h#yhZJh#ooIQAMV(p2;n!sefju-mj52$FShO6W;3A<%MAYHhn@o;KyPRi#OJ5O zIY@o%TLg86ow0LLj#u`jYo_kXZs(OEjV%V-q$4fTz%5f84uW1jVEG4Os1e4SZaV6( z(%9wPz)BEJ367A!S;Ue?eb$Rq#)1A}bO@j?Zsz2S2K-R_t(^*V64)m!7;jJM&qL|G%lTbiBWSy{ps zA#!XLb~fFtw-;~GaF_gRq20oOv_0GrYrDPq{T0MC8ack&eg5hG?%~Vt@9(wI9$as~ z+&n-jKrg1dyB2Py^#IzqSyCqe8%WNPszm}R1Ub%V(3%`qPOG9kp>}GvS{BIlYqrfi zyIk&$k*J+?H+3*S9j%@D>O4E~h^NubNJ{D`VW@>{`mulS>h zJ!|1NfKP3-IJ8i*5$+2fi?M_E;9@T%=Q$URMyOJm9ebLjxAqq zzkU4y8C;vwYoyR=Hda!@uHEF)%pA12e9FohBWHx$>H4SaD}Xn$2YAvaXDAjd?}?wU z`J&V9a)!0^Lb#cP3Tv*wE~bt9hiOD0BQTW$HKLnEIwtIcrCKJ`(b{%(jaa+ zr!&;Hm?K13@)DH01tGOLkY0C!ZhuN{mLBB`oYwWFs?LoNphG?}@+-W54A!=y!YX++ zRyvZVE)(Q4Vx<{Z#KQ`m3H%P_<E77klXo4`*3Y=sCI}A&L`XoUu8uZ;@@hoOn z`^$ksJt{w#Im`~aTxQL|(@rzYaX@@9@}~n6Wf(Zh4c5@Jr>muN3A}BM5F;tTAuVjz zM)_1D)}T^4&WPE!vR<(6@{)VQSXoVZEgF4tb;0b^z8xF}JseUK&Pn%gS4+GNV(IFv z{_bGxP>I=91Na%#UX^Z3%EuUfWma{t$Hc0Zio|3}1yt(JmOu&3I21iZg0d^f>BWKq z(htBilGf`8NPnXBI%Zhwbrc@>f}%^GKHlDdl(W7qw_o%+4lnm9`iQPv11>M?K?*OE zExo@?cCmPwbS#FO*lCt{6A$Y+`az59Oor1-1dl&fUI(?cIg0@-Aq4U zfb3$iX6cxTel3qEf*2W>JPZTlrO3cR=XhYitR;v49P&s6bs5r)%=D@pNTR-(yiRN= zZx3yPzj8kzld2sL8Q7s4q}II568>R_u|HCfgQz^TYfGPHsGWplAefS!GvGS_uhIH) zQVEs;IK`#i4ktLlo%AJ;;S@wjWmL)DwPE_DBtTF9t%7D9bLE`^E(4lKyc?h{ai(?+ z>qDR$3R<5bz=AHY7iWvJ>0(Xv)f1h390ma=^lU~5j0u55@Km)+`W2)4l{>e4fF?XU zcr|>5A~F4D+&>t=A9;5JZTJ4>dGBvXlK7XK&p+LK_;B;#<-yCJ?%v(L|Fy@OEB7qk zG8i3=hU5QuR)n;`nS3I;?8*A#{Nl0|(Nd1P@?&O)McK$KS=_{$r!ZPv%^`7lLI9o; zSM&rPcZE`A&yfL`a-Kj8TeW-4pQJtmDv@i(O4&gQuCIY^;)yJy2o6AlAlsf$5C{Zv z(b$2e;qr&+0%Vvs2^tQlbIE6*`)4V>Ku8hL!zT>^)ZrICi`Yk3G`g8i1j@A}J*_nE84B zda?8B)8wXSi`SFg^)=q7OE|Ig8+rsHF9}vyhXCUo$S=uVN=TP*6G&I!CNlSobdN#9 zfn&EuDvY{4het(a3CL2^DitOP8#K)=8B}f{UMum8WF;zi+3&T!h7@UoWs1@9z zi?&8k%TXn7hb%9%?UCP#qF#vB7wU|b8y)P$L9t|CQ8Z0OR4@Sbr396PPbUY@8Qn%~ zB|LCm{|>v0w+FethDhOy$pYeGw%6hnNAE6wpu7}=?$@YLp5>WhP;1uUY2TZ?a#Bf+ zurnKN&dSZm@SFLDacmXTM+clYwLZsC`J z2O^b2x)nHe!)r7ulb<8QyN1_}d<`ez+62uXa1RuI*SeV2d5heU5Z?-Juh)Uwh&q-H zRoG2s5??|)?ig&$V+`JyQHNdgtxITwBjq|H4PVvZ>6^YA4F|c;t5WO^M|+1lI&eBA z#lnApKXN?RfGtG5^z~P!f zmq!M99uN`-oZhRS3skQOJXnet4h7ZYF@r&15 z=86b-?`R|ucV_acMo&C!79s$NE z=Y}B*Jr)o9_#PFw2>~UUNz27Ci@tErpym)YxkT;Hqh9a~^BJ|iG%~zvP&5<2=?B08 z>%gM@vS7spf1)#8R5$G8d7SkN9{Pzy?k23ZtjpR<8?$AGVE zZCL2YI5irNRs+ID4h`o4VI!37(10*1Mk;+~7sz{zzlIg=oKacn?zy_+s%xvvgKCHa zCY-Chto*}?Cek00gupy%B&Z|4TJ2BDS}7ecm^d6%<$$EzI4E;XG9t7ErxZOEDC(iJ zTme}Y0lh%8O=3;j0hx~oCIZT)a>~%(D{^`+M|H>_v^GJ$o(`o$^f8B{AAF0wMic=< z$Wvy}Q;;jO327GMnz3XL`SL)Gfr~Y>KD}0aWs5zK3@bI&U}mOl1+Oo8i|cE7Xhd;A zVi496t{|^W7bJ}_{!o7)ojMhf?fYFD(mmI=oHVhACn0EiL%J^U&3qhdagwii@_@R1 zh?r2_aCX9SMP?0x$q@kp;KFy{PZkw8zd-bbox|FYZ&~fLvSs$@gSaKqB3D}+jY97w zgcUAj%QpiQCV^Th8qinIYGdIp#I!iROO+hDF`1Z{pe7#1MMtn$y9BM^V~{Rpkw6oD5%!t0JW080J{@)Nj61LA|#DL--Zky z3U#>?M=C@p^a*El5LCgP4^AbYLL{(rO*=FA6Z;ZKt}<$5=P_Ss#DQ?FtUS>qy_QSS zbf|T%6;evR-6_+~eT1CccbRps99a~eu|kF$WT~(TBb30BUFFs? z_AYqcJlVV8bu*qn`AXW}fU+$d4pwW3lw1xe8B1F(Fw0ohuUWio_UCi%{M8tWq5k}f zEh#RY$6tSpzy4(!e?L!t|8W}8WIUO07cXq4N05P5K_bs!;=zZ9!?*^E?ig_X*d7-C zC}AlBG(;VQ5`39^?GiQJ=F`8*x1*J4Vv<-TNZ3}#9^=Ebi2z-MrJbV{X_|V{F+`}Q82^-U%(BY05P|Cyhf7o4JoX&d;EDkLkp|hVL(f;n^_n(4~ zcMO&La%qt{2MuafX;7~*H;fz=@Rd6K2IJq zq0F<{;zCuhfyZoQTpIx%E}ygj9Xm^)*c$Ewp;o|vaF(<{LvOAPK~v0@N4bCa@bMO| zV2PYXbgcZ{N=X7bW+_PnL`zAS1)Uc3@8B=s4lRe6J1xX{!}qnS$kZw+e(`~*6?0dP zH)lp@_8aOVphnl`k^=XM2~HLr)8s|FLl}@k1NU+zzH%ffG=M)8*%&6tCt-e+nj5sje?<^_6e@zdPI;w47 z5N&RJF4%Fzwy-$}hl4N}rA40G-SI36Gii|5sf{6U6lAd+G>_D0;b`-?FqSDSzS1qP zWy<3vT=a{??@De~#2__bW5jqy9vQXo;6Ttq1>TJ@V>!HwnxBdpkiK;9qy;Ss-innK z2qjh;Y5EOYjh$cMAe?S{Mck3~b3tbp2~GO=@a#F1jEv7kk1G>l2hADwmxZf0ho62|u(dJnBZ-*d7fp z{TJ5*=xNdY8~LQs(yuJ+m%d-{PmN}NWp);RTiI_CxvPqYU4d`ienUM>`)xC(X^dSF z!v>|MO5~EJk0O_7TZ`4(z7L0a$ioal39*&tA?#LfS5!M%7o7pMhUihGIVey_aA+Vt zUcFvv^ID#!@t8F(f{-$XD4Bjt16EHDu=s!oWVi>Bgop}|*i++CwRiAua$|2zcCkG} zL4F(n%|VMaLa$NIw5SQ?p^nU^)Fwe z*w0NKYS6Nb2RrsgY8l3I**Bqlaml$elPHBLd!wZ<w|#}cxf4kWre2zxCNplQ14ZbKq(p}6YC=n z@YX=V#c(47M%+^4?8P0uxG%HihyWH=AV>7|Tq}t|@XP665rcvtrJ!wf&e2;WY7p8) zEH08ymP7Fa!G*?4s3u0Lde6LD3AMt{_uuaBKmK;J$IA@o%KEiA8?$gegH5w;;yM4I1lcS~jUMSRw*e=IT6yjAsQs@Rp zYQ?e2$NY8E3=^E%1ff+DrCQBsJIz7C3^|fUv``t4e=VE`5%U49sg70voBBL{f#LfC zB=l8NsUS-T&~SVo?h|EYgoDv9hdZa`-r&(h;uh=2|Nj10Sw8MBrlB)ah)uhk4JY7j z3=@LVSt^?{3U|4v36D&HBK*`8Gy!0YjmbSidIECuaS<+~)!fz>7iXv{51o$1EwFQO zS9DLhrpeZjiF#f#lH%rzCHf&O|6(*23_S_(3F2aiO3|{|mW%FsGE5s;N-UoxzOAV8 zF$3jIk@R+VbN}tr!|v~*n4L`2aK}#-4c>R!(1O%8Dl?qPbC1aFWo-8JR3F$EF@em)s!0$8U z{_`&@k}nS;xTD~sO$aFPBc6`gq6z43h++$HC!Ij$kw^G*7~4Mh31gW{b_{0v+BpunHj!6zA=6`BrX~bmFp5af|;`p+s27gNUG!G4B`J^5VDq zKkkFVjKEM)m|fyag_xKTijZhmwfYB=?@U!I>Z?`{Jj8J*yEkU77g~Rdo&0JWaeGQWw#Vd zXIW_QbHd#8wzn-G_b2SUi#%gsjy&wz#?{W=@v&rLlK$)r1v!IF@-v&)sum5JJShlr zgg(Hvf~8G#w#uk7xp-4~8ga1MQAy#|GsL*dWnxUSSHo6IRHrYNpyBhcx0eB2F%qv% zkwu{)<~knehj#5TC=4-t;B%d0zTlM^de?R<$cbK(VI)~>AUX;w|J(h|2k22asb1Wa zoSs^*;bdV`kk-!4^xWViolcI&`bXt{qaRMrCV+&mQPcbwd1lA!pzxIg6Kll(Op@-QxH8!n7)`eFB$-fd%Y9+xF$BID7QQl8 zS^L^7UH-^~lKx_CT?xF~K#D*#*a$y#0z}FxK7m7qIZD;Zd0?6D91BR#0!QT|T+p(J z(8yi)?5gDuEW*?f5mM?X%opp6=dpX$fzyVlzHlP4eaVddW{|3n&4AinbSpQ5RwQRA zs1>6*yFgA_94>fL|B=Ln)Fsd3)BHTxuD2%38uMue!$Xui8b<|~&W<)^I!4sspqiAf%`i9{r9e$f~j*_07A+Md@J|S%o!|6GwV|g_bz#MvGRjZMZ7=2?|;v}=(wQ#h# z>|obQVl0q#MiGP)iAvlsYgtUn&7-K7I`oX>or=;Is~%)J-;t{m9_)5h{d9BaM4qW5 zxa^-7Isr;_dL9^8yj0;=$so5+!GN%bf>lmIkc%}8I@p;Nzsg_;f=QX15{{gls-J_q z;53X0NK_{sg?mRW^sw!NN!c1U$Lyc97bo@!e5GT+Pi19Th}R^MYRYIfMzUcKpcF&U zM^{@*15q4)oo`PU=c-*n&g+RSQoP;d-A_>$Fm-D>$=3@D5h2&Urp9E4_yi3zS_RgG z>-Oo7kZ+GIv!ktpa%#2Hjb%e*fI9K$jDmuIwiQ+^iseYXF;rw!c6bv!JCW&ugxa(8 zEb<|k?BMW1Ur!LeI`VWUc0DTb`qTUz+&nx1$XCdQg{{*Xm-PwybYz~fypko|(2mj- zYG!y&17qRJQ<8w=cQV(MMZO#ZuNjX%o1tQoi@NrJexI;BI)kqko(gs-$xC7YCw#{! zR~++he{;X&2A=WBv^hfS{!v?5mxY9Zpi$T9F>QiKrJ!}cU_um#MN=0B!_Y0De%Ztf%c!Kxe(am#oV=$eV_&SCQK=1`**nBfaMjBtxl zub>^0Vc=|Yj1t;oNpaB=K6>JWYhhg~p2GtcrYs2uEN*f>v9mgmC>bj<=4Zw#(a3v4 zFq|Vfa}6(^BSM2=SWoi9$T^7e(qKOo$LD(T`fReNO+{9B?|%9GZtoxXS1>u1#-|q- z+r-JBoN3Z%tT8zg2*kal2piq)UY}pimZxtPyXoaAvJ%gM>ra-a2my}O!~#=xM3*$4 zackK(b2rmF{}yFCqAOGt6o^{>&y;0XD4Don_zE_SU2R5xxxRiR)tnQaY;1!mk4Htw zU97PUa!4kp>lyM@(DMh+ZBj+l_a=7ysm+n|AVvxD6$c1mimIA~%ZTCHp`$b^J4$*w zrbp4p2^$rqGEaa)SuNIxX&#Cz=L9h~NRXDq2)omWQlvO?8URmvh@Q@H9&J!hd?F_J z5P%ccCNYr0Dp^CxN znk|6}6;e$<)K`*>3#TBfF(l<2oPvhVo*Ri^Cr?WN`v$Q!NjRZpw8MXz#UIAYzV+?T z$cq}6?jO1&07s-|?3@~JAiDE3?Hkz}u~;+6maQU4GU&mE$jG+CBOjM9ta#nS2Vqty zVo{qUpN1mfU;}=79lZjm1?47g47L6alu9hu4LNRbEGbpTGz3E1!@(Q)l(w~Zx72WK+=p*o=>8;MkpuSSk%;Lc9{GQwh;#y@EN6Ta!N$;wot$nrxv>P`wUrhbcTC|&mUXXUnX*jMXQ-nYM7UM=zaFi@ZQ>BtaTbM)gF zsX96Bli9F*f~F~4H8ZOR#NHLG{;`=hme-#|4jzmUIe37`!N_}#S`m@PS%Ek`Rgm)t ze4;IxXDj3*tC=xUS8(uqcmwK6<`<*Pz;@XnzG-Wrb3hxVD!~`$*MP&8L$WaO9h*_B zAfAg4M8ZDxmd!}gaaF{k619ZtG6X`^Y%}a?nt*W3PB9ON1rz)gI;Y9IM14PT7Qg_( zAeM{DfY9CSgk+~xwb^hfsHUUCkreF=0SO??MT7+P!#oLcy>jx(8GI@|b~&#s&RssJ z2T#=N#kCwffygqwI~xceKuz1XmVBBWXT}p1Um1?N#**=<8{29QTVz1C)f{@e>x^yT zva>Bke~jVh#Z{?uH2X*B-(cMs(Y82<;V}~*T?}hEr6dXjNtrm!t^)C~A)S+#sf_A+ zL}JhgFf>+SR-+JuTb{6D8U9VGBC3YAzu`et#Q_Q>A5g!fseoDviE<}I`N?vtRqvzv z6!CZsLdtas%u!H0b-XYK`l+sjiqy+<)NhY+SC;q)rDl&%YgQBHPbQn)kC&$?5pq63 zYkC}k0N%*~*ex4a5lAkOSA@EZWe|x?j3MZ`D0J-HONzilD`8{7p@!!S`ltobAI9*$ z7~jKCB{3@6p+C}fTuntOKe3#go>h&-gX0NLq#ygdnv!iL76nR{92ESdOB?6l674R~ zkcmF+u0iK1wJ8N_4DD0Ot@{)0gxO8lRL{de^P+mD0+iBBiU19~h8Xqj-R|M;4+e3f zIQy^f?ruKpy?XVE0i6=>`R?}i3u-r@&5Dfq+}+RLZr?wkq#pd$SLjc&e!YRS+8zR3 zmP6T6^r&5C$z6=SV8sU}cd1h4;yWGjbxR-L$6IWe+cF`ly2X}c5p?lpyaH%4ngbAb(0}| z*MJzeK}SQ4TLT4hT#g&E-B}$0Q85Gu7|nUu8;uQvg7B409-MRT7&3XVg*ZWK2xA#x znPt8ffQO^el*c=wBw4v`sk>dP*uA+#j;$zXMTr%r;u+SDC*-k)%?)mW9=mWOgs`gA zjgU`}EqBtg>Iu=e59O8n6rrxJ8BSB3chb|!gyTN)h&vAnho7#&`4_9f>2X)P%@W3- zXpS)fGjt6?K8}hB<#?!9XEC!1(Ti09EsCSXhLL+{MUhnRmj12pwPttOF7JAX*wKhv`u_LpViojq^{qN(Sl~~e80wBDb3K*gEQh@yG{Fb!sZJNZ9 zF-0Clo1#aN ztgGEa9#QhYxV7RtwJKj6NxxVe#c)amjn5-V!a#go$&(@sEAG_th$EH+y^7KX6Y}U( zDh(6*6EX)9-w?d`^jw)-wo$)ga37z8{RlL;&;APCOQ>p`A{%~kK3hoZ66@U#uZ#71 z=O?qO>7l1AcUaB8Ol|D{7;sZna&{ zPXV*DDGZN68qTH%>Q9#2MG~alKnO%JLRyr0EJ!dq6)xpRU{wksuN|8x-?tdnrr$Hutr8X*`1$o)dG@l)1g&0EU-U zJ}J~?K!>jH@iCYaWCmg(0w;$iM$UdUS)Wd(OK>1E#!dtg7T?#>cqL+J^A=4Fg483&QQx#&uL!(y2A@UUIpK>IZxaHf(y^?aBm9ugm3%hHY4kW~P-JY3S})))BO~ zMfd2*GAs(eQ4HaHys|i5Gj)-;pLP_@19(WGqAX5}E`-OTkrE-WCb&LI9HkjP?Zd;I zNR-jM7O#cLd*FAzu`nYTdMOB0c1^bq1}D7e5cSJWP z_e`$pT?G;WM9HFZ$=)y$5Zw-bxj|cohd;jFygx4g-{it+g=s|v;(Ckv@n%U=Y&&yeG0erIl+8CuX6fEWVuMoqjqsfQKQ zoYFj=WIi13PW}q?RFhntEzy4K{c{g7B)-H*z2HeqS1CD&5*nI2l>+dUM@pyQQkWud zKsrlpu;A_NGSVy;ogA5-QZ&3^=L^xVneY(M|KahBiYMR1$lLo7xgU7i&+EiT=PWV4 z*bckZgV|Z{pWwV;yzx$LDBkV_F#ahXeh7a|qIP)2XucboV*N;hg~3h#ZdxH>usb<@ zePXP4gkz0|5%?b+qbh;f0QGCmOk8%a0GHEsL+Mk=KA&nrDZ+`~Ll0I=ZTD+WP=ceQ z-jO1G=?k3CR~I;OEW{;9JCr^M@qgNDH{2m)EG8X-bGg^h!llrH{DZH<9tS^_q+J$D za#WEA`_}Ylg=4R0icQ4%QeH?;``$6oTb7h%K=G7Wdl|DV)14jk56bnltsV6DpWIu_ z;TtM4eDnSNr*D$(Uj>K5u!6=N;BYWan*D$egMAtxlwB-Ll5|YoP`yP?7lG63W%n%= zPNbwlc*1Lg=)!(QURx1Z`M8QH5WpGAfqg=8<4-re_g`-BA0$#jl$lxT`X*Pft0o*Q z%*CP*fOjBuGWdF&HzuR{F`gVaSx6k=X)O)Bk3j8NA9$>XO7_!G$x%qT-Ygeym#1g2 zgv5=+{Cdf_RvfmEN;^yr*Z(2on&X4x<$MoT!>14It^5t0cHqkX1}cXl`+z>mdJUT< zbI{|YCr^uBP4NPFZz@_nmFns^D@fsrTu6*W#iWI#D|%>3AGI{dQ51><)`w)H;ItBO z;%v1|?V|ReE+xnjOuiX0PnHHMK+us_Dw+1j>9-Jc(36=nJmcZWq=B34VvWwnZ+UNQ zkg+th!>MqzVMl)PFyyXse^sU>O$(8UQNQl`UO6hV%tWAbi}t_M%r0lmkrkJz5mi;^7J%BVhnB3=BzE|PMo$*{C?)rArH z>H5uMQ}jE;FFQm%37FQYQUfcMwhn$^l{*G}B`U+R7+re0hku#>r)!$rBeS0Y$>aF8 zgGhL$%_uJC%S{q+b`tg8k>!E8b65#a5x+TH#)$*ntu!Nuz z2cy-KlZJz*Z-hC2>P9QA;P%KP9gId^ngy}_MKQt2mQRf-Pd+r}JpDj0&PS`Kz73Pm z>qh)-4pTcmS88HFK(HwlP3(}Z4@tcOMe-k)M?&)9YDJ`HpOT|Qjc5Mj&eC5FwAHev zX6m^oN^JdAlhe;5+A&K{XyF+^?Td@99)!_PDsz-0vCr4~n7Zg=WO*>+oIkbbPftS~ zpFeXq5W}CDMsoNw^YE=UPc>lbOyU+;UanGpOHr4N={obWLwgLjN%p85(hrdAf{SXk zo@~G=ONSuZQ6GZFGRPXt8I7ff{Tuj|B}YXw1^GxtaW%Li3;Sm*R3L9;y9c>+|WxEeIKcm^k2USK)@gH~9{vJPF{Cf-&Ty1r!%>-%ITv0g; z$E`M4Id#=(d^c$J$lVnsYI3=~U_eH)f2}dyz)U*oicqx>2^uU=vCGX{F}*}RX4~Qz zoj*G4(=Zn>!xUte&eEu71ovNVkWm)iw76b*$#RCiU-q!9_=HXlupOIlFkei2vNd9We>>8(||}bgn>X# zqaly4uhjRzG!dwpOiIiHM5|`~6WO@DKULB!Q}`StLF8PhvHv|J=VAry`B4w{SQ&q8K+QF1ZtPdqXR@Bd`52OM_SQ^^(>Szn*MdWuvaB^o~*4C z*s-Q|V=!SRfmV_p>R^Rt(6*x#iMb>pii*X^3EJx&3~CUa`DfGI9F5)yMx_!f{EFzs zL}G>GE2TEcvrMC=Yl9V*S)Im344BfOUK;`}scH};F8llKb{DJd=^4Vs00b&N!iAPUl{~(0j z9P|z>>}L5!=m%42G2#d3Dun86NC<;oxGZmq{uTf>7aD_x z9OWj|WG&BD6@t51(x384nFKz`D!*9>wuGrhdpD%mO^XCA;{!jeN(ghDJ zcA%n(3=dHj@TI!oHF*7UV%scbc5K-hc7!6cV#FH+eC8%0=qf046TD7cj>OSTBApl{g$o}Wh)i&y}n!jGg6uCJzSO?2L{ z53WWH@u>~H)Xr6jw=TP`%R@2LuKDQg0{Y(%@rWjhZS{^JrRC`c8nY@6L?n;J)Uogz z8hu&OZ{)Ipi=lDo+r4l2l>n_*DaW7B|29Of5ucn3AO;lyvd#7a8(qDe9+K=ca!8;{ zS5_Sov17pRRvV^2I?_<$g*P#E*xJNEGosEUl$vdIK1(+vkucx) zOd1THwv#ZY@QUF{#Iw7V#=a`jm|lB%4p;i?b3{N{kl1=LneVYNtn8oo6MFX#ci-MW z^tS07sIPlE%3dV=OFs1c<-h%wlvnZQv`^y|4r%Bj@kAsF0V*uHQ%sf=3kRL85;@pU ztz@xsEW#SYgp*}r<_^c|Nic4b3m2_c!+Hx5h9JKyFIO{{=9iLJ{lh!4S~NN9t?)f z{`lsfhy6nowx@C8i{`=8aR?`3sJIAzJGdGi1DYD^BlQT@I2`;59P!C1fQ>K*5s1~7wlFGH+$Z(<(a_r!O1$HE@qa5jts4o$&_PuYM`U>{H_;2N(4ioGegL!6=mbHWkS>;0d>8W ztUvJ4;0dfxu&*R|C8BG{*g^DqnNBW&lC{tcWbG5(Q0~VdjL8<+|5^&gdmHh@SDM3! zC^u<3q8%Xs)9&YY_a(|?p==~Ndn!5pro2@~^(BmF6ZUlV*X*8r~D$(Oz*YLMP#1Y;MCnr_n!W$LW zD{oTH&^Jil&)k-DjNpluAt3ItDSGk?cBGYH%;Lvh@dg!!gwhjw+8NqYy7-{VYIUu) z_b&`N`IeuR1?HE!1&}54qW#av()dA3L;W?Sn8bandR|!M_DWFq)Jc^Ue{{Mozwt~a z4M;}K0gI~m&Qr|+=as0$^0F@cd-U+QyScmDLpLiJ;mMzBaI6@SFYR72 zxbo5+6LoK^0w4SFxJDp>VbAmPkX1I>H{Z<(`hq;&R--jb4hK#K(tHuZ2~yj!9z1~Q zQ#3H%ou8eqU?zxj6jt@HxeR!>1ksB1!()|a*7!QK<|vuQVG$RtlsDr8EQi7~lR98` zY~z%yS#{jLB`8s9|BJegHwkJ~to8{2fP&alTlPJ2h;W!n-UgBf2WQ^&5#i94Wy(>M zOcp;aCbvBCb^~atA?|QW(fbEbrT8BqlAAsOJc+e-V>yO4g=UKck-{I4@?D_qiuaH zhBT0{EChJbjslSh9Rx>3ANYu9zk=IvEC~$h1F~j=n^UxI3ZFP$u%|hxce#LD456E9 z$uS)-|KAed=^18{L6Bc^dhlH*I7I37~XFr!cog+{T(?s(PHkL;SqPrrDX zB4HwjSrCd0Zeo68kX*=F~4vOZ_y07TSbH?fNJWpXhC z*DbDB*ljsO`D{WuF-C+W+Sd$Wtr!r_OiZko9vlz`I5g}8Bm4pay`0H3Lo}`dzaX2` zqJB7@LPoR^MdfRi{Yz)aazK}Mkrv|7Ko3C#CKp>WFpj0N%MT?YE9FRz$+6bMKEhSI zI2K2xiKK1$v>Aqbk9#9T$C;W~)_dMhv!tjuDLBdB6sPnP9Lr79MQ63{3D4rjs__Q3 zEIADALFMV@tnz9yS$yz8upctR?ZE9e740dg=eqf=cBK2{g9>c3 z^c{*|AXL;lh2V|=h5#N7IcoGwpbaVD5j_Wi&&1#rs1vL#-j0N@=d$$l_P9Keh#XHt zS72o(S?Jy(M@v}&dLibbL%vg$&&oZ)##CnmfB^llJ7?WWB4!!uq+=20na0O1SzROk zIF)gIoTw%cA&UwD3J=lJj$s1w8xqX4Bop|Li3zFit521cV<9zU9@r4@LHVEN5UqsS zs+>eES}~hY^&7w85kXhJWE!WvB5&aU>Tn7#nl_?t?n5JL*ikb+7b5xL5{vJI?2rh8 z5ijWqXL;I{0YF>P3cfiW6ek+leT*hLy@EQ)rK^qjcGSjYKd?`R$s18=a8C8I->e*z z8?h-N=;D!zC2NFTxa~Rmw8vc3?cVJRc4r5u_440-{&2th>Epxw-w=lJ zFXzW|w3yudNAG|AD{Pr1DOmzC{@^|pvS29zdO@^6<0R_TAw2?R-8SQIE#K5 zGaX_J5M$_LH`CyPVLf)hI=cryr0YxF>f<~1) zMj}E11SjWzcF3dl;(BVFA}^WB9Nko{?6h?)k``0`U}8xN|9v1-3vCZxTUQQo^Eqr3x+}&kqIc^bF;h=BKY2 z%ca4YWy3891TDc_8So>(pw4nw){!W_%29z&Ofe8$%01+B{Njsap6d3v+$X+a)RJGB@n9;N&sHe6LU zu?t1NF;Wvp4Nsf8au{40gg|DaQzPa)zSn%lkhK#1Li+}yU-nH!ELB(qR6R(JSc3A4 zw?kp8eZ>TMCLHPEVdDRUq)-)9lPZz8I~y+h5b}>HEi!>hfD2sK-jkazmy@(&M0H%x zJw=`J3i|=?wnI79G5wej+2$?%s`7>Ut17jc4P)4y8RfwR83a$VSmm4wOMh%O$=g9K zoM`ZHxb*&9(yG}de#{xlny}2usJ%UF$Q~R5cK|4ox%pWL1)eA27f$-vS{iGI2fafO zfMk;nV&(S(m~fV;I2owmW^MN&a|y$N8SJ}0ATl5xnASjXV9Ig&Xv%ORsyzq;D*}iZ zE%g1lh6;fZg)lCx6>W~~#p~BgEoxdHlDEgxR6Z;G$TAo?V-w7Rwkv3geGWdo-^zNgNpb4 z;7$5kQI@nz{-J`JRZ;~1L|{Mp1S1LqgN+Uo^z37HonR@t%IEZwrYB1Q%+Jc8{5T56hv@+ASl$HcoM>E$ zGP_;R(Cythk+P7-X4(miM`gZ6-*}~h*3w_*w8hqRb_K4E})Xo}Xhd`HyOvN0VK?Kn*ruc7WC5Mnn&a>Dr*MJAJnw7>Y2F4xtN zPT7e#`I|?h1e#f6{(ULxJnctME`%HiKzIc;gLcS|`mE);(rSDX;q)1lE9S@(6Pz7) zP7{it7{F5{u5EANEpne!tMPb1JC0KLif;kEI;MU3U~=un`f=OtPetd*o@E%VdRy&P)a5>z)e$XK*h;H z3R|{ZofTHeBeV1?ohD+v%A6nzjkUr?(o)gGZ9D(cX>o*OUaFcd@@6VMJ?wc#Pgsb^BK5d>iwk~gKuLK$e`NF$N#7LOu|Ki|C9nt0TtYjPZnfnH2xovW zzt=2BE-Ee{BmIdGbx+$t8z{|tm+o1CQB${2r~}G^<_*hEQ2g7Z8KD;vr-w}!h6_eX zQWcffGdmT+VbuV$h*iK*HzTE>uHrAOCV)u6Z(kpFH@EM8`gHT5j3U>tO*x*u!G0F0 zZx1@=${4?n7!{5V1AF|S3(LScXQ?Hgy7qw59?5~PrO{ppJKI^B3pfZ)Y^6Ymm>}UJ z`ih$sdk$;-!yuIQNh_F7 zDG2OGs5OuiBqA{K4^qin=K3kRk7oZ{y&N{nH{org+6BrgN;!m=uS}{)OJ9!KY%UB` zP!ejik1iB91BDgxgrx4=+;Gp@3|VbG(9J<`ib$O%GY0vQF4N*>-skF(G z9W6$kh8U_`dNE-D&SsC1pmd=L3yzQt4#RShm0_(9S2nI=qU@P61#4yyR2RfVsO68o zqaDphz?BEH{v3usm)&PEZCKa}5OrKbg6?LE=;El`C09hjgG_h}H~1F+Iz>1|tA4sl zX9z`@nTqd$@9)gZB1<=Vc?@z-HfqCAF=Z9$Hq@?uaSYlD@QtxnT*rRk}< zJB9Naz)6ViD~I%XmJ2Kt(sE}j_70^_MW8)6G^vG*5P@awQAM>guG^=0P_Ek#D5H2C z8-pNmA_}o4NzFhkPLgPE5e--)2Kb8drYqp}Tc9zEqEuYnuH$kN0s)+~k~ zmPG%t(}KEs{xZw~qGvI_D_mJ$(FpbNBx9*S&||zP|W||M0tj`uH0V{=;v( z`W2Y9DFdD#7ob6|1F)>p2Ru8ND$86KYGki#i+!p=Vf$S zl`kDsN~&LWf=WXu#T+~w^$xZ1Tj9&{!Z~EKB$T4)77Ff|TQ8PV6S`C&2lzcx+n|oe zz%ac_dytGHh1 ziN(iKNP=&i$8@n{L7{GCK!GLP;ww65$@?g{>P72cv(!{`aBcbv?Tr#H9!R|on?Ue3 z@_J8gH7lp$Wq=9J8cr1c+F2W+oai1HrD`#vM+?0$P`m^6(e#HHE+&iX z1s5~&Crs-wHQnSQaWPr{Fi(#YP$-Wtl-?@~vp0&KoZ^p@3A+ROYE&D>Q=KCG13^Gj z08O!DaQ4;psq*b=mJFNVoRWCW-ER73we<~!3v!cGj^2Cr)8D^7^q&30Z|_)LS@dSU zmsGMfZ%OE6Fz9FVux2aFFxappfV}pfGD-nsoaaISkkpU1xA= zq)SHAxTS3|dx+CAVW80|Qw@~}hXGAexXyG-P32#x4O5Akll{?JS9b5LMt9R^prM?w zw1*svN?oUglX!QtPuSz^^!#dpgP>>*nP+O$Aj>1+WW|UcLn!=ERjE$33fTuH2^i>y zUv3`gSw$BiRtH3*(C0l~ihtifeE4`P%!VoEp>V!S4-~CaE*tAT+}fU4#$_=eQEq0uhuypT`&*NT z!-cH+a^-n@$R`Bta3`!Q3Wp_x!;fB29Lfn5LDh9H*+qsHToLjW^C-qEhO}DX|B<0n zfez_}c<{~H`mZRfiQmAC1W9~kMxYv)!hL1fOgTg;viyjL1qo*ynpvR3l?G=ODkyV} zslF$bSby03asP1hdG_V*X2v6AND6QfL}y}$vH&%rD5EhI8|p$-VVKKUI8hOGIYd&U zO%l0@+$ro$c{fZPjU?PH5drvCFHm_TREcjG?T+6}vD3MguitKCB1!6TfgTn}jLO$1 zNoZi&0JZKcv`SJPWJFjPj%H-2$ z^e}$B{I}ih&F`;vcQ-e;5BM=Ud<8q}233pzT z|Db^eDblC-m7W&}du3(kY$cYk+V-HH7y?obL76B_J>)B~qWD!!bdDXK0Pz5FDzynz ze`7ORvH}We35LKw$+wq7`rWdjJm^})MoM-D9#k8w@So~55=JzS5-w)&jrd!lgb7Ku zSnCN7rrBfcAgpU*1Es7f#!aO*fgh@OGH)Rf>8ZjYsyAHw;gA{@SDho|?(hVmrNT=T z!rRdA77y-uw`c%*c|Mb9CA6MonM25X#1ppqP}* zHsm9^@1PUY>7^w|LMO$DPB;B3b5J4x7W$Q*;c4z500swiRcyG`C6|c|$=09PqpzS^ z8&JBW4y#oeaaf4TnAT^dE{1(?eM)vC?vo@#i05mbM<;bn@uhIkBeTvmL#|`U`61aq zUn0%am8arBtVtT2FoY}lD&??zhNdiRURe~c9)tm~Ey^qxM-arBWD{9*M4^=^DrOlf zt^*}1stC60BK7(HU|PG~ukY@6H{Ty_V8nm91y%CzpKsnhe7m~|f`x z;Y{cnaSEu7%ZtmQbn(gvaOQi~GC{L3zisu?a$osE?^wsy&VgOGMk>6zJ%=|{8`!;z65l`1GgAU)Ev7wHbqlU}SmN;a%dA#a^UlepSh8PT2N#tJMP zoFXMp2EU;|FCb5q>6(D7w&m<}hSGE~^fR8+d|YXA#K)p^rRsOuIEC%9EKdsP!mmGqWNn+Gh(nsYep4Bn z&Cy2@P=w9>5+@KT%B#w>EwKZ&#JELz{*vBZ@SoJLJNqn0<;*&kr6DM$hXOAUKRC8P z+`rgntwa6c%kQ@&C8+5M2Yf@}f;q*9iJVlJGU_ zyA_O;M6v?Gw=gec2MEqAVGtq4D6OCTc=ypAWA70N06GFnN z3%6iUQCcdrV}=r#@HD_g2{?q1WCi0iFaqj#|2yjvfnkW!CXg?pQ8oycP7YLMRKLPd z104JCZpZU}^7>ljW|CF9lf9YtGx!UefV8F4``s^}zWns=Q#&dQSRe{}?>^rB=k6mk zGYu8ni+qp;9@Z1&P?fSS*dKIWTn+}!sE0Lp_`Li4@m5$2w}5!?#t97!hWR2R3#J<- z!F0$VdH%z4a}rBnqd@jQJ^x4f`}yDcsDVvFA~I<8R-jb|Dv2QkL(L3y9khu;4fd;W zWpJ}rc#@C-RXI+Q^UL*D))K-58KRojXXtXrJnfBvGo}ctWm)u|N*C9RLi|eXF+)z% z9`2Aem5C~Hd~FgtnSwPpW4b5V`Y?QD*c%Nz^+9Z*Ei)f9Kn#5!Ops!6Wl+$h{9C%F z=yycLeX!rP*n`p2%Z)jSV}{#oj+q&1iGhf?tU{~hP|D%U2|^`YrDH%JaDO520tLa7 zqum6Q?X$1rGy9kk5)?kF+amSN+A>WAa+c z-zLZkafFOsed1ZNV)}1TeTwT9ax7;k9R`iT14vb;8)U#%UdA;=wPd97W$iiob=+lB z7Sw^3>{V+_m)*(J!?xk9*&vrx&~0?Z2DjLpZXn!%9bB>??CU)MkScu9++MWj?wFgp95BjsG$>7^+TbmmipH3OHi)UYzhVd_`r^J zjrg9|#%0%o$g@>iF+>*%A<$Ng0~six%5flp)<|Vv+H+Den0aaIB+il;q!LLO)xy_S z0gFg2j#F@L2px%MLmt>lKzW$eG4t}J39rJ=t?Rx(V*X{LvOF0ggp;XflZDQ92(!NZ z;t2CV+9uI~6_3vVjwjVz0Nz?E#rhiRA2)-xtY!kb0a{#DV(bVmb+txfGdv_)9r=jK zwuMj&sehFE#g2%mQKnMVk-Jfv7TvO8bW=Bz^NXNslvQ8`2PE@e>yoctF(6Cl=SUGY z6!>49P0o=(810H^b0H|!C+K@)S2rh2#l*`@UZb)hMdbmr`hK$F{yRZ8fBN?E)5FKx z^r|ecQ8OgF1C?CfeqcITBEWTN(yE4D4o|NgVQ-ZT3GRr z+iDz5Zov0{9k{&DUp>bzbXH`U8fc3X7nDR!rjekd>Zp5JbDX zI^CSo&(Rzm9R+cxLa|Ij47@1_!1}z(W4Ki8_<%f;HZJu&A&e)TZ3YmyguN;QzAe>Z zSx(x7&3KH$B`99@$Nl!>`@bVz5|xmU`^g{f*%MRja)~epGsc2nLTY!whlS)|a`V)? zD$G%X7@f^LHboCbE}{__Bs+~NWg1@55ACQLR9;XLVytmRX!U7!sa~N;7R8)QwH|h* zwW7HEQ`w+)mfCL)Wa+Y{A1WEGES z3@yg}AG;%3INBUNR!W#N2K^^zXrJB>Qdmh3#*5GI(A7PL-yjl4u4vIX2Ztm$Yr&#Q zFm+SZC>e0Nt`7@gpKVT1K9uF$*xSerJN&JNT~1|?T4-?$h62e-@f6e&(MK$02v$Gx zG+Od%zxlt6y$f?2N17$-pMuzpJ3)=*zNi8~g12}31^|L0ExrtZlH3y;2wA4wn%2WB zQEm6k&VS!?zE@^eWs&wwlT>BaBQwAEb3qHSRJw*vx;xz??vY*HSYCNAK{Aj5SpIs8 zh8tUPn6AO&_`h2;eG%TA+tEpm`7Njh0P&vsL2guXzGkHmJB5vi=wV+_&B#1ul@WtH z2^U|9rn%fRep>1QN>We2b3&#SK9;u4&Ou1L^KEDEt1fb#Tgj%APhO8T&a1#y?8I+4qAs_UvcPK*Vw%k{(eUC0t zsTKZIKw8@h)GL7+r-FGf!*=~4G@R2UX2MfMRV{BWR?ih~(U*^0WpX^4SZ~3FR->c= z5vDtshKv+?v^AP2Y>~*tPdN_p6m=?IcwUqXr*e(+@C8-{#Oyk(2a?hxr84u;r#I4$C`2d& zk@~C(jd^%JUkn<3%5DVLpv7Lk9#oGd!dI8$8$@x#SM1c^#h9Y{q(s3%+A^fbbCTw) zis>l37*CRv;-Mbp#S&SIF|`|+W9NrkxTJ{~65GFr0T`8OBne1>hTOsIPL5qAUe)n@I$(!FfNFNy_sgmw|?yBh-ra%pGdib6ZKx(fyL6ri=Wq@eGHHi$%xMlVD?-g5$QZm*iKj&48F2WwvDC{>jV-cpxq@kl+#jM#k>{QO86DZqG`T7uRUm?C7FjM&xy7YCfKP zuAmM%D!|^N|26l?)g6H&KBP8D?xx#`rP^KA)PMEAVql&5+Z3Re(r#R&LcvT=`;~1Fa@PE zA7auIJRp{GDao1TAi=M~+{dEb&te}}eZ9RqWVI#0F0Ze5@Nh*XGAq12Fi9SO%808Q zqhD3YQ$UE* zEA>!FZ0;WJg6d`8mD-@Ji`alIgR4jL%PIiaPMb9V&`wIaddC5dwG@y3TRh7>YKK#N zB@KU<_{gDlzrTOD`{niFbUAT`0kc|%LoIeP2~35vpp*dzPFnOVQC^!@P$3LJ0MYIj zsV&MV@3V0!YGcmbjPQogC2hkx%fVuDflx(o0o@P>XivA(A_V)+Gc-k~$L38{!;1eyWQLnVg>-m7=65mk{%YNYQ6G&70Gb@_P z!&YReL{eqU$pWqPOAWXJn3RK>bg&cw3|=P?F6;(U>ejDrK%x)6n;e;c1#w<(#6dpr z6qT>~oY;*`4w=|50TR#?RC;p*Dk|x}V$KW#0s3j$oWV^5R>N>{0{FFE+uzH~0R zb(GChFCmB--i2Udbms(g$1oOvb_1>}-!T1#{j~SLe!YKl@Vj)e`0hLDW3m5j^gl*p zl=DEF*57{m`gZ^MKn+4qM+ZpBWhL*YBUA)I1&}oqR2201SH17o5o&^P(Ej=Dw@*i7 zoFcb>kogT5!pQuHT5jA~pm8x`*E`7F87;6z^zS&6hjU#Nu{cK`!9BHS_es7uJLxuT zP~uOFrPy?cPKZiF;ea(v2s>1}{ZGW&oGb-3j<5~Cqd*XPK95gf6Uz4jgiP@bue-Pi zgc+Vv!JP*tD;jl8TI2l{=nR^pfgI!ol=Zq(w*IIflgmZ1wS;bUvnUmHkcBm;{w<)LmQ8Fs}>awBi)jd zE6=*tWDg_bwN0V6Y6LOKx|x};p|cIv0#QzoKnle?Od*{S#Wq)NPZyWx2zkaXjF3^Y zmU!M?@NX9Ms}a8l_%)YBS*vz0S?Y)yes_8PL;A$7Cd#Wy_*!OpY4=PUu4D^@Vjp zv{RB2-$FO+$IW+#Q%u_Urf25fOxA=@M_Ch+zw)XFXE}-w%oRL0U4R))kO#XSJY+P{ z(yyUi3j&>l_GCxh9n!PLRe=i!(+)5>HH0nyx?;^Yj;Ki>kDOBfd)0*9-K=YTDAE1UDxb zo!P6pRQ zpGOT$RI=V7nQ-k1_8OxqeKk&3eUiXKmmePq7dLi5Jj%EnJ$eX7sT`n>=mF9mB%O}r z2VMgqJ7PQ}XynyxJf%ON4{?bgEuZoevtao^6a*ljO0Eq)w$%34+UUlgL#JF>8X}H@ ztfDL!QA!ErxNW>g8JNzE`Z%a|#ZNQypAgK}Y;T)aHxf^}`E7IeOJ;XNs14{{@{TZk zzux4soz5Tik6@0FEh=rhvV(y|P8cN&%9}oAJePV%q!}K7`(o+n-MOj<HFOazKSdf}DC}vHiBSYHSW@u-d$2XSc z9THdDYIhwvUdeC*j0R^^WVPYB3SPc@+LWfOZC4bzADG}gVDLGzi$tN}s@j8%Wb&%p zAb|r#^dO9`@Eo@bLoJB1lB0?MR|$oMccCl!;gs?V-cYI zHpD~;;=;PTxSU)8=!e`_7+gFQT!``IBjYRD6}dJuIWI33SLna3)pP6D^BpPf>g+JY z>g$VJ>F!0;a+#hQEW!;iR)j9?*Q#y4 zAKoyIHnRu!Or{(@KIQ1~DRWFoMqBX)U}LXPg=UoJkc2FU(ns9!%#>Jn{J)Td^@trv z-aa;BQe_C4Q(J5B0c+X#_ai1d;y>|`_3Ckiu+D};iA1%{P(6mWdAuG2=I9~PFRlO( z@~{g1d>KTQ3A-L zzTp-RA}N0GY@k9Lds7JKc_=1}(%MBJu6nemCagy)bB|N5DaVc5tj@X19&hnZcI8ye+@$h^!NAHn*x`fr9am>FNeni zY&`kuQODn%K6EE`Jw6UTLoNYIq3{-P^HUv2%|C=38VR>VM+E_wPO~|3Z!X-5VpOi*l`7=?0X_#ePnoxsO%0?$PnS3;6CeW#Hl)9b>uEnOvmJEn&< z-GPoS)*TO5`(ZD2R)XaQ!s2ET7H4{j9tQe73xcJt4>dUqVI{)5$G;yJbRMq%0V%&3 zr|;lSRr{2Uk-i%yVswwaeU)k)DO7bw1*G6D<+xAX-k?b`tRwuAm0E>bFAQAqIVe*YF}DsbZP50n%9oZL8K>GXM!7BCTR8*%3Rt55&++i%TRqZUP&w9s~|(j|*2i)@Ol&@DfN+&{#*Sv+$ua#RTS zEX*QBjMQxA^`0o=OQ55d5J1gutWOls{&nB)-d(a=CK3p(Is4J_VUTyd|LOIYJK>26 z=0(gELI^^t%QRC3^x|*|SNud>EfOZRK;XP~ai2+iw^cevS=9kXg7Pr2FGGw3bCi09 zRI`I!PG|voc_fNN)6gW%=g@FU~XkMReSU0$1Wc-ZE zY^K?CykF8EuoQV|tsQ&CAVF z%mQXwQ0tk5J_v2|$nKlXa?5i1g~bMH?$H>kG?*SzAh=i0*$j(aI8f2bVwB~$EbUVE z-ZC~xd$4hk9w({lJoDiS=^2>F4Tr|Oezw@ZdIc`G zkRAxx-$_#ua8)_UMQSwcxIK3G=Vs-fAb zjs`XEH@8UGJ6Zmi0p`Gr1fVAAOIXj91>72nBI;Z*lv%t231wB#Qs#HbN2rz9?kg7H z75%v|2wors6Zts(BG{=sHcsC^-s=jlt{0naYGYC#u7KFUL?kqai9#Ec6sJT7!LPo+l&k!>MO@`KCzo!eVdzYkZo;l}wHr-Q@IX>lks1dKg^6EX z2i}Pj)JT-Q9;&J|gv;=f@>n{=LaO*m+g~9pW?@f4Q>E}DB7n$JxN*+F2@0w@{ITZe zl$Ld7zInmM)Ff&LAQ4{-4Y4im%#sIi)lRp<;MlIdak&P2C=A2$42l%(e85?5^*(tM zSFJQnj8Ms~&>g72VQ&hbi1X)2`YT1+hX%2Evi)dOUcmU zTTGvw_jEVvv-70475eP_FxO`t?mVu@>HNuLf&?vb0b+HCE{yLi&OpZU!V0dh7L6zq z*S1EKgi#e`G|47_Icc@ivG`kkcg!jpKtXB#?Z+>rT~Pwy0gx!CmD0!fE)gV%HFFrs zdV(o%XR>POu_64qgYpHI?@TgqS*m4WR)gqKq$i?|T0*y}Ike34DQo(KD2cRSha>!#^Rqtq2iS4GbxnNd-F^Qo)WMSHX^;g1HZa=aBm_ z_6+BTE9n&MTDw`zgGGZxb6SNiZwOZx0*i*7>NX~{1q+PYvF@}Qj}g5sK!KCW zBlLvEH#;5fDP&GB5)H&Hzpp?#L$Zb5=x!bd~0NY!aLXQY;BmUAf2Ih)J| zeAlYOvULPElUmX@EwCpAdOCbrN?dnToOo42(=T6tiWaB;Kqu4D&z}&rf51Oe zm(x*dY074$-(~$w@$Wxp)1~7n5+KSJsQC??@#oRIw=kE-OXM!3TRiE$`n&IX5C8Um zqJ6#m_vg`n;s1X7+q?I7fIjbO0eNfvVkhd0hRtL(PfaZCbDvN|`a>1YT$#kfvqnPh z^u|6D^1LxqOQ#bL-NV(^)CmhIOshy5*WHtPL+<$zRXq2jq8%I{Ff0Ro(!zHbcezZZ z6^<;vQ;N@$H!w0$jR3AwNlDs^>u1{72o2JOFZ(D3ALMt8h#jIWYmgU}Lee+P{I?yc51=TeB^EUmxOn0czlVkV8;@S!u3{z!Ql{XXj+?@(J?JdQWrIC^;B12V;1(2Rb@ZiOk54YZ3&|~}#m27cr9igfm_Js~k61WJHxhP0{xttFyKk8#?`lSXX5gmmFl}e6sp|qKV zP#XBezKV*LwCn^II9#dB*R3N9lSmm3LUB(%j&K86GAtd6BQ)G?w@`~5jf%^@3H?D? zy>j;Dc$$QHY^!;a(QASIp?-V)&oA(Ozeiris8^G?7?#q+&rNlN zBSkPUGnU{>5~vpdbD#)3OJX(h2dVT?6w|z-(@Ut5VE`+xE3DG;)OEOFvDM4IZN0%d z%&4t_E}~R`g7S>>br0a}4(l0ozl-Orc40kAmyGBWq!_zLrTtM)-4zhg@|}*eBtp4H zoKMj`aok85HtZrI7=Wa^%l&4xfTpmgtCe3h3#{mh2*imL0+yr12yQI-_cu6AB#bR! zJ(CDQ`5Pc34t-^K^zRDCaB!uX@{Raou_O2%H+TA$2$z;}*R;4dbGG`Op?KqRf4*YX zSs048Y?-JB(V!$M_4fX-97M)+`QD553jk*SbCOQd9QBG+cq8#jq~n%ilhIz8`&?SMMXY z>ncL}Hhf+%45ak%uxfh8Pz4Y4kS7k znCB_8?~x1+4v=lN(?FK=qzODb-v?&t8#qCsT8u+C9dQixIHoF!rBw)@)ik5*P1SLI zhn{=bX0##|ee;WDWZg2qL0J-808>B=sh|AFFIMFJVwhheau{<0Ma@vt zYDfEPeA!sFgOZm>HPl2Ay){M^1csVIK%^EJqYV_sAkz8a$`HyM5MrB)kK31v8@UhG zHDU=xb@okTPK%0i2nZ4L!6K71rYs080tiAN?1MAE5?Z}9S`rw=fm``2%2D8a5YBLp z!~_J-@{NRAX`pifA7%!(y)gwn6?;(VG_Tbv1rZfOnwiSMEI23!pyn~MZIEm>()L?yM~KVh52)djJZ!t2_BzAG!%}y+ zAx4EyNxly!)dp!^xI&BLx-5HwKVWUzvgNEnX_kNrgZX7I8%~`G*o>@@2MP_bjDkw! zsA{O%MjmcYU*g&Ig?fXIL>gp(R-v-uXhfaAWoE*cH?KdwegE$7cL#4jFf;k-+jpP8 z-2dbCXZ&61fco~+=MS&%@l6m}B#OJ<+tYEp)hG(AdWgw9|bMP)YLSIvxETU zCN3R%s4)pId5YF9fZf|z>F1ofmI6_4cTYRAj)w9xtLbZmH`poVpZ6o?nEVanWK%VSe(3I9x zC(&hcb0D(L%o^B=p#|wB+K#&thd@J2jC(`wT0mQpI7zdu;&4RCky>^#xogvS#12dy z$vz65nS;s}q+-8BO+i*r;1E7f!X#>kkqq%3FUCifh-c0enYC)7JPL8h!^tU{ z)I(G3)VmIV`UOzlFF;jcN}o&KCdFq_TrA3>f|V z_09c=oweWm-BU=KN+J3Ng^Hb{Dk(3T=z!4$xP|$#KChzlJp5o;I)E_aATabfyEhAE zXHXUniiMO4>6X~38XRg#VLciP(doht_}o&1VZf}=z)LH&UoLmoFO>|h50DGOb|w6U zERc_Pzx@f-Ztgzb{|Su=*p@)M5@g{nPOXAWghRa@OHd?GYg%&Q>Zt`4UsQG>EN0WoNt;aOe_6t#CN zHFJCm%mJ25F(e@yl@UcMa3iRfjI!fjFXhk70R0UP)BSHlV0){ zSPFIz!P1c-37hVM!bqvFIfIv~H2PWjNmOA(0M0XDp_!M!@PUcq{W1U3$* z9w?ps)}O&i>%$cWIxvwa#FrfAwV}kis%3+VWCOa3muR*43>G{CbIHurlIKS(`i>$~ zEcb*n5yJm+kXWpV29-PO^`XV>THSCd>j7VkI6DOTH$b{55ecnJ`Hfj_;)XYv4) zCA##^lsFA5ZBD0=sT7vo@_I)Z>)cit1Exd%pLY7V{|9qIR8AtP5J@n0mvk&7s3*F{ zJfWD~D6+%-@_KjHuRN*OoeA{sl83R&_nY5eGmM9)KRQzLbCnPUF;1$KY6K)PCTE?9 z8A$3zKY-7uD*aet6q)icvdWZ)QC_ByfDIE4{gwyuS-<7+DMu$ndF}j;pv{9fXr`X@ zPBW?8u(|vx>Wra$5~^awUe<>F@CGKy34&2qLO=4LZd&CQ=bIJcEu^?QvX_*%&u)3{ z&|xH=I~mq#Ys0fy&AVvD3aQ`(~8rtE2LCcjAu{25j!G_@3wfglJU*i$a}3Yr z5vz_KZRXIP1XiwI3R|ODDF6|QwRw#{A&i}(%$@Bj_@t65F)xTwFzFX+&|rSi6m70G zzi1EhsY?UQfG33*oF@=VEdwX7pO}IaGjl`H7SiP^y7UI^tRi{Y3?;g6U%&ryhp-&e zshDs>s~wWgOB*2`pGNf7P{YJhP0QOgV0y%WKlyZsu7iy+Ci5{nGYB=vT~c-kN@>RE zsX|PbcZ(0HXj<19(T}2c_k72*Noam5$=1(+MOG!ot^Y-tn561x_Ym|6K%i&$%m!vD zjnO^}bGM2%BlLO^{nnVRFQfyP1gp7ZKgndVVp;8dw|2=LMfwIp=V-n_Z0l+T^_pD` z*v?@5s<~-q&;7R{g*;NNAw|S%0MmFb0k4K(q}*Zh?V@TiIE0C<3B&72{<=@^Uw%bBgO{kY7uI5VZB|5|yjSt3_^6t6z_B+-tEUz6%1H{pnlxo# z78jj~=`_l8qHH~QWBLc0c2408>mHy&n&Sb@o+N-4SQD)&;&T%P>`jqx?U99q|H!WM z)`IDxShpbHw@0}N3xRAcoT{o8p@)4Y&y0^#aP;|hLsbgB+2thK_O?rwqLSp%=>&bI zkGVcV>-=amM{{9fyvImL#1|qfOo?E<4WSwC=OoeaZ7@dAANTW;*yn04FtRR2m9&dd zO1m(PWCju{q6_?J3;3Qu8c9~oVR*h^8c85lZ=`}%{W*$Kysjj?Fg-wt>u9d4Go7Yp zfYnlBQ_~!AI`3a@&LKE1Q81GxK*pGi7*f(z*d_ywW%RmJoBmz0!8kS5>fqz_>`K@tFortf>WQM^dm8(85!$?&7-V4WA->vPdWqyFlhdo zUi2d&ECoIm=?YW#)pWQBQiG)EF|$`q$(W0IoZS${l-Kt!t}hllv^yL9 z41G7KPs<}+{)qEctG`iS@u^j4x6jT2X7kwRyht$N;7LjZ@EV1nsHh) z@wi?~lfj;XI(iKDGURbr8-L4D6?4*Y5&(5bO;zpxjg< zQy1tkyZ|}*aj%{b)ENMaX04*j)h#fSE%^{oaDr4s0-m`N?IfeA8`Nl_sEcMA?ZYKx zhv-VBj0KqDbR!lTl_Dtps!0_0CZ{-{i&{D8BkK395ly{e)!iC8jEfToZJe3{+* zgci_LxTe2<$oa|92Mg}Wga;iMoPnBrK@V~-m4?UkF{M98w}&n44!(m3W&6||1w6rb zU`ls`kWF@(RzimeCMb_g3xOV*FrTi|WT9!sB9hF;qv>%EjHdfDV7+l-mK4j;S=n)i zXV9P`k+Jd#7CtAu8n&i=-sVC3N-636@6VL6$ROki`9KLvpy z5CL`+4f36>PS9=LzhogyAmh1M%c){Qy(GkNd8k{8^=X9&eieej05@=5foQ<3%k&0o z1|XJaRBU*xS2<>g^%+VUh`V`$w~Re#G_baM*&(?Fc}q z_wPP3W|ArTps}}YJD!a)jyRb8fE)`KCx`eUa~~i{bE~$f9dL7rLY$<14v-pV6V%0` zH~>R1h)|bRa2FbiAJ=;X@AfYF_H+kib8wajnR*6@GGV5j^}Nm$XWE%-H%0IXF1tXZ zgnT^r+WU;eLj0wb=up!TQ+bvikVr7fFDu9qnMZLik;|_YCoVQNOl--FLTtm+UV9#!OC94gu=Yc)wgH zRfhf$J(xw)6kC_kEzq^32ms}%bTut)H7em#;OM_UB8-#;l%F1hk9>b7fPl@q0D|(A z5J14?O$|amHn8HGFTcJ1^>C_bRR;%Op<5hI_xDfsd&8prPc|cs$z<40U;&3h3rPd5 zu~%zB{}4(vB11#?LybtB04>kq*>?5MboPRwDzTDT4)lJ)C^p93T&{O8u%a<(wvFXb zWuI(9JhBtXezMgl2|ltqls~dFL7u6^6s~UzZcv{b=xa1rXd9GhNU9Bb?mS%V8&*b% zQwzyC1U`$EQY3E2!98*4)&QWmSQ^_4#-c0rLk9J_ z!+rlQIbA|qp5L^5zDN8v3`PP#8XBPtl)lHld?{D;vrWovj(eGw6b_qX?*>vxxw!1Y zA(?Fs{9V=nQVf{;*MpZ`?r=DxxGn`XR+Km(2(LVrNXo?ULU+LTrE;HaOlCwRmJVr~ zWGqOw<@xhB-vYMqf-G7ENE+0O14U|mzKwCvysjJRdSy3WdnBf~?F3 z@tqMH0{!*z01};)VARCk-O77(!R_4HHh2JsVwbc#YaeX0uC1UWU9b%e@@oB(xruw& zxu^ji&ql}av`AtH{LtvC1}mC+s-)ev@M<1p@?Yu6!@F8UpFx@!81T)l4C}u3=z;J% z%8eQlhqHTZioauEVsU=v61irfRB7O0M7{Y+o3x*DZ#AVhPeo7gxyR4MS~zP$5iMsr#e-w=FRdYA&@pQc?7W#3`=? z34M1AD=S)92ctFFVcZxE?5n0u48>ya0vr7y%6m+YHIMy1uG4 zGvH-M5R%m59y#wMGdgiYQLOCis^j`~Z|?u&;49Mt@#Fs=CB53N|9`M$79xX~&c@vi z)4Hu~<4#O#_}8*vN@~K@VJ6@ZBy+MiqHk!LggLD=_e0S*cMb4#Qth}@5lBmPjsDx; z{`TLL9>S`ItUGZZ^Dse$5d0#4eG3R1pD2!!^A_igNUK#L%=-sFEMG0N9 zBrFlG4hvdfy%D(11U-rzVCf^-T7_~!(^OhAgmZ@lGn$g4Rz&mKEPg8u*XHbUmHl{* zBXL-9nYdE%TSaOc@C~Wnr<;;@oDik6+ipU4#Y37@M+mx<^2}gsV9fxitT(9EI**uJ zap?(GARg;E?B4wi?@iGySDe=vO4y^lGfV5fIXbP9@ZIk_XtMY0@s@uxbo?X3o*s^- zv)q9t`QsvxXf3=r-drXGetE&M)5W<)*OqDV56#x&mbEf~Vg(nR{6b1mGFg`+x;=y) zm_gxeLxL~xGVAn#s{Za^ug#K!r@KuL6CheTW4lB8KJ>D@&=}7YHs)+#-g6QQ8<;zH zDA~X~yyCEK%ud{ZcyLQD_b+N9{I~XhsXLc~{+>Tzc8Klgs`7yW)GDUvMmvtfe%Xv@ z-_NW!G!3$LA@bY3&=4hMiqpL`HypZ4)3l`#dfMq2+U;>+piAyn5YfPzWXuBA(2UfT zC=coW5ab>I&U`1XSLAs_rJH#H%R!+<)J@4;pYwU^vjL#k>~5o%s;7*VZX=&0pyUtmP8RSRtqC(=&2_#V z=U5-EoaVqpzCO2zYV_eiqBVRdjQDg2*fMck3DfrU1T5$wKEN3{RYE;7U@FU!t~&47?}}^v83xr1|@7}X6Ps`c8nbPm||1z z6@ZnC-cMNdRV$=#LTu0D2NUbY-#6L_26JI^DYNhGev~|WAf@D_#WM~co$+uFWO>N! zL65V(=kX~=kABLWDuw%PhJ4NL4Lw8H$L9PMP!%O4As)h|if)gLZxbwJ$t&+g6S2F( z0+k#Ss*j2@Ny6{b;X5qy zGf^!KLhlxWYVE2(41ldaCIkV(O9<8Ux}#1Euq#5gi$S^JX16&xNA)_Z)R_H~wHo)I z(H}-AEIhIBCkTbj2wTPLfTrH`d@!SJ{Zh7l{ZgZ0_7O}+c!w8)Nf=rwPQ4+G^q-$d z9M)92V}=p0XGDsT$Ln>$XCP9YZf^3V!zctT6+2cN@O`cf%f2P?2iC)`CKeypJnENj z#?U;aAmRDR#3jz8(Dvlc9}x@`M7%3pad?R{X?9kXaF#M5wi{DpsjBtwwv!!KPBzQ=gcP_(OYI`z)2=xjc4iy0r$B6k znr7R_kM^yLuI>B%i@T3MfBL+)DtfC=AK$+FB}4^fpJ8AIaT8HiP@cg&3I`!cCH-Tf zHOtiYxkY)JEUIULD6tzyUctET3`MpK z?A0A8G4>|_9E>Axz$h)^E{=~y@FZc9a;V{vz{*YXhuNk}c8HVc;bNByO6|n?ZRrpOXj?`{O_kh4?jSx%oYoWP_o zkdC#5r0lOI4soL85Gmo&`mExjar{0*oqhozW=evYp&~#;pB_cDQQZv#FN_CHl@`fx z!+5Y!%4oDwy~!Pye_3A1M*12DJ(0{5exS)(*ev~O*y$~6GO7@@48tY`kcD~}^(9El zIY4*y9Oooa*n&2ZdU6|;DpUzasEa6+tBd^^!B#rdJ3fPWuF@8$^ow}8mxDMEt80g0MA9=*{Y(C;Bj?BigGa!?5^ixJP^svb93G_3c8VSQ^3&J1p=nwOmZGar zH@M7qm+*_z;-w6z?il$~jNM$rv$d68M7elmZf1F%%`V zlR|Z(uGBXb`QD(9wo`?^@i^j@=L}a)D5`-vFa_zUu-ak9m%3S8aXJsoshrV6v-PBm zIIAZ^yqu*?ravwq5pWpC%p@eQ_*PskEwIaRKB)F6OLKtV;RH7RNvUtgRo4|WdC|t?WC)l}Lo{9* zbPtG>imM5=fXWNV3$PMorZz`Hp<@+rs?Aa`Dt3y`5Y^G0=Eq8~)hKSZaWC!fA_&6q zJ4w?bf*iUQSy0^OFhodxlX!Dbjsk6Y@S~Ofef_JxapfJdY0A`LTm*o;5{jInj{6(x zX8p>D5?EB`{hUhkf`Fl~+5P_g-RmzB2Oq^W8hBDVgP+q@a z5WK8EZ!2kPEQC5#0J7Zy>pNkHt^StAoBHR;)|elLfmfF8fxnZFQoKS|;G|;KjfFL1 zHH@H|2;O8XD=v1HmX9fIP~aBl5gySkS2mrl7Np+nW6`&oStB_0XWGW)F%>-C9=*(g06mh#dP%-3L$%;E^ z0LoY)HcY0o~i#>3f#_o6eRBu50+g_ohdx;!7Daw2T$c3m*%)>Ff{aGJxgK zG@+g6Js`6y;x^phTEL32`&aJK^4~Y#OIP?2 zpl*1sLY*Fd-kSktRK+I`tu(IhJA|_Vz8a@&d%~L!q(UmR71b(1v}k-($K1Spw+Fwf zX^&lwE!zYFd)cK%Qm_sgJ0p}!PAWJL(83U~sQxC>f)Q<}Laj%tmQ<*9;#5+hHf&;< zT%P;L#=jq8%S`9!86{j=V3&c?PIRgkqxBvUwi4ZF7jC!vY{+@_z`Zt)dU9rx%9S^LWyq9eL>p*PQ_5YER+E_ zmwY2?3L>fqKqvccBBA}6veFDNTmQs3MTgAhapA6QAl$i_Q;m@T_cl*(b=Z$(aFTqk zY9HWJ*6iv1r;opsebNd2Xo4e1zQC03XVkNU1NYvlSyyjy%8%GTjr(C9|#FU6jQ?#>8Y6S=K%LRwPHCV4syg>Dh~jnHr9ctG-V6r@G+|B{}s0J7?616JCQO~ofr#oXpp>RP>HP-_3d`a)MtVvnogT3snRUe z!ufh#L`%uNccan+Lj-B0EI;_VG6b7q$o_0AzanErZD2X2&8Kl2^OY+gug(I`&{y2W zSpB_rxvh6y_t!L^2dxOrNn_eSZ4oK(_8D5V?&q%zYiQb|tZ@uvOg0M~3sa{`<7RJI z)|HS7WK@xXo^v@U(`lUMLlPuO4I$W68Xo#wN=$|@QH?}uTX!>*sL@6w62Jn6)n*oH z`0%yOQq>FAR*9;|(NA6PIi^a>U^UzV$C-ag(-YMcNvn3Ywh23%h-f_r8X(u{d2ANt zW3&R3{hG&cNX}nam zCH8r6+nk6GP2nbH!oVqlN~Jte{Lw-3*s7Qif9R8NvhMG+Fie`$vP;yd64uZ-*|kYX zt)qAmpxW~yP#wR6ltZ)RTSSO4|Jv@AwHrh1)nB!?Dz}7EY%%0VP`_6mOL9zNV7akf zd}dK#NCXhETn#a@niYg_@P~2OY$QeuL?2nPvArD_HIER)3O5#r;QDC%J)Dz6rc@3$ z#}7sia!NaXd`g2Ux*+{7RJZY(A+#@aR)H-*J#Xn3MV~+72pWW13A2pijv9x;0*^)? zEpMQgAn5j0SET|2ec%tor7|ov^v}6dukMqOe&PW{L;B}@)~TRJ10Dq=6!#E034f{t z>en5hdZPkMf$0d8_C918b;z#zb9#5kbHFGCraTSUu-3d&-BGzkeU9A@!>Iv=SPdOe zX{V!U2Nc&jTC;ZQkoY2uXjxR}o5Dn3c7x+HmeeMfWSdQAHT}N2!fMb|w^CcR9fuR0 zNah9$tx;weWig$=DD*C)*)h|#%?F0C!y-&IUH0AX-y?e`5}6R)Hr41B?q5L#L`4%7 zY@yAx2Y#X+M?J8Tl}sblF(WzMjfW7kN3+MfpZ8zh{m0$@egs@Qgf0y&k@c{&V!Bup z+-D8}%c>28UO1gf&HRFO)8AZ5LA_zw4^m5qeAc!U(T+aJfdSv%>aaXfZ6AxQ#13Ub z6*L)(v|UcLKO$prJ>v^`tWW-JjEpZ-M1%d>vb8B-vF;gaPr+gcQ|;)@r;lIm5eIO? zb}r5M;Pum=p1yhd^V7ShZ=e41^lwk!J^lA5qil5a)6>x#u=J;+J9JuqI{M}5=x$VH7%ri%gu~5k$XRde(Q=|Adf1V_Brn}OrfpYIdLr582yCFBc!=N_ z@?T?bL4Pi8E?JXM-ggYZe0FsUNo507^atSbzd!x(jh{7m9=%0V%m89Ta3FlAS-ymnrr77~ zDjyV-XIkA7?1(cx<%dFU4@PNANbK-7bV!2TC+J(4pxfz$`EaxcSWJTCj~~@bFUn;{ z|NcGly`lA4*JEhh5|Z7!uFEsiPFcgiOEuO9^+ri27s(XfQZuKqvb7@aI4XYbUYX&` ztSXnQ^?8^Wcn;b1igwYR!8HPgh4{3jJx@ljNB{l5|CEU+a1?g~99-I&r}G*TQkwbP ztmKHoMHdjbV|FhV7q@Hr;b~s569pR6l2@gYrwzmh{^(e#PM5H&*b2EA<0JldG{ao zA3lAI`!$ntFM(dG=PDk92l;L-WzVo(OW7}#>jmY?0YBTyeR8%us7%qNI}4T$5#ct! zZSH=_bw{b{0_kWvkPknBbR-9F(kmETvh;Uk4;r>%+6E=ymoXPZn}G8tZvSyv5W&j$ z05Po18^3aZmg!r@4qsyIl6 zUkH;0Xc*$|A={;u||zBE);mUaH>O?BM55Uw?Xk_hkB~h(1-43`wlpxie}^b}JcvyV!JzdlDki!zrxRxMAa z(I^n04Ry?9DhJQBctJGJ8yxbzs868$sT{HlVhv@E4t*#>hjW%o%+v|5Bl$;)Na`FV z(!nQWYrqG|jj2KO2lR#n{@Mx3d1wv#Q9zq=5z}K(9!j{4{0c(YAF9y6$|N3*wt@;{ zA00&TTp=~ChKTEk2VRzk>Ce%p+V-QBx+~BV1P(x1T`!(PEqQ%^|M}fdUy&}cKREd9 z?)BgCA(`rm?a-af3_xygQ6#33z&g#<(){x#bU23oy#khd&4oko{1wZCef{|E)5q?| z{rdXN-|wJ=TZrpH!vI%;j3;-Lk}gBIE?_4xPMDZtagQ_!$|~~HBUAxHp7ja3t>V~) zl|(KgI&gMHb)`O4M`kk#M<#=S5GJ+xkvTQ63&dG>2PzQMo1!P3ALiRnpFh05-+#IP z{1ze$!-1)2e8ZB+0^wW`VXdr;R-;gDWHm?4qig?$ZqRS)|Q?Qn18+O(pxxARC zFRut*B4(Vewh%O4Ag%a&K3%Vy5*OEI4MZwD)P{{*ZKtQVp1G~QmjQg%hgV{Q*;C1 zEJ#$UvX-@J7HI;}HX`GiOrwWd5ja4Th9(MS5*^SgX9d7P08xN@`Fa1-yZg8A?%w}= z@ctjK-+#S(`r-ALzd!YhuypB^FFp)w3&uxT;ea$3-@>ANoI%=V`!Jq)RvOMWPn^Lv zxD3=*g+B-sHQ^7JUGwr&>!>mn2<^;J=y$xg~`QDgYlX$xuoU~!zLHxFuBx)_8XEgr$4b6IKGpVF*bm7 zM&DPu5QI;q%hr!JfR$XA=|HmkG^ATv%e2di*nV6>^lr`FVS#m!*OnO@XmZYY)JoWW zJVs6N)hY5QwHy^?DB$g}$k#~{r;a{-hF}i@cz@AzvJH@Kiwl8^Z*m?|MvOrHQXex-`?H-GiGKE@YIAdnd-;T zC(TJIU?j2ttGqzxuOLcqP9mgAXfinykE&2q^BB3PBx$@sdeFv7Nsm&8yiim2G5taP ziaJujWPFiY4?Zxbg1`^WRzxQIFqH!ywoBBq(zJ$Ibp9dcWQ~6u^CRLVP*Meqs!y+X#l7FpeB`tp}8xV$S2*j`BG-QqU8|0(+T6?TC+B7#ldF zGFd?RRpIgvDJ1AYgT&|xL1-H103CW54n*n)?xaJChi9I!AiYR8tAv!uX62!|=uyhm zX7DrWc+?|LK9DHX5Y;?_9-^|v&8-&bOe!a91tS}O{5zYp3N*X#5|HBGE?Jcz0qsP} zZO|`;(q8aOsq)>6f@3&Th`1CFACQ2vVME|hyhR>!ggl*7@H{=)|8>2&KKK<3f4{%` z{r>Lb7pO4M*$@8l>D|vy4*qjwzFFk={dvST*`t5m@9#hSI)a-^|M}$CKaU``^8xR0 zb^K>guy>>XAvb<{OFHrElP7;3efupgKe4;N{Z?oG7sLe^N|-0VI$N)v?{}A)A}`wY z?07WO#se?cujK1bc4r!N#oQ0K^LtJjtu8lVkzW?dx0 zW076!tR$PRCW%P{d&@V!-$1%ooewd-!3Z>BR1#Ma&A`$KA@@X-cYjWWd^%^TOcv@{ zi(6<~6b$Q!Cg8G@r~aTaWed@dsEU%Xj-9fUBxD?Meg3f_O}R!RhkJvixfH{Rk0tAv z{H4Gst4D^_e*gInJX4e~`UoJP~?4pCQ&>g_8~)A6zE8zNo-4ODPLXCBt8IA1H} zfESBWt;md`U41Tz#v$3ST25uRn@>5(g- z1rdcjRE?)N3OkpZI=kedYTisR>)E1W!oc>qp5|r_TpF0-OkvpON;tmz_zUVKVlVm^#oA}l)6#?X%!^qwsl5oO z1Z4ut>%?H{MPGe)zARg)JYpH}|AsnA7NOH18<+XH41Fp|0^A(U9e{2F<8aVy@B>;a z#cj?GmTGcfJ(C`Vi6boySAru7wB-z6(!i7COOcGKShQKw0lr2aNB`r|<^qLd%P>7% zmX7#~`gh7Zk`2KmHO(E{ZVujl{rKhyT8_W_dBi_W2V1nglAahEy3deGG8^b}8!5!EM!<+xHoHVS{f9lC4&2P)K2(_K z#3l$!QnMRlVU4HS@4O&jVn*q%Q=zu0|rHm30;H0+`6dP=a45F*&CXw3v0uNL__LXEG;C8SPhxrFYu z1*gE_X@NW&GC?8b4IsG`&%S~WVPeOXN;aX(Xig6hyyi{>7$0gcjQ%4Em9f~eS&g!AU5ap*<3;^w{!=pkjZ%$2!;1NH#b;jrruDmcc*5{98?X18>Q^ae_#Oh$z9ag+XVcIS;(>a%2<&1{DXBON

    I-35tu4YdVcv*lFJC zvui_o6W{HLR94M_AiR9uluFfu^Yl?dho`eqGo8Bo^aRx2fc5(Qcq42>8{gL2zXIt_cz;}QXqP`%1hVjC|`G^8GzoZFR#9De))*Wm~& z)j}i<9DY2}pdUSZ3TQf4*3mlxj5^mVVAP$VU?hjYXmzPxDWn&jsB<3IGGT*w;Bhgm zIUn!s4pd&;o1$+nzQ+^afVuVS0$U&ey-_|)0e|V%V~{~42~MmUx2SwbebW|2@!{O< za;Wm@l}Wa3=sTqXh*e)WoOlp6Araua&5+BYuzf_ai+vVxMV+Hmi3Gxk3%h9f7gnY` zFoB^P$?#}uL2p83HG@-iO^MaVmxM?$)@V90{{$x7-fXs-O?{YQ!r>4ipv>Z9cyI_b z=%^XmL{j@2!w4+rFEQf?U7?9n9T9et+YKq{RvZM%jfgX<$+gm`h`lY*5epzqszC;! zzQiCiDro}QOb;sn4l*NKoyQc%z;Mz#dv zIlHP0G>NR4r2I^mm79sV-j~f`Q9R#YT{FPO8l-9KLo;O+a^^@H%_&(k9ZBM-x-Hs? z3w?zw*iPIKSGX;3*@IkUW#K+Ndr3BkcaA2|(Y4h9jtM~rLy6SvelUT>t?_0aA&3NK zb*Mj1wX~VMJcq|^4=WaezlKH}{A%N}Mb1C{ps99TU&A61(&@kqJ>Vi(14%4VfJ5ut zm?c#t#6Yf;TL43f=-Ec{Dudh*?0{vqs3 zIPYRSfmjN~t>ZE+u6C5?;uf_`YlDog+yx*a(Xp5r4T?#1si8AYPS zuH?;*N&%dv8n(4Pgf^@_2%twg5o*C|JWDp2Sx-+=ohdJ?g-}2hE+y~~B0;mi-d(Rc@ue7VqosG`9QZ9Y%LBh6lRH&(SJ%-Aca+0s+b|D|Be2uTRiv*z=#8d z#0P-Ss|x#o6R_Gag+B5|pkd8>436gL3d1M_EfqUyqLoU|F;3G+@`)>#w0z#ka29lcC5_ec3Btk4 z41br;$B$~%P`f!v@jpT~`2BsdQ5!bGp=B^>o!soMmMH+uC|(QlAOCWQgz_o#-_u6r=WjY5s&(l1r7FJ?(Y8XZiVm;!5b$Xk>#_SP(ZjQ7Zi*f zN~BUQUBJr&Stw)6{Xsn{k|k7mC6x2GkS0l7Q+ie%a%xb$SJp#ijgOSLdNW3@;H`4Q zR0ai=P>6NJDfVdA>6*rq^B;4 zMY7)RqnSihqO4Z!MpLmWK?M3SL4kChsn3yRKvJDtq2%45l*-Y?LuJ!BLF@Q{mD8hW zdZuw!Ly9sI~^9QiAbPS+ApQD8mLTfrAHaNFOQ=Dqka=93|%w>HV) zao~A!Xo+2mp%TT#my%Tz0z+~LHdD!})76Xe)Gv{=r9$W4gKJ#uaa{FW)5%y??7&{ZI4sP@qkpzk|;q? zuncj$J5YhW-V_BrWd(3^F@@GzPyj;;0&8Lb#48+^1Q12Pia9-qoa`)gw?VL1=-HhS z-CwoEHc}&JCM9yFYKK(`K>O@*>Ihs~2!$JHPw~fIds10*vdW0VdH+t?Lk1H^P(x6d z6-rAKAYxwAa)N%p>40X#ns9omE(Fsrqg)YOr+|n z+u~GVi)EPpHQ_p!d6n`cqbMpd8E2FhPhzOcR9&df1)=Lv zVFMZ3{nTsZl@bD;xyAkA%7zV0)SVLtzF2Q|{o?r4p=qgBYie_Xk5XM4R4D{v8uXkM z5b3}X@GCyEKUDd|$|U_fqcdZ()>lXk$^(Mbq|Fv)ScoAS$t>NzzY(hp^WgLD0J-W? zl*kRSS_G=WX@WCuxwdv3l9L#lP#dglLv@;NL_le%RvFJ7F9eqmG$EW`n&b#0kLIFU zDul6P%LpDLTOKg)J9n$0Xk zw^U1jda+kE9S7r;?HZb?J4X8s$Th{lME2Fy0IKEV*3t@do_JU8}xwb~;KwFoMVQ@a^ zHEJELb|VJj!{qz!&HXn^>ofV~x7WW)$4R+8WyzeQ^u1wlK>c2>L&hwn}{D5D-entg`QR00-KOCzF-5Z>++k_C;xxKtX?bm}hA76iv!g8;; ztSg8o%!UExTrAM6i52%wQP^q!WF!+q+%{TtalNoab)mzjsLm&mC>^E-?g6zMO7>j4 zHM?9QZOmP^Q^_DFwHBd4sS5R-f6$Nj9#0;vjjv@`qdTRXklF{@pzg8AJYG=R<+;fU z7I5kR8XJwcEA<+q`rHcf;Wqn3 z$?$gr_vzyNLivQX&IG$B%jXxtDDH}rlIAkkLTALLI8tp?s!|i|ZUuYBpguK0o)(yW z$RL?3z|C`%M)>W?SxvA%!K&3x=T#&x&Y!Q*A5Znx>r;f-7H~n4_3D}>+L9-^>+Z$w z^?ktHQr-f>+?_A=|#7NX=L&TOe5I0 z{9&E}8t&0}*z^xP48`iyPBtkbCV?5|dk2;^b>}<%00S{fthXA1K>_zlKGHlxJLtX{6hqkUi>2dfLr)2xR**SY%mxM=bb7-A zJ0-Wo2D7A+rWBuFBk9;+wONAFn*J_!9;1R`qiGhjN1GwDi4y-*)J0HRwE0Cqa-OA` zkEs)lvARhZMTl+%0fWw-G6z>sE!u}|Hd1fa$iT$2YK3Utq6o?c5-4|`WdxXlHAA@F z44wQcvf7xTnA^Miz0~!QG8s=lK7IZE7Z^T9dGQPs%|PW?Wd5)O5Jojkvz;Q;bb8nq zm?E4%gOr|B6_XT9^t6=r_sO!*FjfFcr=l)J15!IP04F33wyFke%V4uVoP*BLGYSd> z0VAs|5#qNKsB*Z1W&;!X4w!z-Hei)Lyen&E`#Ljp@2DF)(~1oO!|GvxxeLK@2ZwxP z>J#WoESXl;@=Tx(&KvU|hb0aIa$Y;71?`kM5f}1u14Ke$#caLx32C`5ChT7I4W@z$ z9H<8@{VQUV@II(XDs~_+>y$c&5vVzkGzd@=8KSbQuq$}dKzE=+h`Kjw@_^Dk*j(Dx zVB5#>O3IVxK-O>EVDK~cl2s1cM$Ows%2wBFXZ&3Q;>J&oL<`PcH zBsJ}kYR^Q=*d};GjX9|gMZ6FUr#!vcXKi1|4$=bCBr8Z~xCB#IBm1lv1zQBv5U|p~mt-c#_JK~L+SwRqcD86hIj8mHRt0pR;U};YJOJI{&lGnLcy*kJ<-&2=$OvC2M> z7d1`s0930DHAyHztpRxq=+}d6_H#=zZbIwK$>xQK6<3=Vt{-?DR8H{g1w%D@LCJf7EJ^XN<^Lx}>jPxaqmBco6;<+7S6jVBc zasaB0jhhsBE^?=)Aty5@yg+&2E?*udP>$(t@Uk5eBIQHYC@)uVsWX8errOdB=KNMw zvLiH-I64xS7WXK(H5tjsg{|BSrIl)h(2%4P(G?A*fHhpE8ghXA?>8Z(!wkN-WS<_D z6(YQIQf^anP)&f?Lx>pLFf^gEA%jzOYcjD(EIdOnl7I;?FUEIk?=84v%8oS#1E8V# zHqsCA8%IqtRU2_^u8a#j;V8k@q~tn{ytZ}FLYb+hzhXmNK}|!It*A^g_+W;-TRv)a zantIx(gY&UB-jSG)9@~kzhro`kYwcapmYo>ZV8=YBcjQXTGv_YTisU*HVmYD`})iM z8`QEH0nO8l0mj%)KZw%Zq4P)mU;P-jI~nHjhhrn3Ic)ZNGG8SOnWg zMaH&4qb2UQS{w+1Zhhc^7Zw-c2#hH}O+>ZX9tmH*ZIRyx4OUM_Xt2qNR(_1K8^n5o zk=qvOAYWg5b=rYZ-P^Qp&2cX`#6|7n$Cuh1W2rnS3&ao07x;~ypSfZ{7hJma`k3DG ze28QKY*aacv|=3*JD0q$F_{l~`d%ndBSwUq?~L>O>VXq^|Up1!|XcFefb z(IKLcddyu21c2ESj{6e?5rlrS&>22)A$~eRdNf>;l2Pr{*&T8T7AMH%({wFAJ*c&$ ztHGsH&X(F-_aA=x!Wx|w?oOdC?#sEm*aKVxkwyVhe^w~5$~T2~P-4bC;TM9%dV>Nf zo9!OGn75F6GSyJDy*=_7o@ZEI9akufEer3+uk#?uwZRHeu1@35tA!+cd)7wMNY=#$ ze6tdeb|~>&YSd#q*FV3!x&Qn=wjT141a#>Mj*s%ht0UU{X{+@_s`h&U| z!d;>w0O)+b=T10Vx^o56O2b|mazIluWw?<~l7Q-q>u1ViqPnGJf1FA^n*IKTS}8;o zV4xDr6E~Y{1{h`L-lQDkMhh}ZqU@s5P?@$m?PQ})*ND|0?u+$)+|bi3lxK?adyfjB%&Q; zyD~|RK41^hSyuer1gB~=XQEwrXfI%s8c^AIf*;tMridZpgUHE+Mbq^;2KXm^ZiavW zs-a5ODm1@Sg^s6ud5ieY+hm1xevpk-@VGWhKY0K3r>}1zjYRFcMEn0Iu`lvjX6mY z#++;p%@7naLZoI>N;GV^)1W7y2t{rqeEyau+d) zZiJ9qZ1agQ7U0nzl%2=10%bP$R+tXo*m%!ao``vrQ1xU4G{xgsNGK(JHS6ytlN0bu zP{KGrv%kj5YGb}Q)V8!e2?WwI3MllO1(S3K4fFiTJUCZfumT!2aX#8d>TE%upLC$2 z9OTI4=1LD3%`x5F!QCnPQkTHgvU9Wj>t5Vc)yuy3{XyA#ccciUWo6(qq*Rd{on_BX z81tl2oTj@EPLqeb$acE8Jimx^tH#0+JEL-kZ%yC=Hz3pc=&;;VWtTIXk<&WEzX>LA@d0n#u(IeA;9*@D1|06w=O)NUd6DYKcmPO;u*dt<*EL zy{{!7>vjK#u5DZL!V}^3a9A3QPr>v$=ivL+n|i`2&H1=w?WK$W>VevApuoN`XJuA)pC3Cwi!G z$OIz#Mq@g`Si4V%qYMwUu2P-?PqwZb~fajqh_u}y}8 z?$8(PF{kXr`op@7=W4T#ui^$~*DK%EouOZrflm-H*xnZ_1O{UUEFE9&kqL8;Xklp= z@ZDd~Ba9bncn-NVKB$l0lv?}RKz*Km96wn|IXRVP7%fid_E3nb4&@M4OyDEU@eAi9 z1Q6yeh1QU}CdV_VS|PB4sODxaS)v&OUcy$!*yHW?`8ksO zrFRL%V;*TCqy|RyMk?6UpTiGjVH@@${`vJk!+c(g9Lkfq>*Ky3E`Ri9$-ZE>Ak7lT zLcXNYsLSpY#XR+FjTt4DWTq!b#lvI%QS})_dsAA(p}^0w=5P2|sAW!pLK1%oPCZ;C>4vj3o?EuHjA|ALU`CVy!{(1p4ioQa}aD@E2o0BEp&IWCr7WpmMwNoMfL7LniON468PG&kd*dPidu3VZU$o9 zlmy(qq7Ui>O?gw4c-GHiusCFMAP1`- z@lh#eBOGV)-Lok|&QT!AP*(aSyN4!k!C9Z6bby;GLMh0f0P5`$RcGYH=rdF2@xUZm zjS8d9A&qr04;NK<*JgymqoYNbqn`S(iF=v!o6|iZT@<7oKXbA4ct|Wgeq1a)hFB`9 zAnAPoI4e|3O!YFwwusg>2`e3q)l!OzB9?j9W|@H(IZqEFIQrh@T}F~3$;PU-OMc=O zK;SNc?qxkbiAG`OF#m_()8CKYmj7LdmqjVgGF$6$_SIJWzlbslB^E)NOl77GtXCBe z?!)?Z0c8j_wNZf@tOg4!`y_-p>dU)dKHmL|u3-F?aY6*IN(}?pm6sRSwa#+b{q7{) zClO<4c@c)!g!wKRcRU|ks&iUs{XDWzGD9ugUCchm0uGIPs>Ii}*=*=yXqa}61{%AA zk5Ab%4Xw?-`^(#(zp$I?mw!W^$NzhEdP3Li|Dv;@k)+uJrhoiDBO73Y)P%YfcAR)| zPpE!>NFbxI>yZi&Q z{96X*%1%ZDx0lF_LA?1U>_-jNBK)V+v@%cbr!eTYb{BUjgxWi^xO88mJW|a)pp}Lk zQl3zAI$3mEI0bBXq#we&{L!hWv^r}};mN#(rDwJI@n(08`63+PCzG#33@R^IID2OT zSC)?6s*e{HQ2cnavC3m>v-H_!l2&uNM*R+lb))H`?6vBfh}`jb3Gh4!cTyl7&IW|w z9;M>`q#Ik55j5*A>IT|oCVD#64K^Q;=0cw$Gg#ne{CkLP%c6J+JYBiiAue#RqlyO{ zR~-ayQ|KfnF(ceF)Mt}dP8vXsF zYV`Ne*%9U~`g9;8I zcBbe!96Fi<$WbU_b%(O^=uVIm30Dav7;}}FINJyS6~N*)6eSUauVPr9$g3_`oi1)K zJY^mom%Qi=(iC)k7t0@SPzI9AV|P|}KW2OkxfkxP2tg~gF4RwyfGyUkkYW|!*!+af zMc;>VNIp14ug$!5OgD3PdixPpeyy~( zEV3aL3OkVq9?Z?-><%gL)TCYzO^y82%#EB;U7g%qW5cyYy@>1B*NXnq9z*P6d*73T zuZPnoBUBcj>7U8cN0P-4Q}EiO{teo1QH=MK75OF}A0}ytT1;7YqH3x78s<{d9Lfp0 zY_$w+Ry+h|9I(*2L$N!b#J5vU5x_u)I1M@2tTj!KD`3qBIPUoQEb$}ir{{ad5|Xk! znM*RW=^xQBTeRWHXbK5T;|qGt`mcYHSEhY7-C@G;E?ZZ zeFEh}j)0pZpILsUZ7Z+WcC9l*H?08qPLP|n7uA5UYCPCLI9No36@cK|xXUY}=nNPo zPGBBb>uRRK7uLY_x3djRvpsI5@S%o=OlvyS693Nl6V!pa zf}FhDXluWJ6>5~9OrqY#^dBsixc}S!?(^r5pCDx2R-1!0hqoI6Q?pXhRa8Ww5`>E} z#TT^25|_g3)MpT0M)5Y&Xv$4r>|L7^D$?eOK0t>PbecxWl-it#^p2lG6V(@Fqf|n; z2Vy6}rdwXAz@`(%kaoyKlpS@XaJ5X?kgmcso4k-O!g6w&p{iMDg5JzGn#z;%ip2ky z!>UM+G!?(1%VcPz2IX<5!nuLz950oR>VPS%0*G#V0W~7EN9;P}rZ~yl5j!(VPX<)H z0hkZ@rO=XLJ6-MJcPhov9=E?UdLRcEl(|lCELD{po zA@^rL!pw@R=!4`u#l;!-Q_Ao$wBSjUQ;<*vbX0Um4sbmhA;XT+vq$$_N>ASq=K4bw zd9E^v-woJ+wn21+A5zt@!Vh_PddeVn#!6#1txXCPXL4oF$ObV-3rzS?_wffcHAeFwmJt13vA6}QMA&dzUH>%sd z-J7otC+hvn*k)Jnp=t1XWskcvbc1hKsA2~;w|K#_-Oxu74W5d#$mrn|)mc!z<$)=P zMtyV+}H=|>V z!GVD?+!(Xb6uPq0*4Fv}AtN`4E9`AfK3f-4jeO5x^u|)L7pU&K9V7kQn10|vBjVm52>0(K%#fHfcS>QRayf<0_d?I>( zo`S!Uv+;3C8AgL3jiYwQwAidsuU3fStgr}Mgg+u%GZZGC2?3_4eM#gJfbCw^?KBzG zWp+qcQ5V<6F6D?E(OdTWpFVwhzemTYJ0vZ?|8j>i6L(q5rHT(k)6u3&Z1MP5j@{#+ zCffeCXi0&@&8$yF=aBNTNFN#}eh!eMi-9o;G!Ctj4IV)W^f&5Byks_+Mp2s}P>ufbeSe2871<=`6f1mm|C49 z-;wk7TrJNIy`q0Xjv!kKd>WdfrwZ^umOTw}bnkC?|RfcBCVzqS9DQX~tA4mk> zeuSOxy0OA7-|gb#vV<&O4eF9E9MKz|NjS6Zqw~eTC`+yBFMYV`uaT`-8~(>eLDK( z>F94Ak!%I)F&+q`H|yc5UEv%?NCp5TMLkFaOJJ$ZM2IMuRy)yX8Wx6Xo}c{{fRLMP ze20yauc-ekvF=J1I6>tkjE*5_c|~6s7(#OBT(1$(@=n=xLG#em5YL@KItV~MqNhZ= zN4&pdoWs}MVhjy^wmYd4gWy-}U3rOF>dAQ0Pes7<^|J_^4nea33$v%tShr3^1ixw%e&+rT_vjp*IXv(B@0cv;#9s+vmbh$YU0Xq~0 z^uEk4cIa|GR`tA-8_{Yl@eM%4@I24sL zkhh%BrZQN=o!T@${mD1)KYjcq447uTOKo0o?C?5K-AOGlXU&`~UJFq|JdG-Zp#+MH zd+^rN?;`|J!Z}hHM{mftp?0sH*wqfz)Y%9|n1lY^(Vv1JFs4D+*wv0j*TBwV%R1L9 zyVaec8%1IrKnlXX)n;oFhP@_!k77v?sOENjp|1z%4@2h}Zm zQ2+>n)8EVn2!J9jz6^jA-7^yiTB6+CmPj{A+3NXr_P6gj_mQv40^Ktvsmd&%D)Z)j z-*bgGiMx4ZrQ#9J#Ydx)lX=lqxQ!tXGJSn3)zg#6s8I;wgX~~+&0s3orRF_%3K;jQ9HjU@@2jD%f zsUb3Zd4i_IZvl9Javc2-9pg1}GL^3QB-z+5zo#sMpsZoDHLen4&GM5#2@pOg#jL?hnPgp#q zY|t0aVqfO69J`0uoHNmP(o9k(QM6?ngHAEo6O@)5K1C#td0_^-!E%mS$%9=mnETt` z%vA$tC5+#)1Md8Q3)EV!z~K603E?U>OYj`07YhcL-jFR5>GfdarOanPLN8P!frgmy z5u}QU&yH# z`>k%y>{?EA>5$S~T2LEd>5Uwc`uX}iWisNToH55R66AsOt`hNxB%i5ezX1LbE?X3u zqVVX;z2&Kknr-K^DVac^VZ@;#c|o`2*EM}f9jaeXW09q)_eY~~ zf3%Nu^=u=GcxeMbDs_}VVC>N8{m*RT6g zZI22Ox`rj0HPG|kXt5!R&U_?+7k5Joa_pPU-Dl~V^~>|4%63wgxlQ2e^Sgz`GHDQ} zV{%&eYqsX-MmV2v>$gmA0=tk!dRO`h24oj8zqc88CHOYGFK75-4tNi(h2aRkNUihs zN3x_yiWvZ29>6aYbju_#LCGTvINPW1P~FxsIn{tMu4N( zo6f-HhC{fHZ=P?` zy%~1_u8y1VAzb_&x$%`^I>_r}nG%}yL_zF%BiCaa%a56f-ZMwamhj2{XL)kE?T zKRUH>IXos<3jjIV?W*VzK+d{eC~8uJE^INTet3KWTSdbJ+5sR9wvWZ@V%ik3x05@i z1^h1Bti7{wGT+GaPSx4WV|SY3TzAYczW2i=n$i)e=H2;n4o?7II~+}9-*Z||`%Ewe zPa4(*r38@>&QCxy97DFetluWO-^p8{#lsc&M(#zg4eO0beiD;|#a<7Gu05zXap~f# zNKn&5nqi2CSTg{%5Qn7+CZ`aUVqnT#Il8ymkWfRbc2*Sv1Cme6vrSW=$xz#)&Qn&*6Vc6$5Wyr%s*s=F#O^oGgSZ%03 zy=}M)AKg)jN+ZP{QCRPF!GG@Uz9pcyvDwMngucNbQBI= zfTHWwOne~ZpS?q{WO{-+rTTT>wf3lNSNF1XYAL>CAj{g_^09~xs}VE}2>fvO2jzjj zub6=XC%^C@G$qP(SmCD>l8T$4YD=aLGui|&FZ>`eAl$z`K9O)Imlr$(@QvgMdOoD# z7>qAlQz4a@--t8PpEc5_+>#)%C2A7INl54!A1-JT@y%WJ5Ulo~^|nST+HQLeS518) z;jNK1aC+`eDjvFoE4zawYJSXKcAkQ!45<_iHe%~~&RNP~=WVfM4dUWQR=0)f0sJlJ z0>9&!lbk9n#S9@27b;={W`!AJv*<^f)Wo*Zk%=c>>%R0Kiom+)Phg^5if=|zdow`o zjXXr=#0fjq9;$3rWs>fdskW@fP`cc2bDz$vpeXA7JhKruwx<|^=DVmbI_vm z3h7P{j|iOQ7YauZ@VJA1QZSx4a9FE#{+5(c%Zr{ zr#Qt-_pN2Cd}UV5cr-;JxaI_RPC`;JXXUIZ1g|C9K`#mvADYa$gCcMaWbhubXh16h zTp3BOH6%=e1|!-5ehY{>y|u)VFb>EVM|=IK($Gm! z8Bx;J_lDr4YS@;NDaVd2WAW?=_4!dGbSO-o)KDvj^t&|Cb4E7GKx`thijgoK!Cb&$ zd4|v)fp=7Z9rRH%z-NaiORQsEcVuvvVnUrFgUL6@N**X|9Sp;rOD^#r1<>x*e>DG< zsC&&Yn2;bGj~k{JbGChu-Vj0;QIm0vEP36Qw&Qd3#i`7jE0NlK<1tyje$>DX5Uj&O z1wZBaJz`s=)p|Z7^!nePAMQWxY$O-%rza$+4+s3$H`sS}Up+oUo-gW?CGim5jeos) ze1&uf`K?H|clW)2>;2I$Y@R;;NB_V6l1?BtZZV_DXE1A}m@!CZAl2YIu+rQ6XZ!*q zMee~@eqz2WmZ~6S?({uh{ zWF1={Om4{@Om5lsU~)_LU~xPj9X7$gVHs%8JbXTFFG&~5J!SVyV>xN*3S<*X7l{;w51%f#-RJn9%j<*fOwG<9klgC@t#un3GBKNJ6gap>k2hL`j>bc9<|zDTDYB1hps0BKVBiMwON?(i#?;EM*qZ{}2*3!7eHPYG>@Xly6!e zfU9)PI}6zD?|Q&4p%QsXMwYP1=c&lQLu5*At>zwp`QtA3sz<%urO9JGHbKA${KuyVTZ`j zp0l4Qrsdq^)=;t}%76T9shO-N!KwEAsuSHv?01aoN+w=8ek!tFtdso7D(kjW4!Wk= zdB2d7k|i+f*+j$aL^<=K82Q6$pbR+=qR2^V#260Zv4zZXz96%ju#I*|jLG#673>eH ziGQ8IIl?EqV(lpa>iQ|Omt!Uif@fSa7l^D%*^##D@az=90ykWZ%7`zAaI1V=7Q!}9 z9SV}mZ8_u#cg91DrV5t_8g%Zuo|$B#E7|wq$Qc^TR3vXNrNi;dp+Ay=>wPATe zIrTK3V$n|;wk5Zgv{ZC(46YqMZs1cos#N8RAI>*j{A?(i+}t@wKf>aPidMLuq38AN z8XOiG)_l?epo~a3nyyqE(+?z;scesy1p;u4U2IVtb)t&Kp%#`60pE+*;nvRb9DSj) zyiVEL?p>yAZ$7V6UjCHr{psaX#?n?k4HW=sUF*0G(NQH+=8;rPd|BVUAn&6gi2iNv zD))G*Hop=o(D*`Sz=@EAlMf3GvV-Slb##2V7B>WHRcRbajVi<|>Q!O?>mT$|yn;sz zkg{;M4bgn2_ov>3J!r6bCj%Io`ult9Fpo=b;-3rH(Rev+=8EvKHFGVmu};o)E)9KF zRsxwcwDwWokK_pcl+0@Wk$CN=!%)i2U>3TndNi;#kehR@8$*`Lu(Xdg@lKcsEyZ;N zOad-}04DKW)L?}-BD&sHi$nZlG?GpTB!4W|^)+g4yYv}OXm+vc-TI77L89SHQ}!@L z{TeFP-1df-)$q#VbR5Q&xD^Uw@2Vov4Hv6l)JN|{ayIHySM$XjvD!nckwM&Mw9e#6O^)7BpFhprCGW0Q7e z6ib*;Z5AOPX|LOqCeeZH&51TAg5u27R)ARTE1nf#rQP-fkJSUP(aI}Pv4ww>h3O7bIn0RY+S0)yaerHVrl`< z|1MCOrfLNLf&7E;kJTvoJGlb|Y{>vH0V;m>?ZS$kS=hskjDQ7q3hv<-$dfGe0fJI|Y_SvY%a3bYqiI!F-D7 zPoAA=tXuSblW4HF`InyQk@%dFXped(;0Q^3j;pceKGj`jyKdk(|HqfR$J?jPmwV!? z-+tKn?z`_;`QFA}e*yr@`%hm!U=Dh`Pto_{%}Pplqu6eCCmnLT+1-0laAS^oX{E*% zb_RN=;?J;i+{t{XvSF1;vQZTzBOcO$L%fAn$ihncJ*2M4Qn_>?xHT`pe_g-s^x7Kb z*Q1S`bp7)rnSPodgen6-BPts?a@oi{Mqwk-!4;E8%qA2p6fqdO?Lg|TqameqDpdq$ zm+jLI&eun|Jj#arrKEo1!~`5&hwBe-P%jnlp8(%U~LDc&i~VGfN~V=Z_K_tG1j7YN(KK252)g*}%xl_9;HjIW*S_%eik~b7`^W)VN4S8+3>2ywX%$EQW=thlm zWC=VwJ>))JrY!;nmX zIR&CH;bkm);sCHnAVYL^lfV8<-wRstirYoMH4Tr=r$^@}5wj$HSG6ryI-|mAyXSH* zEcO>bfQh#EKcq1&sXU&8a9|FL6`Wu4vy#tE4hj4%L7y3d-l@>;@AdYvtXY~<#Ys`0 zpxe0F2#7ICVGu#zKm_VvC&%kGo)yOa@f5vf_QC*B~U%PD$C4Lo1#xS6g%s+4>cAvU!TKxj&}b zJQLsDzDL$vfT!9DvD;>#0Yrf$f!eiw2Kz)vUttwoS%EtC)CyT2^ z)C6jS6$-6RQ{oK5X@9AEv9*!f>?K7F|r zQ*-NdeNH>;sq+W}kgk?T*zE6>8W#h6O^Ewx0iOCyc5vAPe93or@u>L_$(!+=z{9MVex7khxLE$`f5N^djZKeL}() zX>DRTFi~^+v0Z0h3K3dI#KW$K+rqAgSWhkU>%3o1fgj*j=SVrfv2A1)pl?QgentHW zc@A{Z$+|@scK|gA$IBN!j8x87dk!Bqjb5wU7L^fbxozCsG_vSNN$1GR8l%_HsuHr; zsMG>An$I=U)?||BmxV?q8XBRgA(T!xYFkGY!wbTf4t9hOc|sgUco1{(8bl|xc-pwR z9uMEzqt)75pYjZ0mHTNx5-q`ZaeSB_=ck4kTIg=p2aawA`gn;dLsY?}Nc+`sKByw2 zgi|c8GxdWRNajJa8yt(!H;_UR>Yc;EZdkPhKB3164mJL<=x?S6D0iy14wDh5*2bORV&>cvxFn}t>@vS6aD{T3tbv~jrCjPUD{v7d*%2$TH=FklpMSYS z68Glw?dP9v9(U+Nxq14GpT9nQx_QRX&L4Vz=>4nw-ybmP;qjk;fE#OdgdWN4sKpFZ zSgMj@ihsZQj{#Cl>CM2h186~i;%PwCRCvb-v+w6F3J^Vypgk6zD!f!UHMCHSPxxuI zPKRMH09>qw*z8DQx{!r-#N!5LbF@4^10N5N@%Rqwi|Q++JYO7dFj}EoqT@Bb-B%mI z2(I=^vt`loD!SgS?D}FvX(Hne;cd`Sk$%@JAt80YF3!EBhuxBU7h?a0vVnoQPyuKI zH}ZPAF%R;16c;+_nI-TLzSf{ue`nu|Aa~3xWo%c&)QLZB)N0;jaWEwFz3fjL!Re}= zn=~~qoZ$9QYYKHGc`>*H>jA!Icl`2;?E=53^l~8i zM9cN9Fr_^%RE5o69jSHJ|B3~tXi1<$Ivtq5!+%NlCH;JWs(xg~;tqaz7WAw9sJb^1 ziI6RSAulLeG)_uuR9?d4)Pc-t;ioGS25_1~(1l`CM2n~RS4Q+KMWa>P7w$?mU$9w| zYoZcTBh(Q8AP(`6oGb+4xc7%opWuG;)H1x@3I)%aY?QjmJ7(A;NqDe?eD+=ul+~23 zLapF~tXTiChd!wCT*$Xi{jEqug1?n7ulxnd$>L1KEuu04P|%>QJepF2(P+w^Dm2?$ z$|Q4SlQx@EWUvxo1gY}i+IYMWMFta~Nw+w6cFh+cyVfUi&xNrM@+ptDYp*PP0r0Cb ztxEU`Gr;2BTmO=S!O+0~5wIg>5o!!u%Be%-p-82YRG5sq!qE8%^7hYnKoz}vdb)ky zdHz*ePV?8d|Ni_dvhUwBG9=gK!WUf8KuYckOMG7ZvV2g<`KLKuI9jle0jzY!RR#S=-Z@rdpjM&q!)0 z0=qyD?@GDc*8^l|McSyj`EGB2Z@doxy3u3|z^UE6+ds2i`Zt@OZ$5v%*-+WTUy0Su zR-a%xQ1dPqu-_U+0aOPBb2^6d2SBO=RwP-~glj?5%j;q9&%JRSa}?ZWb-B{Byss#S znfno9Wr>uAzf#J^KRq0x=#wl#k8y9*DU;!oW73#dU&HBxGAA~9&6=eq15Qbm`((K_ zFGpnDgxQHO1p8n2K0@vxCkF|OY3*aG`LrOkT&3F`1~Q_CUqirZc9EW9MuEHt%mPZN zz_BW0m19|(#dk8NeaP)PdIu?$aA65j<)cbPr0+x?zz9#;3RAy^Z!V9*(9P0JSO?=_ zA59$cqIG6S&~Wf33C#BSHcN%lCI6O@VIc9$JMu$YM??uwR&oWOk)snL%52Uu4I_n!c`{rg&12?loE43=YD6GP((0MowEsPrINKfWaOTtm>B)4_ySW_ zl!Kt-<(O4~c?6$hj00(QN?WbI^^4(TG@rZPRm;Z+FK)V7ZBAtkw$0Y%AdzV&wAt}& zwm3ULo&q!-sQq|k^oiYEoul`_{mo~D7Wi|=fPGZ2Di$NDm-5d=&pCdqg1qc|cwx}A z5;5tX95jw!kcAg;+1-=EDUd0?>cKn?X|pGA2BS>r8X4qrxDq%iNvlo92|~4eP4Owg7rD_y=0JK)7ICQOC>3E zNOsw;{^#Ypcc6xFUTTAtgHoNw@5Lq{d7A+S9NyJih+<>gGpw?*OHH*q^5!bopRp%4 z#0N&AK`QZ7jbv7iL9KIK*D1&?D*?PBf@_Y)8%Jzu@rX(%fWh(vAI4*V_Hh6F@zdSk zZ+AX^egyLMjPtT~Q#^2&f%v&dXe0cnPLr`EuZ1mpE1`V3@)?Ruq7A93bTG57} ze8h-DxAGybFFRg80&q0Jot8Z0>FJwYxHP(=a2R|8lzB@Fti|DE#{LU4zJKvEzHeUo zj5E%N`gUwH-8beyMV1Qz{9>eMC2{;=zXDC4T`V|7I>yoka5A)uLx~JHdQPf1T&W?b zXrY<}i1O!D4>hnesg&&vG^ZE6El_x6hRR;czec_dtUb@3&kU8l5Eh0w$O0ay#U4v0 zSFA-=Pl@*SYDdID-UuI)`R(fZjT)$OAznRfB?$7lhA}xXd#>T0%M;*WKR!Qv{t5oI zo9Ekav_;uC?Og_(x8A?}%TM_4J^uTE|8DW$M|WmZ>$lZzsF2CQwiN8lgrNe3@otS) z4={8Jq=Z+X%V&%_P^t!=fevYj4qXl~d7&KdoV|Omv;{3ztl2cNQO{TpZDjbh%o~OvIVj*JQ{j zC>~8etBXQ>+i{=Dfr7D=HwvfcaT@QJ&ULvusUQCFBpkA&L(k`%Pe=g zCUkOknE*qAJoR^+9Mry5PL2Tkx!RxSRoc2aZea>_GInhaYZ`VXy1Hfi(d6E=BrCI<2}}eryBM)U!0uoFwHL#6rNa&S(fPZ!mrgFrb79Nc_XurKT~Trc z48&|ktV6}!DX%MCp%k_+*fTx;rKmKK?ltp}y(VXHXoO6`a4ynu{XAcgfa~cilHA@o zM?a#=iwM5hIpm8{pTILmXLnga=2jmWv$CTZ0`=17aCXGf^8k0-F{%&jl?k80N#a*5>TWYpaMdCp)jfk85C)wiw<|mEN6V%MlNj&gfgGB})HsVvEWu5jKl;TePfDBF zRL6DA5KK)`WcuIrFW-u!P;*y0d}x761YwAYBzSxzux1H-whHYzMg6Mu6 zynofBhSNh20DDtr(O_6L2Xw)bmqCd<>QS%O=P-ql(|>pvOgJ%&E>CDdms5lcB4?Tc zeZ|TUSS%8KBa7<`h=$>$gdv6o;#{miRg83B`4eGKFuxUx5R8ix1vnU1 za*p5-bhw!IfbA%JRFQ3jEsFWxa5l1=8)dU2RfhfTAE@6LaAd9^n&n7!ygX5;$A`O> zrT1ChlA!fx;G5y|q4v1ZDo(eHDGCL`D8f%vIDxaQnT1NUvYFH@(4EdG)Ab5C;@Dz} zKLUAG#wyfRo2A4>wd|0`c1kE?d}}MQKPW5x0M!IDU^-8otR7>ufkIg+Z&Xq+5?Rks zVC({rPm^8OO{Osh#1R0Fkd6D2suT!Y9(njk5o(jrDGcsSGj?RO18gqT$q$Rh8MC?Z}wxjfrJ(e8$#LKw|uKcYoV*Q7Ij8 zm$CJx6lc_>ryQrk*DIWgIHD^S2IL%}El?dMmILVxL9|}3mJndr;>4*@4(g2ous};! zHtzYRz9u@q)at9EFV?TGvz#>5!0Yp5xyctrW~&{E8R3zBNb= zrdH9lkRQnZv1_6J)$gN9g9psHg{Fr^xo0N~vzw7*CaaTV4^EP?icebFYofaRbi3-mDTCoVMfRt z&tfpLm=}PQy__RnD%f9~Y?(mlfU-op3z#F?Sk(HgsPZInB!|eClxH%L`j~5mwHZQ` z>cXsq%cbp0vJKpJrQqR9S?RX-;b6jnEq7lYAVolSUel3^#Ji}bx0CW3oJH#Ys)RP9 z(BT&K=_7#y47@tf0iqw_5p;E642U;Jo?+ilv&Fm2nG1v>33qAysJ|Dy7ldRn9XP#M zY-M@_Jcuf{BPv*0#D(zN^TUUaJ^B4H)e+5liA@5*W)tDRB9iyb?ft&5^>t z%#lJW2}<`RN;oi8VynDb>Un#n=!t40db1nr%y#CT$X(!q1Vw=gSRu=qc{UQnY?@oL ze`Sa+A5gM&?ntaoM0iz~6hG4OtQRhT;UMMwePoV!3sC~+Q0>(`1aAZnHb+2-`5<@!#Yi3r?UjGNwhIR##INa=S zt=Qs}LI33`4W@u~U0#D}5|)|z3eYZkD6t?ku(&)nf)dO>OLmW>#MM0YxU~)p$NgPZ zgrm^u0=ByA)m#{EzhBnL$>zY3U-YIkRP@X@rf(F6y#rAY{{&Hy(&(Lw z8$(hvmc(jj+0%n2mfa#gcH$a=*MdO5hr2Q{aIj=S^B21Tfn`{p%@BP+hhsT3zN%VI z&}a}2bwNBCUcN|lEURQwUXZSO%#PB70&lhO%ywT(GELczkaT6@Wqvpkogb_>3%0N> z-(1|vP{nqXnnBn`v0uvuCjMr9gycM2)>EjjeTw)F*PT)6llcL1lmT0Gf-uddH~4Na z0RCLrr8bBmN^PY#d&n83p9iS>ozZPSRMBCF_|PRS z;&Li1i^bwriyt<#)f%Bx<16VBt>Lh6mTls$YZf*%XsVKtBzY1bbewRr)Ih&{4fu2b zv4W0I$GP`1xn5CC}*=W zaWLyIRDBQ0Ag!#gF!D0~Ub72Kh9E=CBjo13ba`7Y!pC)WgKc)3U@wsW){|k8`blM3 z&2`hQQSitPeC{BWDq}krAbm^TEKVoRCU~Io6!S}?c;g)m`|4$3LUeGbo zSwReo=b-mycUyqM;O@H|mVrfeO{hFq=Tv<%Tbk&-ljX~fBSQ0~i?2P&Sw?-TtTd@n z)dXl+%k$JnRSxNK!l7QgJ3)JA5X9_A0C1o0BGW!^bP%LmfXc`aKji~btdn52uS*#W;DbnHfb1A!dTqP94TobkqFXFyaN48Pt!KHZK-UL^koiKciW;EXHgxcr^W zM1vy1BG)-mS^w|<-UIw3b^T;$>n#cdi+ju+jS9;2-0um71b1x?Z}J7~kSJpsMSh6+gU2__{nl`g&=k1(=Ky=g|*;bHiZ1<8|$ax|sNr1(Gx{vy*r zS~l>{?30VJ%A4||%USx_E;a*qW*1`X94NdW z<#-5#x1ftBi1T{Ugz5}LgXE!J!(EDYU`**Pu;wc>Twcl|dMg&6M_NsNDuRlu?8Met zT45xbV>nti-bY_W6ISLtP{|AMb+%9ToTl(5az9nE1a;N!m;poQ&G`~Y)>c*0uhzGs zKdR*jWGbj00%!$EDXgfRUNV;>rBHJE=co>=AJCp9Yt$mz3=}W~Uyx$N@Ep$rUQ~3g zYD&6~C>0t>?S?q)F5Rb2Yk*V;10ighU(9JN8{@;6xt#^Af~vs;>Fj_V`kp0qM2Da@ z?hLEKH^->U0s11mxAfUd0T4QB@PD2gaTr(=9nH4A37i@;+}@mB&Q6ZsE?}V}D-)U$ z+_;!YS1!1W*_&wqI2brEHA@?7S8!L`*};08oep-t&7EJzjKLP40Z|SavJvLDC&-)@{3El-hUHU@g%~f^KqBgc$cZ@_{?7!#w#K?Z(Ybq0K%!30-^lYM zdM|Ub=%eR1rk9l}$@nk=D;T<5@DT)tHyDk{@MxKfv5e+;A%<1}Bs6A8tA46URmboe z!_q>NDVpfkLpLZ3sK6$nP6oY6BWgpm-da$@%sWM*6rRP(vUc7-e7S#qokAaJDbrxb zX1qr2C#Jw{qm#72R=!B}0?)v!C?+G}^FpgFE6!8sX&gEepEg*x2+kDqieaW}(_jOLLLGS7`W zI@<%0M)VX66ahxur{VFUZ{3=_$mblqAsr_NW#KNC;1jScOZGzK7eOV>6%mo50I)dw z5&8rtOxSmd(O34EM+r~~KPsaVi~4`J&439@f9aObAc~w)JslK{pBt=pggm3PL4~ut zr7KIKvbKY3Z7)Y~g`{Dq_O!s8IzCfai7B}rZAB8Q#vPHFC2qunCSpkiA=^Mo`0$>K zIAQM4b@Og^T=-aq03U-HIhBjDB;{zz(}4AWFM_D4(GR zEFpUs^m4kWLbr`MRaU&o`WryWZU*kFqL;haEo3#U;G>qa18tAz68~R(tY~(OpE1t9 zM8)Dg%(^R8o{1_DXdpSa@^sfL`QTWM?kaI$@UR9|i)y6_k2BaTf9cJ9{pKWxjIS(> z&uXomE^Wjg&GG=tK_|=P3-T#SnUGt0`S?5wO~i->2lP>djOUA$>NalW1S=+_QTTBa zf1&ipVEh$+#7l5C{Mq7bHjEyOzzkLfE)T_RV6g=r72ZrZkzmhzhxZE3eH_x~Rk#av zL!dbR)7{haYy5sP*bDhHC8=;eV=aY=+CjBOdeBiLJqR_@QG5CxF~dt`Em6hAR_ZXJYzN0q%XW6Y9ZrA@3GGNerhaIzB``M~ad#ne zP$5vDW>42&Ki~d*(~L34B~$0D;o$eo8jpU@ENBig?bSCYtD}MXg#(N#-HdoEtyqJw zP5Y&NxmU1KlwLfyvu5Zjg~P===CE`jIb}s>9+y?Dp8~K4yui`^L^_tc0%DO>dy`TKdDlcW}9;1I~cTVdXp0B_w8=!`Rszd$I#LeJ%-LrAht6@tM~}bI~c^d5|6+ zpQ928Ezp%fyo9J&bI;OLEj-<;m|ul%Do8-A#1O}|py;?>%`2Dv#Y=PDa>T%K!|K9h z2)1Eyydccg~<(fWt)Fb79X4}Y<8>!1DZPvH2q=JELBU}wTzLwH2q_+uH85=WSBCp2a-gs z(zfo)qJ5Jbmo#RV9kX=6sjYIKo-|mXXr-RGt%r15hgR8P8Ip7$%QnWHdz0SYUdvt8 zbhxYZx<64#|CSZZ2MyAl?gS=6lC#NuK-x_gLEs+7lXM%%04%QI zMuj%sNIs);PW%X?C-XVWRxgpS+m$@4Nt1#oE;1p6^pH*5k9iOt3h&Y};51Sh z7OJ%^6sHu4WeT=NAMT~Wfdyc%EfbaeDQIw3?R~_`@!8T zF9=dzctA*tp)$|$Ub810$RcD{PR#tka7~{yu6*DnrRjyGAj>na90&;E&dirw8PyM0 z-E87YS-zxt^H)dRdl8+Dvlaj$cJETXcumW(Y||U(2FJW9+WmS=v`=H z^LFuLh92y>YN`n`Hz~BL$O5p8KfEwkw%-aRAPvr!r<+sQ*_+I;>Vs?bRR825|BbrLqBAD;Pf!J z54CK`Lgl%d6V?lcZL?(%h=VD4%qJhfjttn&cDY zUUv;nO_x3LZD~y9g1F+OVBFCT|jryX_*6u;eKayjBVO z*#~Ip6i1%CLX;!1EQyYr`1|q!{aUV`IOu@IbTU1Ao3=8qj8ztkPxcb zwLJZzR|nm$6u1n>@XNw$c+O6Zp)~OK~~XOMie5 ziaJV&@}QFu~~4p5t5kU*`uJ7Gmiyv}h#R9Uh_( zxgE+CJRwJpeP_T3Jb)F}kPXYaZ4Op*`t4DxwKxQB4f@Rn;!7HA9lY)5iMHL7bb;Nl z3&~Lu%A(&u6`f{RnOVs6#W>T3lijwIby9gbOCFsA_d6yYTMZH_F}*t`$pgCnW&vr5`R81|HbZW$ z8Wv4&ydO6;a1=M_hKEG$P9NxOd4yFgkq$ zOOo{L*(y1U&hg7BN;9smn@52e(}*d6X;)$j1I85Op)HLB9&1!6%VXoI86`sGGxSha zQ|v&B(U8$>;PB&-w8UnPb8Eykp30s1Qd`#a82sdt@X)HO4^>x(l7oEe@CGq!Y9@Yi zvk>jer}kLrOt{lEl0R0~qDvktMh;rYFy`Kj*f7)zYPFt7>cH0v3opbD2*r+%3y&=r zeaZqPJrF<6Wor=bPh!v%(4;e@IqT$UGY*-qgbFZT0jRK4?`IC`bpAbD=x>hJvLNud z;L()&<+)3Ek8(%}E>W^g2CH3jlp&<3v=m3Gc>7R{BTubh-5U0U+#)fW^5D@0nBufh zwW5b!d8dB}NaX2zMSbOf)k(#)7~V5))h$9Y0|Mi@yB2rbX$^}#aJ`E)gFv-~X=ZxNkk6E`89X+QKF5eo|l z=Q%(Xi=%@6YR(j)0S;7DwAK_py`}>-qcLCzr0Fc6V(G_2LZMT9;z>`^u;5ip>PSh2 zq*Qok^br8Qc9m>zYfRsne#4DrLV~J*4i2Bq!R30fbAwg{&^*xIH1;Iu*;SbG#Eifx zK0ZaM$NcyW?0I;fTPJkRoNaD0JI`3%Xg|%SpfajQ4H^mQIPmA<{L{-Lex9I)NO;&q z3HyS_Y43}1 zpG5}AOS?v#msZAwqEns&r8RksV#Igx{`U3*!1Li@-#q(DH)CmGFwn?x!qF^~ zMm^fUEpLC0{*T>eERofO8|mxTxJc(Df6|o}hZ&kCAPq0R-8))3=>$^m#-JjVsJEbt zM8tjT2Z7Z!ya4;We%<$?HLAQN7DE$Id~wE~r09XLtu&4(RMXXUxMQMxhk7V+c#`sw zp}JCboaI_4wFVhI@}Q~jnitqOt79O0!Sp`)a?xa#+Bp|-!qhr+U6Pk|`)ItV!YUaB z$)8bs*5lkgGkj~vh?$}IiA#!H0kBAw6RlK0%CPC_)m|Sj+LegL(d|7!IAhSKA0GJX z&D_(94#xUt6h)a-=EDtKD5N1Ob_0hh1JodZ25}{MFrd+)4t9Q>q}!XHvNl7OqZF{` zYhY8Uxk`WS>zOb0wsF&xRtR6*Ghf*K>g z^v&(_#pB(>d1_6Cg4*IIOS(r|dbxXw+ppnyBq;e=eB-IH0rn7Uf117fHQd7%O-E5HMR*nhL zpbVThmO6MbVuX{3Dpu5O_`^GiOziNP6F920Ln3C)PX5}H^79EQ)Hi@|x%>2tcF^xX z{kNpsc;-ER3krv8vvva0QUf3(REkY662ouV0emO+4gMb7 z+hd<3D`|?_1~nn_j)juYegXXQW< z-zjel?)OOx6HI)M{SbG`(l#cc6C}BLI0({yK5T4zs3HO@lYC2a-vd-5h(n$%am{8n zfY^ZIE|3Ynk%(7ukD)(;V#> z@Gq+l%NGh|3%3043>MJD)WZeC7Y3tPR5mHG0C+K2dk!ZEq zJbe84bo-1?e0tsc{OZ+T{zpq#g^Wpw(X$_;`cjo*E+YeK>~tB;q;oSD%&IDwT4w!J zvTX0Tg@lk=JF<>VJH>L%-_H6I@oeA9lX`?mPM# z(Tncyo16Rl2eyCQ(J{IBAlNHy#YkdN4OlhCl&QKsSye8wj&eaebp!+LQh&413OR9lpBNEf{@++>^{!Fk+Q$IK%ZW10;4kb*{ z1E$PPb1#)}%WObz@COb=EiW}^;YeV2opbafyflYmBPq)f8ol{+3pZ=Zs;yHiBS#^b z&a7A;(vNk29?beaU^Qq9x**Ur;eF2Q?I+>Si``Wb`TS*9lmK%#G1y`5Cf+(sI}t!f z+61^2QTiQ4sGq@U25=Xom8JgqHiB|KoFrnG%?DBO5q$NUgN*9$m%8le6rL^%*JW`= z7==jWia{;Y0xb~FcMXD2v_Nh}dyalOb#>II(pQ)1kP>ImP(xH=MlWCsObXoIT{{b1 zS`RR5B#7*pAnV!UI3Z22b4wB7fD(?zG6>s^7)>}^F$HsgKn6ZDwQ%_D2XKBvLsXi| zY@D>F#mKgETpz)rVwvY;L z^%m%VOjtC#TXk)jGJbi=-pf0esEpWI2o;p$ahb1X=?>%FU^I8-JB)Y3X0Q~142d5PuYy#VoUf1~3sC-d z%S=eN#`KZw=rcbe_%rR(I&wOaEw`J42p1~=nSJN!Ms`oqC)oUk5W#K2gHF?3P0Bh= z>?sSf@))FHQQJV8s5)l#QIvR1IPpNQgFy(MJWOKR<)hveZx-qm_q+AE{m zr7jsDlJ_hO1@q^UuAVJ3Q}5tsQU{Qv$M7XppqY*(Jquv?IIt*Q;_mP_(}-{e8)O`GjmS4a z9#_V(Q9K60n34=wcvG=S@$pc8aiTuOLj!JV(g>_{V?Ev4j#WmttNQU%WOpn#1M!yz z=~XeoDJG+a3_XXsAH^)au0!99CQ;kAc#dk;FdT%&h*2k|5bHB{_!o_%$J=MXUfez> zLw}U9OpA=lIa=(*;5ETHVLu~E#X~m!=I)8MIT{GvKkwXr1wu^{#<1&~Ut}bFiaja| zsNm8_b0hqFiW#zo=Jn;lBtj@NJp(={(&Z2*MAFLn*&%XBj*vx?pMLR?hc|*#hEyU- z)n>|SqeMy+Bl_KbQJ5?Bpvwz&{2`6xe z;BFrbDty5(tK<4;zGlkB7_m%Vrah=PSu)wt^y~~LZZUtugU5ryPK)@bzZuVSKqE)S zMPjn0&GhK%HZ_p7ll%_mA!Ch=5kO;`p*aw*58Wbphf>~CbVOngjKVHS+Z+7${`uka zPaC$V0_0Ip0TKwIgSSm?DR)oOw=V@gCFTN^hv~|7&dC?hc2j$wdUC$6Za%0tcDU3a zskvO#qvQv(O{CK?*2tI_K`v=3dP0haUW0Ffgxlf=^5I!tp^b~ zum)~ccZ(io2GeRDf7}p_HFretJA**97z%-XwGWC0Yfy%qn!6Nwx)#W@p`GM6Z;BjSw>dodI8V$l~)+pVj)}fotj({J%N4TC z@00J1($7>laxvKUQ7NBbLw$qFGB@9$001}g1|$ekqP;`2AK0==3iAEsyLXGlJ9wI$ zBe#Zp-`W#oeJwQ~I(>@j=vO21X%-$EkGwb~+ctS10bw&1L3U00H8ov`JJ;msl~804 zvH@Y+quw|C6OOPnGoLJ7S-ln^%L2%XsiUKrcxYRSZ^0Wv zaJ3jHmZ7{+gAe4cNqvESGd59T5%r#M#zJY)$(DeMR+!Sv@Tfaqx{;^QUR$QI%`8^o0ljDxvH_ z-rXBVhvwY+7|72>2s0V+<12)1o>$%}@0FcC<*GRU$7t5sHR2yv8JADwX-&mt#G(rO zD#loAU$wAbh}5eFj`Wtz>?puYz^@J;Ad?I%=$R$8Kr5vnoSjUMPss(ssvb^J<)CTS z%_N-oDAXDl9$X-KD>&_z*9P?*^)q{xt}1IG;B1bbQO$hlN7Nex*-ernv77j5$1|j@ zAy7#F3h7v=kr<9RJhgH8Tsr`g&!HS!5-&*Zs}t&6(LQvQ+1pFPP(GV4PU(KcN6{Hw z0A=<|piEhn7H9zr$M}pLqe@^-4$1lU0~fox4zV>;91;-UWHc@_+L5&TG?yGh^||@zeZJV zV2$TAu&9G^<0Ty&YC=$&%Yn+`w5I6FL>yzGAoxi8a7yzneKoDeQ+P{rvO@%;AvzuR zLJPoN2Cvkgq%duTZl&>Sxw?LYH zf{S2h`9V=f^dg{Jc=dn%TMtK2sK2@~#fWYUR0(vj17IAm7KpTnYO;5z*GE~%hYydh z@%i|+Umxy1us_cZ`ksGz|J++=GvR@f8T`$gULmUg>njHId3VfVLXV;pfk#DbelZuX z*_iS(m{x}UZR$=Iq(bTMzO*!;qb~u|8;(wrzJiN)%0`R4d)C zq&`wMmPkFO?}Xcc7Otp(%$&EYp=o2TVqUO6+EBJeeW2PiY;5$Ergu9Gwo+a;VjBi< zf%)+vn0_y)zrunjAae7H9)3nOfvoP3=}!3rH~bMxTbzrF5-Q=z@p=t-7clPQ=~-`+ z{WJB!=|MfeAdiO73Fb#4D6=W{D#_px=Ns6sG`trg zd?NAkdCIsUP+0%Xg>G|Qe_x4CZ)sfOmW^sZF0Az{w zERyKp)fJGf$`YuWHhcRhjzY%*3Q2X;y5b&{U?Uj$6x`i_CnfPyrLC)ZV1G`8Ms-(; zh>|CTwC8YHL^SlJwG7yv&=bH#LN^WRY~wA-498I%bC|0MQA3c^mGsP3eKOC73Zt?G z-1$UqTL#7za!R#s(uKCAvj>r#5Kq?>-JT$_fUJTPoaxD0(wdCv(kLQhS25Gy9+XA@ z6!Lb}{rkgc{4gG%>qP#^Hce-lQot9q%kiP2C;i-$75J>_Wu4sGC2YNF9F-BhC0!CF zz{`t38MVQRaI8*K`bbr1@Fg7k4T@!s;brR@abZ;%7cxk%TM2El!tauTIF?5i55r(0_A5vNEd#l*YakZ7oN6E_C3sI%AGax}WEQ#ss z`>FGbF;8`V0ZP&tgFA==>m1E9SJ2`>LvTY;)vO5T7>#6*O_>{;b9I4) z*dR2r>q-ytD325dwSv#XMjVp?8>)xnqeVr?EI~RS^fZ>aQeLw_e&_wG-uvFa{7VnO zKPD}ZOsUItvib4H)6@C<=;#zk^+p4X7L#5}XD<8?HiHg{7%4)3<6@^RPz00{h1PrB z>xvUegXK2}cLIlKbMPaSFJL|YiD1tWi3TnWtEBw8r${v6=Ly2~(#;1LRG%L1e^xz1 z3;k5xSe&POmxS|(3YTmJcdi(X2h^&bij$=5!C3Z`O^OxqhKcmg?B)6tSsy#v!_fIt!qW_K=-D1Rwk08! zU_ndUK^Hl4>aziTU+0ohD2~7|xr|vz7Tx7tuC(gZ^z6xECrsM9s~9q~eRH&_I#W<- zqM;+IGUkSyIZHHcx8kb}>$}Ow>iiN7<3#`77f$B29&V1pYo>&PU72pm97GbaUDXez ztoQO+6ynE>#r8nF!7Shz(ZqDQR8387a)JW=N}CS`SWtcr0%%l)(rUAm(qy+=4i8U2 z)Xv3JzHJRmSzTZyD47$_qVi!kYfzyvQj;lLbm*8V_@;AEzw8IUyeB2<6s*nZ8RV=9 z8R$TfG;MB1%vTcIR)foGk%zTwjZ{{yJxA9~#VUF-K&*mKCG4UY(XCCL97-tST?>!W zF-0ScnKTYQ2PU3mcHetO|_*?R?w6ZZ3w+$;sRJ7eZlT z=&UbS`Wsz`Sz;bQ#w;A-xTpHXgL65atRd8M_^e>9Xwn; za&$bO=H2pu88y+_16G?kdjcK^1dF-fQ@@T3%M$q!;$y<)^$tNAME2?T?i%r9(;k-( zOeqFzS0qV%$%z~gVaGh@suj3SP-t7*dZhwygU-LGmcX%4swLlS4jvv-bIilESvSj= z&x$ZDKa<=`R)Y_yfirsU-yql5m_OF~5c%_Lkc|_-WDW~q&Cx0d*VYJby;&m!#E%N1 z=XB;#|MIdDYn?a7K61jQplFzpAcqyZOCG6mwwxf_!S5%tkGxIxZ6>_%i(jJa$4dnj9){W{j3{)@0ihLG?RYZA0#55cc z;6vf0`pKbQ>>NN;qG>bqP@sg$0Xu^%a zDTBPiwv#}~L56f;T^yJub}$?g!aWgI^$B`&vbFy5t_j7ayAtgP>o|w&Tj8ltJI9FZ zU<9%SC?wOpv0M7v`8Y1UF@}vl&tP!EyTm^0htt#JlNbldFIQw@F@c0hu3>Jc$m&@; zU?)u-pqPTN6fyk9@)JAqqo&6th*}4Lm0oCK6y8L-pFxCLui)cz`Jw?vE_qNC-84MMv{{DjuW<*SNq_VhiWc<9$W z+w^(j)z44zypDLevhMkPLN$~Cq5Hd~AdD;BON5_?=!&MQ(rzC~aMYHjAEE+S8>n)n zUP!OIN&tHKNUv#Z5*?rey<(WYYe<6mW`;}viFwjbUSBkK_fUv$kmx2@UdYvn{rW=B zMJNP|bJd0Rq23(z6;FnVyePMgnY=?}^13Uom>=D@HGU#S1moC$sHk5_k)(vJPQmi78((s!TRM zm>`kK99%<}8Ko60Ji<#33cibt7sj1c1V{&{-Z=5?H0{oEo&}tAm+SKGGy~|kkS%U# z;x|#116-%&v1iMGnzJt>#v@B%CFAr95D3(d( zdwsTMQ`dS-F}^&xKy_f4ZQ*W;FjWsF7P61P#$>;gXmHNCWaPP4(-Pb?*htyc3OCBf zxj^y6<;PV>#3VgI)Zx+q(>)fKzOrnrhgy{VeO8T+q-uP0Vv&K^HQKU*An^I^Wra!J z`8IOP+3{?)I6FwSPvx^dAgol@HZV0Qm;qnZWZe#oHf9tU63k?SQu}Q{Fe5vH9WDQe z1T&gkC@0u5XY%sLOn%450AKFKufm*{pJzCjT(Itm*5?su81^~B`)Me$7}2!`0hqzH z01ttO<#HhijNk+0$*VcB6FRvvhqtpt&Jb9Lzy9lguB6`iKj#nczkI&Ee}4MUi^qrm z=k|U4QIimdO)J4dblc9Cmo9C59`+7 z7;tr9Ye8KZKw-g=bM=Y^4x}O|Q^)I~HlcHlewQ-`YGCrbP|Gp{fuD;2Cp1DyXrnbq z-xRW`x$3xnoqaTur~;6xoRtt=S3cM|Pe_2C@vv;mYnHCq{U*686XZrS zoD$Wy$qTL|)+e3{0aFYzAhAh`DHVaRWwJ^K-xHNJR@u&|RhKiMYLTU-t8I?sSI3o4Q<6wut&_t8o~?aOX4|q%+fk!G7f&Zu_eAR@Go;NK+ORTTvsD8 zAC2e2eBZMpMr8>U8FhXh8~ar2NC74XtyyQ#$fGW!&n_7=a)z^RU84z zIPy`Gfr&!=C4RFp)XNqxi39o;nouy<3vHwN?9^foQj0YU{&pTNtxS;P6R3>(!0L4Q zBWjiKmm?2!4ePVSm$ZeGJReUk>KN5qt^E<*#NJ=H=?~z2k9P?Z;Tthv8o}F=h-!$W z!bMZLPhPNac0e_R1}Z6-l@WcmFrfa;tEFcyIcG(=5^yFCJZ+=Ate^7!moJ;U$A4@- z+&$g=^ywA?NZKxJ`~nAe6pZHdfPehFL5tzr$HyiNJ1bZ~k z-$8jjcl?#Z^(r*Bb?5$ron3~ty2Qa1Cf>6l#5@8t@20pB?Uhf?QR2rRlB{|~ zosgdl2c=FYpQfHz3DhI`IXd(EipS;Bp=5F_keUceOMIc(TR{*Dc%cy-Yq*(Rt`OIE z(O>3**{Gark5EuIl58S^oKO1vLi%}W%%dlirq|5rbju>%tx@?*9ONcsv!8+~AOCd$ zvF2YT>P?WtNlXWe;E644jA)$KcGDSCG09#6dKchuuW;5L9&yeb3|B9d0(B4lyRSEB zgR$H4UvaN2g29Mtrti650>4=_pD3%_rYRTmLo@B~x@ikr)2nxqYx!YGr;BeS4F=fx zpiYT(6DF&%B6o(9p^DbSa0A5qitYAzs0Jb{N}miMcBX-fYXcl zqaLI;giIw>x{%Ev-@6;nqse2jq2c2_TW1Po>NJc~Iuy!|$)pT>j&?NNWS5A5_NeZe z?Wz=23eCdjSnHcqOwgO1*mVIUJM99+1n;JA7nfLLy#5(d_kPbTp+~HB$6Pr8P)iJc z0ZxyEFth9VgQ~DH)h5h~uBqDywEHF{ROfHO6McM!h7bO>L*wBcvvec!#I7vJ!e~WuFD>F? z+{vtSmVAdC7>}^etB4h(hg4?AA}k{jg-|WK8BF&`BN~8{$|ByE~s|BOW2H`8wL^mjH>+`pp6X*?F3iMEv z@%-@j&8HhSNb;pNk*2Y94QuuD%yni_Gt>fu5D)1=YAlxIz!e7Lw*-*~(vq2;N@J#Q5z3-uS)y!-1sZf{w_pI2hOcC@DhLQnqS*`W zz1gfR?=c>lml2eL+?4Vdrd@lUEEUg;pR8H+WEr7*^@>&|dY~(?YEa;js$ApUT{J*Q z&y=0OjX(&=tC$iRLkrUtlC&zZg+r#{UyCan3BmTqklfn-MllWv+Kz2uP+{$(=8C7# zxHuMLnMkXS87j9#pT?sN(5hS1J1O=!23&%12y9A9hl{Io8odWF(}YZ=zda3nUxUC{ zutv_aM3qp#J}iy64r!6#MCuU~9&*3sXQf%VADygO%J}}kZKyJbt-m=!?<#vv5~`Bd zU{?}k8^k(bcc81ayr2|@9LK7Y^kU`cWW#!3Sf@%IWQERx_#15m*B9Vhi@lwj*FU{} z|N6u0+t(jo|NN?VgDmWy@E^0WKj6Px{Pz+6{VXeHnx~jm1<63_qty>ng%VMqy3iUW z0JFEMh=%4wqC*Kj*3rrl|AU~<9G|tBa();TSZqU)UNAnnCCZBN?Gb~;WFc{k0M-~v z(V=l?eW1&MT!Kvd#drnP;*46=@fk>vCP@Za;X}@S>l45kW$iPTB9)CTM&l`Rc*@q8 zF0F+V6&Qjp6TqMG6fc*T7tlA|<5(Z}=d8|>&xa@EBRn<_k2`=o+S!5sh82vzpf*u~ z1AwcG`~ZBL)lD*`L3qFg+M8@(wyt2(MDC$1NW|&o@*ssH>YSrIhV^%=qv;&=p&E82 z(`hE;@vTKR*pWRuwBp{qKr!`Sg{=+&C12)7DEo$6uVcX1sT`IiqTimJoLUY}w0y~6 zH>eQM8aX1#{FsmB-~)Ts3Yz1AAGv;sM0@kBS!p z-{@JsK^sX90e?dZSa4vi^SoU4vN6qIhoT~Lu`ltd+c4IZQQijz2?49M#{s-eB&W*Y zp!?9{BS|@sNt&pSux0R|0+?cB?qWIfp-3Nx4a{H8BUp_tSjPNKc3aS~GC>{^pB-{@ z-0iFyQ#vwyF=X8ghRCu=KCI>1PQK>l)Ej8BLGxFiF%vjJlV!YvOizY%&27UK7E+X% zLJRhgf;~+ew#WxYFTOIG2D#;lvOcLOJGeaDVtL*?ArA5R7HyF}T6wOe<>QE=}{Z9bB&=|&!z8=005DGzcBUpONjD{GEXLAS`!6(Xw` zL3GI6q^*IRAGB^X?-4jx1VDlt#Qe#iZOh96+uN3vGajKc9eD1Rj2mPXy*OvEJH{Lh zBQ!&%BwM`#c#_#nQ0&jv(D)*mNepcP81vNJ&crMM?D>AAVK}%7f1u zjrt8fE7g10sthU)`;}EMyAshFRE`J)zRkxk_wNJ8)AB>LuAD4TWEjPIi{clBvoh9mz)AokPCOwF&a1Z4QPb9uHN<+k~}gZW@ZqB~~7pS`mD-V_HSX z47KjrBt5Se^NRq}5&v#S|70P;S_L(Xd=yKia{6G9C(kl?uJ$oyAj5T2U~`}h2hE}1 zE>L*`_XiIgf`{^j>lwPJfD;pYHGEavmf=jk>*;R~kIy~WVeCDOEG87}NGT?woTLpw z<6!LG(nH%VNmPC!7F`-MHsE_$4$J-p(}E8QE0~Sn7fXaoBE43CjvSyI0 zG;hoxwfn?MrnFcW;EWWHVfRb71bq^m($AIrzWmq;YbqHDA+DNF+T+1Th)b&?9 zG9K3IMMH%&?wrnt1n@Rr>o{qg+>B4cA|!B??lLluQRcR|pgT|=Q?>z+FJ)YStEMWN zV2QViwect_(w67Ik;S4mSLk}9wlNeS=4DE2s=z>+!v*wfZE$ZnMUGzqmBE*}gtqV; zWvDlmd*uyCC0;seIap9nYgc{CT4VA#F43(RMt)Ve!eAxH9TVXT-qz;-vZzPQ) zMzhn^WJ5g)#xJ(5T_nK?vyCV~BoY%O8m>mMhVl_qz6w7eQUFiBoAmwRVS`rUppnf6 z$w2qdn-8}i(Z1?KFl`c*Tl8+HgHZR^R?THzSOmwls09N?3PIy%j)%yYyp)XKGmxd? zIu9Rw+9oVKds`~)$=TS;T`4hn%!l)jX0>GBJ*7UL1q zl89ZA-obpq>0De%^bB5{zhmEsmu5JjbSUu;<<%!2C8$S0tI0|^p!~vj?xh@T4(=+n3A}eS@>dLekhX66` zJ+mIOi-qNwj>WTJydJGp;O*v7Ulm!%5rvL6)?~Lg8HE&I@jfGe-n|B{4TtNxeD4<1 zxA1M>JU>6){q*Je7HJ7PJHOrDz+6!MFbm9q!)BBM&1A1XXFST6RY+5P7E?j5k&z0PB;b+kg^elf+T?Yw!}4s8Z7L>(uP>KwG*Ik0yO8U;b%uIQ zsGrqaB>g2dgPL62?F(FtdWSuo7H3IoiK4@vZ+HgH z9Q5PrXhk=!&*N%@ST8KGF4xCbRwOm!`PTl`GHE#Y9h1hR-!Tcw5ofuF4jJfL{%bu0 ze9zR(XpQR5i9U%u)W9YBy)D;>|D~zrZTYXoL-+`WJ%pMYpse`tB^b>`U-iP)#GAg zFC7?B)@=PlwLCco!eyQafNoZt0mNjS>SOc^vg}HT)Zp>!hqlO&#d#dn3q@_9;M?Vm zU}+}%W9I=a0rC3X_2)1~@2usk6x0XQ5l)aayu%;1YGCjQlWX+aVcN}i^ zxBA>TWzZLQnv?QDKZ830@3h3(@_J6lRb!%&@4#|+h*VZ2grd&1+95CS`ip=YQ*SEmdnx%-KpUu=OWUr zHUa>+Zza#Gfl_8_Oqy3Ss^~G~j286bnSf_8*JS1W@OlZetxr(iXo|n;N6`GuD_CCE z$?<5i;;|y-OWzg61o&z7va`~1P>z|THVucp!7%nYI7rK!0~IBu&m{%LAyUt3`3@=x z42e|ZPI-|FN`K2xjxCJLyrNtfSMH&R1C#^i*^fCKMPPrvCoB!Vz56?z4Y7#kTM}0 zV?7A}6?2B|agi9}k4p%Dy^0fte3W6UeuthV{*U!L`d7b?ysO}xykKR^1u{q%XF-b8 z1}ke@ou*<+pXnf0$BX{Vy;sgZWE%h%nO-Ujd5!+%vQUB(Bj8>;U9KIDHv8KWt2kqz z@v#o~kj!Yvn3L06>5P=EOyO~-gv67F21+^V^7hX)GNhJ7h09^>Hxq27i8OLE#=hJd zMG-SPn+Ss=7=Z|BaI2ED(MDGc{sIk4;YO&Y^b+GuZMI;UH$X(tPBF2~`!13-U`t4r z38X%r7~BcP9kBm<9da4RsxGiqUW1cVhJ`bM>glsxu+zIjIIn-afICBQyG9!*9)Q9@ z20)>1y9j{Oj{n2bvFH5fUv3^ZAMX>J-95W0stmgtQEY@qyLEf(w(I2 z_ST1U2i;cMh+sD0@}+&B?4wZ8bkK)ZE{`E>U?2!S*m~OA3UaS_PsoWz*9-i>y>K2# zLz#~eluoi9uGd%wGC(FaSN#5xD2@1D1xjUla2|SuFiPPH1pLPI9lEsF%KD?GPfx)QsV?v>txkjNo8Q6aZ-*+`ifMAV1ZtAo13(ma5|z zkf>)2yhRj(WT3)ZT2qv_@a%%^mD zqX5;D?eIqMO#asF;rS^}ZP$oXe|5a=M8*0QPBgLD1l&=MyYdcIKP3Ai@GVV$psG9? z2-`~1`@HJD?QVVC8LSWsoG9Rnni0dq!8HyL-AIYB zfJ8sNm|JrI$BZ{Xi2n4nbHn^1{`}v)KJthD@7}lk$G`UQQ?&(Z@XI73h1PCp7DINMHT1H=6mueocY7D5DKIC@%h4p)@;5@SLpsA{dPrP61qq)? zaBEx3+Rs36xc82=ZYl1HYaKX1=cF$+W_Deu1h=nNNLhdnii{R?rpfEV^xd}aAC9Hy z+YBNgHow^%o&$mWWNz^*?5RdlQ26Cv+W`Ird>_pT ztxQ{{5UKA~NBse+FH0wcisD^A+vTuGHhFJU;1ZX?eyJiv#mV7d48@vg*79Kj*e~ZJ z0YwtXZ&NLKb56K=b`X)wjQkVBSpW=`nfMH_N>a7_;G+x@WoWda^PD06z)w;YTMCoh z^HBpr>3?Oz2tHkKACx}$_Moy_M0p;6-q1g{dA|91hyVEd-TjBx{NwJ!*VjJ6f4oxo zV}x6U1q&p-Mgc58GKQop?}5C80Td~vNI8`FvDh3WOAm_`hjX2z^v^VXbVz1Is1iY*AIdTpX zEkWIgL>N0iSAHvfTG`ljz-n5bYA99(-yI$@LE5QIgII(zHhZuaqF_bC)YF^l=s_gp8xg*J<&HXvJx#(*b)uN#C;wYDs`p0 z8@Xdtw=NoLC^2KBOr*dwurBAC22x1(Y-R%6&WCm1Y668EmbGKNvYuTtbs-^*P-wJ8 zbaAxV-ReYSGG%*wMy6PNCe=uSfS3bJ9uEzdgj(P2Cg9+9okLCq^$FBn-K{`o zjGhcI1IxrGzv`dWzC%cgEABGU)AQsO6^K}JWXu7U75Ps7Cvsb~u1iNY&?`eeq5Bua zZ!GRKCpGDUplTm^hp!In5U=DPMBPn@+I^}tbIn<*R(Gr<%st|P-25B!;R>~NOjKgi zn586a(yM>6xFRl@cC7OXyXqWSR$~l2KH+p0TowG(W{I&BH3a(-sSc#esy<7;X7g!0 zx?!EnH=DDQqX2yF~zmM;{PlE}PJ z@dKSC5`ic-z%7P_Oz*fH0Iq+>41FT=4O!?1rwKY5v%gT{kIqpVY!6Q|+HTV3JVTev6L_QFWYF(>MiqBf2Wj9k$r*TP9mD4?f37d3t8c++=09Dpwd^k7>Z0}-<Jgli z%L|3HHK<$2eBA*w6~y0eDak=A$8>9uyG}&`Xqu>Tmu`!QN15u*u&Jdbdwy&NVHb9j z{vEP32UCE`W-UNKgwRD3^@?A1M%`+0zC=ik<5c>Dg#qhyNmX57WkGV|wRy2f|B2}s*qJYIt>`sV6D{Rqe= z6bT?2Erb*erA*?Kqr#&6llVcZABNrlsZ3s6Q6?h@4#Fg>M6&{QWJnGPg0d1E>=@M@ zqJx8>M$|4>3EFRtPIBnw!AR~H)sMbBgNlh5AeLNvqW`L@j-2HqBn?4FhyO8xQH6t^ z3|3fCb(-=c(*i_65?T=ZQPYDb%pj0ptIJ{JJFHs5!rOK|kXY@Y95%;xzXaS?c+kF;S4AY>1L!PrWZHv@OjKKWS6eH@4) zMof*!xqfX(&poB2ixArIXGo5rW2k*qND8({IVL)pCh;XcEqHH zU3djU6;*cGpQC+`d{k6|)vcXj)8PDJ7xi( z;djg$PUN|AV1o5E4pthCP4zGxRNAye8PV^x_>uM7NeWFmqPJ`yrQn1__90+66yqID z(N)qkUUF|K4rz`Fo8blpJ4$(8t_Zba0_hH3c_}4mB(rqr{dP$6K}adHp~j;{@xpTU z9GK+z4C!;o{l7++dgK?KoV@PYKOx1A*RMr0x1ujov%H+G zdq6$?^8OjRZBpk3d^a?Xx&83Eck}7)XB6}9G{nFUs%Nm8KF2<`cHRTLDej(#wFzR<$TZ7^Li(xU$DZCq|BOI@^E&!n_l^VXi z!i7v;i{9FnOhZ@57$~(a6h~7!XbyR{f0S^FlN=&osKjmOteA?F4(mzJ+!J&~@Iv~H zA>&Z2ElEafkkkl+tJ(eLaC&?KV^t{`s(^G;M{b*P+VZk~qh9rDvbJs>S13B6(C!HR zb@-*)`A0l)Wt>>_MLtB3!eacoyCPFz@rYinn(MZG5+B+xgHY=?f>}q)9%k}6Ku+Yz zx}Z6rab}B*G&xnNLQZfwOrmr`X*tRC0v!c3HPqige`nAD*|W}7K>9-YKaBIZ8;}9k zoQ+}KY zGxjbpmS*{V(4C$2d;QG%Z6`NldtJTLGkd>#Z&lse_3rwh$09Gg$N;p0zwf4WJL&BJgf*Jf)F4;fB^IR z{U7J`ov&)f-mZJTbMAM)@0|bpDS`RR6PjvNj~H7K2s(bpOfYABbZ3hq{3u_;UHnI@ zdk%-?WqmhC8EF@esG_qKx=*p#;aI!QL;X|iEVEbqs@|p|9=o8S&4t`jL7CciHI_9E zRc9fwdbH9cxmW<>AejS*M65>RL12Azmp=eYNOGR6T5V-bvbq(?b(e~ZZ>3;JgS`YQ z8?6$kYQ#fULa*ZQ1H>~O-*1C{I_tk%-a&pi8o=68hy^Mnf(TWwTIsFrQE6QVR$E!u zv`~QwJj3x;N&cCdH5_}?Mb@eXmpwI0E%C57P#6Jz<%Z45tU`if$ZBqE!Z%k_Ak-@j z&vf8#JRo|i`9JDMdFDkr%Pqv4Cq@hk8Uh_!uU!;3JSjS~9IS}~C#XG+_3i6^O0C%x zK9nj+rH>#^4CXN|uL&*(u@*B{Mk6I#69vSV^AYMDKgGwnz_#Ogr0xMJPx9s^@qzG! zcpgSCQ!7RXz(G|jUVvsgf<>WF!VY6w&aMTO)9GBQM-^q-)UxL-hB{^yh-*k%uev@n=&h^-2NB_fS@(-j9o5Obj;gb1r9IAx1Mn22B&*IP4A(Ipmx;c)y& zsUZ^(_OMTx05WV0zd%8Sv4)$b8XRZ_Tx+3zF6j&Taczk}AC5UQGMoL$V+V)hgp>yE zgeWL^D7f*6t@g+44U$G9nKb<2$~yU7qFNT;vN)X`pCL`I5*OoBjz_KU${mFgq;>y+ zmLSoUh5$O@cdLC*ZYat`tZ6Yjr9mgNqG?SFB0>@fu--!@GXdY|L2gaU4+K@g4jyR^ zGy-3sRv(mu>TCrq>g)IeN#qd=poKe!{o~cj9rJm;6A3Wgs>n}Rg%9D5)!E9O>+AFv zQuJO;QMP-1uvnoY4(#>n1u#(VUMHJs!fzIM5ix2j+UZ0H5i_0Ay^z1QmCCsT2s7Te zcev?(u2|Y5JdI~0iXRqF0y#VJPrZ=PYViiq-GBCD+H9$z86GGqWGMHbz?3+*m9V4{XIUNwk82ERd@hd9Vq& z6ZGoz(-&ut-~EmPk%FG0S#y2>V>s^+oHwi^qbM>|_bbxB8>WA&ubSeIb3E9Z6AxP( zLSX|$`eXmYI$(-rTJagd9|3j2X?nL=1hrl zu?RSN5a1jFCE3|E3+1sa!l0mqC6A}($SsGqDA;Y;{e5ziH<iLt#i6;LbOsbqhv$H!S}xAyNlf50-P0UChN))C!GXC;~!)z7L_d)O1vN)TXYuV>vC4%0uIuTdD}HbIM+e zi~h>RdVSr{wG0wXvfDrc>t=@QMxud&l72nA!yl+1A!-kM(^y6nNSq0bRC!6m;4K-U zG6GO`%^GQP?glK-Va($VcVReniJ4%HC+0IF;A{r)DGWlH$&4sl?iy}3lvhv++VCD3 zfVuFk7Fl z*DRaE98oF!E(I6vYo!Hcu;Gqp2n@*)C7sgW3DyYNC00x?jS*o&zrdZx|7$-bd;qw> zz#1Qy&x`;SpkM_Pe6=O0-96-`Qxrl*E+mk!KWJ<{16_qJK;CiGoSV1_XgoRspM-C6&&65e zC<<@c0(luYdJWHm^g7rzEwm+wvfolP_dqQvZ7^o<*}2&QzXJpO8i6Z=lLK_4LoHMY zNB5SiQx+CHgaXhVWlxQ$dn!P73s2TC3jsSI#79(G7bJ%JVyf~0_c3@y2E2@R*ukq3AO>Gu38R7 zd^KV2v{OOGytmzeKuP7EIaQ6d9HCA#GOPm$vk*Z)f-nx6$Q$S`3T_In z#A-s*LFP|@&h2L1;(9JXlwX64n}io=c2anZW~!Kkt+6&P^SdAxh+9m)lt>3%E(71- ztxPS!Bbyx`ZV)Nes<#SW6-4536KMZH{T9UNh4y6Y%MR47@5obFDM|DtZpFyh-eowdiy()Lmdy^U ztI*E8jcN%{#Poc0xc~&o@En{@S&z1!;VO~}{3WaggwHHmmJi;x=+;&#qO&ZWWkOqB zx`{i3*a!&EBdPh`WgCN7RLKo?F){{??8mi;8D97OY=28O)GsS??V;^4e%q>b6M5oo zy^&A<^*$|dqJBwrfh6_}AkZ=4k5QSH=SXnY7|-nPdX9|ZR^LMlsVG+|=Payd(^C-7 z3@F8DHY0f|#ZF~~n%g`0WR6o1hvYHbw5YK|&p=c59t6omRNOVnJZEpn2$Ln(YwEJ( z`iwAHa(&jcKFhW0zAd?-1_jmqn{Tr*Yil-QsVZx01e&NW8t8dWKKRDz zl+lbJhzO~VrXDPCZ{#OS~HawY_HLmi)!Dtw{$5>&1`So z73U=59sXLQdaynKbi z9dD^SC}e_qmE0KFBTP8Cc;cPopv78rU1-4pdn>^f6c;WYsY;|@#j8iEbU0TZs0PVp z-E5_gsz60XnpY3dbhH2~08}ZwY|6gjH7z2$JuhG)0+djG)A6zx>S)PtG>EzsqS%80 zjg#7zQk!rXEx#wfEr1JbXWJTgHyXLraw1pz%oMA!u^T$5!71I44T# z0rWJ)V(ibE297>Z{N?>4IK8pW&G5WeP3J&y#w&P8t+NU^FWNl!d69p?bt!1XN!+4b zAb}mOHIaN-hg?wjg0A_5Z(D5|h64tQC|sFZG)Y|{HD{fw1zNlXUk)TTi866x`gD-B z8AMea*NBVjrAC}jYi{QAkLX|a@|$pPqp*k^J9k_o=ouX2=FD8=%4RyqK)!}xr2$0T z9@ydGKeli`q?-}c&X9uk7C)i5DA+soODGuA)qGDBhb-V>Yj?&z-I6AJVi$0xB!J6Cw>ln7FuBSvfn75l+DuJ90ohAmPWMj)kG;AqTVP< z({7aXRImFFv}ff<)M1x4RS}0jFft8`*{4Z|{=e3BJ=a85^0E~asYGgAy(Pp9J-1-_ z7>;2vMk6Z4cu_psj3c>Q?j{HC$fIkjE9JeC8Iw`@Kv~yoa#GVu=t@$O>j4sT4=>ib zWFJb6RKga;Bt>OBIPIiRMUgX+v_MR+`|h{CB@apCx^lYywk5Xm)+H~cfF>fE;g?#B z?9qd%m^C>vJQb2k%?i36ehyLAE|D@8LV{SC{i)ki^4Q7Y!F1$Y-AsPPqE=dAD7g`DGaR*gOd2m6j<#>)WmsdndZ0PMPCwqwex|G58Xw>$ zmWef4`Ae}U4uXzT;>w^R-L%(mKh?$~P|;2sAta|$3SXt{t=1HnZ#pI%q04DGPIgIE zxqYDpFt$Pz#}SWfB7MT|lBWmXRp-%9}b zXr^h#(M$t+Xk8pATSf=FJjgrtOCcG6>2WmT{-Cr!qlX--IF`YtY^wja*QQ@gxhl)16snXL9r zer(wnlm=PBg-~P1h!p2g#&NrI(Z5T zM_XI{EvXwIG?4NNbD}YgUMeZQr$Q{@5cIipO!%)>r+v4v6Y3j<%Fk$lra>7WNTPH! zA%Rs3M4*NPz+N6BiN{7=QLrRnLncIn2_Q3)0m0noA}Z0sSWWw{ERe@iS|FGXxI(=h zM1d!u?1IDj39NOtH@ubOB15|xXm8M_#lptWb=sw=W+i578`46Z?$GK1>O-C+e6l^M zpvosovmD8uvrr&nAf5}(|9QDdz@On{EXB_=&Xx(nlWnvM98E0pUX&4Z9txF)nV)WW zkzXwkBb(GyNq1^M>VzWSx>sbj+)HeiV&-8ixKUiMaEEdQPg6bim>GDSO#v|63m8HG zFpm7w!H!GC5FS`fCusZSMEwNwFKdFNyr#Yvt+#T9t1NB3k%MU+MEI@NU$=M zsr+3!?`mDQuJ8hzhVchAG@%USu=*w2(%%ZXiez5eLglZz`94(j`dlxju33l)@p zHa-C&)Hsj0K==~Dn`Wfhlratf?A(T= zmLQ^Q8i2tt4Ac4X$zcSx2fFqMi`BjHI29A$bpX?yqGbamypgu!;8I5`TzgLMxy= zYg%j>s3}*Y0XQ>|3`2R4Krf`757K1uKNDP3%K~up7`PAhLoktF0I#c zF-=oJoYdT6I@4S!^&LwMTXJz!zzW;Yo~rCYWl`J!k&>lWZLn&v(_yK~>xqI^c1#E| zK;SE<1)9jq0&cqh5_q9E4Q3VXJB&yg(WrvOJ<2N#2QjP@!;{9Jh#yuGllM;fhgmng z1&zTjVS$%Y4uRHGcFf|lnIZ%>8a6h+T-nrOfo^C*wb#;^CETwyQ@Le(joy_zK}nb? z7?oO;W_-q~WRGPBv?`~8xSX;4Nu*3}QB8&*pELLJO3%MmZQ|h-F ziy2o8h|OF?BO?s1z#zSGN1hbGz&l}6;oN2^tP0aZ>OPrXatn&vxCLbuT8S$&ym_J{ z%(z1zR}9VwIc$(Ssu2&d8>TKXHmi^M^l z0+8rdsl>rR1DUB1Y#vDVZ8;7i^!pbdT_W7)>3jSi%K@j_CZIy(nS|laU5`R2#{6JP zDHkuV;SN%UK;1QRby5h(^XYtV$(jnXldu6AW(5l&g7KHf2^`fI$g?{YhDDyi{{{An zWo(Psi=PlNS48FgRdVxUM(-+VaMra|I-a_SucFCvd9pe_ltdS}`E~o-9vt>Slq7G$?FJkEJv0VANz_ghX8PFDbN>d_3?IU5I@`KzH zXzNo%rYHMy%_`j^Xq!{m3A&ZY>4-(yu2VC);`F8^c<;}!Vb6 z&+K@L%tZ~?Un5=)nPjNB2e3?!SIk5LA}hwj3c^|0I`kOtBW}X3nEFtNYUFh@HL+RQIdbXHGhm;!xjLtuQdbrTUh}%p7qV44 zSv>dBq<`t2CuXY<1{5Ui(lAKrE-#~0uHa7^SCZ;CXM4~=@X1YTbectH9%slDbd5Z2 zaxhME)+mZCXM&mpw1T0M8?+M^jh0@9sP-_DE`G4Q)AzZBG)*vY1Yw{$J-!0PB`wCK zPawou305PnOWC3}jUK41Ev$Yv(stK$AdUK4$RLXny9T5gHww3j;wfs{l0*jUHVKe-~xB9gYJry8NwdmbeR zW*QIEh!lsU@tA-WoNsD~+dTy^TTEkmEvGc;a>xL)QwU6qQ-Qrni+7+|VkS-6&bxDV zMq^MSyAaUExu~O;9D9nj0*a?dMVg{a5iIxSPCG@tB_uJT-0cSc;%Z`9jdibRcPJG@ zHy`Dz^mh{7n_!r>WS@6oYPeg_>B?lWtdZ*k0C=|aK;r&L^=t`U%* zGFqZrI;GIa6Bkp5M69*l;ZY{?Qz1vni@bHN(VuWT9+4Q5Kc3-ezQmblr9eW1p+*o< zND;iDK&>dGXqv3}YA23>roDOG4Rn5^3FYRYCl2Cz62YUsKp$C(et?#NUL&R%_zRI( z^Y7rA$jhb(YeKpmPm*bOA+LY-_8Ghw*G~IEcP`SSj_oqgnFz7gNa=>)?AxkF*uxW) zAO{#D7}vzyq(*S&p_Pa{(`iOp7L7!37#tfTkQSwp8dbv987QYTMv|>$Jii5D44+zV z0h_(Pv^x``uP^N`E2Ol$1YT~^UKOAeK&UR>;+5p3xJm`*v(@zI^hk;o#Wc+0vy-u= zV9r1c)JoBZ!NWfXj_;6utvOu8oy4A=S~#%v>|d4j1`Rph;6e-;TdPm*$>qh1t4ZdQ zOUyOGS?*~p#iaM}@ki@-FCRbIxVZfes}Qq0@9X^ye14;k4f_f|-V#cwyD&YtKYjZY ziD#0I>M8?G&&uq~${i|9nMK0fDKwvHuX9Qrshd}0tYSh$dtTtyahS47>$uD~R~PJp z%u=7OXq+7j`5bw~TT1{95pPilCEV3YYNET7+>A17D{_t(W6)eC$8NhA8(BXsNA=z| z!_nrA%pYrV4lZ3?UH0H{IBVAlC2FD+!t&HKS*tQZDV~v7__-9u1??e3`{u+AGBmzX z%>#Y0fLI#c+Z}7XxF}UXkfLz=Lk5(Ha8z8?r=$24&|KA2>l4g~iU- z1gcAH+x&;_>B^x<#g|ORTwv&0qQB3CCpkR`{!%X? zfU5?Oi%UZa49X`0EX-smB}Dr*tj_$%szMuAAKkusajXCES^rPpB?2GY)=y_r$aF(T=0G#pK&coRTVDP97$gO#S-mqd)?(}beSq2CuA&C>;p zDxQy2P80(CpqR(|TWkbrj;eq|kfiut&O!^ikEg+*LSjK=po$nn316hqV$k0lL)nY| z{q^brN^`lgslU>iCRVw$vJF$Ih~H+39q*X&7M^_e6i>FrghT`NW~b#zAgnh#-heDC zevHdb&;?Ar2pdySfrWuw)F!)FS8)$Pgxc}SNn7`0-x_@vI(m$_CE$>)m%W0Nw%i_| zKuxC*$LVJ0+ByYXG928zq`?xrh6x%{uXuez30e}wy>7=6VBs`VX+9|03MAvhN!ZMe z`O1EFt>oJa*F==seI_i!j2svaM0`?aq_6EWmL~jc*MiFLb}rQ)=CpYn6C)K~7y0Qz z8w?|+&BPrF;)6iBViYn>&8>LW;M2+DXwwIG~hZ0mVzo{)C_Gni69^w%Z^} zDUQbmecGzANPygEO)s2By`|R0w9$(&G zuOB_V)qnKzX?_`YyGKuN_ctJrfNZpg8|qhVvFlJ6)wM4CSOqg(Fb>HE7B}WAydhk3&90h3oQI#370xJDdY@y-x_JL z^1Np2)eLU6p-d$zY2vrCwAu-#-FZOr*iCCAwSw`Z2GOC}LF>ydgC=8zoK?PzlQk z6@jRBEvOLH&ZPv2$XUc~QOFt-RY0OHbhKK+mJ|q`t4O@8FA3JT8aCIospl*T_KJU} z!vZ2puCF*GOSA`lk~HmzLvGIh08=U<4MzRZrf;gU_QYz)V^E=zVza;>NL&yoqtP0v zFEl$CoN56WlTZ+_%96H;eX!U{JPTO^^-3}D^Ir&BN^Y|5q@G2KEWA4h05C%Wm$N3V zND3iHLDk3!(qzl!tb}>;-NBM9oIRHgJC_Lo;R}*+;(q}YlWP^|OJ^0}WE=PdBE5om>4!N6_OpmcWB;w1-qF{Y*VX&QN#s7=?V zyVySC-U#dU`V`~|r)NAd!In)ee=2~)i~EZQbfwKv6$*%xol-jG%&E3O;EVb+a>B$A z+(w|(_S02DVE7qI2w+WW(P|--*|TmAOhQ;vf-f!tnS)+-Dhbd9Dbm;s$wUw6!yA<4 zxZriI0Dsp?#ew8OoPzLVG&3n-QrE8IGgBrzn9k?t=$XWjr!^^?>mRh%QkE63De{W# zCF99-=EHu5bXaD?y8b)R;QA~;6X69W7c&i7kzV8+pf_!DiT}~e$6~e3!^9A1GMEn; z?Pr-)O~|Z5hjJL`P1AD6RI6N0$_>SafP!QZ@7*MZ#(Gd>lYQ@6qFWB9ta79Ssq;)E zLkuf40|y$FtEGV_XS~dr5IlBrFs|I5(EAhPL{%xUN{s%}*!*>9KvD=F)_)Q zORq6)PIw+=WeAJ<2M%jI@s`wi*ctYBBEV=4wj3k6P=|^G*<~jSzV+#(0AvKOjd!2D ze0p^|wfml(&?z|Fh>LFbWfdr^u2Yr>unrNY6obpA?pf@qs4eO|t4I|jQ|$0af0cDX z;`R}&=$*s>Dx?#QN_PD;{aLxrbDEPU9I>7z3lQsA&o)H7L%{Yg1|Pd z?{&Uw0d|_UVi7OZVztQZ3N>u~DT&+JcjO7h?D=guf{oPd`E5UF*K*EKyj;+CQ|Kq| zhUzgwjDiRp9B)IFfcc9i-t11#56%Xf#?4qu-~)ZAh!r@xGd-R1`_~1e+vg4D(D|(l}8Z0%uf)_$zHO%Pa3)w#(A`KgEd)W062RZlz zm7lAUH2GjIOrxnfTiL^=jKs1v%6?cBr7&W?JF5JEvK?znJigZY{OqmuzVgFCh?0~X zl#}Tl_)bu+7DZw36s#r0|HN~kUPKx-U=~AS5t0O0*Vc7G`cvIrYff1hY~9I2@Dm`R zI!sHhNVTB9tfqrB;2~HZVV8w+vK2Y-Iyq7|gyC5;aXc%4H>BVk-2-Df zy}UYk{`lGR$5)@;dH(F>hmW7W*I%!@rUc+M=aBzG7)Q1Ellw`_tJyl6NP)ZfUiJ># zj{W&NY&%}&@37rC0;Z^aI=@SUD*jMiR8q$jKH4|9dle}H?hFVLlp>TDoB|6{MuBf9 z2_nRmXb>$YDrB;?gzrqj?7Z|+(NEQEov*xDV<0MslX3fri5{x>dI_%(p*R9`KfiqO z^2ycuJEXPFXCSbpY&M;_Su$=IvQPP?NDT;bN@Xe#CL=Ams4~Hp;&@DG8@hp4{1iYK zxBrzBr2(**58AxR=J{_59*c0Wp5}y{kM3mrCDHEbv!_pAK6$dfc=7~9E^Ch+ zC)dDGKecVQ4~IRMQw!Zlvqx*Tg8)c9z$)4&rDfq<=$LRaS(~ORN9v^fjNA!CZUPpD zyVWj-dzF(yaABxtFAMLEu?J5KjRNT9Mh*;D#2ntfjZbcWdaM5lYf*k0_F}6Af3g=_ zPPy~F*a~tR-YIZD7zNa%lNM369uV}GFw@v;D{AE`k>L62AP!}o5Sm9yx)%^R^}IAv zP0ttrb9~4EL>@A*F76~b3qvVhg)M8BOWi+e;N0b2*XPm=Z?E9-RVb)dqtkLME=`9# z*6@I4v;+Zz7o359zSNS|A@xG4PEfQuulSi#5 z$mFCvz`_Xfmy6*7bA?3HJ~+sH5kLk$U>e_OYVvd zlm+wkfF9vMX`GRSBT-7@Y$M06?GQ+1G&yS)dG_U-rix>%w=dMmFh^d!Qcsu@Ct`YUwy5!hWP6%}(qPX5F9?0dfx`bPO z=8U@tYgZouc7AjO!K7=~F?p@O0`u3p@i8y87XW^YE;?i*p*MVh^7)KBZyGc?jVr5LQHQPD+K5I!v;HXk_m$1*aTNt}8Y;$0+}%f z$A~wVf7Z0j!XY^VN!7o1EI|yHmayFe31JDG(+RG|K^uum?uH?@m6l`+H$HRwvHZY{ zR4OTX|IG zugH_x(+Pc*68VQ~bV&DoWPOhO_!5FEQE|56jGkJ*`_a{t%cs2$Ee zu((YRs+4Fn!jHv2fm@R~E$_SK_vIT92~lv2g^is6l$RBB1^a;)1Q?SwDR=QdfTOc^l~w3X9iy=E92vr= zxIL5&6);l!u;$w&7_qy50R94=76@#6QlBLXl{>BultLxq+iuE}+{xYCF;f}#8gz*! zHU!rJv>xW?WePXdWDqtGQ-6DjHIl0RS<@Wl1ZjLuN2iU}5`A6cL?SV&ASSihFouVK z=clG!#+vz)sH@SMe1c##!|22tR&6-Lp%c%~J5a~T%nbaAiDUHc#PgU+(S)?~MW!7V6(7Z(|@@?*j=V7zyZ^lh(oPPwsqVx~% zM3t>H!MGEQekU-#GnKWCD{%Z+e`%an>PpWi^z0pDG`O(KjGGpWM%6F`ZB2qA9Tb-A zO`6TRD{nKn@*>n721X`%Kna3&uF;1tLJmWOovXAV5HZyghcLMmvU@O$3~of~AyX7Z z;hqk*q*69B7?GoxL_m0~M*w2D3KGuanl$N}Bt}gbXsuBg@pz?LhFW$(5o<`rtO;?w#0_uy4wyJU4C$mpj1 z3W!Bw$70VD`v7~E_LUjWQRho79ZDivhpd;K>gJE!gve^BUW>OpG-+$xlcwjs)i6dE z)C*5W@-^&_v_hb&$E$sU26$-sMq|y_gl2vUb`0YQ#M<-Rcb8nRP}Sm_g5ZE1TvlK_ zSni%p7vJJ_M4ighKrS}dhg5*iBd=SNN-~IG7I&@pEkTkFOy_bQ%Y@us$_}gb-hv-u zI8Mg`$B54R2wsRB6q={)bu~}hJFV?Cx$Wgt0#0t!LJ`5hodIRZWnCQjp1=xq zfWSOqsuT^ye#NNmh}vvYr4Kb7G~B5fJ0Z+SdNZzypSbMer_w(+jx85=q}&23)j(gs zk45`Vu_WNKKOnLn(w9vyM*uJOtQm8vk5?7`Ard_tFSOzMjs%(;oj#}rBLSJg20n4| zF}%BpHj|s(OcQ#UAW>;2gF7s z=)Vj<8zKbmuHQ!Bk8BBI(G!{td7T?%KtRiULau$M)+EaZ^Si0F#7S{$jUI{FWvyGl zJ*Xik6zPn5G~+!hBnwH;iARHse7*?T2$EXBN0hpV=}0Lx zFJzPvvXl8Ps=u5toI19YN-15spz`{iOLZ55G%wx=0V6;c3)+Z(9<@#(2BWOy3Q01F z7ZCRK1+r)rI2pcqx`zV%R@~u}?MIIu;Tm#e)|KKhpj@UHH}0FneX@2W7%K5C>3?#ehZ|7OeXAj)=p67589^Eh$*9iKXAwmskc@>BNwAZYuoZoe; z^$4A1xsp+c*OFw&M58H;rqH)goe6Riy`JE?xI@1=7l6|w86zUbI2WYH2?PnQtdT>p zw?G{6(c8qDYp0!Db}o`#i%i`Z5R9DH6w23GrEer+@#|{o=EIj*2S16qvW+KkQK^T} znIhFOBFM}Z_fM*@#0hF2c;e4P#C)fnT#qZ$r|dvN-$jMzI`n znQai71JrmT%-1!RfXlu?DM!C4TwyN$J=ic#lW_7tXw_|u3_MM!ff((?Xx0o;Ns2ZC z3wdo#WFCT7vNC)@}zmB1>2|}6To3Mzast!GslfgFG zEu%PEztJC~=w$AZU*p8rXeqt_0QD=+SMz12=^f3)%^qk_s>9h_h4$1|Db3*qTc~t! z&!d=+3usCw5X7qG{I>y%0AJL>pD;rg|4+IDDbVAM(LKe&K%n2SsCC%$R;7X+--_hX-BdNRh+c`;&oeyK@I9 z+LSEu$4gGpY!tPD(*IJ-=;LAUL>r+K-stjZhTEuEqEy2hmPp?O&+xRx0}_x_hB|w2 zzA~LmtF6(_M+q^o8NTof#P^#AV0yPBwuC zGP>7157B8LT*yXh@`8E}X8@d#JfBbKR{Dz;q&B(tVmUj%12Zen+eWf(mwZE>#rMyt@&->bKv$)xSW{?>qS4yC#80-KiDKcf3|t9B@UY^|)5p zZN@Rq<|8R#wW$s?Q@kV=WY1$k2(%=^bngfn!_q8&1F*#YM(E0vx+38KJU=*La~f#9 z2nJBZXHkKZeNf?Gz1dwLA~X^G&-YhL*l+bwL&Rg~7;OTXsjgkC^u$P3(5S)SEX2F8~mz!niUAca~+<{g$wd_C|!Bn~rNLNYA zbeGgtrtvR^B2KE(WZl%8kGu$GOuRs{-{Ys3m9z<;K}K<+l{*E_2y-)XdtgSGak-N) z!=nO-hxngKh?&R4mhgRIXaa}9^NFHo%a6;VVe>k7@2T0sXIJO{)Wa!JMSd76;dvVHPB=Yp+yWEmg zxjAdd0sxhTq7e?WlZGP zfzrYZ41k#chw2UUvX#e@ih&fj|@< znwlc7q;Y0V*9}M-GzAYg4MHLw+K({Nd?-G0CY~U^Aa+L2WDH4lO^CtC$wbI>%gID+ z9@ml)kAUi6z}Vf+Dc^?r0wM}X-R0#&nv1=?e&qK))(mM z8G#VkP=)3cg>2F+r7ceax%&tjNJN)*Sg};@ zrLC}4BZ5M`5M)*>-@A)|5{6dmr$9ow7F1|S=Tapq5WE7?FyuP?L^)Bpb!&;guD5qM2OGu@q9-Rxo7RPGb2zp{aV>vog`m)F$5AbW#N?nyk$+}QqDJEAI2%WSa4vaqk-44%u6Fl=NDg}RY!E8xTL|RiNNLr7Bq-AD(5Ms0* zXDT2cls34SAcW_{_JXky6|LQZnnNf*w68k0<#XxVHjVpH^4D!#@y@ro3Eu1Yx?235 ztxeE)F+hIDd<6lzRw^Di^$PgKSX}yAg(GjtCV6?gAkP7exOs*@C?rPta0WSJFOY{>KUz1#^GX#dmkK)Pm7)s5 zn(!K4W;oAid`Rm~sdU1`!o1#+ke2*v+7b&!4uibZIVV}D%($U$9y4xi6donZc@i*> zQA+JO2Sh*3Y=yw19Yva6QXr2AgIQ6h0Bk&L2ZE!O){xHo{gf*dxYkGOgZ)#am?L14 z(oZOy>*5W98`0s7wlDRaPV)XsSn90Eo<4206(^CXaW;9SD7H8h~#Lze(1neP*S)30C-|Ef< z}IGIsbq;0kkl><_*Lt2n=Adnc{6-Q*M5U^*+m#40LE2&c%g-%pdn$|(hZb%IH! z7zAcap?e0TY)@5)e`S%PW=<(!en%3}OsjBi1^0l8)oEAcLa=skx(V0dgKDd>7YCv( zkKI|GG6T_*5UGzM3?1SyisDn3@-;?m@dNM(yd|D12}qS!2By&)Na2I#pkhThV&gza zE=P6-?NwRt-euySZ^CW$u8FxjUnciXNUO>XyT>aKEHfYK9qMc3>u$jsigpg+{D2x{ zv~1$8Bf_f;%W<9r)DY(Ir7)9`? zU5^G0qm*h=fn+%MnVc;$;9wIW5s2cUbpmxM`3;lR2gpbs)>a+|^=DYaJ>JR(9cwv@Aq%D#ysKo=CfZ2`XkQjc2G-WIJY?S@1E zJd|ETU$Ade5d4Ktf@Y`fU@T^J1)+zn!xnd7c5oL;*9ynv>N>$?X!ZS%o;|s^Vgr(Q zpFMrSUOX)!7ST*gYor(t0N4krqyGW=!=a=bZlA`L1}A>S$VE#j-1}za z(%bDJa0@5f+>^*Hy;&j3%uc1TO_3=wm{M>H{-b8HM}Q%ncmFgxA;fE76Ac@y_7%R8 zDN28qJ%3-lGC(UD@$LYCtmPk`5P_SBXr*f!4oH~Aw-y^O**6w#w9dIzUy|XiUu%9n zM&Me#8MPkCPNij99h$o9Ff{WKHy)TT4`9VfTZWl-@lLIyidRK#KEqP+XLz9&kN)+t z3BeK>CY0t7hw#&Gh)lGjVn&z8jpXyPdak&(8e(qcZLZx)Sk zZ9|g_1)o7C0A(hm(0c*bD7^^dMq8HQhx%Ce(*- z;dH8(BHL`-Rh@Q$4$|}9>AwEid4+`UQ|}dZj^4m z(Ha66B`;uP>NM2rez*2)*jht2sSaXp2Djl04>5w|j-_#6~gQ-kYk?1><;GX<4xtB`m}f9+%w3Cp3&dQlow>VHU(_;ApFeW+A@ zHrS2{c=+~#utbGgAUT!TX22@JdYZ!9NlCN~r1@1s8S)7|Ep)4>xk76kn|hI;Vr5qG z&`E&Q|5MEXiITEk+od%O`exN~wj>D)Fk$tjGei(@^1{<3Fl`fPwnWijdUA?jZZ!v3 z{b{=-$3YUo7QwQ0kctCsNK_^5-^XY3%0N!srLxQP2`aE$yii;61qX;^{RB*9hGO3$ ze8tRwf+Bf$j_|83iX^|fy2r;`qrHtAb9f)}Zpc1t{bRC2{Pq~7F0h{!Wi7~=Z9UFP zTfz7^I+wiZ(RpJ@)1&iY_=G$y$B`JdW;?P&MkgRAfhtOw2$XnQM-fV#n0W)Ne z(wPd2Xs=P+073^U=OE1(M$z4b^N{bJQtlnhs*&2C}2r~Q@3&g6) z-|$8%0cCTQcdD(@w^G6ta@wX4$WD&K-K(>e``6d$FDTKl*iL$mn2p=Q!BLbcvUo2~ z3jtp`fCXA#1W|t|yo1x7g|rcwcmCG7DgbKGClGLsz`T|^fvzP$II|=U2A)y`q@ONm zAyB&_GM~wkwnzPKs|w&1D8T6)aV&jT(bFnea9W-Pnx}Scu`2Zw6MXeR4a`(1mdLTF zMOdI4K(e`qcIydv6vCur~ZU=btJq!E<03F3_Z6iKi= z2#qE-FTa)xQ_xi`4wDuOE6QhkFaq1Qbn13Wv6w57P+ZIRn}iUXU>7jUTiRU8$uV|L z>;u$_j*pcPZD#RvmdTD*>8WTS2ia0_+-%e_tEXL%3a!zDAc=_+5jR=fTR_LnL&wyX zfe8nk#f0K;m-H1MdCSWq;x@khJhlu4afCWi&{6d=6`?Qf+98Z4Ud zYDcELd2@>RTvEhg2lBd$P|o&I=23L>=H!jhQ0gz5tVBT!EWz_-p~kB~#v}m1)gVUO zp%^fG?rm%=00)7?AiG608S%CbHxc8jF+(QP0vh>tU=RdS@*w$#8BTdugY2?A1K3{c zJF-!(R1xH-F_;eFbBS(`A_@wwCH)`59Dfr7z#51UtTTK9;tA5(#{h=|bMF2r*ugH; z;)T|2!GalzwV=+h6RsU6T#^AphN`D;76aEg_PFz|h3X2vZZ<8itF#;fApO;7RhGx= z>p{SvJQ_=&oI%kRESSl5G@C6SgxfkcZ;m)>HW6~fkn{O`Vp;*9$4XAY!k$au@w#D+ zh@a0MCE}+Hf{d2Lmb;wpYBn1;dC>eo)ThOEYsC_V$9G0 zYTAUVh%kmrCv5|$X4Aw|yaQuaU>`cw2v+oAnY|68&GBl1xW;w-ETx$cMLb@A3w}yy ziDHnaQ!fRs(gQ+&npk28pt4dtkrIa=j7Ktu>3KM7crQC&22Gi!QDVV2p|&OLN#7`P&JP7e{6BnA6yPe{*3 zlm2L16ZfXgD0JA}M!VjF+}TBX#!fqFa#$qVBXb2YCnU%{Q59;&BKT0!l~~FoH^SVl z!uYfBix3rxxJnU0I>ScO1&Wa1ThJK>+#^~FW@o&^dIq0lW=yY5R!*|IOb^vXAh5an ztE@0xF{95Vq-)lU`s0@M1D+tS?bQ}Dtil&T%DB#aKD(#hCQw0M_c#m79@#}tM{Vh7 zLbTZ4csvf+BKWz(D9%#Il zdC}x7WXb@NdZqMDsk{u<>1eG6dx)=q!R)d5j> za9|^NB8xkwdq)GIjMj8riN~uAYAXxQD zT}Lp33ev)aYJvG_hXi_%03@tm236}m%zw~ULV8bS)}ENuKnkm=1n+Q0mwkw{Ac+9s zhtvnL50!!6K3#|Y53CO?ue}4YbqC~yTQESu^PLb0lC-o=Bk#BpS>=7mG3>E~R474P z6NV)4yi-(?Svf(+*XdwW3N^BcI^-`+|IRPw_?pdOk2Pa+Q!_SiKfDcFvR~?7-0r`F zzKO^>y4}C5oQEaT;)GjAuZ^##PJ1h|ALMfMbozy${Yp%f3+#SJ#r;?_cxfLKRrE+F$^28ef;S0YZPIloKr|D`9y`y zmEV;gjNT!MMU#IVPDL_Chch9ML5bai%oI0Lzq zMrqwm13!NE#q+CYAH4Ho{Q?dW!0FZF4=$f0h-KsIqYrPt#Q#3}2(=6zK0_t)4Ssy% z8&@A82l3th*ZW)&$FKJ{usn>vmMni+u9pkx7kJ@o{l|}hgyBbI(Ko)19*FYat?~(8 zf4%h^Z-muf>;Gx|-^cGWG-U(iaIFpl>20W84NmtMQnRM#0ums_;vhKT7t!Y+^_~zl zJf1xvk)3NI6lW}(P+EdAUd}oS`Q7+K9(BbVQAJa-GKrMt_M_YH-F`pG5=W-^L;UX& z|9gc0y@&t3A6_Dcep$Urhdwhnc)S3)%gC{DryRi(e z!zk}H6`BIefsvFkt3{JpdriVb&A8nM85o83Sp}+1E7c$sG%G z_)Sq07e#n96j<~{c@{0RwmgB8RB$=8wzi}}VO;2RDwDCfmF8W*SwlPGz2>gL{tkA| z`p}XvAUL3xh-oZ(yFx6H+$&fcp|iv~+K|hIqJSVu#Gb|N0Xh+_1fLI-J=i7=hC_rQ zbs4ib+gu-yFH5eE$Co7}LxIrfyz?9v%#84cs(A)tR&h9AQcVa9C3gjxJHW@#^teWVHEH?774bCjy=uFTQ*~qy)-|Y zL>hQ6p3!Enl8Y|-ex?IjNQHqii2#Wrb7<@zF*2E^of^L-44z<%-ILpnNsF)~kH(-T!qfnR`Km4S(^=WasuLK#mrh0 z`6;%(n1!y)#rYs+2%@S5rG%?~I;}Z58eSDgPEqC;xfj^P@J=%-GAqivaOH*1xC2;G zJPqNcV)98yp^^%7b&0GQC}MDTAby_lBj$8z2BE)Nbhyx5!pEuL<~b8w(B!~q){sOi zBW$~%aNy9AJ7$ZxhEf#Xr3OG^Ah&tt4s1j&Zw2ZGRm2m>@EJK{`i{epB7XLuucpdi z2qO)}ZcXeR1q$)cat$M$zIJlSkF1;sC#Gh5l~T=wulYwsv%~f}xrLKMISrBg=O-() zAgW*Yr)kg1&5Q|cJI5AHLp_~LTv6xzE9tQ%D>VN_9wn>K^s zAE6mY^-p3TqAiY3*xC25|1R6PJ(Uzjr z9zpH{x-{_B8OOLgWYz@v6%a*pT>%I`s@c*jA@n2Zv z-gZ%p9p%WQ>x<+RvqPyE_@d;ZSNp#Ezs% z4E+t&fEkMFX$^$qEU`x7Q32IirUM2{c5o8GfaM?(q!%l)KsHVI(2jQo;jBV2abYRLrS5lYip9APM94ssYvQ!&d;o6dUea=QJ<2B$}e zC6fjY9)+;ovOD32hBDbud%T1`4A;2^ZR;|Wl3ck{Nhby25WHcASWKpxu=!0 zos;7y!O01nsdg7pnX0NsZ+5J35__I8Js1YlyJ`yrBz^2Nl|4XI_mT>HU9(uBZB4f` z#O)X@)GXiFTI|yHjDiLCSIET1M=fH28s>eL_=%v2{ zsnPBfDQ&PC;SZR2Ztu&cT!5&2SJ)0IqeqDc(m0%ovu-%)4-xR7IjO@*DqAtzSy|c; z$7F9OZgUk0@lc?4d~BMvUBFMOtA^hiXvBRAkYySX%n;C3EB()=tm0pHn?Wr@{9gB* z?@N6JwQZ6YR7ym2N2AFKQs?vlv0lKuv$v(|B;PMu^Jvi*4MtB^-`-+{POxJdDkQDy zy|ot`*CSAfZ?C~8E{LA5W_J@tT3?`GAygVXOM-rOQS>eOUTaRj;_L-dE07rb3&rf5 zj$C!Z6wy6qmu5^*6lkF6Co+x9rRxH4A>toy1^rjID?REUf#SUnC_z^#qzIw9LZ4q4 zH%JF1wvh{LF6b4 zv7DrWE-c@5AVyeZY*!~{nq+u7PA5+%*(m=eVAsHrOsz}BN~s9ev2z7R;aA+CDl+n2 zNbLzww&wI{m9I^bA_GyCVLk8l><%bwmfz~&olfh`58-CE?Jl6DpZWz2Ce$`&HHQ`_TG4bF1V7HGzAd30MVXxz(o2%&7x>Muqw z)-aUmC?&|@;ARODYtlL-j6Lp(N}k*(j^a^L&KsRShbUH6YG9dn=uo^v_;RKh2aY^K zW}ou>X5cm%gwLhkUkN>Om1?@aPZG04y}{Y>J!C$DrYX%SW&+P`&kMvQwi*=fW%WYI zfz*r#3;szb{C>svlwjGrkr^DGqf|fQpJE+IfAeg%A|}_@@dqFu;5Aj)@oGrPwznr0 zl7Q^fJ*1kk%gQ}z5TAUhJ*i)!^RjSgK-bmWgvylt5*`l<5DMV-5CXek z{L_aQpT<-_(nR&sr0#jAzCNWd)wUd3WGIz3-dw(G?9J4KwVM?uN^Z? zoE@wm7@|u>0zufR<}<>ol#nQoP+l(}P8kbtdQYEyC|0tg=M7gfwkY6sTNs8sWrkm7Y4)9|8}K zfDk2dKoF=l>eu~gE3@*n{5kh|&gmLx$I6Dwey`Sd{+pf4(NLcCYDMTSXSQ@NoUVt_2^n7-N z>StJq+zzHBz3FGKe_?bOO-74F@a)e9BJ^8h0P}vO*9ym1GNnE*>ymWOtufrga7}^5 zFM&CDpwAQ?=COf4rkF_5)8bY+B8e=Ic4 zs*zO?RjB)-WG^Lzr_UZgeS+Q>eHhZBT@9K-O*fHuREBuza{6OW{BaYx+E4SQn92hk&(J~No$SxsWLuiIA&_id=7GHa*ujQ zJo}-`epp*&M+xC43lWimo9ZbW2Koe}uhwsn*#MRXmyXiKs$@Ts0%???0!s){r>?NU z*W7H=HKxJoc(zoNlNeEZ@ia`Ri=|{sfdDG9tyboB-*!{Ywr4H}4NmOBgMhoaT{tup zVJO{87`eqbk+GpMN(K_}^$A95`kvjPun`DqjA(DhQkjikAPwWHSY0^!yWk!rLZv4! zOXDUDwox{S>h1vxDM6y|!}AHCKpX?eXpFkbU6L9ZXxSJ$NJ!aX1#?$r<|mMiOgv3u z9HrK2kWgX$68}mNwfx-ZOjX2Y_8UvxBROvDxNb*+UKRDsYECGeis^N-gCa9*9(BHW zYi)VyS;(D4r+FU_yoba{o`V(*wI#iobiAntw7|1{u=@F3s0>+ZTOvJnF0LqtpXqDF z3o&R3t(av_W)I%UE*A1jI;Z4SAp)lKB;d0KW&`1@j(zQTD-F`#vvt7b(U#x2EO!r8}O zLM^vWk3-)+J*GKTyQC_Br?ryy1a|9|q9V_2?DIxFBR9+6y#D%X0J)G58m3m90CZstn#wY3zRe1)k}Y`u{&ESJ(P9UU zu-r7@f~1DY6$j`GL>NSaII$-0qavvjKT%kOX^~{1UbjXpp_B&u-ys`u26OFt|M29T zVc=&gz;5s0bT+uZ@p3f0)kj^>k$wz}I4MunK5FM5Y-`E-)`0}1EJS27T0H2VL1;4K z2IPNug|tg&2)(i(WhYEcIZ+U&ay}DdB(_<@Lek)71t~Rw0UJ{Zw4Bnzl|cA?6vZ-x zZgzLi53Wm>-}CBs3_x`H7kCvlQ`8zsu&!%~{=CaOrZ)?)%67tYVc-(bU^*BB@g*oU z>V_yk<<`JilA+RrDV=3u&({4=gq002o#O}lmB=xO?n<9n9mTCB`ox6H1$b}Z*HE*x z0Y?$1>GfU7wi&aK_2DCTQe!Yl-n|VNVLA+z8^lib=ACOrel#h*VEHID?k4 zdHUERbC|}FIbab13k1ZyCK|5=^P>u-qMR9<#1q&3Nh%|qoSu~Ul9Yok0whh+M8i+6=spiz+7y95*H7nGXrNjV0{3PaSwh$0U) z_BzLJw#T30-mEOg;&agNy0U06IY;g2-@0ag$>JYQW~}b>;q(mI4=nE&goBP1it_X88odP>ig0s{W-X015c0H#G^Q~is>u@XsTxC6f0Gz7(SD7~NM!D| z$9W`(ARKfLZPC||9gG`ODwWN#z(qR##2om*qhTo*R2YoaTHVImFcX%UAB!ce)1X!A z@JT~-2s}pH7A&i25;{W<)Wsfc83QfNp_=jBDtRLuouTI1g_3brfS(xue>h)5He}vD zO;0#ZwPsl6I}aKO)9G)9sIo#*R5LoBk2~)S1P13IE(TNVU@n$kN5+^-+;vA6{aK1d zHJk?S?UrzjaAFkaspJOegj0vw_XM#`hiUsJslp_F$;@ms2`Y>RnOcMmcgghw%H^S= ztOxdjMbtugxp_Dr&$BBwk0ys$zqIhw3Wk9Q)1s}r#1kzS>6?l{6K#^#vP2JC3ZR?K zX^_qg`lF!*7vE>x|1JvA1(9z!Q5*<$e+^V8yZV0wSoe?zyBzEzupKpnLl>Y~^)2il z0UjOOAi|ud#p|o{N{O@&!ai&Uo0~fxfdN=H1Lu4XpAG3)x5oAaQdBM2UN7s7Z99hP zb|5KjZ1lxnOkMxQVG$uFLuO^**You$eB_R}2769=q zD#$py;CEL236`-BCxMFBCM$Hjx-3$1V>)vKySq$9JJpO((d{=jUP8u2 zY}?f>1m=g&T+(bM7t|8)jc>4c6dR5tQ}wmC7-Gf6sQ_u`Ha$Q^USN`(sOo=~*(V+4 z8KQ&A7|JxsGfN-GNW9v%fYlsGEb$KFn^w}PBVtO*aJ_Vx6q-X_)Jjz`twG1%#`9Mf z2tnj|q;N`!W_j#Nh89v%zUvK9oDCMo0t$`xywdeWG`rHGwS~}=x~&V0!5*_RuT)!Y zY%Q-K+0$lFA~No@=~Z*qkTTr1ZBEQi?~X_;`K)4(?;pq+M4lL<8#zvEg*>=i^)Lq3 zXhzMT#XbSQYsR;tJuf#5p*7Mkj7QtCK?d6 z%n7{3W!-y{54SQVi{u7IKh;A?nUjgyBcT&?EQ$>X2$0rN4&p1iRT0=&B-1=0Y)?*_ z!Jh4rO`}yiIUjf%l>`1|8I1v|@1gIh1k%-~{N-!km50}I+!T8e1A@?seTG;pHFw&T zJYo}cJ^sOW$e+Qfp&+B|)(#%s6Q)=vD$yeI357tRx6@%RxQzNkur?EmQwN@y(BQqG z_za5(j1s9iiyL9BbmJO-->#MN&QZ5eM>RH6B7g%Sk3KlG5ofP%yo)ord#Jw5bCGg! zVJ5YXpL}FGD0e z+e4o;(d1Y6k5_j^2_=XN`Y!fYT^Yv{Ql1nSfJO*@GtSXwjKBqcqnZd4Ozx_=RM!X+ zn3k%dq(*bPycp=H^dMA7+FK7%k;_l#`0Pa701(X43Mco`n67}OntCd|W*5!i`l7mn zMKfek-EO-ZZ_$iC!x}5jt2O}z<gsg>Vlf zynQValYF)8BLTkILW7hotr8$edpJW=WC73-;DUV?b|G8PjkGvD!4=K@e6jK7n{Tq% zouqbNJbn6%rQSAlk_n>kUOj&H6hSoirntu)6lw(aQm9b;sTJBxW)#HrEQ1L{d&R@! z2lf$awtxhP9&m>0BpRO8AZrqpkcZ8;8YGdO>>pcU$jxzoa}@N4XmC(YyxyDf1K5M> zb3swf7WjU4E|ZPLISfK|1z1-G5|}{5Q_Wd|fwrIzvc*9u3hQRww!>=+U(|9cq) z{mdaHoJVDOTDR(m%A7bOM-Azb8E^*URF7s5wqm>LtYU&g9eRnf8frY1myJm;=B~l) z3rda>uWyAT!!;}AP9^haP-ymU=Ri(S<9=q*>F8e4haIxqwgHNXT1qXj4gu7el2G8$DE`mftn$F5sw};`%o#QV_`)Gx#8CG`=DrY_+E4nUQiVofh_1c zYyP#4S;z144o*Luw$oc@8J>!90Kr_&6>O%x?0UDYa!acUXOff|DjBw|nmfwl0P6HD zV$hF#wQ_XuqHQ;bvfyff&Uq91}7c; zsK)H0tP*@zm01Ni=Sb2Z?v%xaPQi*yPf*E6dgwu+RM+cB*tEEDAql%|XYwMsb(oTK zkI?Rz7q%0!HIIgmW^?6%fMC-ggaa`n_OO-kMJvHDCVOROf?>!K-Ge`Hwf_L>BYJO^ zaX-QsXu)xcqd2AYPS{ii%|1+!8&w=>**baW(3OT6#i#cO$hZz4#W_gnj8(NEjvyQQ z&e7o#<_1>fA#q1iqKGTn{wAP$d#Zxml|_7%5GU+bN?1arzI&fu8uK|>9M}Vo^g>UQ z=3teXq@eomr`5WJTAF`I<`kGBb~Ihc(cFw14NwD8#n}qr^_11NW%p3SQ4rbtjG+`a zgx)NS8nCk6Si6=3_LeDJP^w^prnO>55<^*0Algsfoe$Tnl(P@o4Rm^yrTQTB53po!c!IK;PadBp`!@@WXY#`$!GK>fK$YZ0;L*iRb5+FJ3;m zf^0yoVSrfPf!ahRCI#w#fG@LrC_SQenhXL9x{87G6|(uG<0G$*MoN>NkWy{2CV8z$ zK8PBHMvd>kl=T{+bRdjECa5Xt5ql5`jM*w&g^q-F>0VGh<~T8LS*?d1@%(z-S$rwN z4|Tguh>|yFr%e8DXcBW)&CYSdf-D5V5faE_7^8&H7`8O2o==ktKD8qCE3^6pd@!p; z+vRqJWgv-%j{M00S%UUGT(VIwPk5_6$l-jsZ|RadOn}#VX8VhzWKDLpf?@f*VwXL&8Wd;K^Tk^JA^arK;p&O1 zyUpTU1L3S&$@OG52@bG~<0J2zGLeB-RzLAxG36%4rizhG9i2!<6=hDiKtsX!rH)pT}tBM5xjXw#hRyl@y*&y;DYx$1k zSL6~~9!q=1K&nesH=p4(%Dj6O&D-K6qGc7}J7u9~N)r}Ga0?~+P~c-g)ntV}CMPQu z_e@A)@VWDt$|`vP-|_}>HmGyhP}$Owx(wvZ5CdQq#P+=0(_a|@>~|6FTIh>PP6s=w zuD;XXu?T>Z8Ke757luPn1hVNp$w85>atPKzLMRT9l2&EHDPw(Fo=@zQr=PyKy8K{u z`Qq{l`gD0I!i-r0?=5Ig;BE$JiZgl`>m_6}RziXlEmcm~qTunnxVxr-t=C3~noVaV z!pgx)lB%n6%o3u&AjD2U2rKlrQ{eY9=|NN$AgHa7-7w?HFfl$E=E*TDPUeaKRqHY_joSI2@(e`wO=yl*Q&xY>_ zSsO-E#tB0m*=M4Nhzjo6k=UB$v6K%N=mg(w%_)qO<^W|tbO6EtYX*P`WFm=R)quF+ zj`r^iv;ilRH=_0)eEqnXcpGji^sQ~dm01f1D2RrdV;^0G2 z22Hfdj_h=0Cp9}aXD4AzZm;R`zM<{XjoXVgKruDx2m4x5$k9}<;n;1pMPiK@IJ_%PW>NL=6#s+CZD_$4}eVO-3Rh-@0ws zvuP)z9OK?qT7U$zJ;RR~)>TR56X+1}V zEDfR&k0xDcG`SgzCO{%TUwKU`y*y}-DTU?HC14FcgqVr&V?st=2hosTlr_(hiI9=a zDp-+#t6gt-UqMQ4idr%Bm>?ZFla0`!1UbogX;DpDq*N7_fakf#=gL;bscGVMo$z7d-?t0vKysWX4&r+62xfzC@NQB&dd`5mSx2 zAoY@CY%w7S+vO}FcxlY0R};eDL~7unBs2Q1vTLo1XJQF~Z{9c~Ea>qh2!!DLUbeBeHOla)%z@ho^6i6?pGHrs(5aJCR z<~FcEnVjCFO*>soXCqxiwJ4;;5@vY8{RLb|ol|~tl?C#Kr|9ucTfA)iVtG1W?Vp?- zuONjx%?J)|n$nR#&vRw}Y<1=^*i7nd>c4vEsyZ~o;GPBQAMh&yPQm$d*{ztAXTw&R z64Hdi?TG6)NAEDbSU7sqIkQA!iy2&xdf^KO{}_ovv_%@%K0?`cI|mJBp@#-BEkP5I zBg&>KEWWac?-M=FkWx-4#|Fj~gTUZ&W^M5GTDrGvja^@Q`S{7zXnv&rsHD&{ z6$2?urWCJZdv0xt?Rnn=^`{Mu7=$l2K;`PJ;tg!iD?Lsc(%8K zz9u`B#cI!v&(c6L6R?}@6j$B&11p1L^DJ85i_GrUM2^+1FPgv(Xp$?i!qswhj6Ghc=2sK(gkb)KP_A$UTlrdqZcVGTt@+*1$ z^1c9gknUK#s(#%utu`y*u6%Ep1<`CFmn<_UQFLV=ZQ0W%Np6N$Je88%9d0g?!i)!l zlrOH#=uR7pnz%M7jv&PU9hkJY#}}Wi*{_*<#Pk6RLH7n=>6E{{09U!7z;+WBS(nj3 zhxU$>nI?7z$*iddVaIBwtsrcf@+SfGwid{Pka6SH$cxeN&Yr+2RcZKV#5=a~+G+pA z&PDRGl#vvCPv?jq3$Ushrlhe*T?k|N2*g&P6)hdn-#8bkoUyn_T(ju#OxLW7D(boG zlG0R8#a;Iibq>4p40>e@y%*bu`=jeiRxpTfMRk*SOtc-< z)9GO+xbdK{MjuOO{0{;xesmL$;)KihjaQWZNW$?NO-MRRESHsCqz;1 zi4Z$BG7C~@cC{ja=JSMGN|e@WXAfp)RP7GXXX(!CX~m-2wMtPzJ+YgitPmoEjeKSf z01w6GF$$d8AxnEw=%mdSE#jh8h((C?Z#9jNXu3uX8aUzOlXyEGR)_S`6*28tqN|j4 zqo@{1H}4F`1pE&dFW|j-_sPqLmlEQ*A-!kX*AWlP8Q0Xxa?=fr7@6e?N|6y#lobQr zrG&*NU>*yad^6)HhU6Vye8NV`(hTR~R{tCQjdze$_s#wW249tdSdVamN{bl`5r}0} z69AWNGeIL0l6S_P)=JU`<$(-z4>yW&q3C!e7Avp?o_~m+6eAEs3UQM~(w-WDgiB+a z5?x#h9VJ-Mno}%r8&E5KRct`xfRz~q8jhU;8SgHGXyAF*Z`JM!PuC4Q{=tuax^vreoPSWE$djLa#!&{(^(yH^CPMtDO<4GQG(f+=? zbr$`yq&uepv7qdF2UIuGr4Y;srQlj>5)54vgpoy7A4wDJTttjWuw$N27RbZHwfgx6 zDX2)Xi+D-)g;Qlx_8tEmuNU^H+(O43Ib^bA2}%IzNwB1IjeqaY$yq$G1n{NSK9#*L}8C?&EC+6CBq{4ak);T(LhQUh?+tD z_uLDjkdo!3!wW*8Fp6qTXX^>13N34mefQPHV;c+m(n{{I`yJoRM;UWSp zR5E0_bYg-;)$L5+#YW7p#zW5cmZ+QtDNVv54T7h`AU=q(gL}pSSiaQgmKCTJU%!V6E4FcW4)u+lwc4@niJJ*fe3U6;k^$U z2IH@C*sRwL>f{Jg3J0J86Xy>nTxt6VNGU1t2}Tk&)3kZ9OnTb9KmnOQN~aXUx?GL% z&6!9r8M;WID)gL$M7XvHedzHOv`(ZmN(su7V>}@m$l10FvbUUgTQr);evgqbJXU{W zLQ;5OK_m!1QX~qJ@WuTNr-#zC{v<6$P0cn$j8suuQc(j(G!so|H0wMJy-dZp&YEaI zEzf;QFXr4U`vPvQFne}r!Mk6Qzi7?)^z!33(R%Un>D8Oe*}H(%`Gd>#J1-ycaj+#U z`L0#**?JFUgBK?9hoUa46#`UIuQxe+QHELzN|dt-Q9{w(cR5s`3qcwufJ_&*w}ej0 zh6=9AKMIhUd`^9W7Z8h9zwVgPniZ@_&{45+d9)>Pza`8(0KBwP&Yc=$_W8Wr(JiGT zH*&y?HBH)SuskW@VwNC{deGHc=%OV8z;B=TW^luY(Q+9?ZFnCds$n~Tm4@{uIE=SKX~U7d4&((*m~m~ z-D~X|NSBUd!_fe-}<31{Gg0+N&fpB{{5nT=AYa6 z-}HyR_s@RrFTB#5HW*x7UcB?T_sTEh<9E%UJ-@pA%H&(!uK40-zz`%`7gfm z9F@|@55sJ4;JI+z3Srx^ckG5(i| z@xNS*|8g<@SBvpqDaL=b82{_V_^%b?f2$b(+r{{=7vsNCjQ`zY{O=Xxf4><22gUer z7UTb@82`t`_-_^C|D+iI?PC0&7vtY6#($?6{};vhzbwYTUyT2&V*K9}<3A|If43O_ zcg6Vc732S*82^vO_e?@wj(-_!fovhnx!{_AY~eZ3#~T>3!Y-@B8If1vkCHvU5IFK6Q)?EQW={-NHF zzM9_ehkNsE{3E?jvhk1h{%SVe|Bv;~vvI%o z4`<^)-upMR@oT+5$i~0W`_b=7@Ar$nZ)W3P>V24vztsDkZ2Zf;|1=wK^#0#$e5?2R z_ony%a_{YI{Ce-tW#ikuzm|>P=>0)9ezW(Z-~ z>iuCh9{1k({`CHvyHW+Pr1$%3?@l)UTJNK5{42fR z&BkBv{he(5jo$ZuA-&%>dtc4QzuJ3}ji?JsWaHi5 zpU=j3dVf6|@Adv(Hs0_3oz?Hon_?nT-#6|6(>i?EPoi_^9_iKb+q0xc4jB z_@wtd8^6{2oou}7{a!Xc?S1}7()*qD#@YD1_b40R>;1)Se82Y}X5$CF|2-SO-TUPq zP4EBh-rL#u*Lwe0HvSX6edk>3oHpKdjnP8kT1B^0aj&VkOG0uo5#u>50I3rdV zXT$~Lj5uJNAveYua$=kz6UG@bV4MLn#u@NooBULk*NX8k6yskk#=lgIzf_EWxfpL0 z<6Fh}%f0zV!T(3_lxnjit*iId{B%Ji}6u0 zJ}$;5#rUmayeh`0|37Egp}{X|g(O&VbAAG}=sdyW8Y4yL>)_@;14r&*u+>Gzqb| zLR>D7&1g3H&GJ^0*XJ?WOCU3-zK|gH(LW9W|g4@wHQ>(E+u z&SVVndi`cc!0&TfomQ{W>9$w`HnTiK%<8omeP$1zP;Z88&nIMW+!nX&pjVkcvD?ia zkNn(##qBaW{7$P${f=KgV77$#Y!;){;kG*iR=3k;aySA`qsMAC`)p>1)8^MtjU+%j zIpaR{SZvl1d4tdH_BxC%m&NI~`ixGe(e3oxeDWAjm&a{Xzo&g`w>%y}J3|4N*X<6t z173^8>+`t-@<~TPPM2L$+i!7L*|E0r9N4bfCtNna+iEvC<#=6Qo5SyLdVDUo)8h&F zEmoV=qkf`ATYqagJMsyeDa37eyPX!B-52oN{T`Ra=ncp(75MFL`Kca{)1u`X`GmKF zo&l7s<8?UPMtLBj&+YTM>;Xw)lS2-{?6vvK0lS_6K2NJw&E;#%t`MWc=k?oNlAj$; zr=+RX>Tv`d9-ql&b;{wB#_kqAv#F`c=~j-$7UK7rj25$G7PHsou-Y6JpT*^I*}QJ6 z!)Xk-wd41;XcyYoLjc^x6U@v)8JeTwB2GcH6Xl)V{8<*Q*?tJ;d*~c>T>-eb4QxA`QEJyxe&0ouD|nqS+M zfXihvd)!X19Jt?Y3`nl`n>}7BFkB{!(dE_N-mZi8Zn;imA8q!4-77n7@td@ibQw(+ zDMH*1_1*qZwrw?fy{3Rq{^*u7V78d7HaQln%W3slO>U!hnEsAZ)@oaqvcT$>^A&LW z%u!v$?HuLxNHcHTE`droCO>>^J(YCYkc|S?zwSQPRR{m(N%OCU?NB zo!%xb-0jtD{4!z9lMe!J13Y#$ce(auK_v z2=trm0r|0UWxw0`+j?8EHx`r4Cppk(w|Jdim-@>%F6C*-W+`Mn7OR$RBpduCX{;uH zz~Qx+By4B_~d6Y`TXO?T!XS7&cZb@&u!xfM-<<$1RLp#o@*W-2BWZ8w^Vlzq3 zbxH*xXVWbi(rz_MNvs}gCm-n;a9O2_cgnjhMyb~9X30Tzle|spUnv{3a#TN|Qbo&= zdEG9*&usNMBr6y_PLte>Aw`2*Cg9ag|56IrY?7m_4%r)vq=w6GkuqKice}^pcbGi^ zE>wNnQkNQSPWeWuFr*Tc0>~?E2a8lVN=4<>($DuxDPWhvTxvR})D_a|a7$U~G0LaC zvS)tDYg(H4eklc+U)Y!C9Bx{eyhhR`9U@!Z2_-5zgao|zmx)YX^VIR^5tH; z%Wjq8)a`I7tsslVV==nzT7mRer9i-8u}W!cvYM?{DZ6AR9DZqPm}EtQ-RcWyY512? zz~#5f1GjyCwUr>7_qZgJO9pTmT{ffLq@DbB9<3PAa=XuD^*f}9G<)o3m&xk3StNbj zc9+HOa?4I?)yXfVfLX2xhh#mo-)M14VQG^VmRl+mi^V4;A+`K3rGU{RmzPhPXC|*4 zxRi>LL{2#kQr&r^Qqhj~Z%YCBdb8as*PKaCf!!k~(_!;Vo5=0(8{HP|;*e6nsO`MT zV>Nk=l1LsYFD!PO&t;ZeC;3f6zvKlq@#XC%?d=YWRLd5tTk^YIt&SWHrOhe3=ro&r zcCAd6x0|)MOWw1|L3({|XTU2>8*r+x$|KBh!5>Ad&*(GJ|j9(hhQq>!kT1UG=v|@?G zk;bIYWtAtko20Cu$#1upnZb$@p)ZRMtD4ChsolyS_4uG%Awe# zU1_y@&3e;P-7AZhqBc2+Hn-g&wY*h+ZCI*qmqoIOWNN7dZM4d@@33m0@R&RSkCfPE zNk*f^roLJem)J#5?SAHhLVe-jovr1v2 zB&)@&znlG+(`J>%l2bPCl`GNj@p!G$%rHyA>5%4*cAndN*>|IyAD2_U*kQLxFUTzo z9fuU2O2H)^rGQrSwD;OM(twmEvLX3{-7Ga*z+?5;-4?&cYd1T*0qu;n_d2w9ORZ@3 znEW1#(#Dn~wfJmOx=K~=lrqgq=9h|r3?v;GztL=yCap9XogTU71Cr-$($I8EpMVT1 zZzuOy0vi+&63&OE>}SMb{2>9 z>7<9^v6zh7N&2PUaXXcY&nefj&uuiitg4Bb6fwNNHk~b}SX_-?YX}&X9oJEET;}YXPgpCxwA@PyAAWO6Iq@%u+3C8SQUA zC0zxFLrQTu^YR>Hn?<^oHiuh2@0AL`Cp|l@glPBIAF^4^Ar5Jz1&j`9!gy^~X|GAG zFXzuC{Z)5BiWn^iXA1PW^UiaFsT)x@&T?MB+&v&bJOYw@k%;M*0TlNO8?d! zaJr=DCDn%6DxDpVk(6!kbGKEF*&_XRxhSQgl=h?7ELEySDr>jd?^Lcdt5Z7V>LDvX z{h%Cih)D`Yxo#v4%|7YjI6VPrlgVi|N*7d4Il}|Lbk)ta5StvE+v<{jQ^4Vu^(XBT8jUh_!Fd#LLbm3%J$04Pj#VidfznnMed~@QIPqb_8SK8cGQ;1YDHtAUS zJx1wu%g^pgPM2nmNh&;PSlj)2b=X|SrIf=kO6ON<4Tp59mC*^e^simg0QK6GAt$9* zpygLRq3kZ{n=74Ni!_)eZ%W(4BJBj_UnyGM9*3JR;ChfQxr{Q%MJ+>2a?ad#X>Uuj z)Fp>41(5Wef61)s<>NGkI89P6O2fizc1Taw>u{UR78wk&8I=x|mBLc;l8jBs{+s0Y zRAh&o_JE|S+bNf_Nm{w`13^wnF{!cr0kfK{?&iuWY59ONM0)E+8H$l)S5CGhlJv%; zBc>#;%P%KSeg7|CV6%t#q#TlA7>jhk{c@O6|0!*1sWGJoBi$jbnw2h0>(J)@w(=DY zV~ElQmG+cNlE)(hYVvux!0pmilEE{j->QDcUyc5`TQqFhQSA*o93eKlP1^U;^fJn* ziWI#zuN;?Ld48{YX0>YZuf9)4bp~gbV;mMwF{b8qt2YG*z4q`95hF7^OO~XeYCEXv-EII%^-0-m?@Ur9UK1U7O@MDW(0gE?Mr8 zXsC^#HuQC9(V~lth=#WR%S)jh)q6qz>LvNf3rV)WeVf0dEQ43>8I||3F;6RZTi@Tj zq8u6jCG?)wXVri_2er$(*aTLzL<+u&6;zN9o5oO9- z*^l^G2+Ls|Y=v`CSx2RO?l@k-hxi^NMr8X~25Vt^?2DsuCN9UV_y)s8(zY8H(_jeZ z$8uN~n_?FnjLNzz<#-n22HcNl@ix9fY!p~gW)uYO409DF5tl2v z42F%0c?^c#in0vhsA4{Y;hbWAgW*Ulj|>c~{EQB62*6MGc1J zigI!?Di)XXrdUGGn_@|Wp@?EBsTUPX%Xw2QV=y#QEGy?rQ7X;$igI#$D#~>KV8x0C z!&t>i2E%m4%2IAA%5?r}MX6-BDpoTX_A6F57)~lm=D4I-(_px*Sj%8|s#x1#Q0oJw zQkIMuUZ&xrE7p^Is90aFGeyZ%Srr=^3}(ef217nYx4}?C(PJ=FQuIpwt|*z$r|6gC zQ4C1_Q*3N7bWv<#Fbq(X^E?tKDux;ivx%4BDn-e}8x)%v4BHf?(XgMttMyO|gW-%4 zw=@{8@%lZ*R&t!g?=W&id0oCY4klG>Eytz*w$dkr6`ROi(-2@Ud0XuLup0% zd}YOs218B7PSQT$^`_WPv9rO@gLohgSL`C^QBfK@lNGy3zU1$#a3k(il#6!1Vh=f= zyna!!r@?STQI7u+ufJC8WiWhH>}@c7SL`F@P9#zGJ02!i>}xQjQ|xCjoA* zttwenRkEO}A0EdnV!>XhH|($D&voD`Iu5i+cN3x&Uf=wZL}R z1$*HD9EM|X63)PRsJDw(5pTe4xCam62|SCJQMs=`$;bEb3BE$To&AkiZ*NCa$~*a7 z9882MFdb$=y*+Lrc40v*j%Bej>h1OV#9j==R@ec%VILfXBXAr}!CANfm*E=RggbB_ z9>JgRJnH>}Tf`6W8NR_!_yZ$F(9$yoD)(b3>6-*oVFt{GxzUDsu`rgz@>msXV?*>~ zGi-yMum|?TAvg*r;53|ri*N<5!!5WA58yF8jTcd`hwczR!WXF5M_-7;Ddn`JUlfdm z2{0L^!AzI~P3XY<2O zVr8s}_0fx=*a|yfH|&Fha0HISDL4xk;4)l;n{Ws2!z1_;p2w?r3m@P!e1ppUN6PX4 zz(|p`I0nYUB$x^_U^dK+Hq48Ku_Ttqs;Kv)8xs4m8MeVr*aQ3F5FCXQa2n3RMYsaj z;TGJ52k;o4#*26j@8BbRf$#7OhKs`WkNWsP0^($t1~Z{PZeSvIV16u$rLiJb$GYgo z#@GVeVHfO$18^9Q!AUp+=iw4ug&S}i?!iNN0?*=Qyn*-d3BJM)sN8?1X zpj@u%@rP}vC*}1tm>F}SbfMMH>Fx6(#HCTXpz7aiqI40}*pJdhQ)B5usY+KzwGR$P zy*)mWcm~eLWw;jgcKB}MLwFL;iCmv?=b{bzDlemxR|- zV@5iX)Tk`owy#5kD;CIyfFEMFsP|Kb5|6>jI13k|-alDStd5Jxe(ohcf~QdLms}^lhx+)~8{*HX_eY}A zj@HM^5)-GwjHvfR%)~A%ge9>8>iv&;#9q|L&)N`oM!nymkE4y?_3=0j^>H-4zp~FGTy`o_#E~2|5xG&v{xnh)btA5UXvtLU)S3MVc!>@zbC`Am<44DMg6=!?kZCp zYFrd$3PO!5qf8N~u|D1!AeNzK^>rB%SM7#f`_GiMQciJc6h2B3{RP_!QsZXVl00qtb3up0}fv zlZi1EW<-6Q-%RYnLRb=&Cl4vx(Z~1Ic~XhJye>s zeLR0PuW!PgcmR*1K8}BdIBY%%8~>x_#_yefn{j^H;IHl1ue~lwqo%K3PKPb${^L%@9)%Nd8qUE*sOSIS{Fin<)pZ4Oa{G{f2jeK5i2A(OeBx!e7PsJT)aScS z5}(JP@eV#leV*$h@efqjA;|v4qJNVR_4%#z#M#h@4lIEByq3B?LAFGN2#d3_PC#0|I|_4%u##HUeR&mh~oL3|(ed8@a?Uodhn~kh$~_ZtdHvY2icxJKh>7k)%6eZdT-)EsIP<2=cT6e z`aE2UYj88_^HB$hPvAMcinmdphk8l;0l#A;`ZqCApMOeDoDQ>MZnR^5EQV#V3f9I( zsLwaGB<_ISu^$daeV$2OHzDabi`N(83S5u+{L)_HBX|lg;&s&Lm7Ws6!Os{@3Vx-2 z)z?$#^GS($Jr!oe9B4)t7Q&KP0jpy@)aQ@%^^0wIy)*X0fvC?LjVGRlb8!i-Mt#0$ zC-DJ1j%V=->hnYoiC^G*{Du+f*XZ*@@rjdRTFinWXv2J16w6>`tcCh~P=L4vw#RPR z7xj6d(ZrK*CN99`sL%gwCEkOF@h7~1a`n8Q}D=T?@18&ECcog+@E0>6G;C+0CZ&6>b5}x`n8pg#Wm>M%-PPAYiER3bFBG$nA z=)-2%7WH*0y@?0mNSuJvQD2X;lz0tp#$9+2^>rxch_B*pe1tDiUw@*myOi@AiTW@m zCO~!lrTje|aaPQYcFd2(uq;-=+SmviV@uT6nRF-aheL4;PDXuwiGDxC3SM82+i)-H z>q<@$U&QNp51*pGp5!xeIO@%)7zY!hzK$d#aSk-23kzXMtbogz^26ZgV_ zI0DC`zFuT5@e*8(n{X%U>qL$dpT#S93m>AsKIA>|H;l;ma14x(`nr&`#91%|ZI}=B z^&n-4D`PEehym2sfwU*?hJA4ejz)d`$4ue{xE$BvR@B#h==ZNE&kY z-$%2Ucol9$eI3Vs;$wIQFXK&ofY0$Ae#HpUv~<$%(@~zItfX5~OoN#*7g{kd7Qxb3 z32R~l^rL?NPdnnS*arvWD4dAObDNd@n~%$IEpEZxcnDA8dHfmg;A4D+A5nP@wDNuG zeMe%f=vtf*Q($_`hDLN?0W6N?P`%$swp)kTjZLr>cEldoABW*soPx7)5w64ys63xq zIj((p6i?$Nyn*-e8NS6Y7+yL!%J!mRTug$gF%#xQ3+BPXSPCm*4XlqoY=&*I3--oA zI1(q|bexAvaSd+9U3d^r;5odCxA76a#1Hr#BgNFxDJCYsREQ9c(H<6vS; zg&8pin$d-Yuq0N%>R1oG*c97fXY7RoaRiRXX*d^`;A-52JMjP>$FnHSAN9B;o2dTU ze8;c7@3*es`?c*z(yQP9_x`TWXZ)k@%fNQC;U7Kk(V6e*g#&Q}jz@jIV=nO$T#fqi zN%mCJB>^VKbeI+O;|k7OYU%agK5u!8@f7ubP`P+s5WmN77?JUW7#JUuVOq?BA!x&V zSQN`(Wvqn_F@PW< zf$@YW7#kB|O3Z-S(S%Mch$XN*R>QjJ!BA|CovY19%+I;uXAw5Ag-Q$8Q*s>m>%p$7GlmvtS6?FdypU zxn+neV=Zim0o2EF+Y@&~{dpckh)1J-U*k;T1*qOfF4x66;;py`593dG0k7d*e1fm> z6B@W)qF`)HgefrtW=9h`u^^Vf@>mV)q6b5Y(z~}f5zhVTg$LJUjlVTdojJeQ?d9etV#!6Td8=xPXV>|4MeQ+?2LiIj($uBdA z=i@S5i~9YByNM6sNj#4~;~jjAuka)Oz{reK#=?Y{0@GtQG@=6wU~w#mRk04bu?e=q zj@SeH<1ieHQ*bse!j-7sKe(NEA0EZicnS6U2JaI;!?*Yam1lLR{aTERNia2L!klQq zJXjb@VMVNg_0fmTuq}4M-Z%(H;sl(I^KdEZ_XBPw-h~J81fE0vKET_=kMJda!0#A| zamkpN0Fz@n%!;|uj`^_|mc=Sq8yjI`Y>6GPJIZdU=k33x9-hPTEW#D|uU?P!zq&p# z*v{9kr(io@ONUj|pBr%p?#E+z1~21He1OmK9e%|K)IZTN9wx;!m>F}S74u>dERB`0 zCN@AnHph0@75m^|9EB5c2F}N2xE8nIZajo1@jU*FcknU3!jJd^BUAsx!i1Ot(_=O? zq5}(HaV&>bu@1Vi3AVzH*aQ3HFdU0ha5gT&mAC=7<32o!r|}Zr!29?N-{KbxPkk5- z<6;s_jhQefS}+e5#!^@jYhZo!VKZ!tU9dL}!jU)ur{g?aifeE)>i69rBtC)X@G9QM zNB9yy;CGC~ykSh#@3T)%oDQ>MZnUF*e|<6HvRDOcVt8Yo%0lQ;A9E$q=^plBa z;X+)2>ruatelPJ6JcSqWI_me&KP7&HpD`Tsg;7zzZ$2?`D$Iz=@4G7PW;5#d%NHUp zi50Lq)N*iP}1=p@l$+*pD`Tc5>YV@CdO2l5p!TLpK90XZ_TIr_~JYA=NAme`G|tC zFd?SEKkE4ot=N7??1BAp7>>m$I2#w?O5A|kaUUMV(|8GQVDPyCTE05Lb#@M~;%$6{ zFYyC@$4Io-V`2hKj_EKf=0-c_$6{C(t6*(xgpIK!cEIk~4~OCyoQ$(@A+EsnxDEH> z5j=$#@jBkar}zdxV>sIBQ85lC##ERQbD$YrSO`mE1+0$s(2Gs64R*#}I1oqRc$|iF zaS5)*O}G;e;Bh>QSMU}-#25G;zhOk$>oG7sCd0It1w+t=`LHOK!OB<*8)5)kV0-L_ zeQ^km#z{C67vOSShg)$E9>$;W0$#(r_yk|$Cp6GbkAkr=5vIi8a|5(;EjsP?c$gH^ zU}nsPR?Le?83Hj7&cu7A8df`Ct0;(6jNn5gk|n_2+(-Bd&^d(2Y${f8JL|;vU!^hv8V% zpYt`FcoD9|4Y(cm;ZZz|m+%JO$7lE!zhL-iT0V+~aWM&|#!Q$KEtm%jV=2_1|6YT* zKKig3w#6>k8wcS?oPg7D9xlZ-s6S_Z7qR}l`4hzYbLX!T-^NF%KZpJU@pp{G`p1}< z0Fz@n%!;{DeIC8^8}bvY&!d;PEO8aAjg7D|w!{wD9sA)>9D|c_7B0jUxE{CRUOa-Q z@FHHvd-xRJ;Aadc6ENy}K8%BjF%@RS9B4)t7Q&KP0jpy@^kP$NgPpM#4#W{S9;e}4 zT!O1{6Yj(VcpT5-6}*KH@ddueZy1sFk1;SlCd0It1w+t=`LHOK!OB<*8)5)kV0-L_ zeQ^km#z{C67vOSShg)$E9>$;W0$#(r_yk|$Cp555G784VM3@pYV0JX26ANMqERWT& zE=sfGe`;8}V>Q(8 z%k&V3Vr%S#J#hdI$8k6n=ip*og&T1P?#E+z1~21He1OmK9e%~&{g>Kx7QFvbONZ0+ zn=j!F4BmgKrO*FbxvW3mb{FO90X&BKxcw#K8+ad|;ak+lLBmu2M8mk41XE)s%!wAv zgN3maR>T@uAAQ&i+hP~&je~F`PQd9n50~N^+>E>MAfCW;colEsBYcS;@H<9goG&IO zz~q=)yu+5-VVJtcPA~ifynn_QHWU0>|StoQq3vHEzP4cmR*% zS-gU`@FBjy_xKGXGR_wR<6|;Ri&-!PZI};>Vi~NAwXh)uum!fqZrB%x;AotLGjRbf z$91?B_uygt2`}I^yo*opHGV<^<9tytHYUQ9m;tk+37uFFOJI4dhIP?{q1YNbVNV=@ z!*Lu=#W}bbSK&t7f&1|op25p_6CdDne1~5#0^^*~F&-wxG?*E4p%wFD5iE_BuqHM@ zKQ_m9*cJQWU>t=LaR$!EWw;i%;BGvGC-FS~jCb%czQT|A10yre7Yh?&3QUjL(1;E! zfW@&KR>eB##wOSbJ7N#)kHc^*PQlr@2v?&1y9nEf_u)}IjhFBS-p6P77QbM4#`&UQ zTug$gF%#xQ{r3;@5EsT$SP^Sree_{7Y>Qp6Hx9y)I02{QJY0(U?;LC<-h~J81fIjI zcpD$#OZBhcQAj`1)lroqgZ z3$2(Ji(qN2gf+1N`ms5-!>-r|2jeK5h%<0LF2l9B1$W~iJc;M=XS{=t@fCi=9~hZ- zXDm#JDKI@|LnAt{02aq`SQYD_8=GJ&?1(+EKMupOI0a|pB3y|Za69h9qj(xG;SIcx z&+sjN!SJ*~EFgd2fte6|^m>-K_S*(J!u@N@Lme>KiV?P{5%GM$Ca`bYUSZi50Lq)Z2B_?QgSVipWR8|K5JSOzO& zEo_K?mgibtV}I`A6MT)I&_H`L3dY7nm=ZH!b~K?A3t|Z@kJYd)dN34QV<+s118_Kw z!>Kq27vn12h&ymU9>X(u8E@hPe2(w%D@LHb86D$cQcQ!HQLbgQ3_O zJ7G^8fWvVdPQ^L67+2v&+=2fo_qYAK%Ey1#_co+H@MAO7f48C&aS!Z=LvR%S-oFEJ zhV5R)oA>~qqrOf**dNx)fna}FONU^8SWAase^^V0V1HOkhhTqLONU^8SWAch_5N@! z`o&hvi$$
    GRt0R7k;+hJGigM)DtPQ)2FAD7`;+=9FD5T3;I_%q(Y$M_0AVz8a5 z<@N5i<71cUkUx8=uQn-2^-9uQ@e-GwQ?YyKWpJu|GXu&*K7)xPAtbz5>ht04pcER2_2uI=soR0HwDXziI zxC;+r@P1|OdeMtu=PSo;U!9<2am(b8s=P!i~5C_v0}< zgO~9pKEUVr4!>dq=D&jXD{JR3c)zli4u97BmH%Ds+<({i{#oZ0^!r|ZZN4|y-_x$6 zKdQeMY)@QV>--=xzUdKG5Gu+Ej@$J|IyMR`1~I&9sb?V|M_pNcNa76 zxe7Pp4&0CW_-b$-U(1idb?sU@1lP4|>F`_Ewg0!4CyOXAR^Y$-cM1O4adIc) zmd9#X7d;q?t+5mK!~r-Q$Kh0*gNtz$Zp0n9ACKV~yo@*T0Y1lf_!T2CjvO81VNy(k znK2hyF)tRu(pU*=VgvMJb8LrQu@4T$Q8*E2;Cx($YjF$i#zS}#&*RT{2Or}r{D?m= zGVStMm=IH7dd!AKbYKB2j^(f_)+=oXo_`DIV z9N)}+FT3y{p1^Z>6>sAse2E|MJ4TWMUwQsnOiX~uF&$>b+-S%ASPaWz6|9Yourap8 z4%i+0;ZPidlW`U<#1*(6x8Ytqf~W8zUdMa*6yM-y497TdRE&d(F%@RS9B4)t7Q&KP z0jpy@^kP$NgPpM#4#eQ^y=(cmJ>&Gu@XX!Nd3yUchU37oXs3 z{DcP9#YDl_mvQ{esdC)5o*^-u%%&yFM#9{jGGE6?3B<^J6hAi&d~THp0f(5<6gb z?1w{f3{J*bxDZ$1dfbM4@d%#6i+COH;ZuBrpD`T$si+tS6JsjOh&j-VE-Zv4u>w}d zdg#Tb*akaeFC2&?a6C@Kxwr&Z<0jmR2k;8PesAl zmBUEY>w@)EB3*`I0`4?44jY4a4l}Z-FOI3 z;(7cT@8Dy6g&*+;My7uk3lm}rOpn>nhz=}(#jzY##X9K5CfEu)Vh`+(!*DE4!P&S7 zSK$51V0I?1H^< z5RSwNI34HVQe15j=$#@jBkar}zdxW4LHqyE7`r!NiyfGhz-j zqYDdRNvwd?u^xJ{DYn7R*b4{Z2po^oa4s&v)wl_F;sHF4XYmT&!iV?*-{Uuo$nPb@ z!1$O9(_$73K^x}7qF4qiV=Zim0c?Tou^aZqAvhW*;Y?hB%W)lU#XWc!f5HoR4e#O; ze2t&b5JSsHQ7|?p!jzZ+v!e-}SP)BKd8~$Y(SxDb8arW69Du`d98SeKxENRAM%;n> z@fe=L%XkwX;B$P3Uoir|mk=G}VNy(knK2hyF)tRu(pU*=VgvMJb8LrQu@4T$Q8*E2 z;Cx($YjF$i#zS}#&*RT{2Or}r{D?m=GQaZ>3lm}rOpn>nhz=}(#jzY##X9K5CfEu) zVh`+(!*DE4!P&S7SKk8wcS?oPg7D9xlZ-xEXihK|F!y@G9QMNB9yy;CGA^M@y%em;jSw zI?Rf>(T@4C7?#BzQNBJ zE-v*y#=*px3NvC3G@}a(VM(lj)v+FWu_?B}&e#hF;s}&(L2!KR{}~^rfrqGB9OjHxjA94+!2<3GXYXld#3iS#fq?h^%LVt=LaR$!EWw;i%;BGvGC-FS~jCb%czQT|A10yr; z6AKe!3QUjL(1;E!fW@&KR>eB##wOSbJ7N#)kHc^*PQlr@2v_0;+>ZP3D4xbkcmwa_ zGkl9*Fg)Wv(J(G1!PJ-ubD{-K_S*(J!u@N@Lme>KiV?P{=)yu+5-VVJtcPA~ifynn z_QHWU0>|StoQq3vHEzP4cmR*%S-gU`@FBjy_xKGXGVT)t<6|;Ri&-!PZI};>Vi~NA zwXh)uum!fqZrB%x;AotLGjRbf$91?B_uygt2`}I^yo*opHGV<^<33R^HYUQ9m;tk+ z37uFFOJI4dhIP?{q1YNbVNV=@!*Lu=#W}bbSK&t7f&1|op25p_6CdDne1~5#0^>f> zF&-wxG?*E4p%wFD5iE_BuqHM@KQ_m9*cJQWU>t=LaR$!EWw;i%;BGvGC-FS~jCb%c zzQT|A10yr;6AKe!3QUjL(1;E!fW=X&&0v4=|LHIOqrZRgM?LRGUsq9-{$^>ci2C!G z_4WMvbD4tcE3|waTwkH3LvVeCmJY%76ui@V~acB3R#R=lvM%oB3-@p1_d*1gC#*HI0J{k)XVhT);+0cj%EP%za99G3T=*A}43OiyC?2p56EKb4M zxCmF`2HcMO@F7VUobr5qR}ueCc)I033H+a^I%~tg%z;|)<+*U!?xH3 zd*dJ+i4$--&cmg+1~=m_JcuXo9A3rS_y}L(2mFqa7#EF+2{1XP!>pJa?U)~nVOgw# zwXqR4#+KLtyJJ5bieqpx&ccPb0@vd<+>1x>6kf#Zcn_cA8~lvn80U?OaWFBa!i<;$ z&FI2HSQ0B>b*zV8Y>I8LGxoxPI0DDxG@Oe|a5Zkiop=C`<5|3dx9}mp!1wqKBeGsF z2FAx^m=?2O2-+|o7R54H8Eauf3}6dvkKM2@4#Ckl31{L0T#oB-EAGL=_!C~hYj_u* z;A{MZ2G$8i!PuAxQ(^|pjwW@_yxnq)7qQSFfJy+)R+l#q6PC{VJw9e zu?E&hA2!3b*adszARLJka5~PzrMLz+<1RdiC-59z#oPD@U*ZS+j*;SP=@b(aU~)`{ zSur=-F+Uc=vRDOcV7uE%Y-7mwg6yolHF9zMl4_!+|` z(9$O=#=*px3NvC3G@}a(VM(lj)v+FWu_?B}&e#hF;s_j%({L^>!PU44cj5s&j%V=- z-ol6Y0^j2|jF?bMrx+L?lVMuSf+1+bd{`9AU}da@4KaW%uswFezBmL&<0PDk3vfBE z!>zan593dG0k7d*e1fm>6B-g}=@SKGVh{GxF3(<8N7@)@c}-^clZ?}B-YX?I>y7Km9E_uIBF@11xD40g7Tk@8@FbqcpYaYp##i_ee_&);bD=y>EEXoj6qp{f zp%EQe0E=TetcrEejZLr>cEldoABW*soPx7)5w64yxE=T5Q9O;8@CM$;XZRMsVECk3 z`b5LHm;_T}Cd`Qz%!7ro6jsCETI1iWN8r+P#@F1SRb9fbR z<0E{DAMiUyN~Wb#OiX~uF&$>b+-S%ASPaWz6|9Yourap84%i+0;ZPidlW`U<#1*(6 zx8Ytqf~W8zUdMa*6yM-y43}I>pQsoI6JsjOh&j-VE-Zv4u>w}ddg#Tb*akaeFC2&? za6C@Kxwr&Z<0jmR2kf23AK^>Fzf$MP_?!_Z`3NPYyyoXQm z4SvRO+}{-y<6vS;g&8pin$d-Yuq0N%>R1oG*c97fXY7RoaRiRXX*d^`;A-52JMjP> z$Fq0^Z{b6Hf$#AfM&!Py7#JUuVOq?BA!x&VSQN`(Wvqn_F@P;8}V>PUc9t_3S*a>^$ z0343va4OEh#kdML;tt%8$M6hZ#+pW{3HiV>K{j*js#DW<{9mRcB8yDe9+<@D0A0EZicnNRdeSC&*@e77${yG}Q z#Uz*-Ght4&U>+=trLZE_!20OJX4n?HU~e3RBXI&w$9cFE*WhN{g$MBjp2Mqn8z13I z{D9vv67$$GF##sWbeI)$qaE{OF)WK!ur@Zr#@G@&V0Y|?LvajF##y)!SKxZwhI{b{ zp2CZG9q-{&e1o4c9P`&vF%BliRG1NSpc!3Q2uorGtd8~2i%qc&cE(;f5J%v6oQ898 z39iOXxDyZHaXgDx@D@JA7x*5(VMOM!V_Kq27vn12h&ymU9>X(u8E@hPe2(w%D@I@*J37Y0q?iUXV=lB} zUMzy8u@ctA2I$A;*bcj59~_LMa3apY`M3<%;uhSEhwvnx$Di>IKE_x05r1H0=CNa8 zLQH|_F&i4ufd#NQmcy!82i@2NTVY4+f&Fn9j>RcB8yDe9+<@D0A0EZicnNRdeSC&* z@e77${yG}Q#Uz*-Ght4&U>+=trLZE_!20OJX4n?HU~e3RBXI&w$9cFE*WhN{g$MBj zp2Mqn8z13I{D9vv67$$GF##sWbeI)$qaE{OF)WK!ur@Zr#@G@&V0Y|?LvajF##y)! zSKxZwhI{b{p2CZG9q-{&e1o4c9P`&vF%BliRG1NSpc!3Q2uorGtd8~2i%qc&cE(;f z5J%v6oQ89839iOXxDyZHaXgDx@D@JA7x*5(VMOM!V_Kq27vn12h&ymU9>X(u8E@hPe2(w%D@I@*J37Y0 zq?iUXV=lB}UXErAA{qptLz89Nf8|;j|a3GGr z@i-0V;u2hqn{X!{z~gupui!0wh%fLxe#3~2BgeqtbJw)%@{fA%+MoTp|FiF356aDc zI0Q%G1e}I{^zr=B+b_>?RK?oZ5dGK;+h8aBt?4y~&n?0gxDL1AEFMyV-v58~ z_x)M(%`x)NX}pO4>Cek)LH(-VN3YMb>+OI6{Cybeze_ZUSihfMpKsUO1FQJ^2GoC_ zXbf2_4xnFy!(GjdGepKpZ{q4Vh-iiZ>=x?*>dkI-y4DJJvzq2q?iUXV=lB} zUMzy8@%MU8$p6~;{%~IiZ~Qix|Fv}bca5J1)BnFS{bSM2`L%iIVEacq?|(1<2h%B- zPD(rRADxd3<|i$`{Im6b@VX0LcfaR#cZcz?NB9EY;qNtG7R1b;VIJHNs8n_4<7XWi*K+=_efF#d!W@EYF5C-@rwsP&sAB53er zjfc_%SIej0I{zoPg{z;7g|ZA@jZsU#=0k`8mJc{}{woAk}@IF4nx2U&wg3p7|@Fj_kNw>%H#_bx{YbKU&j z>mB;B-61#%C*U-kgL=83w}bxKe)b)<`$zYSOyRWr<-q({6!rCAVefOP%irCo|1RX8 zWu2>j|I20e?*`t(C-@5W=S=DM!9<|l5DnvCB20-HFgu#ii3PC)md9#X7d;q?t+5mK z!~r-Q$Kh0*gNtz$Zp0n9ACKV~yo@*T0Y1lf_!T42UWktIFe#?N%$N(Um=}v+X{>}b zu>tzAIkv;D*arvWD4d8ha6T@>wYUX$;~_kW=kaH}gOBkQe#9RbnRZkxOo%BkJ!V5A zI!2H(U@Pp1J+MCx!?8F8XX7GVi5qY`?!%*a8ZY4uypPZDEq=l9QZXpw zO3^SbCc)I033H+a^I%~tg%z;|)<+*U!?xH3d*dJ+i4$--&cmg+1~=m_JcuXo9A3rS z_y}L(2mFqac%D*BOn}KT9cIPcXvh3m49j8_tc{JZF}B1G*d6=fP#lAkaTYGb6}TR^ z;a)s~r|=?P$9wn`-{5Bq7e&iQQ85lC##ERQbD$YrSO`mE1+0$s(2Gs64R*#}I1oqR zc$|iFaS5)*O}G;e;Bh>QSMU}-#25G;zhOk4rxXL@V=_#OSug}`m=B9$8LW)8uptJp z1-8d-*cXT3Xq<#IaRDyJb+{Gx;9>j;FW@!2i%;-1enLYuEgwa}*q8`YVg}5PCUjy! zEP>^*8rDS*hGJ{%ggtQp4##mg73bh$T!kBP2kysXcm^-yO?-gQ@g07}2s}?II>y7K zm5+zfi$RtHbmWrX0ge2Bl>z`J$ zR*F&>jlvK@G73X76iJvQNfIhUD24P#lOmMv&*z-icl+Ll@3)Je`+nSy$L;%QJ+E`F z&)(;I{W;flo$Kse2eWVp4##WodMv;@@LqffXW-NLJid&j_%<%XmAD$$;YR!ccj6wb zE+MfuG@5_#Kwx&-go5lM`mT9@W4D@lZSho8s|!5}uA7@jUE~mtr3r zfJ1Qvj=>x8R=g9Z;KTShK7+II6+i#OmcScv!GG<*za;tMzr-@wKAKCZ&g zaXoIrZTJfYTu*6V+z$`J2G|&n!4t3zo`Gj!7wmzTVP71CIe0Z*hd1FQyc-|DN3a;5 z#TW5aT!`=DhxiGu!Ef<<+=07rA9*7{w?~P%Ki0#;@hChFTj6PVCZ2=c@DjWNvv3Fw z$7}FUYOhXCt6?wxu^7hpg0dL29a4Js6r|>zPi?8D%d=FRPXZRI< zhvoP){*KkSUQ`V{5DuqhsoC*kSX5zoW!cq#V50XP&#;269SZ^b)t3O_V4lc)!@eBMKH{*}^E5;oZU610i7S_eXun8WEE%6jg#j~+1UWC0d69?l}I10z% z&3GG5#s~3Hd=g9WC43Fv!ln2Teu`^x18&8ia5q-v`;wCI06YYf@n}rJ*4P$1;JJ7K z_QZ7TkJ*@q*WwL$3l`#iI1L}enfLda?3D^eDz_YLm_Q1=qFAl;Syc(~=n{X1|jSt`>Sd7o&i})%o#CP#S`~=tFxA;Bo zz+Jcx-npg+xVV!Ryt z;gy(+qj5Y=z}xX2oQl)&DSQs+;_J8w-@_I78GeP|VLASczhgD|0~y^e)xZPsP&@*g z;_-MAo{k;yJnW8_VjmoULvaL-!5i^byc4J3!}vHpgR}7!T!8Q3a{L&-z^`#L{)oR~ zTm$z1SPSdoVb}zZ#g=#qrsCPy6)(cxn2Ce&DjbF5@MgRXC*y z2tUQOxB<7~Pq-Va${%d$dX$6*;31fdM`H@M#2OYtN86xZSg+=@TpZmi1lH3<(ubA9t)2lIG;bw0c1i#d+{Pmd38rC)dA6#Vyd zbkY5a`5pwvtK;HI<+#lJ_$?c|kTgC?|!$)W5 zW%L#Ea-$A3LwQ-F@`99p8F?8&O5fqbgA~0ZC4Knl;dxov>HS7zXOB)FG<1;ENc!-s%)CLvhNfo@8!sr{QI(UMHButw z{@f8cdFjLRGV-#b>HV_$ju;@L`VW&HMTRr__LXXT?2uuZ_RWmkjG+Uvq}$rN=sA;WaAYOj5Q8 zKRv#5)#+U-XTy_1dy4yWxbz`hLJ^pj$Se|6RAyfD+N z$J?&D$yS{XyXvM|b$WizRX4+`yVp}U)2h4AQ#aeH)8k>+cMdfe?+U6H45msR(Or%ul$nDt7} zW4Io-hE+G+Q&-2To8hU`YXFR-HblT+5@kRridiuAfz>$LFrRAy%E9k9XDOS#>3zx-nMWbDp|< ztL}ME-6X5-1y9{%t4_ByuIZR+)#>XMSKSP&PT!w!)y=f(Uh>qgHQ@FMH}1 zT6Ob0bxW8TwF01YhPn~}E zp?RJ!@YL0?>h$%jYdZ8j6O*^lQ>ULLH+64$>Y7+}i#&DBt-7~8b*-$r#h$vhR^2v!j8&)S zJ6zL|Z`FP1shecgedMW|Y}M(pjO%fyT6HTubu+BGRi3(;R^7**y4hBpp8s+6Z@yLc ziKlL%RrjfzuKumI>OS|>t+(pF@YHR#>hye=>v6YRb!$9zyR5pk zp1P|0R6Z}i^3>I^>ehMc>R5H_J#`JNy01NTO{}_aJazhBtJ%JM>#5UgP?)+6p1QVH z-FKe4j#k}9PhDrLZj+}@uO(*sr>_ZI>p^d;Zi}a`pH=t0r*4Qc&`g zKX~f$t-5WVx=B{ukDj{8R-L|9a?QV~R^1M(uD%S*p+lhO;}Fh{G`f{wlw+BcT-W% z`wSPgPWyEkn*Obj0h1x4Du%EBlR7hvT4#p!wU-&z`!!ELuWgzcH(l7O3!o8Qq4{cNUwueE7rP>-R^u#Rh5I|!0>fM&+MA-Cmcx$>s0ObCjT zqIow+tt)S4!ywSVA27`f#`Z6DZ9-5aBej3|p1ebnW&PdPq*cy~*t|7gB?Pr)IyCQQ zPu>yoL~T?VS21s6^U60?K934Kc^xEgLWsP2ax#62)M@{2_2ey)ybD6)l}KJ6@+Num z>dVTk+j-OE;Ug_}dTVc%^;t%0|8AEG*YZtl76gOIP+WOUw`8T6e5L_Q({VUopF(}wKn)j3^@5s(Fy}Dx3T>WcW zSC)qyZ)pE!O0BDZpY{j>{rgqZTzP2{rq|Weyjh;S#7lxeub*L>E3dp!Vlb*!G_S;y zH@IIAysMq3x$*|KObn*Teqa0dywtj;xAmYPkf&xvbL9nXWO*b-^JaVUj+2APV?!*D zf)0tnczImy-;18Sp4SG!MIoj)zKbkhrgyF<@0oEya7D$`x}U#IyUX&BI_;l1f2xxe zyZ=ZU9|XHYOmC6o4P^bBFFCH|5r2zpUqY;Z@s}qCg{*(CdGeY}lwGa{evw%v2GP95p1ka*<#@z8;BfV?%}ugC9~jMB;>p|dN)VhI zqJKT7$o853E%oG`Bb}cYVtEuio*0y~JeGO#_IW=DUav0&G}rWwnk9LMN2hnW)ViJ@ z3pUFBypBn8FOPw8k*;nBNAo`Pplx_no7^3IZrb?fUp(_GVA z@?B!&I79PRNwIT!C9lYYiLShEa?vrJZ<<#oy7KzUhh92|$V=Xo81x~}oIm}u?cXfP z)AiFdSO3OKUc8Ld{;if`SN}#;jf)&#?=7!jb7D{`MVj}8C$C1exS*#A6J7mF+maaM zkhey3^{=1gjSaKDNS@igeC5eoD>LN=8E=}afAQPocteVGde@7t{$(b_1-F?HsWXGI z$7>~$S1uzp?;B5Ed173k`%}|gc}3eLPl_~egXrpCv3xk8$b^Zmyuuxo>$5!Noq1_B z!u7AuPnG@K?8ysi#)Ue*@Ak87pCw19_j^xXgOGVSR-VpB*ZgY}GOy6e+vdsZA$eie zzY@ta>)&=y-YUuKWfrQ`nZelgucurTaj}fl`S+72uSKo6$o4`?BF&YT{HrVv@^(t4 zYyQ=!9Tzk;AyQ`sWBZr-n;f5#_p2wb)&Ak~N_SP}?egUHmJjWO>fi5`dB1z|^5x4V z!{n99#VO77>P&IXznOKy<)y|YRpiMo%$c`BzWh5>|Eg5x$u7c~x2tYk&_u?YW(NPT ze5+RGRrlm2%SkTXew*gXE3K9knGRi_<2`v*YDOSX`jX-!wBAn^#XR)~cU>(>!?!IP*#y#s#{)FwK>hvtLq>MxLy)&b)bt z#|5>t^E6l9+*(ONk<@Ab^t`NVdf#ar7o?gn(UsRzE=t=?#%rFe63)B?d9sB$9x9eR zbNnoe(3y9$d^oXt!^#f2`d3shDTr?z?VrqYXI@#SxFEYS&N;osrzKUq-cR=Abvi5D z@nmw_q>AIoMxMNfB(HUd{uN4I8Ue^5iX-Jbhf#q%_iEmq)SHzmq(9<&rnSgh-MZjLlmtd8IN^r?-v# zxaQy0UBWHjf{vBT_f${b1j%c_G%8XWX|esAB6-O&M*G*+lQ&)Rxv zrI8l9JeEtIE-dYzY%-neOK$^meaP$X$(t^D?IJyj{^#o7c*!%{zaE~vwUYN`i2c!G$i2l^f=YEzI-5g^+HT%_D^5WyYjx1yfEuaPb;stm8Y+5 z^`BjgiWj9BX>7ka4DedMvHKqwA8kntkGeb*INiZ^-{TPJfPw zsVjddA?Q_6Rauupof*}6T0-Qw#N5`$)uzWNcK^mp|B~f?oqv7h$Mo+s8J5)}M}J3K zzT~xx4o3c$(G^xmIp Jg=uE+zW{t9Ui|<7 literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d new file mode 100644 index 0000000..2765c20 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d @@ -0,0 +1,219 @@ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o: \ + ../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o new file mode 100644 index 0000000000000000000000000000000000000000..372a5ffc9a54aec51ca41d785a29900dbe5144ee GIT binary patch literal 651600 zcmdSCWq1`y*Dl;u)!lpVY$5~#K_?_Q4DJrW9fHH)J`C4quBz^xXH=EiRT*Pi(tk|Lskt~!yLZAtRYnmh zkW>4jeU|mDz>{2SMcXRcUeS(f>K^{HuU}{}2CHx|F7I8Nbfo$?|woX$o`Xda(bMZ{j!^yi2EB#dCCLl z%-+6_&^R}8jx;pUXt|;BlFg`s+ujXg8rO~76`+6BgLgx)eiY}ulj zrt3zxp8ct=U=>Xh8Amcr_!fb*LHDH@g^PF?4=`&T0@n~ocZ?|Q4&wzn6G(T4d7Lg( z5I&3?^i<8M^TXnXm;CG_zt!>-Tuk0Y5G`;v)k8~Ff~2I z;!@aCnBc})oR|HV0WQ?yQrq7VH{RlW?Ond;njU6x8SO2I3%58w`{H!)H^Jhv+6z(L zM2pL5?>idaCs|xxdp4?@Y;gtbzQj$jxFYuS4-HM9YH=m(xeuXkn#Gl|drm^yGcB%y zJ>z-UoMmy9?e~f!_t_R#-CiOMqMl=Mwe8{c5!qaet8YIN32vUnHL}NUfaH9OYi8eZ zA9WEH*UDZf3v4d1xVH8d8zH&S;yT*53`1m#EUv4)Lrp{$X>mR5sna2{D2wZDe{~U( zi!H9d{pnIjF0r`5_NZErTxxN{?Q@nuav5z^=B~SUp5JlSMl`-J0DqN%$=SYEt8~?S}l}K0{)K|sWK%=g=@x0k|yB)c#$e- zEGs6PW^5*D0%Xn2q#CrzNva{>|IQ^PHw&&aG|hWafY8iBGzoF$iT?54eH1`p3-BP| zN@D;;EWn+BWlaGTvj7_bYY8ZB0VV;P2`FI!1_3(=C}{yY01f`jV0>%d-vYU2mXkHQ ztVzYq0&h{1x_!6)nprvz6$f_epqUjY-qd|Nb7*lbH*-l5|ZHOUcajIczZ0c{;}S271&mCVS`bU$DH<0;qSi zRLopg6{42qO+S+@Zzds4L@qQna|;wvM!Bo8mt)V(#qCK&D_uuY2{7i zD_bS4Y`Sz(NAt8}{cNU}#!S+J=?d&VnSRt9301UA>#`YoPe;cDsz78yuSlk{k|Fm# z)2<-=s!GO-kWVC2P07#==rf;WYA6{yAs&~IMolF{gTQAg$<$Ud^5#Nf<(Y6xN~{j6 zMoG$K>Pk7y^W<5$swcxYNz#p*J=p>jd}~zJ%wB8_MmX1H3}djoF#A}Dyj6TW@E|w) zDuhO+PbNRa&`%*WmHE^z39SJNp|R;RxiLoXK!q?uB5R|S1}VgfoSXl!-N}&;W~r$x zBOfB=G|vbD!$W1PCP|_jX0c69bc4W#%bcwmLXKfKLgpw$j^UJq%vFdS!!>Cln5Pig zd9_GpzCz>}Zb(RkLgW~3PRIg<$T8fOkcA47UEYO|MGBE)xF;cz3Xx;DbYpmrQivSG zfrKnpNYWTy#!gX&cOOB2xmA}uhG(+xsI$iKQg((KOpf7|vQ@0cTP1Zg&u9+gtEDl6 zv`jOFY{4_^0}4LnGNVJzD&MjN_tF#ToI+#^mcrJ}Jg*Shf|Urlpb*)DH3_+>5UT|V zxug);f-MNStPt6P?FqS}5ZQv=3Aw5e*@Aruxuy`=f{z0+tgb6Ww%{8=ZYU(F1#dGC zcVu{qo@jThy5tr-&B~z8YQbB~3oT)_;62$YRtw&jI+|zkOK6Y>(wIS7W_4UJ2JO6v z2kc*rMhiN)d_Y7W_zvyFz3OF0GAm@1YRcfpaBATNc;7A#9LDHS4HunHmG3Xv@swi{Zh6e3&D9cx=NwL+3wFfFf785U>) zXHkS(vYq^<*nKOBlWNUMI zIx5TH=1Dotv*1W%G+*kQBnfM)_-qt>76l-<)s{Ky2@*9At-D4caFS{ndb(<8EjRHv*`=2lOJ&&| zDW#m|nIBsr(_1<*NfIstL^1Cqms$Up%WVJ4W%mE!(qA-#zLjN8@g>=1F6EM^$9T#u zotPvEm!-v;WS3@dZLJ~7D#Vp#IfW!;SxTHtc3DAmqq5AhqH=k*2C}RqotPvE zmq8+9Dr6}a7mZW~w8FO*AdM9wUCKpD6NMzXY$(b>-^#L?xRva(xpH|)$1rRmofsr( z*2KlFzRC2IKG?_K{2N1?>))|8f>WnQ7O+C^gxv!V;7+*_(si7ffYqIHJ7efyC2M!G z7>qwtZ-Ava4@lvRSqEL49wAwG=a0c)?YewX-J)r_hf`VPEIifiUH+AD6X$&Xd!Sl81gz*7`et%|NZE z?!<$T2V`~1XQr242eUd4$mx_1fRy@F%ziu|uXA1#2z%=TF}v`90!}%vrP2>ewun>C zOR4qLxFdK#3Fl>USSnQ8TdlC9vpOTxbTJSkk!xg;AL zrPD`Z*zthM&gWE{UeAs;=K%lS;Q0XpCC9wXzkoHkze7 znwqUpMN*OhS*dM)wyH+8R(>2lir>@!l zx&StPeP(N4Ee#ZC5ZOAkLJi6xZ**dlZCr{dF(AYYKS}e~XqwKsuveUTLYE8k( zRvV=mWY8qQonq;eRO4w$j&bn@)tLmipN1q^lr}syb0W$jxQ5VdT{wyYHE)nwdW;4?&Jli7=|qarn#T^_+`A_&9BUYv}XB$iUQckPfC zmK!z=((K)3+w1gL@LuU{X!afwV6=wvZh=!w`#%!kv|#df+XA4M1;~Swt8)d8nJpDM z`$6y9y9C3!6--})iZYmfB&3Pk2cXcO{F@|(U?R_edVj62YxXE<$cvUJ>FNiy(CmvP zAmpji88b-?mhS{7TfX~0E#LdE<=ab= zEk9^VMH%x$%ktvAu>6ROnIs0wA8&zLF@IVP=jzZ##{Ag=l4AbwgUrd+__~>j(sF`j zd3{!7{f&&7B<9BLb~YN@S>O5%>u7d|+KbW&pHCw!D(r3wp>Fos+Zny%R0#EkPpe>z zgcJ&qyXDW9FnZh-;)INEP$kXosQ`KoX=(5Vge`?r`HZorWKJr}D7~ee=IPVk)aGs_0EDAnVaS&|JV{Ks4xJh%mJr{eQZ0=9CHQ8J~wQ1>r>Cc{D8Zb!`X_sZ0 z)5F}?Y%o{Oiq2XBBf2uI0_v>j$}>)78C?Yx-HD6Hx}r2-lB8Q!dmZ*?20Z)@%t!Wh znTDZ)(Bvb^UXMMNGdOoXsf(=Yv&phncNTvLwgF3!tle256rnU^4bd(1@T1Ac9>f+& zU3X{5ccjpWt(Uc4@`+_{%$Ca9l+NRo&@)Y#iQMREM~@kMQ?^Rh`Z#CcblKjFZIrdX z^0{Jf&R)pc^iJ8LEm)$g&FGXZ(vsCiUOd20^DH6|Rx8#3d`jjh7>YFIX|SWvzeYH% zXB~xIBiuV@KV5Sau>e|FcpqCLG)FNDptixOprgPF7?UH_QM@D-sg)fiNJtZvC%{5U za%YkleAmARYWZ$p8IlK=(sx4(Q1^hN-fuET_kg2O3>Bs2#+K!?ffOYcB935Lj)H2^KH5H}#?w0x6Infq>lQEOTQgX+n zESX^W%ySr;EDx5fBlK>6r$f0%J4H8!@ASwP6TIQtquhpkiWDi{@Ax(78k2r5o z$R>$lXnijY>fJsK4E>N@ZKDG^Z^vE;{*(Y--&%wATZ?4NiC$EcmVa4&zqB+g{3c^2 ziMcU{C!2?Y&j5Gyq!*K>>D|#gU7oghhR3ORfLqrOyBGQk{)S_EMJ>q_Rw-p7Qd~A$X}TO`0SL2kqEKa^Q0e z>cU5LYr^6e5!eq4DxnA+BT+6yi$en?htVE3cy~ zzbiy0GyfgD|4>L$GVj?wL}n$E$aE^pWPV9G&GUM0B=cK_Z;~XENzbQZda`;i1GnZv zxr&p$mr)_E-piyASMOz3h%4bg6yoYVKZUpw&Y}=k!u|?z^JK=BoeO1TV+k^y&B4#tM_Uu#Fb1fg}8dJwnALV)KQ2l znYs#b^`A>Bsm!^0FG?Y^@`9+d5Cp6AJ#l=)9y4RTiInxJf~%$bl&MbG9obT=1d%pfhZI4*9w!%zH$ zf=}Hcn3%E%JfV|5>`0?K{t%;*?fZ+0RF*?1K+0ir+YL%tr2&&9(U672IWiZV6L(5s z>6#m|0sbPSs6uG9>r<@&km431Xq8H9h=SrnvdfZUTC&ShDvj(J;Ig!IVv;1*5cNc0 zF1Wnb08i!m))BCf4mM_mY(s^}_ta&|012{?q`DF)IHeJQlWRE??z+{iT zq@3oN5DcZ>(uYZs@HkfJx#7{>8wrG1=8_jm!6G~AtOUl1XUXP5mAU*A(a__i0h1(Q zZnoG<<|^Vlj1ng8WxvWbv&t+W(fygtyyVBisF=_yswwZ*sVTV zE_F1|xo6;Rg*0Z87EJFKBT?{Ku@EU9P;TXHDHA%R5Sh>-UxaomTdWl3URgkBZatH z{)s|dE&o&@u9knM5Lf8W6_V8QFGXXDL$>^Dt1h|a?}@Rfvs(Uz*i323mVYZ_w^Dp3 zbu`Zze(1XQ(wIqFNYPzSAk(ttJ#=f+>1uf|g}7SYTOqENPpuGF_&y3rYWWm;dh8&r z5YyrdWV3FPzarm_zcB&g^rO5k@0VRkBMNELS(PR6Ea#Mlo7=-Rv$!hl-Z8SA;hYa z6SxmsjKIe!MEY2{2QuRoBK0wY57t*e-^xE&kEZ-(KZVQuthSh-+M@m(7?>y>nxqBy z8}z&dlA2+o3QcyAY=&rs$YziMZBmGA2GIjaZ&rv5aoQF%-WG+(W{^Q|RfwEW;WbvT zPF`iw+pRj8G@VSW*9W4`N+?E;rQ~EnaWYaXp?H;0w!7$uozj>|S}=WC_bix{&=oaS zTnSxOh^t?(Da6&U*A?RG*Bc6P_3KTAxcc>$LX!IRu3nGgkSX4?>i*TQ_Z8ym*M|y8 z>eoy92V;9A+CNcrVv-Z7FUR?UrQ(? zsb5PQIq>$&O0kSp_pg2}s}NVemRCqpzZNhWL*HtKQbrqU64?wDWqwvORFXQHXE7fP z??CC$BrP;UC*u~mm(x~f%e1vzlJ{#Dg}C~)t3q7;+D##@e(kOhSHJ$PkfeU?X(S{k z-OH*=?$?e+_996M{bO{dre` zOGZqL@-2^Jnu(tUfMw1aRWKJ$H+}H@r%xRA?!-ho!<>p|Ee|l91M$MwG1K(G+{Xh< z=Z7G)+AMQ3W)2=;bIv%9+Sz6}1{@EtJL{D~?Hscm;^YAi`AWz!*Sw7wcz~PrTKO6n z`SRFDGblKd0D18Yq}K`a%;EqL`yz8@QN%t3XWouT^Wnc^k213xWRf{O@T$tO*erxq zBM%VH$M}fVvBX@jQu!W@+NEZ8JT)nmoVyV0GIOm;<=_Oc%gtci{gg@{yqj{YFk4{I zP%5P4SZNkQFv+Q`G9O6RP4j#+5uR3?AHiqTxMPFqUtGOTb8Iv>;Vn&aLr0rKR72aE z!^0-i6R#)e(-QhT#If1zCRt%M))q4l+MBw8-n}`tnzeA~PvOX;{%vL_9Dt)K=Gb9ok!|MTl!wW2W^+Fh_L6Vc9PuVT zKLP74-|9Jbn!j=8NN?uos}0946W@e`O(WmxId+@7@p#2gQ=A{Ec8`e{@L3g#kvrcglQQBB}RI|F`$fn7#hpduRXKd*}Y!d*{sp|L(mDrc-v8-TAvP zvbks;`FHPKGQ0n~_b!{0|J{36{@Z(3O(EM!_1-lzUiF?lQon9`$nNsCI`f9vNm@>2 zb>>a;6b>kpJM)(LOV+B+ylqa%O0}vp@0e&Bu&Oifn$>a8lH8g1%ulj5v-9CEWOUyw zBl|~n<^%JstW}-)@V}k;=)ax$*ert%q0T&Y0r$ZZ*#%Z-(tZCM9U?muz183w1fH6I zK|T|D>xY@Iq^4!IjmMDqX;Qalj_iqO5=~Ol{%G8EyiyP zwz+J`XaQ>mE}E8wMR!5HxAmKD4up@;m_OUF9fJ6&9{zho7yQREm(sL=BMDq%52={- zYX797AF8vh#3d{6Y->>{h=P_~C$~8m%lN|3=pQQ#W{w-v=pQSfv6L*EM=GcA$l%c! zKfh?myv+HPOvI2?b2`fb$4!(*6CS0UrJXfW;b2JNb{rvP*9b9-N@7dJgoo2fatx^5 zJz1%Q8~VZ%<}h=oVp7B)5vLL{YNR5O6kzR8SFAf@HBylnMsJN&`JwEo;(!1;uSl}} z@+r!szlM({+AV3(#L3$SGridYp5qx z{Qu#)C2b=a+#|x@X=J~C8b2!;uA}4)rrr{N0q*?G^+HKnW~h)(yG!o=BrBISvpnv!P2YJPi9l4M3zC7 zezARsM1*Or%E9_iz!2~}FbORCaJUP_f#@zScanwL?f zHN31Ut>xuZX&o=GO6z$ARocKSs?tVYNtL2`pek+Rl~rjoucAs@cvV%}%B!i;HeTH- z$*Y*F*Z3E!^`>9nw1IPxAfBQtg#2bWJ;$ zt~nM^ZKu{GAB?Xc3~i2+d`-$o$g%^3TwY1Yo=PNn?;Y9syqPRlX-nn#Sjichb1g~w z;O#NAu+lcPGI(YqubPBEPD$mdqv(1%hOSvBlC_kjN#3(Em3Oo!kK+!K&9yRy4>+JU zv_V(s`Uk#hHncNKNOC$}HyfG;VbFd6W?1H+Uyz5c31V2PP7}ADbdWn}@$i zU}z_*lh!c&7S_-P;CmlLRfH_3ElXOlD+y^>jF9*HNGp05l_O_R`Tcg1xhJze^$%GN zs6^Lc__c$fwRuF6m+DiFHx?0ICl{5Mo+g_{0A0&{BRlbS!q*)l`EB{=dMH21PdCW^ zKLQZuiFTR+K4Xtex zx<<<0-+F>@pR6Q*5W6cw^Pf%SG5C#?p$#uc*v4dm| z7p2&84I!-!l?f4nB=38jtHLj7hiTG_i+98;(@%VTYcUCPbSI1c# z#`!wBu9H2>@jbtxrRhXby&p=F54O=Yqz1_>#_=TXor5G(x-5Bl^@PgrJxPAwL5gSE z-&B4ZO_Et^Qf2hXhUWz1Z%Tay*3Y8yWMTdLm z7?t12m^~s${zx^#1Ll#J_5M_D`HJwYZAfx~^yrZBWNJqq`{R#)V8(bwl6Uc;i=p+k zk)$TIw&06N_>wb5g}YRn>lo!#Z87O?#V6Z_wljgE{hWucH_8z{9WTlZt#xg>Rvkph zy0qjaT^F)88GpqB_hvn+6|(j6$(CuKfoi9gBRuLEX${RqHuGI0k9C%kuNj^sGqDZT zUcpzg2ELD@>x0sC-QR+AFDH=X^z(KS?GKYkjo&d_q#JAo(%)qXCBY zXDH!0e^NOh7vYP(lZBHwDM2f7x*lmk*IFydeyUq!p}iL&jbu-Ce@qtM$r-YmY^8{= zB>AT&;m&S!?SF=1D25-aV1&zgX5|vH)B6WqGo~c@pBJchr<{{t%l?`XOGuSQ6xH)) zWFZe$d4_g&4QUmZqoRsG*)LU?;&~%Seo$r78gQ48zZ0o!Vp(Wt|5PI_9X~cPw5!MI zx<}sQ)8sghJ4$xy%QoMM&8nfzT29DxS^jZ=kS%2hDLIpDwpmK$zcx4cic93i|!W|B;~fXd(SMXRBO$vLniey?t59aj+2t`J=l zc2dkvY|S8vzZ+m^%bU>EGbLH5fRDm4qRx@b(P~uQH-lnpwTEh(O(U(5=PB~&=A^aY z4#~V*KzP~0bp4KB)EZi04=NvPPj(`t@O z5MHX9S}4Ybsr`Y!%42F}I#T(UKV6IBcl8+0vE(<49PQh`P*lB2k{A07x^|UM7Hu(l zNPBdZVvF)48NckL+rKYe)5)iN2l^GQma7+jIHUEE+tUz{}e4(<1 zWXCIaw2xd%j88{eo$*ALD;RxT4ak{!!=YoV5e+%}?t`%CIQb3>9Q@D7a^WG+obAUW z>e0-13k1ioezU=iW7RJ}G?WRPFq-38OeX{p#^zr`j0;$u6;NBq3gOQh86Q~xiZGSH zQpG}HG%xc2B#eK`3z2EOXeE$Y{G5R{jo^2Sqi!v~-4$FsZ-*c1$}l|3q=EJHQ$NC7 zmgclv%HOULY?jTs5As3T-4WM6=W;-15__5mp_QyWexPOSVs(Z<;0*hM$AtNbJq^RI zpYn3sRvuDbRjMP}+==n1%(D@zAv5oO?C;G#Z%@TvWy(i?RLRW0?;QG}fcqerE7%yv zmqwvgekd1igX0*Zh%pf17p>bK0TjD65lHdtUMN?{at-#YUH%K@dLy?&rbVrdsO@wi z3(7tF&q7oKJZK#^GPVNB<5)u)D%04PMo3~73mOS9ioG5TZY^_v1GigQGrR~hW0(v# zmdSXxGa2v>CL@kxGURwh(VBZ1g>I#rmzH!se_n#QbO*BInqkdzc*s&S5FuncvmHo| zgR~s^vuPA03$~(xQn=bl;3acxgiP6uc+G5-PjMM(RW6I0#Hdj-JLK!m^n_&4$1Q5W zq}@-8tW5rRlVJozPlAtJ3-J2QD0(m$a^*LLLchk48_*A0gU&TZv;A~`jbWXBfdsR> z46rU&4@@W+EdMvIR3;cuL1F$(IF!~z<= zlf|7M;O2??lc7Fe_}7Dny_KShi>gje*$l`zcpl9-A#?! zj<2eqw#T11DlvM!qcwEjn|N{<+s&$&CXF3trgR{2=F>3<=#V+KFSrwC(LpeC!JIoD z0M6x=QiIIr|C|Ad;NC@HWg*YL7Th8}n1e*|(X@_Q%rns7 zTFR?zLlDdO03tDb9u7n?U?cE{+%Qtd(AqDKx5LB4_{>||5%m||SqGQEBdfslH~wNc zGWx;y;OBY9PwvGQeG9e*&9Zg>Nb7Z4yyhxyM zcZyp$Z#H&|czPu65lxT7!+x>P0h#u{taLSeh%PS2hl zMyI;q;*5SC5Q#VNnH^fm$mW2RUB*FL740$BJp#AaXcPbs`;7RL0FN7e@zZDHgb~~o z;AvxbACU9L;#Kf>!Qk|Ky=e5OP3bk`6Rp;-8*`_l?uPLhGm>%3NS_Gqwz27zdT<5! zVQyg8^p%GANjLZj^WO}Li-LW`CP6PmzM#nLoGRceEuC>eoICLpxRVi;f4oJV*24!KfRVG5@;`MDURDgO#PcU>L|U zUZ5`|m-9{kfUMw$==rpgAD93utGJj3h1I;nEI3-jW3Pa$EE}1H8k_((>yrzls;y#(ln$&ZQpk(>EabkoTaS@FQ-^0uPUQ271Ij;pOInJmt;k zq~RH#bQ=oKd2T1T7yNDt)V<`tUqkN|-?j(bYd(R_R^RaRbm;n)d$a|4$Get<&G-E1 z2{`)ALugw*TEx&j7A(%-FM(lJ8C?!wh&V6}R>p}%^mGXog=zpCFS^VI7bem~Lm^x& zUJiu`A`pKp0Z*PZcM!`Yu@qm&Vf|E-c7#*J`ArC7s_0T4+&bZN7n18m(-9EaD2`o* zm1vPFEv#%3gIA+&vv^Y-3R}eD_29OO=QJ&C6N|Hh#E9jzcZ?MU`=D;S=u4}S9U_)C zc5xz(b_?-hHqDJY#XK6qyTtWjaJyR^q_d1YVmaM$dqt7G;P#12pW$e~$odJA2gE}< z+&d`NWCJ-QJ}pONhlP{QQICkIi~x^{b6p{MOg#2O-ErY;31cS&yMQ20irM=ic}hgk zU^p#8Cqdzi2o8nhSuqPMGvl1#Q=xudyh;bX3nCkh){A0pNl0E2D~qA-viRB_+!e8| z63A7t8;c|3ny7mnHm{5IKf&D)-BN(u6csB2yd_4`1NOFPL7SC3;u`H!?uvEz(`?2) zapN+;`{Hyjh&&L*@XfsOP(;#dB0C+_zZSjd{PB&r<_(dzqJ49acjBMMF#TQ($_Vm7r0EMQA4O4G zuzV7BI%fMUZnXyaBEDjikB4Yn8;B%`Z}fB-r|%yQ)1ms>?l2vu@28W-aJ|G=a1-<; zL%~hdzvxL1pxMC?&g1J119^+nDxvg6%Aw563b>Y=Ps@PvAANvV$R(GxKkzlCQK|4! z$Ol$w2Y;1Y^aIk+oBBCuB^|mo{!NGDO;$#r+;rywl$*uwKyC928z9+Y@i$m*8O?BQ z6@#z$jlcdV4*RX`9OX7Y>5#XrPZ*GP@5`Xvz6wRv!8sP!j$>{>rf<`d!25On4r>Eu z(F17U&-JiBXlg4Uga4U`@{qPIfe&r>81lnT;&TsUcmy3MjR?FBzauk$LV46lZ(K*S z+%FO07*>#uyMx&=d`p6-oyR!Hg|L#e+8D=XUIQ1(o)-Wa&x$xf!dMSFLkwpcH(zcAXAucd_);ue+J=C~$k& zj;GMu%SM(%V*8jIoeJz{{ntYM0DBYw^@FU&O^`!uS7p>4W`}6{Il>mShR9LYyFK)d zu?n3*jIys67I9u> HJG2flwuCsi!mb<|M@G~xCn*mJj4cW2JcuNA}hETZB?*qrRU;m zUgt8nHN0&+xV3!aK!~j4Hrnv4=S}IJ-N0Xtg2+Z*F$Bh4FVX;b!(qLneLMvJdw_LUA$>& zfV+997r;II-fo!Q%X`yKU?0yj0V4Z3hBZB^{R+UtL7puN3i#dF07xF@!=FRs2wy)1 zXD=AL%#UURcZJuFgu+#RWD2-z{6Gzm>wMuX*u25x zzk%H35Bfpj7CkR8diaT(Fm{LM83pbxACA}e#y!5TAN20?vvKhEfcL!zk%zn$J-8q7 zz2jizF`s!BR-W*hvVEUpw1pTgyy-?CBfh5q z2^Pg@tv^LEUj}sMW6&EVN&`7tnNizh`zT9Oo!?PX}%t>M->AYrVpf9ah86ZCivNUtI{BIb+;(g z&C`Em1evezrQsH#FQ664O5K;%1grF}^I>d_eq|~|*6O?I!MslYxD{l*{-qqF)rH-P8# z@4I31ynd(#z&m;;dW~~epHcyO_w}zd^dIO0X~F$azdsBjkMskN0DjP0ECl(eKceRO ztY@Y;zvv;yAo5kePIEzmUWiuV-}Fw)K)&nMXfpnxm#YAUFyq8zD1;kVXf-j>XweB| zlJTQ398ETEw9>63u7H+J5J#S6^d!sMx+%<*?o5yMGQJE*xo2LumfzhQmMZwsEL-sf z?YJt1&;Si=NQ=kH&8I@XN$@JjG;MbpUYb{=siH+DIy-L}+5zQOCojX!UzhU(X?=qZ znA-??v(>gx9oTGlekjWA|11r>!v!zMcRWd_f1M`Mva)lhQt;B{1MNY()}-f5w|;c; z)BVCr#PGLUCe-#gNzo2xfvS`*h8a6kv?H|BxX4`1LwU4dd1j+rZ3Y|tCWHz#S zh_$T^y~8X%Ekus68nh2R$_BNE-Z6HQ?!V)#Nfhd?;1}VjyUIHBM5@&&2js9V(!?&^(s3Z%Y2tqB`&T6Hx1aTm2g6XFnBqfc=_8VfoA zZBpeS%1s}ggSBSIHbAR+j#9AD?fpf_cTd>~@;wUS#MbyHb!Eu(JoFYwuLb8I*}KXe zl>1Dg!;rrIGh8*AbK2<2o{M9%@JZ8H4L+*4u#c81`!A(8rlJ+hD*}2eSrDCqtYWEOLnMa% zN`Qx0cC;7jcCf4X)hLczri4Nyo|TCPx0CIm6VzR-E$u*$vxGS4onYuuT5H~~4!5V- zgY{52!^&Mp-EB7V2)H}!V^7rGW9yy*ywCnvg&-cVj&vIJkUg#j^+&8$V}Os@KssZ3 z!op}r_>}btfWk9YZWO@h>>O?7U$Bd%!M$YT=(O_{OR*o|YgVWa6yC6PA@KK>#nAwL z$Icdm&G&36ofKkqNgKS6EDJreKCvbK2elh*i6HBFjvT1lz`Ndr&5is`9gt{VU>9s|;)%2~-^@d1!sZs0fvf> zyFtbaUpm?k6K*G<7cQ26MGzB28G1NQ6!mGcnIt~Yl4Y`}Iu%x?i0CxXn=0a{UDk<{ zeL>cXVh13(Q4C!Kk!bO14Y*Ari~`&&+%AD^5!=rq>aAja4v=l40UbETh_`2;5G$H- zfZN5@p#XP?>nTCvL;>22#*0z;QMXgP_zt(bM2j@=w_6li3cWqzH$H#GvTMKvkbR=p?6%|%nZrL zVtYY=PjDy&?wOcA9o%z~i(XQ_5WS;d^QHJZ6BJ&Fmxlqq7U$@l(;HFv6u`HlT2|=2 z6AAZ0-iztmA@V_N`wh1r#k}$$pM--BAwG*cJD~7Icm)9bDymn5l?0J{2o%1FQHLS& zUG$(8#1C<$3CK@jr~4>TW=h2NG zrO&L3y2bj!b0ACgdRgINnO>S+;w;w}7lz0R-9hW7mAYpYh^*4T(OtY+pWG3S*681S zA+lDF+YE4>{%#ITuh)0a1Ghnc<_Cq1`sj(^qVE{{y+BonT-O`d2X{liNoT`1^*%YFa7*9X9NcYv+%JgS(L-qo zaaWI}&GtRL$qbPD`spsHd!V=c2+4=~P?|;`>2*#6e5}u*P1h5BOa+jqdQJ`ApXoa7 zvY+eD>JWLMx1>qnr9O2a$X7j-&hrxV*|gaIuBYDu4?pxyl-N(b6m@u_p7s(*xDiM@ z;t9sVwa}YntfIZwWMdT#sVT;Qc@UXu_|jTsp)q|5>J}NJo`Q=q?$fNl*q9X#^(97! zzVNry=tUo{Y%*@uMBQfNH9h#Y8Y{*^WSg;^Zl@Sy$}51e#^u@ow;MXGQ%@P=)4}^` z<3>-AvqmCq$j%u%{2+4P$dU`-1!L=dfESICdtmyKQS2rHylh-t0Pu>jl@1558e_=O zHNzGJa@|-#bHNQ`9UaHrG!loQ&2AZeM}WI+44~IHcktx}z`Mp%x}EMBpXlB8eIvdg zj6E>IGeYE{QI)nfj|}%{h&(o4uZPViM%o_Gdun(bMcp&wUP_SX##*`qUKo4n$@S9k zTLJEsv9mj@yf!}h!0j93?~71>YlIbo$UCD4Jq_O*y9Pq!gOQayd^E%XNPaS=(}Ur& zk#`>IzTjjP3SW%}+YwoUahD!M-;Bb|Ao<rZk+jowr-(jgS?QOZN8$7z#KDT zH0tJ=N9kq2eAA!>L4#+V^1AQ@{Wj6&UEbL$z9Bjz#MY#%ev6ouq* zv-V_IIboLHg;-9So_j$~nbT=}o;GnVfaQ<*j#lKi&2#jg?vA;RmIwFDnH>P$H^XTW z{lJ`LgWf|k?MHBr%&6WVk4>!(z$a#}Bk=d#tZYKzhgpXbOEe47?);Zo_aH=mn*~}x zVYIC`jh8XDJ4GN8Y^ydAbz^N^+(ANY=V{UzXZx!&L_%#bw3;1ntGO5?%=Y&QfZ?_t zU*Tbb?I+H=jES}n)YFq}uME^pwzXb@x+%88WM!(YJ)Ig(vo#%qAg0@1(<#slTfGf1 zHq-Vr704`G@IY`(GJmfNNc16g6~M=z{b z+IrKLc9pGG4~VR`%^L%oYi!kNepzelpAux9?fC|HUvKLj4{n1k51r+1wDmp*F4|W1 zJ-AJ_#xyl=wk6`nV8#~Pk5vG-+D6uZ>1{UA3sJ||a?KdiLu~L*lN%WeZ{taBt)*+ie^IHbz6_(sJmgC z{0-nuTUUDYJhg=lh3V(ELUR$z3tJ94hI?sSOc}khW%mb3u#M87@ZILO05*TvG86>( z(>7x^xI|k#L%5smZdXkWQuw|mcX0^kDMVKD4xsHs*(M)QE_Z1o$`u;XK~%+nyg(|o zrD-zokqNwV^8vV4$wu#Wr+2A3VxfO)^Sl077zz|ktE4Xp&ojXVANiR{}WaFf`mtl;J|wi8?gJN*TY7BbI;h;I?|qPZxN&Djesiq)ZsbUoYj95y$w zZZuU#vt~gM*~G>*fWOV`{(RJJVJ&_F+{3mU0l1gVyax~a+3#7fa)71Xg}Q@mdI0JU zvBXpW&#|q$!JTJ=mV;bmt;R#-61(aH50_c+V5nbVc~gNrW7p{X;{_XJ?Rzfggybt$ zuOYzK%sw05->|#1Fnr6Vd;|B6y_o}%_w4t3IQqccKfuFB)(-0i!3OF?}udkWZjvx%Ye>&3zyEWjYG@#NRWJ&z#fyyDvO5?Nq<=oHUjaxc8rs{Kg;B zdH;7_ZYj8M5l<&L6GY@jaFay6i_n`azUGIODWbwHs81CIC!lVcXgeN~)5V_U0A~p7 z{?L#jO9e>I5>>iEZ>iWz6ZbNaY8fO~2q$erR|+q>kynX!r=YM}OsEgCMl7J^&{{G2 zAQaY#FU29UL#(3ZY@A3ugu0#LH7zrCiAt+Lc8fOkAhJgUj} z&=cvLxYHKoykN9xyCBlheSA?g%M0+5IOq%RvM5Fq{sS@N4)h+1!=2#oF-~Qn@I+*! zr}$H`@+rJO6E#+V`z&&2g5($Rx(`T#=t3j%o9NvJBHu--iqQKZ2I2`}O=Yuw0?(71 zrpvr5_n@+r7cFi}yDfsBvY%eSM!A>t)GZ%D&$|k{Y5J=8g;pJPowFfRFFXud4QkUQ z-f(6Jkf1^<5pla=4^eI(wIA{waE0Z^yDwnwYn< zd$S?AgEgYpYjLb|N*If0iJJlLWS1sDWEaag8r*LAf9!^7&nSba_pm15zOG-Q-RFn zrD~yWE1ydzY}+`S3)8VYUolwO&cBZVxPzArMAUIS`U${zzPKsCo%}`@=7n;I$>KV+$%$5kvKqm)yr$yEny?7mfWA(!&!G-A4|38|(JD{qg zc{{Va=UhNzqKPFj)e=oK#uR&xz4zXG>^1g67ePQldIxFJl-@x>6a~eCC;;`R%KQ} zXr~_VjCnvM4Fl3%EzJaRQ1uIebX0}E!`VT#HWI=^Y7gh2PU?&Y%$?Q#j?f)e+vX#> zi^{8p&Q<-u6NH<3@EdgQs>@vQ) zdT>WoYfjuE)b92$h*WRUi$xzRlBa}d70VNKjA}9$kYj3#DL#VZY8I~~v1-D71d3B1 zYypW^CN?lgP(wb4Fi|;lhe48hY6OF1RhI=WMP1|_@Km*_5XdyubQbK=Rp$55WvH%P z6K1L%eCuZ^-$jU!t(*tI*$K6|9k`Qf;6|9|sFvm6a#h4SaHrJv#W2rP-<#kaomS6V z!QhPQ%3pZC>OUFCv&x)r_H$}~K9B|KG@5({b&WB7gbMF zjO>!?!Aad^^#yNRTv5>t&=sqVdqJ)$E1m~SlnrmhT~o`r5p`V|csI9HT{MQUO#QVQ zUT&x}VGx$9?Jps$P~Y-;bW?p&0fS04#Rl9h6=exhr6#-rsfGb`HEI$+c(tnSI|y&9 zML*(msZ)(OZ@;6Ar(+QH>fr@I?y43)BG5gR<_Y0_^_veM57gCYkOsAjZ?}iajN2iP z)Sn#hv6`2J2v1a67|frlm44u!sos2&$WPTv)kw$Z@=DEq59Dig<`jf) z)JzX}d8>+khVY$g*AWKq)#R}tAJlKbfP7R{#UQ5IrTajdY4iAdH`h+R#yBmschNvv zYE2@bv(nz2h0t2d;JbC7_H#=JZM2G7K=y0f3c=ZGC%OS*rzJ+<5l8B$wQa+BYo|^Z z;I;F1zTf(cS&MP@{f1Y%{-JXq8Bq5%Bm+D1Q#z=$1lPa2a|31C=q0e4ZpmNm%$lEZ zowao!B(wK$Cv(o0UBJv8#`EF4E8K~nKaL-<1@GVCy72Koz$|)y8lD$lIts~>cKi)2 zy~z9a%ZQJvEbn^{@_%CQ;JV^-o+elJ*#oOpPk5(k^^u=&U9)xpBx~!Q!T-7~z2I&A z^4pMX7{;^t#;<1Jx@jrub#3$dG`#*djT^X@)WsAAR%Aa9vVCMV4*(ldyp-;z7W^pN zl4~|1+EF^|4$xNK5wxc!iy?HN<_g4-ybmB?I`td@pBeP~Pk>~R>l)~?X-+AGC&+~h ziIcRjH;_5BC;-lKsa0nPPtlt|1j?gf-@)!Qwc+m985+!)Mn1LVBKRyeB0L7|NRxk;{D!Bx`6 zOCYz%?KVgiB@cpKH8qNY&l=jy<#H{ZPlv&63LOWojwV=RWOvAtQ`vgDJQ{<)OT#$( zyhqI?g!k#`NYdAT0Nni2;@``rw`MuY4gFmG=RF(%XZ|S%*IBW$r2Ny0PqJWGLX}o|$ilRbr zQ6iOZ)@Ttj7RVUkJQw7c7{LA5<05%8h8ruouL6k^DW^f=#aZrfC5SJ%=1UZNxe7=U zRs4V^i@6VBo+7^FPGhQQbr29FPQO5xE>_+Hmmw0l2FVmx>_D*3|BIMEjd=fo>c zehNgx*ANy8$usMDG3p0!7sU6Wcn?KlcR7R?g&n`?OTvLWahJvQ&+%5Si1P!%6^lt+ z;a(NX&%mxkOuY$%YoaT!sMm$lRX|FG3->b0#4avxZirv`E-x1^T@ax{M4pB2rf_Ql zQYoC*gWM7g3O=jEZ0=K6i!0oLsSz9bS+5mu9>M&!uulf56EDue%VD{P=MxwCkV{K9 z+2I2Q=q@+&wCN!&+XLb$S8@&QC3AiS@s_W6fIe~*pXv0KW&D}>$$#g-z+djo$lyDGgvwJ!AXr&$B2c*8;|7DH@*BPxBIKv} zu#1#sZ4ov~eiH{ST1N3?A0zKvfR|%3#1+wTOnos(tZd0UE^+c~IUw=!tv8${$nW?u zOq33d!6nJ!S~yFV?{6S%ioDO=;8aN;07;V}UNBFWz4+73kgHojm?_;Yz-7s=`L4^B zzjKm(LgIVn?#$3S>XtSud2sxSw`jn(`UT3o>gv%!}l8Yd|i_1!b_iB=63J-DPRZ6@saH zQUYS8PI9trp$74^ZK-T}LujRj@LsdE+RjzLKDF;B=xkIkemeH6TRkAORg2T%+D=V( z#(OxRnp(ilUb!wsSO>La79fr)4=oL(k2i+`a!5_K1?Qw{c)D;_6L>{EtVRxm&_xYR zfNNLPW*LZ^+V>C;cO^$7f`^LW8p~5T=7IB49r?X`s}Ee(`lvs-(DPN#H^RVAUE^kn zzgj;XkN|c13`n3_z=sQhRJ(WJj;O~o;4D~mOo3g9n$rb#p(=xG>oB#8*S>JIqAi3+ zRVQ9FA{3r%P9Ft-M^P##6XwzQtIyEIsIUHl@R*tv3gK~O;F&#ES@KF8r+m*q7q8+^ z0hyqjoIw)R>&75Ss`EXvc(b z`(<1xPfz0u`Rkj|`d&kCt!^5iJWAMB>j}JVoSv+LW5!0-0uvr>D$=F({IQ0}gn zlC2X&W@H%vJ99e1-6jhZ4i()l*1ci`)R^7Ky0aU z4Rm(Yp(}(3NW6i$J!SBL5(lyx0nU*=@Y-;YCU=3sAv$vgNGIy*4xuwWGhi%-$%JzP z7mBzE;z|Q@K-_43B8WTv!F@IlTD}LwljOf3USxe0uDxj>&rm+Jt{>jDFJ)Um=ttX9 z0P&|5_TU0&OH+gmq-TlXg6J8iOh+j95x8JFzYSandGnGRO2=X#45Kq#0EW|@Vt6@9 z9$Z*OP~J)mH$8#$4hBmkL26S?)CjQ`IIl0v`o@}EjNx4YBGUk6F25%cM3xz%Gl5 z`L4>QJO@Bd&~mP6PSV@^aGirs5XfA*Q3dW4+4F;yN4F}0JWb|9@F|_45rbh+LGkUO zyGe5vKzNH-I*S^rxXt;dL+08x@8P64=MjoaF3{WI7arE-tt>{LOz_IKc#4EczH%A zxbO2EcO4?Upo3pQ_>y|sLHLS-KLzqN{m7~L8}j0&<6G*((;apnu0i;orW67BffO%L zAIX-}K2tG}`!{Cd;bRERMUDWm5VIpdEJa6tgssGNZb2RvfAF5Zi?HU_w3{eMf-`rK z#OL)q#NQf_o}zzSAiYE%-um_yGd_jRN8G@p^}k7_Bn76Oe2&9;XD41>yVbVB>+YZP zrh3n%gCQ9dI1A(Xd(}EzhdkgLd_oTQh$sGB2kqq9)p$L{p#j>d=UowV+TQ5@^PYAY zzB+y6c1UNPm$M=NaQAEI*E`^4cM?B@aV5B=Q!=oxCuA^JIK{Dn5PXc47^6vb& z=6rvTPuYvXPw;a_q=~(cLp$k2CM1(*J3um}WetyD3xAK(K3xf`=}q~N=8SxU8rgF72XXp23#VEQlxg9xFbcYuV_yP4p^sQD#8!m0AVJdEat z!b=48d;?u1Ir2>yMOTNyJemY&t1;xmso^oYbO+pVY8HY)#L{wp*5b(d6S$722CnWC zX!v{R5~&Aww34XRRFGtn+W<+SN891El>R7ymom!#6@kiWHMc1%DBv3iZ<6y@@KQ5~th2z(;t!M?7CKXhD7P@$GnOC<2 zG5AZkP85IhmQ<3sG8yrbh3ogQOA({yKv*goMT0977x>dH7cu=^)q z=OW=ET)z-CoK(FOTc3k_CBEdF_O)0s6+%}z?F5LM{I&>V@sMVY(0R(VjWG9;vD_Z; zmQH+f!bg6=yMVrOKHpM)vM?XSUkF455r|d6GS*FdyE5#kT&b#B~mu&2QEr}@jFPgyhQL4BP;pCIwp;H zGCVE^KLUxBLHw~^m!1CrDV5(&g3lZBawH;@%bpi7>IzxRRmx2{lsguc@;1+nw`7;4 zFt3um-hx!iT;5=-kuCVsMbFwD&Th-LyiA1FSK+wTP%+)-GT2h(3DDz+Xb>;nXkpj zY}GG37uczb-Uxd@wXebe?Nyt;Fn3U{C!ljwUw4M^psL{;?2u~1ClH*}=+*Gztg^m? z@UWUX8W0!t*}vdiRaz2sZtCjK;M~=E9)yRw!N<`&RhL&FUTVQFi07?*qT$R(CA5do zS2cbNFMcXK44l83#}80|a&rd~4fn$k2BG`{#gEo~7cIQz1x#nid9RqWY05*Ccgx3XrInj>1`rYR!*RswP&d}YeI29O)dp7Z*0H3Sdy z|5B?~mKbU$TP`9yf1yL!J756J`La7gx51S)xyuLr(7+}mYlTAna&B>+)>=Qo7bPG+xaz{5OIM=3m_LB z?FjjzMM01(?!c+)lB{sxm*&Roo zcb%##;jD_RVVz@dVlT|L%>z5kPhF=n8k8YtG?y@A_3R z@3Wj+>-~({<8}YuyWw|00w>}F8}~uPL7VxI#otePe`s(uFDOIiay~cIm(O+%i&+Zf z@OHtl9no$NkRvNHa2<7EEVQGCa8WpBHYZJEQ$L06xTaifjCTlt)dY8bV-x%FNxDg4 zvG70HDHYMDOmTx`>ZgzKdRj?8V5a-=$1~$O&)zeC$c49Ar>tO zujdWf5BdCNDY!0pUDn5BZL%b@0FQw~KhatCJtD zyD`h+ezP77Z+kba#%ogwoC=T`om~TBP6K*FXhCoL!J{SBaC5?n+H=lnO=G!vw~x9$ zLIgoV;bdIzt5#%6Qd=4*%sGuGY zC$iwG&6%!P!R|0!Jd3a{bc;VbS2CDD=SEGQfpe$3yt9E0zyyR1qYlmCES%bQf-r)r zY{5m+PQJ~fXw7gyqUj{>yTy?2VQ|N2L@B%+C%ah)6iZ|Og*W@{OHLuMWdY3VrSjE|peDaA{=Ed2>3MyaO_Wdh)T3Ojne?}i06r5$cVp-hMJRs+Nn+;?xK0+kUSZU^;=pQ{=ZWp#LwH(@*aqPlv1uiQ`C_** z%x{W}Uf_`2j)SgR9R3EvT9MiY5$Z(0P9XK7IiLKvC(g76c_3DoVPvnw0q)7Y7NaM@ z{Ec`!2D-OmCMR+4#U4)cKZuWOq5CL?7XxW3BRB^%m&H8GTgdWT5L(JVc+Rnsb-TKSQ zfv^jZH#IT$S@H5U51H<}dY{yu?Ltsl39ONSXY(7Unmk?FIiufWWN^01mLDKHRoMvU2UPFP5IU$ngpnA-A`62az(=BJ!j9ZI`)f+An zUDV#z;9S-3yiM<}Hco}PhpPV^oTpN0(0M6~EC_v+cNm1eYRy}C@l!>-#`>$)<$wgL zbEn`eNXte$Z1xnYRV0_Fco0HAi~wm2e6A!r?z5%k*c;Wgi)&QI=sZF zo`E38)a|Dr$Cc?^c!^b0`3a9##*2VVP}$$XE>WdLK$oN{`odX?TFQHEscP_61WHqz zmI9KlZY~3tsfO?m`?A!2PBXIA+zT)`p;n!QE=Rd?2Rv6z<$U{;TE=N`o*H}t=4aH` zAHd}+$+zNJb;us1KxIt_DO659U~pa?>j7ku`i!%di^?$wBA{*{RF1|1s+Vwwh%W6ZrWx#J5 z%*Dax4V;Ak`;L>fEh)UGxAk*=ind)ji`U!Nb8mXb7f3<1ovxe|?^?GGuXhjg#p^vQ z&cgHFh>dt{O6|D2VMeWs5ZRm-<%3vI;v}FgsYfRSv7#z&2wKyG3Lu?nGr!}*bc+{M zSK1H{q#ORQC+ytm=_DXM=pENPp0t3=VlUdn>54af{2g{a6!SZDzC@d$^P>d*e*LM2 zSIYo;!UGGWm?PkVs23j`I6}kulMkk2Ux5puqxOJ=(kfn8!)T``bm5fA8FnH)=6ouN zbaxWG>;GF3cec*>EkAh<0^ECZt3gH>b;lrW%*&!P5FGV*0%Se)D1x)QG&>5yd-UTH2=7w|PS+n$3+_iX&`C3R zc}O3!LCnRse0Nv~uMQyi7cJcUu@a;la<|ana!?*u{!EUUTC_ z=x2b$i>dq-Cx}I{;1Y$CA0SEiqZ9~qR(vQ2IVX(!fE0?gTwk6Sjv?SKh%P)`7Ku)U z;84stKzB(r`WJyNiy&?cT@lkc$to5;C5U%bq;f~0L_Fd~#x=3?D0J7wG;Vp6iqXv= zEE8LDVg6pM-3@~eVmB{-rgHBXK+NP!Zn2olMmZR#h3vl#oTZ$=$D^&JQz-}z@cMx4 zlVf?~z(&S}!F<2$%X5vb+*=5tolIDSKnG-WA%ymFJr$xZwfJIlvBA0C#qJQf!@<2s0|oHz)>b(6`QFS^ULA3;3iOioNa<;?rgdC5cf zf%KN;b1*U=`HY)>zOvdI#83M2eCaP&aD^HmGk9M4Lq%eOY85!9hEz|=^i0JT44~8GWG_9DKae+BvtN?1TtMlN^lvn z_7Eau%Eml9o|E17f)vR9VHoFmx$^{&7i7DR@KPjqbc4@wsWw7bA-lwZtCY|A!Mi2* z908@>ErSV!AMzhm_45zz%K;$U>J`!9aMeq(}61Lv}NuhUp z;X0MNn}Vd#j=vB+oq~B6;0#Th0fT%xA>s2JZQ&L~0hLG$w~)T^2J$@p`yRqlT6qON z%LqSc=Be2JF3c;astJTQseCh#l@$0jgfHnWw=iCjEk8GJ=rYH9ODUXYyrUJiFnCXg zd2{;%o#KMvBgMXf&{T}&T-8jJ@H1>Ko^cb-LUiGI$Wo9s!di)NKGH={9{ogm$8YSB?YX`!7K3#m}ce9K=rE&h`?8_VD5@uH6OFS3K&4 zcz)vabO`;$u#*r5h!K2VCs0TqgbfldeDdXp7`O+zV9}IM3519i!vF~tzcLplthjp_ zF8<=%`KWk$3U(3V;@_}~6c0FkiV}PIC}p(xgV&!JaR9AQOw2>LKXhEo=0`bJ47v>x zC)U0Mi5Jmz7+Hdt@CQhu_+%FhlEgaRS56j(KEYU0MAm<6wph>-x-_w)9dzkpaC>kW zV##%Q$rP^x5id(Tv4AjJoEiwo3Gof*4<|*3|IS;9C2kPrim5#6V$mTS|l zEhHoRhhnUw(lc-!y{QxYjPdCV?O6W^ydL*~n|kA8k3cfv${<`P_Wg+QPa2&M{N!Zb zoSE{3r;MrRd6#h7cbwl%=bvJ0Gkn|QIG&uieG z(|pqkXcx@7h1Uz0HimYQ)nG^#r~4w}l2x36F16tKZCQ_Qc)i@z1F!!Hy#?)xZ@9&~ zqdD)1?ra{8*SkNrgm%x6bCB#E!IilwE!YK-8O@2pSj?%83quR~o3jf``hz>nR%FFL zjU;VGk3_8CA!qF^3K=cgb#cGK-(o=9VOeNUpZ ze?pf+vw8O-l}enTOQQmAYNXR_uHG`p{$GU6r0oXivZ&ApUb5-eDd0{}zdO*Kq_Jrr zIkc}4gt@fpFW8-;Jl>biqqRKv)1#Dl1-V`a7L@?O+!rc5sprEk| zagiVIi{jR&fLs>aF=-+xJ?#icvG~Lex~t-odUz=jTm3+;39r!*UKcUxFenw#+|e!* z_qoQqAqsf7<-+J8NQH3V;ocOc?-8g{G~@g1mT1p2Zc1%d90mt5`N6P@@6r1!xKKIuDtx9&+vz~2 z$)t23Gi1p@nB#9*^U2yQX}T6%w%pD2-wAm#5agsB&jn77O#KrEx$@m6kWp7pPmNpLXQX?;U z!LC+z;|%S#z&R>1XJ*>etr?_?8BHa|$0dLTc_F8l?Us#Kl_&D5|j z!I>+sFJOQymb>zns&#vCR_bgSh_&)Hh0lGeWh-!=YU$^2=B4%rL+GOx^H#F2O2v6w z^w5$Q!>7L*^bfcIwa*b;pc=*NXpj=OK#r*UT-gMx)-zxiqAqeel&VUCFwQhpz+ZTV z%D4h9Q?1~eC`;|(L1e3BOgCtBRWyd{ld69^2y@ifc_6uJZ!CnT)V6kTmZw^Bc5_;_ z=F<6$+Q>ZYEFEAn(ArXV>zJj-1_pk5rv^j_(Cc477^uJCV;4dCn=BxY z=;3(?l%QvDx}K>2;f=SFtY?=%n4(|Z0!XS}#}81N{v{{c={oWHm!ZGlesiXN>A#y+ zui;aT*?RJNxIUq$_l54Hp2vr-a&&v{VikGz?a zum7C{$XR`+KXm8xF@FJBpsybYNTEKM&k~>4{dsCG)y+NtQl>xSV^8J!O0GjH^eNn; zxvB5t&!tj7{Udbu^-%76KG2)+jQLP^@JaMW7+_61X6Pbv(EuhPVze2sRY+ z{1Rel%OeXlI1fhHFvG8dp$j*B!9Ap-hCj{$5@C4K4d#)C$a&C384NsaM;iuh0+(iR z{0K<8p&4g~nTFrFYR)qJ?E;_KhUeqKoiKQDeRk6DT?-&{4A0}i7g4cEKV_(L=`?ySZ$j28f0cOHO zzLO_@ioS*+&wk3a z(j2)B+POX6z{9*|Ho(uH`!)P8=*&Z3csT-?MKvCXzPPb9Buh*N;<|MH7I;|pl-Hr< zC%HxWPcytFZN+9z!&WxqO@&n%JSnesw1c-bSryQ(4d&@~o#G!9tZ&Cl(uUk2@U}6B zPeE-;e}t30ds(IjCXawhVuC##jayRP5b(A~(#XtJ< zpjCSz#K~D+8@(v%ZxC-9I19vwEPuwRed$*lc=4kb`~wVs>O2Pq0hDG5pMf+u6<&f! z=N#+^MRWNPOdpScgwPnilS65;1H6RMb0d&&nv??JQTomZB!aFK;hjfP$2o8vMFqX# zC7O2k0T)9@j{tIvawbD~oL0EQXDrojgfNbZxit|_eZN5T1UkbTC`II44ZDkUF%IN1 zExifh6$zD9$2YQ9dJ_+}}kG3Nj&qj63U-k>CIa+T9T zKI>dTzjHl*lRonNQi;EGk5S*E-yQ*3MOXM&6xHPW0bC6o2n1J4^SNTUO;a`kSw{_= zFWsTH{Nt#4s^PiyE`{F3c28+$DabSW><2`6PKIA$@PbNyf$Nv##gp7Cn#{G+YdYl#h>KX!9q++aboYlB zchUAJI1e$HzhX~uh;t&GzvUi}w^(ih;v;r^hp@il%^4v5@Ru$?{KXDH_5N_LlfE0lF$NF#tn)A!dI9@>2X20O4!VcL2P+5qo(x z#D7}j{^dLI)E&C_!YUfV4=i89~_aI1tjI;zPlq38>&dXlS0J$LNa;{h;O}oL%Md_Ce z-6dJF8@kKVVk+WYkt4YFEtUxiuCK}tanO~>$?u@MCeLwEab3Q722v{X2ZPkh;k>lo zl~ccm>-)0ZLvRmd+;(scvSuf^hjRH(i1!F5gW>bB44DJ+M1IO?_EWivKeK1D+gQB6 z=W=N_3|>gJ2?j4^2=BzalD7u}VyWmJI4d=q6Zw6rnokPZsL?S9v|q*YuP1C(F|U*X z$~G0|f$ACGXGhdq9d^O0(G_qZ>YNTpsCvS)@KM!3u!~S%bb&BZE$Rg>O10+t-IoY- zOZ7Pdu3A||09m6p^YU4%267Afwo2spSEq8@KzBzC`39t3{c;svUaRmnFn^=$9z*y} z<(44&dzCu_<{wmZ3A>N#A8rkpYMZ$eZKi$2gE!Y2_$#*1#(fFSQfpv{i#CAEM_26; z7YFXxt%JFT7E=hMr`CEah?ll%IU;y#o4KO((G0&p=&QZuN6k;0$+x1vwwF7f0a_eq zj)B@DE?yH*qQWjwTfnzQveqg9kQB`|8-Y?ahmk<0X*JwiOV{q405U_%Oq-+*y&4o z&&^)9;K$HGAHwg}Q6Dr81_yP^nHb9QS!K3wl*)WgMNAv>Zn122u$Z>sw4|K75cizB@ z(|7PJ6|Y}C2VsJ~IvT=6{SmiQlJqWIUM1`OPC=NW$KQnORDCqxb!qyozv23{^!1HE zZtK%?0jbkFbE$Ag&+|j{dOiMU#Jj6kSHjCZ{RW?1xUYZ1Yrq42EN`GU=s~$K|ELFj z1*EBA=VK6agTvnlWMSC-DUg!U15fW+;a>!%=`+-qlR)`H1M}Nd9I5z)Gh{zGW_@k2GNFvoO#3;zUziT95bwa z4U%UV(-qNA8qxpX}uQ_Y%X&cp$GCS`Gm6x&ad- z9umX&DsW|nXdgJcVJO%G^3>3hn^n&Y$vj!TFpS;{hQQp_s3oVUZbpt5f%GtH#a#zaBYZcQvy7&3 zc@kx`iWl!_qs-4hjv003ZOG$BcO+rC8JVqi(EEp{TsL|M)s$nt1>#pzo@A;+As!owMOIWKyDk2z6xQT zQ8MqT+%YnCMTB~za~3dvWpuU*!q-L{A|QNgRL1GuJ0sVfuzPRh7z5WIjDEKV_tB{H zeCSM#Er-L-%-F~Wx`W0OpJLR9jB|K{)Y&+TzxTt&n|SZe#n^}wC0Ap!%Ye8U_gx6i z-FOmL$sWd*oQFghE6y$=jThA;LbP#L&Iexi5665@zfV^gW zb37o|jUVwr)H}w{e}%5zxXo!qxM%F~00#Gsf8et}4~+es0ckKcy#n&kSS|$ek#Q8K zlaGyCjKEml8$ab`;)8K(?g*Ni6z%}h%*1jqICGQSwa{6Z40nZ{qsja)AUtT|%BLHg zOkQw%%Gu;+Zx|dl`J^12i^&x3z6P4q*MJ0>T>TBYV3V9$3@OAUA_R7!Cf5?+Gt6Wv zw{jCqVtT@LqRA323X@ItHia(5WbPywq?&lx0+MFp$&1DrlU7aPEZ?MsIlP=Rxt$M# z0+YyVFfTN*NdqZ0apYB|%;fNRkaCmeoJ3Wa{7cZ?G|A_qC-+UNT0;21EN37?$e5CbljBoS^?rMzjWcOqDghDdT zY9_AZd-J_9p&!o^6YtvKI%zVWD46`7Yy2tlDPB+Yb;r1;b^HU@>Giwef5x;;@I3R~ z=kPGA&L5cBVJo1WGvg_=b3+%x&%75Cpq)Q#7p@C7eGSaQW*zW)(e7oqF22dY^nmlyD>R&+#A5pV5Rg}C%Mu7nsO=h%Yc$OfVXspd*8rsyHws)C$q*oK z(28T=%E^Sw<_fAC1agx;GX=7eCi5eIi>_oMUKP3X25U9V;r*eHWW=AKsmR?6q`BzH z-4;l@uJ@g z_)HM1jiE~tZu~(ei$`3%rHEI&mzpXTvd=Uzle?DbBEJ;K43WA5T&9Q^2QEu&ivTiP zJmnp>6QaX%=uQeGYupG(Uye7HD{k?UdrGwb8Nxh~x(*Rei||ija7JvM3|+nm<}(;) z#XK%<&I!ezZh;tj3Fd|3){j7*7e@bOG9ttU@1aP1#{GwjVgzp!-4SiS1XnMjxD|F! z)a8P^FAg2Y;2((XoYOUkFL`o*DE52;@<`0*ne?&P$w|@^ak)1J@l^cDCu*LFZ@AO> zT+HX_FT~>CVenG)=7jB)xW>Cvuf>59Am51f8v%JMx;ue;CoXWO;=Q=?48jlM8$O5X zDtDX!=O%aa!|Wl~vz@0Dcfff`o0D+nEq8GX%}09k&F3qB=>p;>8%6@+FMBUW^Z?oK zCkO+jNe`F@$uj<`j>w86=z`@&ZeoSV2T|}6D!X-o&oJq}7m#o{jUSSuatq&e5%M)p zwkgtdFG#BN{Rt#pt{en|4EgyMc*&G;=V6c~uls__mQVSUI3cq+`@1UR2VzJi@>Dg* zb(zhLl~P&EsZW{o!4d{fq!E9cPi2k)_ht$X35IU>0LX73G8gdXmUDPYC+FaG>X3)8*%tPSZRX;D-d8pl7 zFMFy2PN2M0H=dfkRs4JCd{l?;;nP>W;<5NCH_l}I)lWQ$2PiuicnMU$wS_K7{c#y~ zM^x{j;DS{LE*(RZ837rpJh-PArrvN48Lp;snwg|tbcT7dy6pjBs`@?|25G80?^LHN zt9}q>D8DO+m#Kzv11L+)UIJaVisc36gxdW%hI>+_O#_#se&C+XMfET5qFqvL%wTXu z#d9H2tai18?y8#f0p=yD`wj@Nse^Gazpl)F2eMRo@mx@*-qu5SLlK|yEmyZWwY#f4 z?*MX7)BMhz;d99|x(z}v9fr?o!}p^et=CWx(O;I-IJYrFyEfcBnu80@u{ zoYFgJyR6~GQEQij59FZc^aZ>e(sF-*os-t}EQHQlX&$)4TFg$^xoCYkg>lthal^?? z3z-4pt|jvc3=i!h7j~YSO(2k7+DP6R@YV!xwE1YiC?I{cEiJ(LX+8S`;;#krY#N}o zJjE-o*zk&p7iw6S|qV?nzAyo6@^E6@F!G3TSt}WzZ_^5W1yUr2X z{qMm=YF#~mjKazSyJ+q3dyp8d{{je)Y18N6BRH;QOn^bGcAmf6IPIuCAo1G$*NC2= z4dcusQFG)4I!U|U2)bnL*F@-2kbOd!sx8ZfFimT75Xf{busOVBXj@-^%hVdUywB3Q zSOb}@&HEnYgw}Q>3{Glk--650PKJWJtj(Vf?uynY3goJGKN5B&+JxC4*R+?Ocz@Tm z(cc4Es+D{S;XUnQb8z>yRNg;o&|JB6e5kdW0pTM|q=^0)zbnN@@I;$D2nJ8JXZ-y= z)53fa;kkA`62wYR;pfj-uler*(syuScTyj*4DTpM@5_m6uD+NXqNntoWgvO_p3NYq z^;TEl<%}Mm4lnrsDqTU&>MLeKcur5^DWO20&z+w_eFZ;_=k>NFAQ$w;V*x4BFY}p% zi@H0%hfDgX#o#XMo)4hAqR-@6s#ur3@m8+tJ$;}n(a+8TcTG1z7Oq{_d)q-+sweyg zWSQ<;3hss;vF4wT6MYA=d+8Eey7wfwMH6@JA+r?M97ZxU&jg-^^&f*E!>6a=^$5?7a5J*`J77jdWI#T;J)bojQ~Lz? zv8#D6YFzMjXve2bWB?fe$D^J8b?x}eDpL|NGGB#?_vJ%D7f zC3n`A%;rgJsS)pyF56WF56k!Sqx{bh9{Gw>f^`NhSSu^kHPJ52|l0dj_# za3PjYf*aFk=`(&u=cvpQ!UD=I2Pvetogh3<^RL0~0+pYFmm<0w4dF$a$CK41x@Zk@ zncVo}xl0q@0C|rN6@hy|EBTB^1O2iF!iV${_ZW61!~GHOF|DeAvnOQBpUYD^lMduF zs+tAebJ{Zv5nj+Vu5(_}?Hv%lqBcX}_zE+$$|*s(ko1?=6>-}v0?=bB1EqtAd$j@i?k^5su(0%+~JQdM(mi5 z2**UfBKSNm0z1MkR;*2fE>7g|K4iR@m;f$8nDKX%D5~vYo+Pg4!Dq6t-Vb4luug|C zRXpHgD@`o?5_ak05bw-oh+aA%nWFPofMkgZBj~cl^G+Zq#Evw4tS5y_7Q*I;mzEmo&MS10m$L*kC;Q4CTq8aqRHSL8K-yC=%Ht$JT1PlWJ+xXkIYrTmdcZ6%jV zK=#SPmeASYw<{p~WyJ*;*vjpE2*plr;AHlIyvK{1y}ZgtP90=(u09>*i9C$uplrmA zszdS{xBYpL>bRzOOl-Y1BA(PVHXHfg zVVqvdcsw|7rO$!uFg2d1+;Fuk9J&a#a3+M2>h%FQi&96Y!dbM^mw-!E+BA?f<@4@4DSm3q?^2WZl?>b>(4ZTWW$SO_}|#HGp?KVxInV`OTJ_Nwc*NP z%d9*gx4LsmyRCdGFxy)<;JTx%4w#*BvoVHUg*}1X9Tb4Ldrt6ku(vU%Y^Kzg^CL6T z__H*pk$lIa`TYwJmgLe0F0CknzgcVgWjM$_`hxpxHsl%yb9aj8$C@PRrK1N=J$Sq7|NyIAfDkS^h$+sL5t{Fo=Q_SoF}%2qX-^H$Fh;U zmk<153$BFZd44(^dKdUlbMT^g(FjOhxq_2?^rEBwbf5U=unr=WL@8$5yDbv|1Ky&L@fG>F9Wxpe1I!aD>kk>9=xV@Z5M zB=mB)4J|Ucykjxc^Z2;|7(_l_nE-Wcx_bd$@`~S~P{1cI0anQO)2921>&zip#9!0) zT+D~iw13TKt^@B4zf39pTmF#L-|@;K@Je`+J&5nQLkbj1c}f;|A9(+jF#VA)qv!XD zZ#WF%XFj4kh-Ey~5#S4776@KB=d|2?<$q^E{Tu)E2*a)5fxSVj2|06+Ms zQvg-mbtU}$R!7<%vqS;EuNi+l|7=?4A@?Al+MET;ivc@ zvR{~-1n+k`k6@S3PNpX(C|5Ktg2ZINlbsdOF2^O3dB6IWEk#QzVHZ!!V7Vl)L)9-uD}Y!$iILUiZ8`* z^h!hsNaFWU=n$+}te_7eUW<+j7<(gnUI+25NU;Oh4_u~ z(Ml2i6=V4>ei=dJhd6i`>Q&+n?X5pW@fYx_#nK)CH6nrTS*lI^*7109-u;6){mZ% zltuaFcPW~d*dJ0kRl4whp~>G*X~11bR!hTv!b6SZMj=zJ)Nvwg;sdR6II5RMghBF` zRDJ}K?uy%|V8ufr#zMhU@n$fHUW#{pAnC1Gh+qeQ=kbyrm9N5#b{RiKHm!qO6a%Kf z^j1auBB=W-a_MCcP<*1IK%n9RRW-IL;wi7$u9#2vbBE$8RYrFzzSDOUyA*L$M%k@6 z-2z~bB4!R8?Ny{uk!hbIk4`)GE8hMMg#(K9pTIk)NT!W8NHMT6utSQC9VMICB z5ydA8l#VL4KLNl}6TEKBC z6ydbL*D5S1&Z<-R?S;*HMe1Wjt89D{>i+VZQvd;SbMhW2Pa$lZJjfg#w##QJe%T>c zQPF6pyetXWE?F@EBD-Zfs-*9cM>+uPm2cB3wNGw9hXVWMv;$B$AitpV=!0@MFX#oy zX^!9>lGo8fc34iMuZWMx7OmjusJx64o@27&A@qXfi4>5B$djq)b6obP;>`)U$0iU@ z%9rSKbY=4S`6c+@5a8 zIl2D=xIHgBZh(gi@`-_P8zm=Gb>gDDb`>O}Wos%c#mJkTA$dveOd;xJ`RgEv#L6RX z!$X{0+ZN!8oLd6PtMYP6dalXMC@Z-xSJS5}H{`7p@WjhYDZomQ7xV{6l#3|=PLj*2 z0g~l;0T>egK!<{_oATxNP)L=JOorqwxq`N{GW3V3M4{bajK(bseq^<6&{3I6Oo9q~X3#*V@ zJA+p#*X6+GclpHcQ1~JLLq+;3c~}8P_EXNLoupb0-UzHl4yEw5R`xcBdY$Y>QEa_@ zG!J^eWVeMd?XDb3%Z!Jz{TT2(mFp-6@>2TJM;PA9Z)c$Hqufikz*m{;3gD-F9t(vn z%0FrQ3{(DP0ll+IM%Bv*Wh(6fk;=bmmBPQOegpOM%5}HEyP&*4X+)HA!##MosBGO7 zShO;91+2sO6weo~6LF#TD%uMD6} z89-kje^CzU0IXa&qam=b%H}_SeN%QfgI~k|s$2h1-k<=aN;xnU z#GlG;7Xhl3Sxo_Il!9tFwaV4C!0MFNl#A9Y!|5Z6U&=^&=iOCD55SR!O1B6io~rK2 zz`Rt$7XWyxN-l%wqe}ONg0HG0#q)luW^UkZQ8gF`V_Q`}-@}8y>QW0x2B>aRtuRn^ zB^4r9R5vHV!&Mbm1G}y=q?PuD%CIj);#L0i_9UpRWauTT4%Wh0lIqV{@Q|!pn*xOt zRS`{@o2tJlL`_xopo6JfszcqOm!>KVfw6SeEImlxR^1%{UWO{R8j_i+2)fC4R3m-Fkjz#! zISArY)qOfhd8XpKVJt`0?LI)Rs^tu*KUan1LNZVF7fs)MRUPfAFI09?v_s-(PA)tbU>iE83< z@ZPK3VgX838`=SUQ2ibO@KI$=LGUNlV=ByiR%MW6nd;C5nEs;5HUL(xx=CroHuYBv zfbHrIr@-5#zC}sQZuQ0I!1kz9a)Iqt2T~pXfO_sv5D%&Y=-CFTUHd`rkh;wtU`N$q zwBR07@7Vz?Se>2@w;}3#E&wOgUz-7(R4*#T6`fN5-VGwB)%g@Gq^ftvfOt#YVl_az zI$|ayZ>tx~2FOr92nR7!{ewQ?l` z9;z#cLE({lL>MF=t53}Zc%ojr3&d>o`M)9gRDH${*fX^WU0;scmP(?z>Wn1Ve6B7@ z0mxI2rBDCz)y5G3FVqjeK;)(RJe4*J)N847Qm9tm!!3BF_C5q-Me60-0gBbDXF}w) zdiz3%yitFo;l5Q*_zLh&eZ&G*O4LjD0K8X!=m~{V^?o{L{GeX@3VI*ao!UV1le(U6 z`996`_qd|{n#6M$(m_ps3J-!bT|WaH(uBrB^04O7dw?Sv7rNS`np@+*JEnO^74cwA z*f7`((R2>MIFD<(4h8Xq=CBK}lbYCI@J?xhX~vz_3^E5VRCD+Sh-Wn4XflUsI@-bJ zSJASP;x(qTGDQyU0kvS!X%fD}!>8F)7}f1d+L)x1i=rQFiIqPR6p z)8YljnXYL`Vc~6!jTRt7v&sx2nHp^~@a||1(5X|FCVm+F-PLTK5A2@Cp7zcAn(Qu+ ze4t4w1@EEe{%c^5G(9U}<*{Z61*K0k@>xh`YvMOU@2Ms#9)ozM(YAx+H;wHf5Gyox zlym*ilsf~f(ir>(?5Czd64a|T-@S1sYBZ1fLa$cSZZxnujs8pU+_kr;-s7R2MKvT( zZAmA%_0pcC#LrvXVmE+~_G%3zeYH*vfo;`3qD9_|P4WQ_0x7Ohf6!vJtT>$oKTeSxfsU46E@LhYADv3X| zVWXg4rJc|iB0sfn#$kZf+U|5;YP9~#;I>w4OW%XkX(MRb*K3EJ1o4+vxfAN{y3JJa z^w618c8-P+IMPRFH5dxMx}#LS@zXW3g~AqHB^4C6>UKT`(O)-! zo^62cy(Nf&x`HAQx9J>c)Z2BhX;s{z+Y|!5ox0j>u(?b3jNXvly7>-pv`3dpClh;h ztDT^?PuDLB3j1}dDRelX(^3d`P-ip}#2{VbXV^^EoeTyqMK^0NK&r0UT7X-+AAf_F zrdt^a)9Jb)RK&ZjTT8)ZhOP-MWSKf+iUjWHqG^U_>AE}v@2;+KFAUpHS@<^AV4~56NjMET#qBC&^$kv^w58Y zb+3NH%5$CbJc#7!1eKEVb>2&$_d;i!2I5Oy&~r!@=-#yhu~65Rz9M_2OUQ-WB3;*f zC=~1Zj|TQy=UNZRH@b0DJ$S2&phf4MZYSv#HE$NWrvyM?+s!V4>=LlbPc~l!M*S)1A^s6qi62x!1ije>nI#Y^bD|PO}!TYZ3 z_P^P$>-Yc)Rk~Ga5c#RQMgdl}Zd6x@)ab$}JFC^%YQd}1z4rjIUf1{|uwS~o?vQlX zn>!n0@zBd$3e%o?j}t)j(i=~+Dp+snGN^~>P3aEoq+VGGF7uS$sxW}ldR_&P4AtvI z)sZuLooS|q>1~_~-dVk;bVDNb{3wq*r`L;2pVxEh2<(F1?UV2qrPqP>oQrxhmVpIfM+Q>0T|a_yp3#eaKsU&a2E}k)0VIvA z5nEj$k_Sn8O^~?irf?`p)5W2S4Y2%u1C&Q!tr@l`r_7 zERNiHvDO=ad9y}T-tl44bhhTphE9SZKc;L0;!zfv3vi5C&I1Txt@PpWI8$8$c7hGh zhU1gWa4^6rmQ4k#)2!e&tc0>#86civ$FBo~v1#-r%vqKj3XyPD6$dPWU5EvUWbwxU z&avhUV?NIsHU_xBj4lC0vG?@x!bN8B1mlcm4ai>%i>7kKB^E#*n_b4=$wM-hou}_l zsSKfHFkz_-0RFD6IO1phZfL_XS@4goC!>#g(s11`v-bSENByW z$!zQ%@KV@@GAP_+Ve|&1vZ=!$d5f)_3y{X#OTc@<>?ovs$*d^}C}j6W1AE1qegr6D z;{>b}vv?Z(YgS2_`5Sh0AdJ0bYn))^9cvp1krFnh9n{~mZ>nQ#{#-~w06wDjaz8bK4~~aShR996=sI|*+%_1z$9(^N*nGk}*8x1`gF65`<1JzVa=0Z0 zgSmVJZOhO3Gsw{K!4rLHxwsG66pGy>|i1cmboE3AaxEn4!Jpm%b zHab;_6bjne&WZ510O!SgdNHqw+HC;Wg>yNq#EWz%NG6CyF8~rn2t{Q{qA|UgPsI)& zcz-6!sc@ew@-D*4b8&t?#*!yq(C2IU;%EfG3-R*@Bwvb`bQ)72qA08?6m2PEdL`;9 z{3{Y|ETLB{`ssoAT7;Iu*c-9F3EaLF&$mMFomf5*k|pAXH6-7QGqkUkispZT_d!Gz zL-M1jT?Oz-yrJOJQyS18R`7#Yf54`X6m$*hzS1eGQ2I$$%W(}`B)xu6-zpi}f{1?u zH3cF8(knAyfl??rLlRU>-YIpB0Jck7HV=}!rIp=bWsh`#a{s+j z?hc6Tlm3i{NQ7igNkF93X8?@hpDodDc|met0+A?5M|`@G^1K6vu-3!Tm3Uw;5{fe6ucpp$`DQbySZ2Mmlj&JWFazSx7 z34@4I9HnscqT+R5V9|=WX#g>bhMgdCNm1DmRxT^*D65TC{O$-IJ_Dze^@`%=9`LRz zL^`l*iuOGra$T{9j)3v^^~W&kc*R*t@Ddc90ZUYzegW`IQS%#2=P1}3@SZD-C3w$M z_?>}XzQVExzzapcKj7%4Vv0RLfx<8ddWDKZG-X~XlFi_+ND=7*Ua_L_5?Fby7`Y0> zHwq(K&)zE9AB5yP#khySN)#_?`o32TrY{vr6~=V3@ImqPCKNs@-n;_ulfs-*Iv;sQ z9YpXoxITDWWYfO@w#rj=0RD1QEo=tJ*JnT^P}Vnv-Zr_>Vi32>YF7|<$S#v%dZ#>) zrtdCUNse~QceX%%k38f#z+Tz@5ES;w$7bQ8_sdQ80pRcJE1+;tHl)+gAo;*_V29+B zAy7Xof2VTb8QCTYdSUW@+FHY9pW_gTkeAcT5Ggy)fyg=8Y92s>d?y4TQ9fe{)5-EB zieyvdVN@)?DLU(q-dsAl{aHQ23r9Z^RppugH@&f|o1* zLDl@{ayZTDeA%=Z#250tp1A0ja`j;NE09mdL8MR~FcsJ<`C=ACisYYOuu?1+cZK9@ zdB+@xe3P$J^j{&%^ij!o`IIS$KV+kuz^Y`YUBG_I@l)WaTK1H3fGlIG*fRV*Sr9BU+Jp_ z?}2gzMG23TWfUkqRwh!s`$VatFL<++3s*q$sj?RX@0qgg2&m^Md$oW_uJT4R@SZC- z7X#!ejcNAhD~FwjNQJU>8bGD;6~$RUl+P%lu2Rlh3GAnG6-}UOr5{D+HOjRV@YE_- z%><}Z*3iVOSNf}<@JrdB0yB5jOFB96P}LPe1Vw!cGQ3oJy8*maYe&F}j|%A_ri>~# z6+}N(R0^;ys)HAxa9HJT0xL&UZK%?5OtqBmV6dtko!*70(y8)yTvh)D#1pET3jilo zZ!^FevZ^PYK;BfTw7BS0)q@5Q zxut6U8d#bt$qIVuss-<0?6%6d43ZhDZXMt@Q{^-kB6n1kl)+@FdeZUfT~#j4hkGi! z#Zb7f>YM}O1Jxjkp;6nXGU6jubBf&_t9+(|_e7<$2l1Wi>2ZJ()fY-QN>xQvG5nyC zi=g*W)jAb!KdA;&1^u(?3>B}-RBxYx_eHhzGl=D?rcnT2Rdp2ke^XV{rBtW}Q&_)U zZPFDAJJja1zU)%FklWqr6P^IgFU zQeRjO(}&dE9s@h9Zr%&n5%oYXU`N%yloTIRZ&(X|!Rn>op%WqbuJfZIO z298du-?{;uQh%h1{b_Ze7Q9e(d@>Zys7+0Qg{f<1!B~R&**_pAs*UMHFj>842J}+Y zw<)f>sUA&RPpW#lAFSL`|9uRDNK;2pdX=tTN0a2X`m_xcGSodOGR{;lJp$~GI+DWd zEcIcU#dp=eLLqriJ%Jv_eRTwd=MU70PvN0dZF>d059+B+p!Z2_HXOXqYD0VIm8q}O z^?gy#@PuT!dJ$Rqs=iVO)8Et`tsq&UUeFVImFjcWko>OR^Bs~u)Lt*3UZvjg7Q~-d?X8(u2!Dq(ne?6=)|8!qm7|(NMlxvnx5w&8K%ie2X6*X-U>Taxc@W9en5Y5nXiVxr%+gF!z}Q`l2ZdtyG$nN6a$mC|9Jkb*c06fxo(~9|6lMxHOCmMS?e8|=mQsVGbQyl}h&ot-91Iy6_TEIiDX8jt7 zJlE8x!dRYW_gV1rHGfdP@HNZ=aZY%s1Xxh_PFNGQdisZg)vS>y5p;=B}q5Ra; zt^`)C$?FGDqp_!{U8|XD37d7A-+Ulauemf8yk8ohix{W7cGehJ@z8R*Oi%3wPnh=7 zPNn$8TYG|58z1e6hXB6XXM4c&)230xxkY=OPC52!cV~jPU)${@j2+O{J_mMC`-Aq) zAT1}ir?u9b0M2NmJ_3YkJ+Hv@S?$)L&^F5S>Rz6cPn?Q8*& z1Z{#ESfbW+1-vI|2bKd%);7$6_Y`e;JE-5(>c4_ys`l6h@NQ|-=~JyV?ZUr-Woy41 z!1Ob1GXY|bw#QNEo7zv>88<(d0FQ? z0lZjU*hlbg>3$moVw#Rq4trY{I}L-#&~>ArG*kDMY6*9ApEkf>mTqPrSh=fP>XuLt{7xse2C+m}LZyNCy44== zUaD)Ng|QF1nM;6u)aeDn$|qf8`lR}^F1kMy%5>sy@V@AR8bGpKH*^7rUv&*>8dmAe zLA42V)DQ2|I@kSj05p4a$%V{_P642dyx0it^cPX~Fxu4^bb}~*Foq*2+Aw=$w3aSTgv_#1I;e76Luv2wXH@-L zk>!te<@K?ktU5XuGONoCp|!@KFWR+p8lzpe>L%LtCBBf{(27!-jeYOJ{-%KL(Avx> zBXDQSskG?9+T8)ollcyViWiH|!}z?}fL9>;u<$>D`Lg`4Q1)X%%YkiSwY0TtWt}Bp z{>*ecumI+|5H=68AWDORSQVuZhuLX5qdkIOx`*kbY$#P}jjK@4UGYakiI0;mFT zoF&k)zzJsL2uCMbk3S%CirG*qewrOQ4J?#3oCup|*s-%9hOx=NLE$X(v4fRx)`8R` zm}w$JBH3O#TRO+Cb^$og)SJP(z#96&N)(Ge3gSi9dKIihvqsZFjA2i{Lhlm0OflqT zHi>RUa!$orGMp&h20{h(v&S~hJoKppc; zhGadfXaY(6rxL0r1@NWx&TZq%7QxYW{)`sJ9egl-g|U+xod>py-}wi6yZKQp0RPUR zd$jWmvdDtf!H3u5B8G%SqaAvxIVi*8H=sY$jqXiH zUpn1!!ePRM4B~f06SwJ zwm{!}eW^Z3ZFI-u_`=QY9pLYcP0k^NA9hf>E;}}%95bfYTBOy8D8vn(OBMaM}NWwN<}AfnkJJhI-x%~p6WnT+@7GF zy2%!nt?C)LwgWmre)@VhjN5MOd-Uy7TB3DGq~y!d^yC0 zW4F_Bo_Vqg{w(Y@;EpSy(#QDiO<~h=&pU7@^fQIz#6eb|Ov-cd-kPTAh>_<& z8L6Um!+_I9Ww;RK(SNV?t0{QBcQ%K&KIKcG)Au4}O8u(ny89=d2X{bDAliYQC&SZF zGg?81U8Tu6T#r_U5sow&M|Pk!dsIOa=;~+F+dBFd9W)r6rH>B`J4J$Pbgng8<1%{d zP5z+w(bSZ7>oJzg(3;7V@s4dm8IO4iMN<|XszD!TM@9SblLmonxt)UE37zSakjc6~ zK%a8k4E?E#=#0YZCGBI=9uGy|x({WkHfEGG+S*d3etODo=-Oq_jInq44jG5sE1)>q z)Ac$X7!671jm4nP$fuBe=IjTcxQuLw{w)3OpwB)~314$|)}TMvvp?wb{HQKA-yt0I z14guSNd=_ngZ#hegkQ2=n9 zjiN~I1iN|-k|$YrDs`S>CoW^u_%B}+w1qMwihj>9hbTyfv08dn&az> zhpQG~_q6K(*lFh`%>KO;57+!$5!oN#i<`1b2&f0k9J0}J#=Tjqby26pjFQ?S88IuA4Fk<6PfR z5ABBG5okAdS`Y4~TslPE?3#p;xU&ru*?KTv+JZdU1}YSKv8N^=db6aS5cFYRTp;Po zg1^9}AG4VbkpR{{6TEFK_9!H`vxX+%?O+@A!Q07nnXiptMr71GGjfV$d4Dd<$ulIvIDubTh>wV*;Ykn(d+8YV0r_WXu)x zB3KlBg7tAhRO1={geJUYFs%#|G<0S*QRRVl(i~dhCa1JRf6A7fkeS+kBm7zg6oE1= zGa7cR_bvk6CY~OaZOnxK?rSBTZuhP2jJDrW0pI;Q(^@^??;B_b{?-hXL4nyA>);N# zpbY6f2)2f{JPs=(yV6P0sM8Mcpw-%O-UsDCgB)yQX zR!h~*F}LZnBeSk!&>!oS3>otVmq4*7y@+<4ZBs~&*X6*1r6vO03Ho$*CeA^u^6&fb zL;-5IY%{doZD;}L-Lunw*JN1(tKGDxpwWHwY*^~;s{BtcQ^0<=-V}p$zdjSA>b-L6 ze|mF|z|LS(ie!dVj)L}3zolr01)4){czb$XM@SUUjLg6?h5rZX1V8%YMxhvWpFZ9O+&}13!f(iWZRTa~uH#DdF zWOS+sedEXU#imIG73EBa*`Xby*A$-2w$PqGw)6m59Ml=DMb)3s8n@*hSsZ^7t>sd> z(-UsmVB8b4XqTR}iSEo~{QU(*2YC9|chSsI|M(EC}nhmYluHKNG(>EWKxvo7xndg}e znfWF8pf6}=55EfyDY|qWUk%El5zQd8_yXPQCH;1yUAl}augi>;7_-~_laN{7UdoLQ?*urk(v68h!=ltWu|prv(OHq~9mUm6X0OE-#bC)_;&?!QJDV9nnF8X`W&N8GpbM|`=$jphOn04-YI#8Oo!Vui~u0ituUz-E{ z)xhM$BcM*%wh`8+zW)wQE87F;Pji}!)_Tq+aBV{NV%)ZV72r-cB`bD0OTe{{qiV0i zsD5Z2^^M`fDQ7xtI%m_DA~WJFL7!>V9<56+dUs|SG=-hnalxR>VXM)f8-0^T=I9H` z{5!^I7wn3Im^rP~0i;Vkbu95O3% z8-u=b#ZFjW)r_Ks)kS6Cu2EkGcP;*46VJJ$0s8Ab+#$KS&wR+Zvy!)9c(9X{#(T1_ z_u$Bj{g&}xJRg+}!esX(2u(441ih(O>mX_MX*>GU+R>=3*B+(&l)M#^wnav;I{gMk z>2{+Az?=O!%B>vkP=xHbp4Kj>J#^UV>`HITj4^iT&&;Q{(`6d1!n2~BVRLpCW%P66 zUD3{+R0Zz5bp4`X>?G(3k$V@#<6&S1XB&bdMvlTp8>rSF? z6GKs(tt-_Ursvp#ZWsPL==MDZf#Q%G1B&C|{V?xzi9Y6V-Z~rf88s9Q%p5|Qip$Xt z;LgenLVxy{rjVagNtLp>Y6JA=ZOVb)`B%z7Szum;cHw@yf39yRMp<;Y53DVA!t+%u zIXfHjOUEM+P%OJdL9$zVKhT$trB!>ydU}Ib_Ar6pRhwU-zj`PIJ8N>Oh_p7g9{qKb z`oh9`zx}Ykc^<_X?yM!1>pa*;dNVzlqczmM*!kA~%{w>BOecF$P(0<23TRI~HW7WR zI;z)A8Wv0>|k}egrW6j!p81l2j^w7@Png;sZBD%ZtzR{J<&$a_)LGOm>FKqW4`mWwo z_h0n%DZDS9+YT0%tfD-B>CX`Km#ygyy4w}XhnB0KgTCSsaaWoQf$pk#RIyrZPtnJk z#V_G;tv97N>-tblVf_d_&^L<@ptv(d3mEcXJt<1^WZU-v;6By=x2xGwLNodO9*kwm zaRoG{7Spr0njZ$iX_NiYT3hV}*QS`lTH9XqZclIC7Jlq1?!%9L1|1|g#Akr+xR9am zw2yLI=k9Uf&KO2Vsx!wpf$oz37}jPvjECgxs}#4)Y0?ssb8jhOZC=MCpv-UC7+MR; z4nT6Ddn4$&_U#AyqN!AGS=^X*$|ZN2!P?Rt8zHl-`AM{H&FFinCcTns4Edh6Z2_;FJo94o!JL^6Qz=LJ} z2977Iz5>|X_RHyg(N`U{wp>ig~PJt zpg5L>qVH5@3`u9@6^vv?cbca&^H5t*xZI-%c$OpWK(ljaLU&FA#iDcDDj_rP!atzT zA5j3A1xG1LSQrtEvAJHP+SQ_ey8buk;_3V5k=C>VkGix8I{H0=Au;;tD)bGWw?*Hu z<|Zr}1yD7`c)cF_CiXJynl_`%d`#E%pqO{nj(c!KS!Xi-})x3 zjCP`g-GJGnZ&+vvx>4`D&@{e9c1;!zhrDSH)d$Dyy9ut@hymb^_52-N^Oy%{Ejp#5 z9XEX#=;I$yjS`Rj_Nqg3+3qlczB;RChHyT?xBmgX!Lz zcXw!1BZ{wB}Aqm;#Db@oGp;yGyZ-^#qztHmnwX z+atbcr(dQkv1^xtzI{Xpv>d*T0mX6L31~U>&7v#pI27#+o&x&J)@Ly)m-DDH|9gc! z$@fTOYDc-Q2T%V7?FOS;{04mkJq`MXJ*iw|ltEdEv5y9HlMjR8&vcDUS7=JBve|3O zS;uZX22bW@RLro*r8>g6Idn(H+g?TAvbi(b3Cr3-e&W_fkePIaPNJ3Z-;YWB;;q@CoM~ad4>nTDXT{{uJ4g3&GC=8Dzf@{=k7pxe+e1q1c<{2bS zH@`tUCjJv-%!bo`F!m+gBlE30AY);?7Tj^}fuN87P>jB1^UG)_457?zV$KjqP6~*I zcdHe&%1_InQ!VRHk&v;`TLLZH8NEQCzKYH>>}tHx+TUMIS2!yfl8#jcpg6T314(CV zT5D$%o&$YmvJCGogR#&4dxeQqOf<2kJIG>qe;(3))d2pQ9?hww4RgjNMJsUIj~m!F1~c~@F9Ejq1)?{T#$ppS2I5?Yqm zwxd7c3dI8xr_v5IX+V2WCO^zZJ7pkcP*XiSfNs^A_Tp(ibe-1uq2(Hls@K1FVH!nEqgGRaRA2QQBu3}{fV9DlInXp*MPDfzwV8nt z7*D4+*<|2Pw5GfNhRm3Kw0oJ|tOIxK9Ey?6Ck+MNV)GcZ;}*t&KK>2O8q1Jka3^#g z2KvPL73fcjmx^zX=+4|z1^X_aXgssVT?T#ju#Mk3Yi};DHS#!vWc@G@`y#x0PitdONq-9)-nTF zHv7I1*i+_71^Q?u1wbC_YzT#XW=(Vb1=|w}@RC_l3|GMP zi{Pk`75@S7ik+dO#3FWU4it)6n`yYB*KCIY6y7ky5Qw~GJE*4fj`c=41ZU|c$Kc^T z``Zy%DciCg-~%f`i4RBW8`?qd6FXf9k!n6;+e+ZZ_ccl#3kEc_K z1OFL=?#ou*l**X?yn@!E06ym>c!B(*C5&z3UX%oE=eCr!?BEVZ0Cw`uqanGAA3_|4 zF92*$0NcYaeuJaE{I5!2`?!M`gPfSuwC{({13KHCu> zl(%vNIKvz7g}*SaqqpZQ??fp{IB&cfdJ#O>6j&tB9t_?&9!A^Zd7g3x-~yjSiCq-W zpxE>xkEw)}Xnum;))@Zs2#A;X8j3?Nb3Z@u@b5b9U?q+hP+)(B@1dH_Rc6kkI|*)Y@V^Fw7|$otNk{_kM6r7!Ki?5nl6V_8fMj0ZAH)=%MepuSZgvIgsr(}{ zU;N6h;YxrE9x@-qOx~2zu{-?223&0xzwk}`|3a8>34}f?DcJ6tNd=*PuFv4T|ERlY z2Xv#9bf6{$<_A5kg0I0nRj@PMAF1E}7SaShbt#yMycLC6N&Lv||BY*%Eu>QTjX+>G zxz!?oR6b5XGM&$%ZR|GBrx!niAE%?@O#Xw8&+hP1Ch(WVf2X6%yF9`R#Cv?@euzBe zzbKe|#Ep*uJm#fzr=M^Z0g%nF>%;p~J~9KQpYe0;A(F!rPe3o1JFS7S=X@n)+Ic)H z01ElsmGaUTynlc2Uh+hW<%@A5*Tg(}ir2+?%4_4r657fW#DvcvCW;r0VKZ4w8V@W*1kz_S zH%0Xm*t{h!?*=hV+@kz4U4+vV$q+ZG>Xj*$4+8Oyh@T5$mUv7B*}I~ec7S_gsuRF{ z(Uoc;4}>cvP7g&Js@OadE_7)8M1;Np$QA~5P zd!e2$;;H2QQiRajT_Cp8G$<4w>HDcyBADKSVzG|udap%I9vr<92J>O_o$xRRC=qRT z0lXLHeh~Q}GU$x{qj;4Cy-y;LUX;%w`7^LGaWe|wi?F4jrChWxz|Hz9-n@oLg;?ta ztWxw`gbVvF4z&cR5`P`Vkba71T>z?u*BVIHhU$(T|!`j zRabJRC+#WeD9-Vc8n1&}Z>b5@RedE>`c~3UVpM0@B1O^M_m}!U1qhIe1HcQEY6AX0 zmg_S82FCICTByGt3+ z*lR-}W8PsYJX*|n3Yl?@Rp5^QgX-CqISJ665Yq)R6SJt&J1NZ>l*wIOz@4(g5AD=* zwV+!$Qmt!R*e2Mtp0XKUYOuuUaZCjWAbJ}Ho$z?p#q5bvU614_hY{(*4)C*&_mhErcyTJ&o0k`WB~IckwA9X z5?~t(`T{@OnVJDNEtJ(p*<81N* zSUJH4Ee7u-TS;->DR!1ToMzAIRSRXKC>A-xe)|eu7%MG;`dN08lB{s1Hx#@Gwrm+d zB->9FiF52#9C+v1YziAMupd-Xh+^S`p@-|I@>4YX>IBm<%#|kHC8mD{3YS^+DR_uw z@92CXj_J1sxWaTzpm&w|_k_YV)|bQBb(TRTiyO>>@`HGmM(J|`t4f1e zve|5kpq{dswBz+|UNIe|#YN1Q>JG)M?<L z2}@ZC#qA%MTM(>#WM^K1_nG9p<(b9oG`oTY8VAilDhwD5dmIZHsSz%LpA zRI5F?feJbvmHF|3`BNvSASr;cnp2QvzrGcKw%I6 zlY*JOeB43w#`{u2J0j6R?Y1rVESa zfkRVvfg%{^T@+SX__VrXgwmYy}e9=2#Y21YJ zpmctxBZ#;8*lb`K{KOZiXY$#^yTiLsEkBEgQxWYhH_gS6?s21ZVE6f{bFlJ&EBXRF z?be0 z39Oo%BhJB(9vCbJsO8SLpkBvU(2T3+cJ#!4@!TvJa~IvN!I+15=?4){QL+S>ml#HW zq2VpGv=R9TH`;;m%id`KexiU9`z@m24tQIIB}GmC!kGfq0CAV{hCs2T53p^*jwbVV z5lLI_4&g&Jk)2}ZWSHJ1f~Z=yThxyPagVsV0K~mwAr%YuiRF`FdcVLgkYfIcgGiY0 z%ib29zzY(MDQrC?@}7ZrSQLzal_Mg0Gl)k;E+uNmL@@;$!J^X?j4VVXjRfzwSWl;a zCq%E&;GGm*MnLkEaHn+TwCG1!M5t&v0oWN~M`!h6B8Ebov!a0Fk#G_67eIsIN^zLUJ-r&0d`eGMSIxH6224{ z+!bx;RN$Vtmjj#kg&94L2cjiC#)qPNF2EyUxf}|Q#kG0hJrTP`!9%v_So|QVe+k@A%E}JctyE|1ezgZNHd8VO>F_=f_~_oDuH45?JOQIzmO zEXxA;Bx-wt_*rzK{k=@=8VTYT;rAK}<)Q^0*?$!$hk^J_bo&Bh6=F#XTtlU3Obg(5 z(djv`A0m%dn<}w|*6W`leKw^MrS51YHBI}{Agm!{ zM=0Eu+ES?`Lt=k{mnp4z0KGfX=OWLv? zN}KLO?~ydBH^5`*c@-p|NCt+$vZasi08gcTU0^duS~3m1Tq$e}z;mg9()T>cPYDnC z(tu$Y#0zN!6^33)$QCfiBwhewp|poyn^#hEim!{LZlhqVSTc+Q_F5{ZIOL6#Q4Hc+ zsmp69ypxP>0V|QZuY=xu$z~56l}auY0(_8E$x!$xRaAoaNm@=*^s`hXpjRd()7jV; zX&8me<kgr*V=2Zz1El0dRXNT-i?b7uUtbB z?h%z+zZcJYWaZ6V@<&x}b$4`mbftYaTzpLB4v)Z?kFA{gIsAHDbj`#rvLkC)=t zpH&{o@b4#7UPv?k6Du!!ChmI@I49b!uYm6Z)ha*#9Q=B6<@boiPpRCI0l!bJeBmy5 z`qL^uO8mQ_@-EtjpI#Zg1izk9c?5O!XI8Exc08-nppD|$mEQAl-*YN=ybpfeSQ&f- zU7lOXM)>u-$_pE~{rQ#a$p&9g`R4zFUw=`#s*R5qR&Jm<>qV7ImeBJrE0_HMqrA9s ztE+MQODcEzC3Jad<>Vir%gZXiL~`I)ES<9(IeP}F>X<$8)@AE?~o!Fb*WD?dv^ z$KO>}AB6ipRC&uY@bUMR1`+nA%IQ0!=RZ{b2MtR9Sb5q7w0*d8>{`t1pDMSWq3t7; zpMEsjK3X}xfhYWP<@!ItV?S1T(x>t3~Reta>^!!HUfp^5kf3JLe z1zrB5^3~7a;x{XQ{vzD|t;*jpcH-NW)=P2mJC!>f#KrGco_Qx+{9ff<)aJimx$u76 z_n(#RbzJ;GW%noX>xY$l{s?;ByLt^}@B380avR)!-|ExIpzl{*{T6=xY4zY4bh&@^ zOZUOW2UOqlT@3cX>SyTvc~EupwrG2B^*H6Mhg5&$u^9TH)kj^9Uk|IkfhpJzul^mS z!$(vfN3+-?tCjzSk4IJ4ACCJTUEO&(J|0v3lLzDDvDGWTgZmy={R$oFkFVY}!}I>E z`q59K?FrR;P}hE9^(|-6b!#}gyC!2p(^_x$}$Fr+Hv5xzmQ~lQ^Jm|)1 zM&07M)%SlM_dTz=LlN%z)lYm0zg|$i^CxlpUsUhP<#}QCGi0AHs=n-fxZy9WH`0>( z;_7QDk-emPJEHH)s$Y5<=JN9DTdU~#SJf;27$2{wzUr~)^2+KhABh`YRoz3i<<-@n z{4#EMP4#1>im$Ccm)rQd>g}jMyuSKg`exoxefqa?-y5q}JpdnnU0uB|KHgM)+Ji94 zo2wr_f*by(`um^4T;5W>@C&&3*6J(okNe(M-M<%YZ?9fXL*_fGH~bjd-dX*%SK#8i zs>dl~zPtJYI@R7&eae$?@x9fnUWHNqw)z_Shu>HICz6u)SHDl;`2*Fj{UWCH!Rl|l z4qg7P`ZXFCKUBT(dFb-@)i+;;wwtN|H6UK~PBg0hWA*w4-1p(?6+eT){;B%owDNwW zx^Wg=K3aVw<7EE1`n@OMzK>OZKq=wl)juIlf1=tae15X}t$)TSpQ>K^-_i3k)fz?H z&sJwtBR*F>`gnYNzIqcQ=>Da86Pd;rs+SXezgT@I4T)c>e)c1{_~q*HPviElRA2HS z{Q7Ej|EtmEYtl@Xd7~|vLs~>$UZupPt zo7LS^&c9V{ETHGNs~3I>7r#^eMIzpJs~`JS{Q6$?3ev&htzIGm*qoi&2Qs|htUS~E8)_#XiI1n(?)Vl=;u*DHC%inf zc2C06vudwjK+k8_ZXhAMvG&q?;^VosGe3%+HH{jRvYY+GWK3-6}NtCqO`Df$y z7uFtkJ-WQ8R(T(u{+G4SH89GHYeyz{`b%ohriAp;TK5OI?`5@{PN2)nYXhnge^vXf z@1yM%wU<5_qr9^Aw%w{&5o@Z>`nnFL+yRcu#bBd+jHF zh>v&F7CwTHch=Ua>bjr-!)yK8$shmZHvc2W3xZ|%beFxcPLZhde3dSC6ozYRS< zQ2Xgycw;tV(3RI5zT^B-#8>EYuaYmHw{?S{DFqqPT!09m^;3CqW7)kk9JkJs+}SlsZ5+Rsyv|77i}KY=kn zRr|rO;^XtR3*W&F|5E$xz0vcFwKsnS5BgH= zfzL+IFV`M+34VR0_Oo}v$5(5J7Xen)E~DJ@_1bg(8=m*CwJt5X|5odN1%rK~_UwnF z?cZys>6!YE+6(9``)2J?AH);BReR>kar?JxtFJ`ccWN(b;n#O-AE46vz1nGN?BB1g zJp+UNXYBzT@6CPa8D6BuE{_i)U=R@nyeg9P;%>-zey{utW+ynZ9)>nGQLlTh%K`uo3&2R*g^ zYvd$PtFLg6Zm7Td@fh>z^}|oWuV>UBK(X+d^?OjjdRF~Q_dwgT>#yI$ujkaSxI2E` zSU-F>{CaMESPc3+ivCp86N{4^c{ZVf|CjMB9t%H{A(6|FV9E zAL8PR>-YUUe!ZlAlCu6w>m73Rm(^c(25m2|KV%m^{;IzIGZ^d@^{?L>&wFM4pMM^| zURD3Whwv(|uHWT%ao=m|H}byM)=!i7zpnn|E-t>lekG;DH`YIKXWa1D^%v13`=c)`A+>`h%4W%*Ka`E_v-KET7SQO`1$zw&-!O>hqfQozsPWx zAJ*^3Fx7kSx{}fE_u2J9%6#|Tb%O7Azg@R}47&X3t~Zgr+<#Z)cX06myPj}+JpF;Y zZu8?9% z=U?)XcVW!GxMb@O(e}bimiD3TMVBlOZ?&*+9H!k{GMsYZmbduc|NWy2x4^%Jg$4Y7 zi_0#%{M^~2mv<+tm!CUwP4n^#=TDqGclpt4&Yd{N3&&d7<>yXcID7Q?<##`Nbm8d# zxa*m-r|*9D$Tf|tPMkb`uCe>Nm8Cs*J#zk<<0tQW?)-&gCr;mW`LgC+&!0Yh^61q^ zPMo^yk+awE|4Z+F>cXYDtCyZSed_q7$B*3Y#9dFEI(qWLvEz5W=E%{jPncpvY=Z~B^di=k#PPVvb zPMls?z-?#GUpO;U=)LSH!F+b?_*F+PoIF1}f3Gvg&s}ykuwh|#?)p41-~ z&bg}qvh&BUu{m750P~ugj|}f0UFUq=Gk{9 zrsLP*RrK23kDk1Teml`}m##VQ-uH}+aON8Aa_00|E-i*Sd)^Io?)X_uOD|&3uxi%f zyi5?c{Qfa_uZ;SCEiC9v@kM9KFS;H4CUeFYoio3n{FGPk6-!*_@Zxs zFJT|?1FzH6j`)`OZ!PzYFTq~=j`*hUh%fq%?q2=jZr2}f1pU$0Vf05Q{;}wO_*KHt z=a0Jn=a0I+=a0I6=Z`Mw4d;(8+ZTHQEUKFUzPZOMm|{#_XwF+my@UTB0dH8S07VuS zZuJ;cHNFKHhW-8|SjTVdf8oJ}TV8UD&I>Q?{LYmZuD-B;^LMga{t9}Hw#U=isM~6< z&Gz*-_RrS0mm9mY3nv@D{o9RJd(VPv&z6U?X}{l^9o)`*d$zo1Ve7zVe>&cn@lS6y zJ~)|8*0=U;3}*+9oNP3&YhF0H&>i$=>szCpsdU`jIW*cvuYPx9IOuPWhX?w7*E#E= zw>}WPW+VLBp4mtpw2i0z+2;0On91X2qw!FN8P5jm2eQ^mWB1K;kmumV=5`yMKDTFK zcwlmPGFus#^`_~b-nHm$~PzGAY;o|x(&51V1dHyhWU zK6wOe{CIk;2Hn0sqO^)=iJwqvmq$$d?ODIyZq2rKHXE%4yqvrOKBvRYS$|`@zrT?+ z<=+AaoJ_mZoylx_b#*eFVh@)WuIbavH|bLW>jv-4yR5Il}GvW3AW<~$m&bB4{?#(@odZ_RK|BU@V7ne=9Z;eqx3 za5fz2i{Yhaz5UJc;BaGi^EwCW7wyNv_FxgP$HPOicH7gdlBTm~9j5i0dFxxr-PhTiVn3Uybq&dt}pPPBx{|+ThLIpl$!8 ztkK>Gw>l&kt&f1@-N}Ag)YVnoDDc)F_vM}N*&BAJll3cyZs&54WZUN!#l8K-y68$c z6698N`@ndwuIF-$YDC=GpnKTh%u_$0nYATgF+wxnOTp1VWduer0Z|=oY;5%Ruj-?h z7G|@Jjc&icvq@B1-`L)o&9Exj=+bt!v9aGRXqI(n1nc3UDPBIy8d;*5)5muJ@dE(Aqfc3D z&%$7`<$Lw z*~W0IxP)@2HO^nae7D^4agrW49D1z~x%z9Id)HH~!74xyS7zH=gW+a(YanmD9NGb+ z^0u>$(QqrKT8_&Rh(ib7y=URfRj0N#*Jo=-P91~5ZTy~;w!bl+4G#<`0+CF4Gz={| zfy-9U&~-3K|!(R2?Colc)5Jt;7OUM)Jpy@-W!QyT!* zhGR%6Z9H_Rk*x?%>2GhXuI~dRfpskU-Q%QlEs3ow_tAYL!Iott_v~*D1_|%?b(QwfzsUm#_oA7MhOz_@>s_=g&B2AkVzmato4i2y>y5~7o*XsdN z5~8OEi9}lhC{n!?EF2SU#x%d#Xl{V-FOzM8?m?JQ9^GnFh3JR|(P0nDJ_m-gad&fM z;M5M_6xw7{R{`hH8iwQb?gjQJTvtGfg782@Qo%XrLlA2a8)kJxWU} zO>V4o?rnCbCnu1_RPE}JMC4bP%iQWZY1Oa0UNwx$-y!QR3nI|o7AX-c z$a(r@ah#0YrIW1?pJUV@$>7|mDsivCV4zEQ%0D^0)dzk`Q`=JlK?+swa7}GW7V4KHi04_S#pO^8X?0=@$y$vTFhIa|duK`*3dp{)!1WnTLDV_zjqZ3j zXe^92XWdb+OIA6f0LR5#l*y<#x+ZHHLv|1`Ix8IltQSiB@~=&{V?jUNL4nN>NcX0| zj3cJ8y1oH63Nhbx*4%-hE99)#YLT2RgPb)R*vz~ZIvzt0 z9d0?2zvwu+>PKQaP(2UIc#JI~jL9EvuPT8uE~GtTl83T*Z<_<`t?(2`?d(O%1s7c@ z0SN)=H_R>Tu+J2gL?IJ_vu|$=Cu`f#HvRTF)xRe|s;v$p;+czR0P?mma2l)^B)z0Z zmNuE-9sJOF;-(@Ndg$=VGqSxV4};cs2W_foq)6l=LRUgWzP*BN>?bs2(5;;MW1Wu*1JUC)r)~98h(-TGrLEKVLq(n1!CLO>Q*6a4W z4^mhQ$gv2?$w5La-fay=+n52gSfhl2%f~k_?ZwUPxC%jLh1{dD`%>8J!jl-p)U*p(tm_j$|`(| z!WdyfMJVXp!|r%}dU%%Q#K2PP96_+$k3bg;#Z6DZGZ8k+Blm5NBYy*dT)J76NCslt4e|R6p+d7D z53hM4W4P7rZN&0eqP0j0Hr4dt_o~_VHgu0MqTFN8Y;_g`aVp!yWbh=_6`UFz<6dVOxJR`{!3{ifuzfIs*m6?>ToauX zRwJ22bY$!YS9%GMv^mR)MA24&D)V z_gIjNV6L~(-P$itRsjJldZX8cO^-yfan+HN=Z>3vkT<0`sh8GBdAesXz%XaB;jnw0 z;+16qCHFR9j^iAhVzSvEZhJCNxSm+iVh^{R>M#k`N>gOr9<3mqhZ`sQ-tzLYlYu03XKSDbv&q4T8u)7^Lf~3t@d_k;)1y`qV1=w_ zO8{6PslKM4X0xe+MNgeyJz88zySBFRx3OE^E!k#MOZJfYiBtzv?FB!W-^oN*4+eY@8PS6+9YgOK$Ifs zGrC24q%&}=G*;~9cBHGVuJ(npaoj<_FEWUDX}poErB0fdvQ9R(r`^F_X5;mJYXE_{ zO$Gs}4gvrsAFAbSV|ZY=;Q=7gmH>cMF9m=A{2j_ON?1JLM;7H4B`oZZ2uW}X7NsO! z3S!c1M&7mxkIBw>I9uK9%BsRXh<$)Az10)xA1r-xuXEEAN1@#BcHd@G#DUbho&I!YzE&|pKWVN2g7=LTPMDob={aju1(k4tyBwR3PZ$r z^q^#|4WY~1nS*{v@Wb4HccnE%a4;+pv zmiy=se0lumIYp=A7#akk-4hAC31|lSY-a;_X1Z9Xv0PLEoD9=)=7}t4lg-2^OR=Zm zZuXvzM3c@_)xhSGmm!uYLKt1N3Ax2yaaJvOYqM0qh^Yy^W@dMqT4}PdlUjP&QVjAk zf3f2s&O<25@X!dt|5Dajj0Axq|KTe%mW$T&oyizhM|k5RCr^LPfs||1mv`O^Fc6_m zYo5Lq8xq8|)I}+)K(MizgX12)LJyj#qH&Q%V+W}&g04jykVK6nF4{oFCK<#F_yB4V z;vKwj9XqsnH(~T1Z&RWb9g74-T;rlcIAKT4C(epu99H0+RF;@R4-$t|6Q+NtP+`wy zVy{b+RK`fA&5bMpM8wHlbQmyVXLEIY1%&Od5K}TL(_BqB6~F>i=bwOG+rtaqP{y{+Z8E4%bSMK5 z9M3aKF(5h7e&ir1>f-hz=svUlek?_FC#*WyM2S(-Hob+|ZksEFLdgJpl|sLu{H(+E zM`7WRAzHb?hB9S!NH5xKG&(46+`P`5TtYUebF2>zk+SqRVBvCo#Ee#Od}ks7BIYD{ z-IlZ5Dys?3rw)L2KGFs3L<5z2rG~s^wA8MlWqD5_!~#`VOP6hWT;Y79Os_a=xM9^t z=7>$XU4dQ&nw+(MoDye>J#>$XqjqM!?WxJw@XiHGrqCvYSe#k$ywqDcLtBEKrh0Me zx_TZ4H)#tMzV1L!K@*pCrUGT?E!Kn*>SCtUD@YLXOnf$>sp`Un`)ARQl$riVxHxa5gIiQHQ^S;sl@h)6JQoXjha7whbH#WR9U zg`|#PkBPto3c&E2xjmvXru@Ix%&9OGc9h%0mjF!wrUDukR1JVzWloHYQ3E9(Udr!F z0F9#w0;3jK(C@3cXs;0fF@Jb-5^!gG6!E_xOdyKZ#*j`9)PXcn_&{#u0aQU$69{s# zGQBKYg^!cM_O`geIYR+K(hA|H&cwQirI;XUa_p=uh{(Fi3laVflfdK|F^CK2L|g~S z&#EWLp7`XN6Et~FNA5exvfp^v0`<{EBI(G47_kSN zI|z$K(A3CpMY1)q6X|}s5kgpc%~qq`!CG}En~mA*$hm98?nTg?H2$zbW$}*+T#c|c zo)qm}7Fg}fu8jPqs{y+$c>yaf$Vq+}*)hpG-AV2@$8R#V!*UI1tux}V*Bc~=;z}8y z22c_y8T`gD{HeH?Y?9prm?iI(*`SF^&n&sp{Pr#6ro|wc|SiKFfHhv9HM(bB4uV1M7tpX2inz2gy|wV z6x}U($zx8dfk<)$*=J7+#S6thewE8jiRDdn(sd>6cVfe|KV0{t7Op3TEcVdx4Aach ze3;4-ni?>@`biM*utA7k527AC*~Ab5V+uAJ`D`++QFa*a%mOQ>J@9R(7nK_Fl)ZGL zI)GJ~b3Pdo5&;ONWhp?29U_7U07JXeTm?KB;0)FwRu*kEaLmx81dA$+TCK(stgj&q zhdu<0af^9uwC2%D8Al+5M=h#jYF?mC-@-3d-pD#$qUsn#c5@f=(3ope9aAEb z&B{2KOt(jlv@midN47nROb&W4L(Xg1AbKx;f4I|v0NILfX}4t7UMh@b};CNkrVn2b0~ zWD^9xjKku%S;07-mPS+~$ULZyHm;>dL0~hppsF-p^D^kyv@fHO1YHI$8gwLZ1F?$? z=QLUhFG}nL0VB0sxWe@U>=tfQ>5R5_igdJ)Z6VOpy%{Z$BeSKWem*EhGfwh_#PKQ8i=uc!IuSrFQ@ zb^v%NzJ}%SXENZ|3lGJH{7aW^lrb?xyXoyIAQ{L^Q7nXNEhGyFkw8OmseGcGO??CJ|Wv}zDI=r3@FFAK2lo%)LA#QC5bN5 z-@``iiYI7zLD@wh&-y##Ud(0E9h7K537;ZS0u*?Cvd#cgB(X!m*&J@tSVth*97PPP z)TX2OphHGy0j>@q8dgXQEgmLQfZ7LRLjH0Bw+kK0810uA{;1@#tF{H)OtuQl@O6e; zQ{>tVeF`fK<)W*)urLEAO8akWr9zq-O6MM z5c&nz`gCmxffk681N*1VPwgo4aPXP-u~tDq>#- zE8PaWu0?Jk^h-W{$AxIQK4PH_qWq$aYo= zDoKo$PBrQ3@hPj5O~KK)u51l|3h6>9Meuf~I@sXpZt)}XzM)dOh#Mf)OMy

    A9LKrYE5kg^`9?Fo*P!Q?w3Q zP${`+<>f}3f9ZaiJ1G<>Zhr(qT*}mA*i1*lz)Zh#gaDxis2l(VE27);y6DS!iwYn^ zHLo@`FVfbu&`O+k%KZqQVitSp9i9%=)!~(>i}p%j^TqpfMWj>()~f0AP%w33GNE>c zL_--BrVUJ=)UDVmt4hfV`7`KT;6#%1!=12&EX7WU#^XaLJna;S5<3AiymDkUYH|=B z(V!jF@E9WlB-$v(UfbiX*+F0_UCb{uV1yZDaV8lxh+hWJ6B92vBRYEESR}Eno5+vzVTJk}39m^X^cad#FmK*X`kWagfhhvyv@m$1m+HuBxG17g9uyB4?yJyV6_)XDpISN}7EGbIJybCX@*IUG%zVt0{6B`)y8p3@(Iy zr0CdKLQ)ELnhMi;A61+fRWmt2#Wx3eNdlJLSBXk)%7!j303VkOdJ-@1B`Wq zvd_W;7D=6K(-$_$g(E|Zw1QgAD5W|v4nePtCF~BK9W$_*6n-cI;zF(e;bubeP zo{4HCQpF*Zn!w~;j3;?WKC&_5A^AS~MrNlghp9MsS227AG$|@sR=h0)*lLQjnqxf- zxL9aV76jRnJgAMDAGloC*6RjA4bJG4PubkQbOZjzZP z$yiiD1fdsP7AD~695Y%ip`t`dvvK;p6n$!x?X6^r5KREsH-rW zvHtB!u4E%!u56+{mVG;}lzRl{Q2kCk9;GBsVX96C284W;c6{WWaB0tZUHo=0@e1$83gjW-c)KwC)jIj&{P)$i?f+Z}iMq{E_(fTIR z3)T7ngOj=*3eoWJkTHFXULY7?>72l`LZu~zIZ&tJq1pc7VfT8 z8`Io-QB~sRidvtYB#fArgd|Lvf+zt>hrg7|m4_fm00OWSbfEWSfd2&$Tk1RU`(TlJ z`7HimsbTPiP@a+v$JIv$3?|!U`J_b&s!Nvh{G{BJU?Yi699OxAZoV(tCQQq3K@gW* zG)@4Qw%YLvI!KVza6=cXT7YN@I02%UybnB|$AnEXoGCQOeq*r`&9YQVHo1=|<$$16 zM>b;6asC9v^3&3<@M9T54!o|A*c2ZL65Y)fhtz5t6laD6YH6-q(l$`arppNnPDMgq z1I(qX6SW{aGg6_=UO!@*xgN3xY}6u=#^un5PA30Cb9(5DdCsHq`J5b_s4)R?sWD`9 z0K{=r#lQCWF+?~xve1EOB8v$$}>*Lbb3S&Xb(zAxo+Aa`D;9bEHNgcD-OD4&D@JM)qB7 z&*qJ#4|1^}2Z&%ELE0Kg89Vb;Gw9?sAO^s>H*znOQ;^u~AtSs+0U$zyc6RY_i#{$Q zYb6x!tfQ8zWU@&z7Q~J*F+u{JI9)3+h#h z-_O&ie1lHLtuqXDeM($8IROf6tFughq)b556(n1McR5WmR+0SXP)EXT!X=}6?W64t ztvITwYgW>KFkO#~m}CvPmIN^@_aQRQi$fQaBw@j9Ss_F{GDfC52$J)0j6}fyUQCFt z&6%LPL)ICdQLEJC$-JpSi5A@(wWCW-5g6V3R<;d-&%72RjEQ!6k@Pz13OAA?zTMDgMX9v@nTdiiHgi(X0j%2$^t8p<={lszZe$;i_08zL=F?EC~};B|~f#MJb|DQZ1VJc&1^Rce=)Bed%aYJ3tyamuLT>I({m9juS@VwoCF z9M@4tyONclfmnbE%TdU5vj8)uu`1@TD667$M5$~YZ_C%SKm#+oLiAVBoQGFLlE2mp zcHGgEK$mJCt*!}##V)$W&^)6GI2!8#)wI9+=$^*W#;^TaV+X+<+#uO`t_BTXQRp@m z49AXIzV^Q5EjX>P1&60i!<*(j3YJ1Ox)UTwNZC1{vTPN>K{LIy z7FmO5Q7W#t5GbicheeJ%D{!-KcgF~LVy!HRCiC&1+tX2}@tci~hC>@Tuxy!lzFp{F z`MND`3?=?9SzyOx+@S>|kdQRTQy2^=FCd>tAQH?xU!9`VrmLrnT@H-sv6KY=$9g9( z+uTZxGA~FL3a&C8L9@J5UHFDJ>J6BB$Y&GVC3uN(5{503`I-D92NVJE7F9DshJ&g< ztCLI;9LnZwu}MXa^~j!`iNTN|KNr-lt}y8jKysz0l}KyUSL9^(93xl&;2Jh7x7RL% zxM)5cM|9#i)p7=4i3m>QL@L=~P3(2_!DWm%6eBq%syKaJN;f(M$b~o*TV*@) z=Bopw^YhJD(j0@MS@fY18@fi)5C>z6!kqDn5LF)5poPSAdpp1i&(K1tB-C=o!ytf= zh{_)F_ zY)!08x}Po%NfmgNkSBbKt$=?F;|aIiCI{3*oSe3ydlmt9-jG>%4NvmJG@=8BQ22k^ zUyDHIBE2H;DLR>XG6&^I1#f3F@gyNAPUd2cq~6%-7DQ=p(Li->5k+7khuwT=T1D=f zPRt{4cP0gJ{XP{N5?fU2qPyd51+8YJg_@lpcd=k2h}(jY7Yo7hnmcJVZq|3Q2_S`) z9Ct|~I(+6zbS?k*xceT*ow2de2`5PL*6KY$!XQ^rMqcbHnBwufRP3K1swJtAP?9Ih z1il_cvj`DAsd8m6`KE9R`SCsdu_=Zav;u)D>^lNi#LCa{AjU+G6t@84WsxI@83Fd=V zre|g{A`b}|kk1-9`EPTi>QyUWqELy%6;^<4FYf917i73M;sZGGe5Hi}WFl3uctb9N z7e2Lo86Fqv3r{&0hShCc&EB%SN?{Ezu4F&5GX?v;5IvEDabl+)wHYVW`I&0DoKh;uTZjS^Rn%|cXgT*OS zD_~h*Ep^Bp68-?hag_?ULLCw^5I-!)NgQP0(Lkf_5%&c}(Z%&Iixv)>=sq0vs|1|L z+}F5z$0dB2uk13;sY3V!vCk@whI}WYCQ!<+iW|TpsReZz{Yt8o54W7xQ&&U{e8|J0 z79$?)gqg3sB1Qr2i|lT<2%bL$krfI4jB(2e^Ek0-A9O%J^;BbG-pOt{>FAYgF0&}1 zo7xMiObA3dTb|n~gGITE23$=plB!^;Z zW_wA(5$gS?n-fSfr=y~Y36oAd*ZR3I=x98a7@R>>R3CDUx<95XiI+7;j7u$vIhFh9 zbb=V(8yp-7Mp8Bv(u=?J@0gZXxN1=2sC2@W<0-hc=`Btv%sLeQ+A91xy*PtnY+zhs zVQX=ih^fA$CtY=NM}SUPj#~7&N+RH@QFXZtajyEjBV=M1pL;H9zBRGn$x&n?;T1id z6dDvKy#qy*)at>xey*X&FYFMk;zMIdDG!Osl!Bhk>$pxPeUXf@f>jsQY$1Dj}%1Vh9JQcIAscL3+#bRn&oY#k2q$hQmm;v!RuLXmnkWV6tE{+-3#uHO^G9 zLXOP^iLY|?zkm;<{zy57iYcJ!2ttI##jk(UpJpea z3}FvS4TXIuwrlv67{yTAO5@;&XaKMruzQ6d(>Mj=naW9o3V2jYv?ca4)l0WEGBPVM zi59lhrYj40ax<2I0w*#Qu4-3uUP@K@@`A(%rg*~;KD+A3xk8jVDTCx8_SbP@&6ZlS zQNTOwhnz@DYfZEj(Y4|(geH>)gQwW9qu^icLN4n{r7pUH1XT~Zdc2)m9*Blc@^_?D z4h~;puCoAv`C@?fO3pI8(7YBCbBpbqggPj?&AmCvG;8do7d03ukXeEtQ+I%eWr1nz zhR*{C{&K{0=q3u@;+Pt+-gTDeL$Q=84mbV7=m3E`MBh=6lG`rwP^>aj4M|+K_bN5Y ziyMF8@V|$_=vo5y;#P$@!9E=2b#P;SGk1K)Mer|P)o~X&5VMWJ zn5S6ySLPtV>zE%d`V8+03}l=lSm|VDM6BPh`|hFnfk%83+OpG22o(mZ!9J%T_tVb zsAhrkzd_<5yD3_~rH{TtfjEK+D0Re{JI-#b%7YxfCeLk(o3offnk|7q5CanpMjlel zLLUrj{4Nm1ah`~V2?Hwd+9LKO154a;(YfInLnIHztCVv;tajHe-wYT$K}C)3bQ=eW zS!Enne<6-}HV4y6w6Mh{T~9(wBDyWw(pbrM`hI`IohtyhfDEgq6s-czGikm2nrPMM za&4Uj#=rZrY@taGtCAzR3ch2-^0{KhWpLQFkwb}%f+Fm+hf+hH9F}g3bUo+)E1neL zbZwNZ*CgTK`6|ge1@-zO0!`)u&L=z&48n{<`YiJXvnUA&#G^QrDJV>%Doa*ztATTI zgd8Z#;cPs`mM1;iTPVpfXwP+#o0V1LbP72?x9b8i+t`bFEjE`#yNu|CO*(DlP&^ME zs57vzZ>ziCtyHhwOpwPmPxWOA7tZ1__z-pp>mwGKB*MWk{S_pubY2!OD~OuS!Bs`1 zmKm2WOE)KY%$$yVUo{HoX5leB)lg^(o|Rw^&A#LjOURRpd$8{$&cVl1irxa^*e*KC zq$Nu7oMT=WT@Gs%?vbniU8E2tKQH}1T7bgIP0oY>0x+KLsD%h>u-I+7tza?^Y_GMP zixlQwAe-9~I~`Ln7ZqFbTZnu?78{a34!8pn69R0H;*J84IetT-Q^sgEmOC+R2c`Am zM&xXc8eu)T1 z(pH!>8E$Yh5!<|4a-h>r)$*2&jETF|?+tqM&>H_{!%r{h4&1zf6QWhYpLV(?`NUW2`| zEKH**? z2oQ8AO%hrQSG8+GwS!Fuf>$oaT8f}@etqV?1o6D2S&Wrk8b zC~!MHhZcq6p>VJ$Cvv^HTp*Kn+E@9&N+$u88ZfO~oey8QRzxS5C%y9JPaJVfG-o=5 zpn^0S!fN*-dGUuJ?Qu}ri*F>(iUR|0%`1Y!F|eo_&Po(IgT`^z!+J! z@&y}=N&xqClR~qsPsGrWyFx(d4W|c(pcuh@LGz+;ebfz`?%M$4%Gs7+QtWgjnt+2j zZ9$X_=XYqA<1Kh_SAPH0$LC_Q8ImC<_K{_H!lc;;Xoej1}dWR-L*Tf$BCvQNUxzP(*A%#ntjz{`E;Y8)EF%h!ETpnIV@1aRdLCv}2?bf+vbyr3~4E%(`WpLTuG{a$jP%kFcoG5xiZ>bT)LsDS%dzG2{9&@m$o%aEQytzf#y($1K{hy4K(Ui) zCPPR>0}rp^!E%f^yoPK}0PUQPd^NQ{nDDnXKA;hr{;)S9m^bM-#-$3C9Cwi*RElum zO5&tB7@b6;P8t^JQU2bFtqm>2@^iFYjf@0MUe4O2b+T-BFoztO$2H3ZxJCttX9Ey; zkof{ROyqaFj!GF-Cxp$$TSX$B5J_;3Ig6MUoBYh})L2>+&YyFV+euH3Fr?dJ!cguJ zYaC33(30~WE{yzzrUXnRIw?GG6Btix@^X>iNC7Q5mBWNhrF%H*#LuAAl9)ibPk=`! z;Q^C0K+Q8T(zJY|?v!V4rPRLY4hgSkGzvil^wSCS5{?etnpFoWVUB6)bTz57<$PfV zj-~RtFuueimKTS9YQg2@_N~lV=N8 z9UNPPU0h(%960f{yr|xdn$%Wgdqz6vUm{YJnxZJ#BFX701!@Q~ts-|J1g<5UqK+MV z)nU(~=Hg|+Rrgk|Tv5k-vl2u=omO=rhMI}KtbT+QvsAlsjAM&q8$w59s95nS8{e?4ss}3z`!rJ`vZ|gu?(b|W?5r_&Ya5a4%#l#3-Icp8KVw+zh7tL-R!j(4!!`||!$)wLqZW)TC4TrpD;A;YPedu4}_z~L2VnGsZK zGbWWAbfzTHUXsXJaFyeL0viZ=(&8haTOIN8+b&AkFnO>_K2GOyh(E8F0KAYAOEE`u z7%JEyVDNC>jSP#K=9xzw5-e=qSn|RoKJm#KyDx1X%0}7Ze*1J@70b$A4}zWeO2YNT zlZ!o+bt00C8@+bq)UlJt&n}Fn85*d{=HK#Ni@hm~)lD5oQvOOONIu6kh5V;ie?@uTbg_$}EnCR;TUw&{mhCgctDU z_E93jCu*XAX`xeoA!~UgEJw;sHP=>%HbGByLEvGkmWu(k|ErrAZ3UH))=!h zO^dLKJ6$m%w`*>eE3`W16>Jp!atcxDx&mN8mb?+p(lePV!CO7*NOC-908yuG;t@Rq z(Md`|GJiagxb$&)xQf8OMuaj7hz`P$96Uvg$mDDG(D^zr_vwf#WySf3%6XZmHbI?O zoxIC$fEfzzs@avpu^lJK6|+nbfDP;BVofy_gkV&V$a&xt&rt~ahU4?D9El78LtG21 z1HKhm1Zhy0(bu82=NL~@kr7GuqNSeV9s$k^m zDb@2$*;qi9vCn3lOF?lN;Fh>BIuXR9#ZU(Shl%cb=h*Hi%)~U$)Bx8 z*TfPcHo61&Ye1&}cmx6F0z-9u{Jp#o;wyAb-W+0nr%HL^= zL&_L{PzpdhPgP;J34(xI2ZGLmr>}?Py>71L2zNWtL(!8@%PU8PC*d(j@FQHYYc!TX zJRryS5B4=23c(wk5o9lpFeNiy-$Hm9!akIN38gSjk5?grgGe z13r}3AXL&{8bvRVoap|!lwOx~;%6A-ZkN*Q6-Jp2^hFPWrIrNfmHQ~nIj*la z4uV4B>m3~!_OVOTbsQdRHi1<{0U_?k0W3{r!u9#b&nnfJm{_u#BO@vFjpTS+;SzeQ z1ID2>WN8y|$grcU3RQTBfd`K;6Vd^x0I7%lrN(knh{-4=Gc+V2;A9dsFfY0jMB*aR zo!WX)Ug`*Dw&dL>R3Dt2jicEWrf5)v=<9(g*nC#nzNkSi&D?Y^!LNLaEmIJ7Tmf}E zGKGxJF)f8nt1*iMD}g{31m_O@HXu?YvQ;d0A_RzX=macQ-m|0cBDpJEwpA-M$~dM) zsGhL~$1IWDD;d5hooN|bzkq$rMu|@%FaU+x368H&9QVQ<6MN)cSvjEQS+$a|>R!Vs8ZBJ3|iPKIt*@`AR$g>>o z!Zse2kphQQ{_E=5n~5}-c?argESdi;F3}8ej4yy8dqTfb{3u=2g3lVsK`PeDY?58< zh30gWS0d9$oY0As7F6%ojpqqfZV1&f97#dKNIvIweka}Qh(VcO6$LUfv&&gEbg@pdOi7qvboU&1lE7~+pCg(jfRj<3 z#cK|DSZuJ6s1oqF_~4=fqJ=2k&XFw>t6d3`^)3^43iV4uVM-yj5cA>Yj{F*^s-6nl z(J~z_fW(Lyi<%(5CYMhGjX<}BG1ahu(WSywDP30>p>hG|LuYoE;V3dnq0TqWjR(m(C=&OX-3XiQFgu@KD0?u#}X7$rLCJ{Rk73g~J!kpQ-MoJC6{ zo%BkogJ+C^n4_HJ!UXjKku0~%v$_94$Aj4CVh6wg=Qi2jfhgn&(BG;nDR*OgG?@E%Cngj-2} z3vneubVd4`IRh9lEk_%9L%f$1xyR+^1W?cE$k*}0D9Hb&2IQ_y7?;anOmt|VPFWG^ zl|CPW;}?A#=##!84hw1^*rIrE+=&9S*^%?-&z`v3h4aUeU%C6p$=^S6uX9KWKY8NR z@jZKR9PyF!CywG2bN0c?f3SrCr2%Wjb(E7^jheA6#o{&Hf; z3LSK*xQ-%MA@nVGqKOt?N;VlhCGM387N}x>jY6bSMJzpu3l^DihAj&Ni3-$85V8}N zyoL=xIbh*&RF_or6-5nyLMUY6dSVfZJ#-Ze+X@*XP^=^NXN;MyTIJ1=0&>xQiaLl^ zm|QVi<(VQlExVP=h1Mm*WLOSGbopiB2}t4fl6%nR+kGixVzYMUh9FhxStd!+=75_q zhGrqN6lPTJo33xd7#@U(pW0rTB%L#^?yEfW6GU}G&3DZMt z(QUHXIk75u-_*rf47igc`zQmsxx0vNjThH&SjPEd1=piPM#+=IJFJI&3K=Nt zkK)Jz)+>;7c>sgcS6c`sGH)V)$9#Jha^!L!N)36hV(CU_@ba-xX18IMKet)eQ_rlD z74dNA!5YV(l5NS15#dPOFPI!*1j0Xzm?V2=Ry={8)1KJ;c|8>h!~xoE7VM-bzn0!t z1VYYf2z0yz53+#{ms{-^mxD!?ocC}*r!R;}e5_6mEvB?hdU{lh&JBQjL zljBHLs@b41U97dKTp=zC+&M5phb8&e#44xz=^7ht)U;T^lbk77cgUkWj#YF*Q@O!# zE{Swj(3$=nvQr_hv}?OyIkEfCX|Q!nHOn#*#7UVrsE2GPkzpB842z)c($Mixjo3u^ zm$!UotQ4{lTQ@13wLonmgQs4y*%TUNA{3V8&4SIG9NkQ$eEDcIBEqKD1C59Pu@DvD!>sE&5X z+Df)2h*7#9cd3{{Ff`)_w=;@%aaIr3EYU?QPc`s77vAFtSPF~!dSXuS4-3k14+gAB z;Ki;Y;<_HXcaDP;&SVY2C~Yi0i94Qw86^|YAknH9eZN>%3d(jmxxwzpPM z&qR`wHRc0appcu$awirZzK^%?6u?(REV+wktF7(FS>h2jSaE1r2pqy3sO=jCgMjEG zq9A*EsWQ5lr(C%kA}0hwZBtH&__Bd0vD9hNyhm1r*PIn)I^O3>hVETpr9zkJZZW1N z2|0|$=_N+jb@9vX@&KeN$pO-;mEzpl_0e>D+<-BHRmogsnaLW%#3ZL`bv#5}`&iix zX17SQ)mbp{Q3#_q#TQf!6s?|zW*!<{Ve36PZ&6{(i78U?X5RkmG-oCg#qEl99HX8@_u@Q=O%It5)}UCfAQM;Ji3PPIYQ~_%EPUl=*?Fj<_%n`UgO;hE&2 zs8~X=05-Y^KIeB*n99*g0dvC1_|RlJiblCeFC8Yg<@D|I0!UH%dJ<50mq3cce2rw4 zNN`kSAZclVGq1AlAj-}R4~?KujY!h3Vl%0FK3*v&IJgsJ3~lC6i-J= z{bWtpY(5T2G=>L8UDL&@wzj1^WSmG3;e&Xz@|vzLRuTwdt}HDC(QJ$RoEwryQ1AOH zHgLVtA&AUrE?MzD(xAOZto>n+0$XX#+Q1(?jLO)*i@LR8M6nBn-B?!u71 zWKuo|)!Ic|B6vrwmOYQB7%2$$eyRLEo~;e|#f?R;m#1i)J0roo4YSlDo1H(JrQC;0 z2SW%zkRj9J`jPy;*$8%2;gv{e`=5)n;tp{Mn2-7_(<+@LoooAa~~S%rT2%1wy{OmxyEnY1&ZG!*3#Ip;V@?qY$B#BIv+Y28xyu~9&Y!j$LCHKV|a0}{KwwT_rx9B#KZ z+up*l+$dlnG22L%YXxmGTV1t+b-gS))6|=c7DZ5mTX?_36hm{81wif+a%lD)=$SFN za8);f=wW3rH~af(nsfxy6={*9AwFO_PA<#Y61@Dx zEiDcN`4mMuIgpqioTVldL6ZKFdzi}H%Ay6mIGEm#;K2xcBKT!XpzF?5p#`xB3lJ0c zdUHcWLw$8w)KLMyP??KIi09;E0a3AHn6_$`qreTuW0;77VK?1DXUGM>)S>n>6UeQ% zx4N{N^48iZI;M&x4vT#e(atDjA$cOUfwQ9AhP>~hlTMqtV1gzoo(M#iQo@6n@m+61 zC=%ohMub{fADV4Ef}|P~*pcj}s6m`nL73U3p4S0{At{KB!Tbpnb|&EXDl9bb;J#$` zrDb2*_N8NA7UeJku@k5i1UsRuN#;!he&Zx|@M0S$9pa4>9c&JWLj@Z;5GRRHNmhh= zc_8#QSWXidwz3y@MMTA{STvH{ycH|%r89Ll@>o(f=m!0Xre=A6a)hTzKy%x5@Q8S; zGB!_UPDrBmh*Xn~ZkR*UDx-bq6bv40l99Bv+W4bm4oU{g7VTj9kW#=_CWj)Cg>6zH zNbJR|zccQsLWraeN5I@!c3zfhwpSFE1;)v@S~)ar_ih`Lvm-5 z?EjY~H%U0P<`PumXtEem#J8m;;ouka#3|EED6WooHHh=U)`O-$wgfJL;`XApb+bh? z*5IMtK^tcTY$)k+72hDwAxMNG9T!T1-Ex9)C_JDGSrFVHko$BJi7fUw&K6xl%3JQB zcY`yIrOsbwdPMW?$3TFwgbNI}FIJO3bkWCAXL9BIBJCgQKdNtxF_kg$#g;Z{u!yBl zqBEq>#LHNVj!qUKU=}<y+Z1^moX1tk;Rq43Gg`~&6f9K6c+~4A;g|1{7cbK_FE^B%5>i8=6USw#nu*q_VnX<=fm$_}Ev}9AuLY@juco8K zK_-@H2{X2{c0PoTyI95*t$$vMgnYZv-P%7ZoL?A@BJ|~kjn_!>9`83Z*w#3AntFU$ zw^&JG6eNVBnGpOUB`2I^e`f=ej3knBqYgFG(qTAL;I=1kD{nzah^y3!M@f~oWRqM$id`6M0ntf z&>CS(nPg`hP8IJ^^@23V8J9x%x)hbhL&17!#tmy^CuFx4LG0!>g+(geD{m6k$f=aw zo$2=M$_-IRSQmk>AoNNe?I-SeYRd&lqV9|&N3El75N!tXU_C;U8{|x|V!wp2eh`XK z@^YAO>7JN8A?#V4*N5T%@_1_0DQ-+)YOejh;C*nbhGzr_j%j?clRPhgSyThN z%)O|Zc}XefKO)?ieAo}tVNBIwhDSkNFiHmsQkhO=GcY}xTs13e6IndACy{qGpG24L z5HlVm!0V}fuy}be4Q!{?*GdCPgD?20+OlL?ktJATG+~!WG|NJg3KX+(ctlzHy1_uI zRfcnqQG1({Xwkr8`d+hjjT^IE9sFRcj*c6l#I@OrsBzeLcOZ%O&~?NU%u|9?AcH3F zPt7&TY>B}l4)G5?T?2-jz%~l+xq2s zeK*Byl-Y<4sJWUO0_#|EP*|n{L6Q$eR}*as!jkI6b@EhQF`G|-#FO`qyNxOKaFaKW zadRb}K77{Bh+e#2u%j26A$4dvp+ESD<| zJ(lwa@K9=Jg-E=`qL7I6q-1q(L{sk<2_U}Jik@)n2pg zSv0&Q-k4-0E=RFb!VqmG=F}0x)6M}k7RV&ZpkB*^J5+UgSfwcz!8(q!vOGoc4aiwn ztgapO`{qiBgI{XE_)e%dZ~_%GQ$IaRka$(VU)S`mM2>=$EJ#Jpid^N28Yr--uP4j2 zKJui$AKHT?okbg-7;!{Yx7*%XY zP`XkV0=L?x$O=H+pr`3yc&uE^9EeL%_E7<#5KsZBO0OFUDBh)*M5O};m(uaB0}ZxX zWqI3vv(IB6R-w8ZVtPO`qZb(`SC-4#-+diV%Zz`DYT{6fZca7t1b*zo-$cES7_A{p z-0X*jDG z2u)c>aKeo)9zv8{jLyU=walMexLei%=wF^n+0oWQqRJK>3}~R%DD)w*ajmD-6&A zB|&6BPOF&Bws0owY;yek=h8M_;Y6-$PoFrp2j9#GluOEL zvszw6;U%zR%SD?ZgM;7(%Yy^=$g<$c0~yO?b9^|EYZMzd|4YY0$^bYTe2e7@!C9V= z28B@RsPx*NZt~z`Y_ty;G_+f8_Ik@plsK%e9(hMpR?nz^ujx|)rQ9cEb(4CE4S7CL zx>1oUvT85~k+4Moh%YNx#JpDzcRzq&%Py+!+Sa4mqsl}V=_=cg1yBIPnMN!vrtT`l z!8lqVb@H|7w&|KU*GXuBNQ;ccp)!T)yomhSM+Jv-PPZA^EcKA7_^adx9CK#`*`4J=`jkn)LYDP4vcazoR2ZwMA_ho2+r@MD@@^^=%8yOpcMH~!IL}9 zOiZ>;_i3660unTo#0llW~;x`kB>1ok=Y160iviUd$(~S_Z@8G1=E?eUG{XFjN%ApVjMhw=!Qu3Q& z_4jfUx00nV%so|ZaEoDnYq&YM7_;68huh`?_R~G|c0a6zhN(YUY8?3z=!W04O*%CL z&<=~nRu9$X1a`RP<%&uN;8WJ(8|gL!>%`r%ip~Uv)7BKObGV|YQfjg>i}%WaYM}R- z1L7zWQN)7v;Ol`EBZButYkuLSR%~w{;LI7ZarAqtj7$jO*}C?cqvy}6S_Zk32UJ@v zBoGx4Zee+(u3lCsTu*T1Vh_HqO3E&AN!`4md?d`7OREEhGvHQ-Wl$1&Q>^(uhRF($uisZ!FvUvkWWp?lkKOV4%J8S8cNrZ7~4ECSD#K?hS&aM$3I_x~F}>(u?S z*t6qTow)A!G0(gq%;k#W3i9+^a=2=QQqI)v1*roVJSD7xNuvd2OWl2%BIizz>A=mP z{WB_e%c2YNU?W*x@YEgXkb^_fuH+kZFN)j%L0+374l>!AK%aC!MIw?sA+5+%izX9a z)~NuIlgAkJ=%Hinz1Iy)Rlbg9#Q5L05hPm{WjaYz`(N%f^< znv-6gbuZOJ_L(WA9#f3AvxdTKw!IlLUIb1cL`fV1V9t#W0m($9VhW5`W4tbVbd3@O_Vq-XjNLX>)1i~~YEg^h^agUICEzK}K+A#i}i zIh1NjhNhg!LPLcLdZ=K+2SjF4^K%z3>vlzQx#U11BUA(A-LYupc6kZgj=aDl(GCc; zE3d#j`$Dvs!Fq2_$2EmN=yN%tO)%Fx4cMr!63Mt0ez*yCgkv~y%mAp11SDeiOhzZv zxX~TVNX>DDD)o`IzUIh@Q$d`C zWQ4bx^?6<#-<$q@+YKh$3j&FN2|YxVhdHgfXeI$mOurrglH31syUdBe6y(Tt3yRyT zlo9sBCiIxY^bQja)WD^Olx(s>DlB(|Y&4R$V`?I^HWNz} zyhLG*xpcN0pavY`B0cT>Tas)8n$7|R#9cEj7L)}aDzdcNBlgc-Ek3q;tdG@yoF3!Mog)fbT>nJ`Vws7=B}j8WbqgqX*7JvIDQl zfz@*GOhkpII3kyX%M@G(yK-59lbyX}0+`lAAV`Xx77(Bo10^ondX<}Gp@^`$A~0h2 z`^0-76r6q7%|Q5|wB!gejmcW7Jf?G=2O$<6J_Z>n6W3DF`?j**$rxR5DKV=IPMkY( zx0A{muDA~Jb_8udD+t4z+i}=KqBShK%L&(bjr`NN zC%I;MmN(V-2d?o%EH0-OnAK&5G&6GiZdpY?W62hLCb#6ZcXun4nMnSy9SsrA;O(Lr z2eUl#BLF|*q?G(ca6)I8DK!A)<8!Ku?aEX|Hm2cNtj8@A+i8*@#MqOBr(qqa_;}EX zOqMGWrk&GOC2v_(mHFk-GRykOB&CYNCXBSPzb$JuI*>#CW`vV@a>12m)S))6QUmLZ z5a8XcU70XF?<>@6$osx!r=0nYQI^m;kWf2==faDCcFEAN*dU+-YdT;mYb-0?*(y2> z=;Dh=&#l0H*>4L+^|;@Kg2tDTxw^UzeRm);;<6c=ZDryq@Vh05-D{RDlWO9q3K?db zlRVm#(M}um2AQ-*g}L05#mq`ANliOvOo~rRDY3sqqph+rhq#NIsnq+x!MU`L`NMiP z7?-)W*#~69z|n!=+~1t6Lv{u0SjQP#v$aO>bhRWokY0h{>58xA5ecW@Q5ll%-pMTZ zL3@5M=Q0aYsMTmkH8Ow_jtL3uDbbi>P^oTwbxDa5K#}ul)B(&C{8sGzk0n76RUu`x zBzY@A6Ly4%^R!$0LmPxjZfyA`91;xT5DBMINkJuT&~8DRZoj*9a@LZZoP}a9>-~w= zdZDztzU89rTRUQ5Q!|iM6~Oj|%xRZZ?WzPID-^PRoe73a^OLK~+EOq9^c9NGoT zd9%fQ2osKAH0$Q;oCGq6QK%;+;|I=JF0W**#p9PQuWCx)#{L<~zEXH>dywjF587ak5rcO|S%bN# zgS?_{{MJ-epoEfySM+WAf^Lo?@eZeJ+XI+%ygL{>Rtma7YrLtE{R~i>;BL(oDR8D9 zQR?z>SaF{IZ_g}6m{iYRlAcv6IP$+!k-Uzc>ZD7d_$szW9KnTx6Sy40zMYGa0_=7l z9!8vDDIBX2-8odZ)8+=GyV`bH~qKd;Hku zvQ3t90;!DrAz~J1Tk0@>y5+9%d?8Negso61EL+q{VPNONWJK7;@mO3uPHkc`Cza3+2+n=0$1`jo@?*y3GmNbE?di*5$>E7~0xDT#w@MN;B|lP3Xe zoYk;_LO5YdMatGFU3n1lO@nNlk>sRgvP zI;J@b?)#7iltnHys-7xSZJ6LI>SdfL}yOrUs9QTQKr7SKA!cVr?keF_%i%u9s#}IatDaOv)6<>7JaITWz?gzWcZyS7`i&>X- z$EloZi7fX4du*(@mfG}@ye&>cTAGudq}Oc`%XjG#BAzjK>RZmADx{GC^Bq{L@um(V zkhhO_D!Os0WBA<EJ81E zLiTKx_9jP;eb}pUBQ1xgW}HBip#%GHK>fJ$SW4w37jYXQA-vPQ*nasbNV1~mo5ofI{zL>IZ83=UEOBk6h4gFV%` zjf$;u3wK4Vxax&7li!@<*B8ZE2a0_dYAY0hd>x;8W672|5z={g=_wK4&mpPXD*PhV zWWbZ`ATLm|QMfZ%P>Fi(p)6U`#!cT%6H;I;z;(4g-As&Nu9JdkkdIt?F%%U95_uXn zr#-Q@^Lla(;>pH>rIkQZ+0o2_+hubgF4=+<`^p+SvSI6hyic{tYZ)7|ZwTRA66A*d zQ1N1}=NLO29`X!5854b zpv{N#2$msdcClzPX>}E>-RXn?zOAX>7Xi1pC9xyrKDrljz2G$rPMu`yywQuJI7Bj{ z7}?pqIc$*`e}dA2s)*=>v5|OktUu-9mV4)GP-*b$>0h;9I z;hc{tP4Fr_Qo7RD1oiT#gUUOq@TPijq6-(WFilZEBmzA$6-9!)F>)WI4mIdr=cXr) z-UG+e(7LvT9J^J0xY?!>?Zqb>RWA#N3^ecd8!L37i0IbU&YO~$j}do@6+u~ zuI&yGXY4AQm;qh3BM-*8rg@N-@5CoE z2YDxlqs_~jJE-{|D3MFRp#4LVN1`2?-$`INM<7frMv&?n%vUk($Tb;>N_CJmVuL^x z-4};u8!YE4H8laBQg5i^&7_gH84%=7jh8Jf5ers}7W9zGl;6BV)Wa zAe&|qX9JGi`6XX?+-Mt=x?eVkGZzMflkuQ5LG;d9p&>kXIxCztOrm4fEOc2bhZqtx zx-?rHD`CM4IQdS>=O}iI3Kx-m5%M1$othX{@`l%YtP%i;M&grcsT93CZxm5v2y$iZoZ zK?{s9Y>9&TF)>ym!jV?9v7Bq=U#QTc?_neO%C#9%YW*#VR(t(rPc>kV#Q`Q7Is!I| z{CgJ^R?vyyFF^`BD|`8+mHOu;I~lDB5`kDc-ztMyo6sbWLC9GV8RQYm5}a~#S|}vl zgcjPQ7OLW0x0U70h73PbOliT%T!eU%!Pvvlb}4Z4U|n*;aU&xx2z?q=z!Qrhb3}HK4>#OTf@Mf|O z=CR%yA5aTvrjj%avN-ulga)Moh>Yp&V`6Ls-?_LMZB_U#yS7pM!6D`%;FHwaQPc1O z9&Hk>4%^gF8n5*L_AOa!)ISnF?5eSQA=8&A4(+TPCPu{+y$v5UTtqDrrs`E09@=?yILh%R@N4yUA2BgCo;MnY*| z9IXhQFuNQF1k#fU0#v|xAi1xP))bK%D_Xgx2#LxBkep{~lAm3H6e%HcQe-Zl9mK%0 zV++>{CXg)O9LMxDy#xVghQ;&57xP2ml#FnLk3k>`Nzi&!y@bo=SAHZ_H%eWV{f7CR^c&(R82BHF+mQ}v?r#k(b>y_>m#^hqsf4%Z~*HIAMl zCCs%suvGT3=oc*e-;BNKjvPmpC9FpYsDT0XO|vGpT==6Kh%K4f%uNxwP(2s~SQ4vB z_*hgyq%>^IJp7zYWZ%A#0U<;h0zn%=?`q-D+49X(GSxT~^ z#K|0=q_wS>!23h~z0SMx%>+>f7n+5J3^5g}7;@G@5GQYNNp{47jo&WSRG152?;2ZQ zJnjHFw_e_pL$A;`(d!{aFS<@5=;(HPcer|ce7ZVZF~0;?b3TRJQ2D#WM$tP%?6q1G z9VX90xKP72GNF$6&-_WJ$=MFbr6{o65VUh#h>0Y;xZPC{g?6BGLW3#%IYlS`rpAk| zfrZ=E{s#rN{+L4ukG-BDn;?(4!FpLg4TcJfA%h8dgRq>(TaC(j*sDWw@#w6?$7CKW_GCglAc^vQ;OgfI%D847J&^5l?c1cpr7( zDItuN-!4F8_!oBIl0D5~gJECc=pwrC=*-(h$vGkt$4-UuVmw1(i z&04BA;mKf3shTf6Si8g=Z5irQgr+RoP&n~9`U!ry&`?u#&m_JNasu-M8*xFs`vj%? zM}S#Wfs+e)6z-t74j&!-`QbxzlbAlP*AKny96kwkw{D=(qk9KQSg{3{I4x}K{v|5= z!`?Jb2DR&hG4mdY1Ya3@TP`EV1D&!8{ac1!eeoI@{x@oMm^U0H_vouenihBwGKKb= z8K|ttx@-T+`f zUf(nFwCsx*XA%U`qL3fJaOx~q#8W@N!5eabAc+<-4U9%Cc|rMRq{cO?(W|DAx($w# zlXi-JK&=&3(Ntvr=q(AX;txb7+fJF&CvIc#aOocxsvfvCbr_SzCa32@GxSpVC(MzM z!w>5_1Pk5h!Hf*%^J%8Y356-ZiBz!b!AOT+59jcsrDm8W7bEQF_O>K>n4Mm6!^iw@ zSriPIl6o`2wpHLpJ9~O!%o{T;Oi=Qbarp>gWl`6elI7HkT?r;zR?P5!IB~8S4Jd#Y zmJzpJRC{j5Mt0rK(tQ_BQL6EHI6fJn%bTu3l~(MERN#sbI#WRgiHJC7APZk5u$ZXg z!f9w|r?sNa*VJ7HCGwIm719}&}_5@fO4+`vPIOal2sut5oOZM64l z1zIdrUq&(uE#k!tWuO#xb+l8U9j#@j(MY^j0Y;}Oib5UO&SBy;!*peMMiYX~x%3^H z*Y?rp{R3Uoi4IqM&xRFbDmj-Trq~=OXX^ih`EoWCyP)K349#?5)_8Zs$+HnB4~VwP z5p$^zWzoB4hsn>t`EyED8p=f)RO{g$svyVUnkm6zdQ$lVCFmCdA+W@1Rm{|GC9q06 zi`puXTf?t|JKGrf%~L&=ak}he0_3e@4w!lu1{04w#zU(G%0eP{JlnW6dNiSdLThCQ*Ezbr zs*x;M<-Y18E0^Y#VXbq3Rh%%&7fsCuQ5lek^df2e%C|2e9scVFl;*_2qF0_)Yn%Mb zzkI>}V0kAu)k6k(UtM{uuOd`a1e0<(jvsZ{;p2dL_VzW@Z}(uil1udQ^j_0wg#{QN zr_99L5(QTpu*JnFofA`s1hw#{dqH*3Q;MW1-_njt_?h@t3EDtGq$pu8w9YNiw6n(i zqE>)olgOGRi0&!W(6(!&f8ci!I;H&v7bxjJlCOr&(GRFTD4qq>9{N7FJxKw!xomDQ zC_S(|)L~McNyNLZahG>XcPULBgpi~VdJuR_QDBjcBLUtjDI&<8jg-0uN?1|#B1n07 zTV|!Ps2H)dRtBCt;8bMzo)8f}c24L%guV7~b$82r$c6-p-qwOQrBPTIO&T>6gd!O8G78cUW1!{-qI^qx zz&X*_GPDh+2Sfpn*QYd5t+qcn@8O|PcacA26ZL)NU%_1Cj#b8j_?L@7oLoMIhcKEE zX=H&+P?c|ltqrDhkZOFc;Fj7j?DF5j$d;(C^aQ1I|I# zuJcGLbJ5M`u2_0)Klf(Cc3^xc+kGWQh0Mz}k3XK{Yag4Lwy>B^PF;?HQm<8ow6;1* zMy^XIESs`K5f=j;*^MyG zfG@5yEbAj3-dwM)Zn(rC1{W)rZc)An0hi`>5B;EFDPod{imTZ)QVL3HPW7+>?mVU;+-B-+wZd8BfuIp7 zjE(0wAVWd04M$?oE_;JNrC8Mrcp_j+8xJxM7@AVB`$N7>*DkH&KlI)t_F;Kf70_+lyk>vLBRSkL?Opl71*- zXPW*#8EPmd%%=u6n&KO##R zgfwa33IS#><2`ci?F2*us;IP-e9?q50|TwrjKrnz|0Ni)K|Kt!M9fQ>STLU?Ez#J) zaCY8LcU7`p&1lc4Hyxm!s*4M)f5&dk2&9CzOp>8A*Ahf{2=LmdTuR%wsEJPbI2v*d z4?hodgpa`#5(-WHP8M_x$iNEEy0k)sd-ABmp~N>2D@uTT7jTGogffA#7IkYtHbp~c z(Po4_1A|&%M9edRk#11!r;wwbY1;6A?q4ou*Vk{X7o>4pkcQPB6?MSQ;^6eahZ8yn zI674il1mqe6dFR+E!J-a2F9zMFWFvbNS+T5cb*hNU!R{|q`$+cwY!T|_lG-c9+{{s zR{T-_!S3mf*NOp{X>dUrd^&j{mC!sAwXqsFEs*Y@uD>O!8AgNkn_2Bx8=s`O=+vgf zDWDMIje8XZf7WfQnI*#UF`~w(slwS18~k>^-dF|vz!pm&{!zp6X{YH1T3}@vjovrG$!e zWW^eQU3D+=<5XbvetSltW{O@Ofg3Up%pg*&H1Z?`xN?<_n-aqaDK>!HWDXIsBgm0r z?od@5ovHwC(y;q*x)tQope|nx4BC=C^oCTlFYbiLScA16a63gmO!|%y`N7?D;z;#? zP8QZICP)K9x`J7AUSPgXOURH*k6Q4gtXJvaeXg%i5ZjmflmQ zn5#eTB*ie8uvElEZu_*dX~N;L-CeJ=NRJi*^hm#_wHjdH%TZ@nN8JLRIh|Tnb)`+y z&(CT)m8YZSI*Zm-eNL8Is+5A%uzhhcJ`Kn6IS$^Iqja0%SM^7VRxbKT?$nND*3_=k z8B&NVz!R~KG!H@nSE63P`gHk2sX14=wTQzwnm2%&*lNE{6ty%uC;B#|iacse&= zEg?t}%z8HrP15InGP=t8Ejbtw3lEPF3+K~?>ECr3ICjm2V6DWcGvq(fpCE87Z7f#P zGXZ2uj67C_-Bip*)QGpR;YacN5-&C`C~4@J=&JDCeq0EIkPp3`C&=G-+V&b! zakz0yqz0sBhGEySFZXw(11jOORt)~DQ=^?4IYVA0Tqe{BJ(9f)oYem~_hYC+ft(S-Ta6j=DqJQA z&z+o|g`1GZ-V|$~oEZ%$U?RI;uR#t-62e|V`xo34W|fRJmr@p>m0@>+@a1A*epp{| z^+FKbQ->EZscVN`+@MfMR>aeai4IZ_V~T?*?x&QlLB10sezI$oUXmmpv_pDYZJZgb zGJ{7>S=s?PZet%3;d~+_1?&UYLP}wMy3&6s*|fS7rYuCG10jyxF<(JvhAe{kP^CsV zzZeeKwq3%XP9ym!^=Zrxo4d>}REi9CY4s@XF4D0lNhr_IWtiYeyO#`#nEbXX$08)9 zsc<=;__nn$L2N98#IOp|t? zZKs_VsT!s@b<$vhuAKLR9g7g_&AdSPs}1?M=na0MUzwp_7RxL-5bD%mD=0|;Tn?WE zpcNHW$x1U3cjDM9!I>jn1lG}XFa$wV8ue0%(+GmyBM4Sy#zBYT1srY?Bw^$8s&Fy7 z0pfE!8u47RhMGsn)em*2m6{-2i<$(;1h=iVC3spUq@!=inlQG9$~F?1vH$^zH~jRs zw|ity@{=cZFK%hHLs5-iy(PD?NF%k?rIKQ18?Gd<5@AOxvRkJZ=p|t)p~VeG1b%Bz z+i(tHSox?2b1)(}KFZU~1D$UUrsyYwFWHWtwra3&Rwrk{y8uISZ$^5@NAv)?5uQ0Mz!gxX>r9Z(J9^Y6 zS&0sVN>dmAIK0_C3$!5FOYGfZjo@-LO@-mTy4v8y$Sme5lutt|!%7*c zku}9|Cj`GtVT}^*Q%DqIAeBTR%SFoa@q4P2;b(+Sp)Pd%iiuh%F3r@w;ur)C;|j9K z+59-jDZ*}Pe&j?yS~t+eWb+PSX1}Gfy1R8j-UP5o7iH*_=36K){_(rXj|jk;Fu5Wz z9#zz?)>U2n?zlp&z~AnzXmHzyOVk(i`m{whEln{nE->W8YRvi>xEYSxv6&rY{iBb| z5XN@XLPs_x01K5!6BK7WJT2nVH5%{3amzN zs1BNGqgd2buv*V%mF2G}XG|3n6-w)+r;zsIsItB_FmRzQSMRaYkKv$P5LhD20I+7D z7=xdu`pP$zDmNI@`%SnUXbA$vvKVp*D3R|~6=x?QK9@>x)x%okLZjgFLR_Mu>jlyX zJ^#(hT)SbrjL4J73v%NS_dqB%yDi3;(5iW`COS$Q*Qglq< zt~~GjZaYJNlBnh;q1}2Z`&mC3pcC&H?#AFg-1 z`+KO6ni;Q(mAiytO~~F;14`taT=t-n&;JN4&1P}p0Z2f_T!r^UFNXArLZ7*MU2N)j zfd!Q#Xi213rGSUc^#R`5aPS!kY-cFAlXl0ssX-p{w-$2z-*E00SIx<6M`9n71v@AQ zpaz3nXmM&HrAayu0;o`%U#irbjsEHm_7SR@zx?`Hco zyx%_76X0Qog!=Jh=bR#I+ptV zdApvUliH1dMoA#7EaOCjMhK-h>#Ml@^s2-+gpf0xt{~;8fN@T1Ps6ZqW*7!IiEvV0 z+c|Rw78D^st@c7%nSx^^vKBMio+t7gN>=`gej@giIkDa5Rw{-LoEP}n% ztCA67!GFnaP_-d$zXa{ir>7FGEDQ>=8;t^L0CSr<#kS+|hyy)-c>nH`P|@R0=*ao; z{ZF7*&Q|fuhfA%OcY1QNfJUV@9}TE=1mCOC2arXQ9b80pZl~s=cU*1Hib8U+-8h4o z1%?1dS;{chiEaZ4dyz6Os(KaKgsaP0vAnoq<#^@H8S5Z(rVrgeSjSs3hktCuC4tVk z&=7wvd#AmDOvIhp;Sdgl)gqDn&x7>#Q8A6N`i7eEod!OLVL(seMf=BFry*5;1I&b{p*>C zR?#c%#7l;*9v|+G|9O2cK#3j)xE*u#1(}1zKolqmv(#Wq7hQ~fwZpQ!EPy|00cHq@ zG+vP7HKX!|ng|CyGN6hRW^j!{ejA7j%I=EbvQh+x;TeJc5{?Px8B#0P)Ql>TRsBwz z^^#1%bO{(M=fAWfSz{?m=aQmCVelNXpwlkJ5~e0VqE!rAQWK)f1zB6Cme2_YA{!%~ zPrm)z-@dJ0Min5}Ck&K4)I@3(xXw7AIi(i_foaXW+=GFdyQ2+s|XUUI4i}oM+O|!d&2@8u)877{R#~Tk1XIX|9(7Hfrg?nEh&T#6()J?Khg^B z!UBpZP&#mkvPXa|@EVq7g9>sls&H~qFkAD#!S3n*w7;-&IMNf^Vc|lQ*nYCM0}4-6vG^nYP^26MzaqR9NfmZ8tbPC=xvlI&?l0-6KV8^G>*Pvq-#Y@pk)i;t^8 zwt4_qo5tP(STo*+LP|H;B|2W$etCQB`{)b`#JGs!;ni%aN#&83f{1d&k-m7b^_pvl z;{oJ~PDm0cY+>b1PLHeg9nztSvBLAYUV~!5y$$u^^^0G>n|%A>+X?=L;Bxu>@d`02 zEYKo!f*>Hy1pRUcZ;M8EEZ>wbOQ>In;I>%dy;X9u&!_r+XH!CNwZKFAWn& zJJ2zF45skN&A>#+j!N1y*G7eIG((C=2|pxPK`^9B>7~pp@3XoO(mFwZK_-jek~JtH z8aQJqDutG&1cns-meLRO+dA7x$i9#0sNlimSc<+`l*+m5&i{bg6Aw&Su1JbWnC`v1l~Fftf2pV4<_N|Ogk{t;gf=HPjgNQ1t4g|+2Dqst&LE;TDc#a&xp*->Iam}9(8Ui=rP6m(5v9yD7Fdb&Y--y;;IWx3hbiJLSph}D} z4&DgNARwkVov@2xA_oE*^l^7&AEP&AimYA*{7bn)$~SfPJDdn*75Tl zhV9~x3R|5C@^dX2IFoima>COugmv9m6=O8RdPof-U=9Hc@aMx1M9&`2y43ZsCXYB0 zw#$qf^wfwOCR2nl@?8q$v z2XPEov*jmBe28DSnR7>{$sIVg(blc_FI62iHPWHqBTqfxnWs1yQ$Tfk=)H!OK1|g@ zDQtC+W&njSq1w%6cc;c`_!x&mhP&F!J>?LTIM)2IJ=Qd)N`fKQ_5yx|N_#8=x;bYj z5*CWVZfk}-*pak)-;l3|Bc`GNWXWk&#)}72bbaFnHoulSZov(-z9uGz>{kd5Mahlg zFYJzfWoOk_Y-@g9=toc-n2rVY!}_|aG1WuWBfYwQUj1-D@ddZNE3Kiz*-Q{PCl(CE zK9#=INI;Hwle^L7$DQ4RCha@ad z=<#Y6t^?2mX*X=lX)sWu=HSH;yhP)(5IEi-%;S>67im`0Qr#YgUsNw;&S^HRc%mDD zcJsK8Rp{Bg zfz8pslOMLboo++Pgb#NbkIUPo(|CNkq^lE2Z&RDBK1W z`1-UCHTZ)Ulqw*)3+5mw<+sO&OXdS376BTQmi>Y;?-j!ixa<BYQ602|d+<=@f94?MgCKXo+eOlYo%!>%y)U9v4n2**zhz)i}JNxU;)9q<& z9xCfeeIo?j$lnOuqec#s-1bT>#MGqR!AcU`5`$Xc32K9hiCIrZdC5a3(rKVkYXd=KxH&O*3=@iB+wySGR9y|AI%Z zcx{4ySiL*PL9=@dhUHiaB@T?DTIjJ85pj~hK?BC>$loxXduv^vK9ZHx;#X&eRKt3ilpX?p)Qo1t z5y8lva;?%@oZnG2kv0p}&!^`dm}=gXtrMc8x+g-eqq^sdmy0Qqa4UQeVg@hNe6j%J zYAyd>%%KBYa?RvkKF5xnOeU%UX+Jnt?6xX^71@+iSBqHF0?Q33=@d;OS4$ku8D?$g z#hUtgc-}pM;0E96jOr43J!pbhY_A(o9J`hx*e%YbWs;naGG4)?AS_73K=C+dZeBpn zPp||srsC0brw(`rofLG)bw=`X(cy{y1%P*~HSv^)E|QQv5L&n z6Q~R|wTYn;&W@t#95td&t4xr53A&?R-(>UZ)#UU~i$9@R~`0d|*M9kp(FE9T-{l|yj@a(UP$xHC9m!CfW^lyLt z_#1xwVIq71ot`zE5ElgCs$kdF&%0}fbQ1(K7JEECtgk_P`EYXn_{|2PUN1hQUCh7! z{_ewXpf@k%zi?WHppDH~+7=A-EYL>x!D%OtYK9~bnqwe2^R-`_ZP{d^v01WjUYXgf zC6*4wMX6_a_lvm*Vdr%Dk{(AvIncJUA zMFdx5R`aSPF1&hXzigk^YE1iD!Z5>?ub^0&5wK>4zAy{4v7~GdsCy>O_x;vv4y;@V z%U5PNFvMkf@@my=9g*JX`hEL)7mU;ILF7go>`1lUY1|{uTl#A&i>okJ8=R>Qp{_g! zB=no+dLcBLZGHiql!;=ShX^AYE_wi1APIFul+w!N+U#)BM#6B9jxGpY3h^j^{s{UX z0%$!D$EB00W+00?PQ~;W#M0?N=R^im>@>oXP-Xf@e8+0qJa3?{8LnB((^|y~Eeqda z+ldJAth`HT6rSQkRV_)UqE;w`9_={r;^iyUgA4jkLWFb1wYg127+$H-W?mw;jpRQ< z!TkcNKqK$2!z)S%>LRdYbCffhN8*AFG>6oVn_CNAEyP~hKw(($Bq`0$1QtN$j9%}7 z6?R>Lkm^6o{W@OV++Xi*c3_lIiFPxEMJ4^?={br5Sz;Ysg9Ia zadBpjId>=r$qgyUdIce{l-)+)>AZS<%U0Xq5Z8CB?Vz%MLPynOG;0XOcSOY`sYPH? zE$k~v9h4HZA{Q>e=(u8arV@aB#{Xt2LC9CSVtKm{NCMLOMXy4MH3>n@(tU}lv0dwL zR}|VlB4I41Se!edLvjZ*a%QLxQm)A9BNpU(gr3u|c9Ay>9gg_TcixnXca|^FaaY^7 z5+DZvD0IPm^iXmi4}hrHzUn>gsC<;JSsdUvyJywen~!!T$anSF zs@l3t`O60t3*!D9 zNo(yvcqupv;}CZbm%y4M;z}|xr6gSyD66S)({G&X0?JjM2(zeDOa}_ zSGTOAK~^C2*h^?2FC)4D4hNKoKD<3V+*iULbGTRA|3FgF1(fkj;PZ%IU2k0WzyVyJ zvJ|?w0^K&q4M*E^$BqxUJ83~is9q|T97&6r>@r%L=TkD$2Ud|`dR zEBp`hCMX5uX3i=S&VdDX@0f48J7lWIYjl_;C<=3TJPRA|bhZh<*D=Z@<<6NrP|!J6 zh^!nL)pI@nhUB0`PW47_SYPD&+`TCYk=$=_R#X+4HmD_Y#o~y{TdUTRU{(f*RnkbP z6-9jJ55V1=6)EKz4{z`9oRZe2j~qEEv*hwJ^}1oN+^|;pS>vhh@_1YykO5*H<5L*b zqUvmJ8g=!sk=6599rmvdEfHC3`;ANC++~_1dnm$^SsoxO?Udi13+!YKS|xHlU*B#b zb&|JJ&C$&hWkr#YKYVS(4g6DtpfW{Ph6`1EoxX|?XpnL}B7_5{hxHLvV-caJn^(RI zq1fxpUN+C2<{2uMQCSmhils=us2Fo7#ofpLrOseL4?l0+cvx9C$fxuDG}a-Yy;=Np zLi%#1cI(9rJ&;ac*p z5L84fDB*HuhsN8kygqlp%bYa!srSix2}yVAj26I^;M$8fYmLfr$N$XBj6wp~?pOLjDq_DhGu6c;l{qZ-R^Eyw^dsgb6F1N*s-)8P0qXCyvYtO{}~~V zG(h+q{Y1yFz-CuM$+~0u8q=7O*zs9`!kQ_;=7o|pNLvYnFT4hsm7>?{1r%Zo=zt|r zZ1qB&>XD2??VAFqbP5`nP8JK=yN!%7A;1#;S=d;cxEvnWEOtYg5~>Z-GXEsDjM?tx zs}uBdfrjz`1gDJEhvR4i&!X2w7L|UtUMVI7=HhIfZ6u10ry`bm`J;=E^%U8mu0$1k zMFW6QSm8ROcCTVH51m@8-Fd?nMelZS93boS3gzq2o{NQ;lm^foHPq=y zryAoL5{m0g#Z_c6>X6@j=Uu&cv1De8Lpl{9-T-*|TJOS*^)94>%i5F_*-?x^{vE3VeM@J3iN>+V+kNHRwP${5?QGeZmbD=X zO$BWVN%4NSCP)TRDNzxq=HWd-qjePer6EbIResJ8kq_lZZ?CGu&fTE!>d>_u7uy-q ztL3JGr3esf03oW@CW$a+Xar0`(eX(JIx3!6#%qn(aXLoEDFy81yW?z~Ya`+uif^6%^kq$O;e!v}FSA3ZtRS zybj*>7|?duS%6q46)(6BT7Jqs3O-*2z;DR`0 zT(LRo)!YgDI@(%jvt18iX5Dl1Y|ga_0$+wK)OlA8WAKoLZT?imXjwH6#7r1K1BHTt z@tC>Q5JRoFS?wMWg^!Y&*Xp}|x<2B6z1s1pUu8gEu1AZo12vFTv{{2XR=f>JJ3l}3 zO3+G;tqK;wuGE|h%P_qWz;WjecHpo;87Fi(MyYB_F=9W9Kvo`*l`GI_ahJA|txp1N zZB*d%;&3B!72oA^rEU2lx!*n7iXj^jB|IrXYQv2yCS!ZTZ@A#u(r_1 zd@4-*z4aqHN7>)pdDjE1Sb_)PdyND z9#IP7l`h&b&Gd0DeQfZ{Cikc9A~u|^dS0pv3TeY1yCa$bs*s-^Iaz53x50IQW^;AiGnK#o7L#6xL|Y@b;fFj&se=(mz0v5dN%>Hz{%Yn5r%QO zWvBZjMp%j|LdZ66VA_%~D7~rRPc}x}9MOP!dWz=rAzV{HC`kn{^|TxTy!7XbT@E_o;K#~cd=0}%XWciJgjLlp~@hA9) zN=%4Ihv{!(q&ZJDf0UNnu z0ydZE7_esWEBzx)1GGrZu~}S;3d(@$E7abCB57}W&0N_;8I?DNHW5wcIdPO#f!%S| z&o}Gt!i$s%XeC+he;O!NaK}>fYO7TVBk2x1xp8nEOe*^(yA<`MWG{p%(ATZ4-ccaX zI&46I+u1#OufBY(8yzUWr#(Rq6P9x*nLi~!*;g?CW8e=}Q8wLsi|o9|{buJTX`=n{5>~}MhVU9J8$tx@wqJG~=EdwxkRQxT zvBLk(^?F0PbKy=36VG0PKjN*G$nTyk7r0ImcZx-~_}Q8)vAtc-IZSx886 zn=L>=VaWh>G~p4&tE7I{2DXeyX40uAC~64b6P;%vMg3hSj#DbC*HX%0BY|-L{?q%< zM^7Il)R-Flc<_JQ9nm0dcX&isaq}aS8Yj4Kh`J{QePxEO*Ohz)QJUu@G=kTFdI_{i zc=(#;ywJd>E8qf*X*fwySrB5L+IArd9woDHemsUUXCbt?PaLSJSuB!fH#==KJ1<*9 z8p3uLm0S_b&v=*3>0oqqBF$_9O)wPDEMY0gY@D7?5j`naYgZq2xMJyguLS<{rMn#X zTb=!aCwKO{;ePc%Pa*{YwJc{d(tjHw)163x4$FZgOZQ$NO46y(Xm+ zBQ*qS)L%WBdE5+BDeN>0>tZAq)&ai%xPu9T_{o1TRd!y*)UnBxMLZ3j`w+8tUo8HVH~I(o5_XRb3nqe=lg6JYJ(?glFT_1*1jaXcVT zZMUpw36o=~p1$-5=W>%yOjc#Ui7d5BGgiTiuL4_#UbY{p-c>r-+>Qzoe zzB6i+goz46&((aPRbPXJ%BOje4d%4y74t%gKyd??YuD37k!x{49*|f)Z3WRhXf`cJ z#0&h3wkxPG&>uT&3pE2R`V1dN-GbjXJoc@)ah-d4myT55gw7`A~pzXC#$iY zN*DxIL8x~hfBNwLR}UaLwUT|{&fyB3Yq8@g(wa}fH9*0WILX9^6}jRtA3`4cjkfQ| zjQ~M!A0tq>as~N0O9Q8Lj%k0@l!T(I+0s)3L8h1}1=KJdsd#mf34*>ut!^`I>njrt zi#n~gRfuxj&cH07>E5?%co*=_>)m0poW3#-P$Z~4@0;9G;W^E41$VUNh=p*7$VOT; z_<(y+gC%0>k#t1RX=tVj${-3r<^S)+AfRE+a#kHkHA=jp)tCoj+(<%y`s_%=xM#y;}c% zHk)PiTUQB6pa;3zn~QseBy0c{ekby%_!$Bm1WLDElqP|$q^%Ae3Zi~xP zioD;5#K%IWC~PM2NK7j7(DadECm*BVkgKSPf(j`N>EJeNX96je3l`@TAA{sbUC1S~<} z8Aed-sMvDjih`7fzzXfOVg>Ue)t6%I)x%~Dc}%TFsR@Hvj$AS_R~YemFhwp@baZr_ zRJ-qfeJHxND(K*2XVW!(-s$djJ1#b=A5w4%mSw4Iaeqf)r(_=V20OxecN*7Of-95= z?eFfSp1}-lepA`iDF51<)%iWAUft>PyUB8i`!bQnHZGx9&bDaom5XI!xOU@8A(-xm z2r~$an#**Moy6peF#*6hGT@ln8^%!uxz_q6!4WPjZH#Eh-Gqn&f^&(n)_=IP>eX+i4eU4l=u;vu-z1(+ z4ID`e1WmuN*qa7It!Ini5J(5E1-{0B6Ngjkq`#S85YzKEo!@&RHZ25PDEo|-994M6`!&&TEB0NA9NCC zz5&w}P2*;Op)LZ_HG_}b7)*)aW_R=O1MHP?I|>@NqJm^l@&b(Q1;Yf_mKGQ%MmRA9SoO4d4DPL{;+SH zKKFgMT7p?Wj>o&n@4tL__xa}!??Y^!xj{s#8hPoHnxYkYiiqsdA^-LM1cG`xALV@> zFm;Ori?0m&mq(|_=@&%Hc26{vxEJhzf89bXN-!fu8dr?#I-x}PjwJ#pDIFaV?Q-p9 zeS}Q8!Juv%Iy&S#8cvX{s1}WoL7KTGLakMd856Amssu%|#Xq@8sCuTjRBc{GPKlmz zSubK#K%cK*d}0RHm)ZSGhN^9=i&$LohH{4jFdQNRn~`oYf9Esaj@aJPTzHJ*+l zrxOx1meVazVgT*Ce?a6k>DF-q`Jt{!gNP0C9(;t>g$9WmLhb}H-{9L5a(xXst&nCz={D`up=@* zRGC~hZ(h5`ho~j@YnX(WK(8hg8)PSn_Ge1kxr*^1@ zQn5|Rt4I)w19cd#Mms0kpQlIK72=zYQJHUGorD=wy}P$RmW9t*fegE(I;2}SjetQAzwY})v%3UwMj_Ad?I zkuO0Cpwm>76aC5=!6SQvs8h=&8e+m>d7GINR0YvonuajUF*O`O zV3|covoKiHA%|S(pn|AxI(S@Mx!5=%{YcHkVq-7>wjn z?W33byW6|R14Io=Rvnq(_urW&i|k4R+EC%$2eO!RP#+>?Q-|3@33@|%*p1S?IzTw7 zQV>Mx-blzCa~)AH)@suqANKbOfT?0^J1(owkyapL(niDT$>aP zOsyIn@}ulbkZ+<86l`MFR~ZFTr++%x%O7e&VOWcTWEfFQ)1%xD0|a28L6e}@(~U~? ztAV#4HM^K!@rNG8&| z>0}qUghvK@I*0%M%Xbqs66k+an!1Sg@&~_G%*v=e6zRxe#H=6I7Q|`{xCNyd;@@jf zP-7z&F}4APBTi#&!r;byr)f~nl~vg`8$=siAkG{D?U`AcwC5_&0sj09(tu@vbQ0W# zgZg1PSC6qDx@m}Lte+ys+YCz(Lz2}iMHl@8Gy?}EH;$|O&Ebf8{4Ci3&)M6zXwrRk zgdg*Os=Y|zLlU3rIcLIq3*#yQn2cHg)p9V3@Ou3IX3s#}FGgBMX6SBt_QD#e&{@^s zki2?>9l@(Rjl?IJHWf^PZ<+yg8b*X@T%w0#wahFtf+5;(UAB>nsRnclh1GlVIaOB` z(<_5H^g^60Q_3q6rQ5$+VnzNU&ZHmP#I0+!UdiHUq(;B>JVO{lwfFEQ9WRP1F*>7j z_v3RF0024m<1hy(#utVmUAr{h5mv-GSb zRAnf^tJ9+`R+OvK5WB0YWHLd)!pUnZp6yL*AcSLJ@1X+CWt2 zO3p+Y#o6ePvLm-tW+}rsmDr0-vcg-L+lt){TLUf3WE{S3KZt=kVEfu)UlrK-XHhL- z1cA#8-6i2FYy1#Fc8o!Jz1#PqonkOcq4oO)mPShjA;l-iQ$tO84Oy@fXcSYV(-SF| zJBWlpHo_SMQv|BfGv@M&7KTBAea4i=J#5~%p$>(#>zwR>zl-%!`Jve2HRU}WmvF;0 zphMkthOpzFND+ef@#E`B=Iigwqqyz*+5z}fCUtCH6 z4UwZ2h=cNcWzZMhnK0?S)8t$ZPP*%@&t>W&K-LCHZA zHwkme(Wwy+JIKpLG$NFEvGSN+;AEI1j<2CvOZqDvjs#5P4ftiO=I8m)@kxtFXrI?? zvVEUMzvXW@B%SAYa$bk?jU zbg`UI*=2+LhUGnqV1lY1Cg{4?A5_3PX?uti>(B{qpt_cWvD+7k$grvyKpefQ*LqhH zT^NdFMeit#S*dxIhs5fS%Y*Sh&TKH!IjZ5D=7a#rspFLEa(rzVb~b}CVQj={Lw{U| z1L!G_Jy+2LM}h1%GT@)=49oZWBGvo^s~jEjZ+0fgH$CH!vUtjz&tTJ4TWNt&>cQ#( z;v<0~oXa_dwFX{1YHNlJ49LmdX5)58#yfXBHdAlfcz_hf(Br4H9zW9`?)=KgMEwzp zo+gfpp=jXK0~ww#eza_g9-&N~YN(vs07E?F41R>skfTa`|G zulI2c88@)go44y3Y!vtFJi!-Ias^;i1mX2<6pAik7$VA{DN?5qeD$!E8`29*<4|p{ zaiq^q-h|pm{g-mf_6mK)BK;ytD0sGCo+Y5;W_O5}X81yB6z)ekn<>bcidY~&f*w7< z_T>tgDv6MGVk=1e)h%QaI?e$V$mY95KqJ%H@7IuL@JTIDK%rbt4`NK*Q_)P&zfkHK z(vYj&vQ&ZI-Eqy5UeXy-!N3&+ZsrKlw~{7?gZdJ|%^WR^?@p*xJ@4XCJwuVG_%TDR zoGPSN@(lQtNrMsKxm>O&42HCEukcAdV*O_3+UuEUsD6SVfOmUnc)6N^nIt%%TQng; z?^wFVNXIlfqlyEcK;SvEP5Fj)NWV(fT=Fk-(4qsRdTqw%DM+TgPsL6R$4HIFDex*3 z#O-wKD4iSX&9YmPq@ro%X_C5kO1cGD5dScPx@yAtqRO$DO=fU8RlWzZ1emH2k_B&V zwv1-`A&(BP2LBk;qVcFnJv(PJgK2VfnNq^lqu>4p%Qn=VEP9@fI75ECae@p320D}U z1Osni>l>6wjb|<(wXkbr&7E|ZGlRp{Nv%p`ni8$QIxr++7&#z? z8!Hl#+>=m#SlSOLm?|7sm8NFXxhK7>@S{djXs}YbdwX|(7r5LV?NG%2Jl-Fg?3-e`BtB9`` zZGN_#?tsO1r5>90wEc%zmgrYjUNJxC-5TGRK{!%RyHbxCvhYkyJfTDW#0}kqNH;~+ z(at$8 zFX+bU#hMh@$&0}^va_^0d)U$X6BN363WCBSm^Qyb%h$R1Avmod_83>-{H4UyI0IV0 zUfo;QV`<7!ml_h38~YewAGT_0Pgzz|njSP_IMg8!ok>a^1OqFg2TnL7dXf*4!~YBB zU2H5!Xg#01Fa>fC9*NO za!5_k10iHj7FsIEZ$*5=%}#OJ)APBQXud+g3v*+LnpEMv(l`th%T^LFE2+jf1j#fu zt%nq_1P|j@>gNiO4y>Z^#$W~p|B)~i~%C=h(kz6jy( zQ)gg*%%ug9;-HmD8%4e?wB3&wlGp3w#U1LPU2hS{AGp{Z*H^Pl1C27h)QsqK{=Tb| zQnw+HVldLdiQybY2|lNN%ENV74s?34rfpW_lkF8mv5_(!q2JiRaK#PB%3*&P3l>dBsn{TYxMZ0pU`}e5JX+J9+}`LGBQn01RFJK zFEO|T2)NXpKtpbXz-(r9RXd6tN>B0zwN*goSSc(>%<^2CE(l2!-tJ9eMm>q>5<{~F zkDT5Y_;PofYXl-}Cm|KvBI(G;$<@BKJgh)XUTxQbmU1prWXIKP!SbytD0i!u?!89)3hy>dp0kDo14?(T3e(_*XV` zmN;t%h@{u0LAxonj8@=iF>nm0HZrEhy8^s##r2INXo0MR#}7t2SU#M?MJeTLqjTUs zMb?NCSh{H}p^#qG^MQNK5*T?tY*v9TQ_e;eAZZ}O>JyPxXkYa#>n{JJN(qNM`{;j1 zKnCVm<5$QHplyzqyxm@2-rO9#*EN$l4EuBCmnDi<6?lg|VvgWE+yRC8;EqXD)&?d9 zdJ9dhhA;OHLW5Zz7i^PtzuRpC1Gw$gQ91zgr}_e?;(_Kzr}BMJvQK6kNdtl5NDypLL>!=wGjM7+*>IxvtU6E z@$aC+f>n%9@;B)c-RFZxzSL$wmgy`Li+Q}hXXAznnOIr*8|ln(UeTc7WXxdo@TdBr z(j41`2<>OCxfGz%ddgDZyTSMV99?rZmW2i+IFR^yh5Yp_U7CtX#Rqe+pQE>hK?f)k|2+Rlt@Li^0W zI>W<(28ecNPyku4l0b;xpPPi!Kp<3uc~@4g0E2J}&>+frCZgbB+E*lyRkD*EM+|ld zQtumbK-?@aFhwMsc_@PYjerD)XiQYYb|@5xwMisGX{INHBCXKKGpLP|)=aZNKH0k~ zU7-P3XOiBgFGTU&MFylrT%ge34!^>E#wtysz_DIjY^FrJf&nIp0>RP7&LDJTRDU|1 zU~WGp*-v_gk;`tWi=Y1X%dej$fsxQ5TFzQZ&;@i9TD_dkSs*E-%GiTIK!tZQBpLuJ zn&gnruguad0HX%EU!-pR=T71Vqe3tQn{z->6|>$M)g`nHe%jV`;j!oTrsQY2>)f7E6IuQwSJY+P$UZK9M{Gk#VQ9e0sr|5w>d8xyyH&%h> z>9Rve-0l%?T2(|;p`;R$0}@5IVhmzGX9SkjcRm=FE7vW!YJAROWbz*#n2PTaV!fH} zoH914k)4e>H`R))jhQ8UULa39v+eF#G_+nz5bVJ`SroxnZ*>sJ+z#p8kxPU=IaY@Y zv5=fMjOIFbn%y5ROM)SHAbObiVWQD&9>rIfZ>HEbo13`61bvTSba}zh9i?=)V#gb= zoa)sSS;aoVvoMW3rbkj8@tXRrEIqwAGDBbIIa_RjCvRvHr^zOCdg-1;uErmC$F+@- zh{-)grD6*N3xd)e?U0rJJS8rbS)C!-NEHz%ONp&vcDM1kN`SBX&l=F=Pv%j1MI03x z+G6+j)|Gqz8|5PA@LjxdA$efAa%f;GXdM0h=HfL%Bzm`ELw+6767ke@EvJc=x;+3& zTmXN<<>5_~^6Wiz=pT&9)(Z!oX=u>JAu2+Ilr z1}Q+;2Zv_Cbg!dx$}tksh3zWG74ii!3Ob5;xlD2qOVc@jxaIK|tSd*GOYyvvt@!tj zz8f)2jE?KN#Kc1(w`#wca1vh?@t9>}HNWPB0YKovlXIlUhP5xNoE|PVGHNFhuPVSF zb}-+Urby-8Jp4}pynaW113}AhFctHK0z(|PUbC1l7TMM2b`Gi)yE|wXqF7|v8GMwX z^cu-Rzk_uKEzg}=cis{hwa`0Uf5V?WPh4>Bel>fAY8UtgwBRj*iiHJ%2aben&#l#h z9dR8)>~$;rgqzX!cI;w%%u8enl4ON*hG zoe6SWfjYtSi#qH97pAXAAH($>^4t|sn1o5Gu|yMM>E6x3Q^#CaH*;?FGB1JKBj;ybsqVGt?IZprcF3V^KRJdO>pb>&3M4#w_WL zDdf+R6KPICjithaMrP=T%OEOIfHI&){!JC<+Mm#ZjbiO3I)%UxX4gRV#}y@|-Dc|A z1pp93UY{Y20y1ctCT(aOIte;|F zIp~ZI2#n8FSfFHT@Z}Nl9#&7C;Bls$PLmS!}N&U=d%gkwcz{#Ml(wXSq)$)U|O<9ETPb2rz@oz1!y)QAW&c zCt0KY2VQ#87RHV$yt{7tykAp0Wlht}Q-`t-;(u!oD9($r661o&jBzOVw^m}}>4p_~ zJ)JU;fZjh`v>t8stUlO0q`oS#p$+3VAy)&NrSgcKeO=rDZXy*IXf<)oPwCA58GB!$ z)T>UQq`(7Cun(>+#juY%S_DR9dPvR)9Z-CN{cL0BErUJj=<%#Y4o_Gn8j`EIBR?U_8;^l095*m{Lo~{UK zqYp4GXo~FwUCLF!MGR)hh$N?Gii77~s?PY{#M#C8Jx$f%-n z*@ot@af`hTECqTq)PW$GiA;>~apzx|)4BJr&DOgoRF;CKyBvYzkAuHKAa}~eG%~u< zJI9+0AyR;Bc5DQ83wgQTS>*sJ6QDJ;>AZa;Q*gF~vQAy^iNc+8rI#)ex z2wfiQ$s;r8i$j5AW|>-zFN87=Hn}L3pkVBYY6V~lJU|M82uTsRff8ZC^v$50F5xI5 zCjuTocFDh8&@{(2T4B;@otXg(m|kb2`Ebx!Uq!#QSeq%5EY?qaa|l!tANAY<{fl2w zqMSfr=x~R~h-7RH7a5%)cgdgV&Xa?nz!de#+*2=hs~{5qFr4kNXu{ASf&($+iwF|r zNhLCu$EsTtxlMX_9%oRqUzzefZJL{cSc`NGHyc|O>Eh>6P#qT(Ik#*npMF~~1m=gZ zVRlCKUT8yvY4OsmZnkl^y<<-%gDYAFfFKp>2=-cv$l3nf^z^h<>RVcny1H$@Fgv?o zd<4s++~1%|%6x{JgeA%leX&=p1%v;=yEHQ3x7rz&D>Z{m5=)(1(N&Nkl^vY0H$!=k zdNCWf9Zl>V0*TVGx;!xw>q=_B%1d`hH+?uxl2<~%Me4$89qEFIhiYl8r|2ymk8cks za|iF^8rY^J%LIQZ9Fso8o6bY}0X0#ieB|jXbvmFvPDpG>*iH{?N>+^lB|Y`xX}5Xc z$HPekkh@?UJ+ZAfDUi*MHHZ%etQa9-jd21O7lP;UiMJH2L z)28^b1u{Hrz!C=xu^E;Nqc{PQvfr$5=^{;}m{P86xu9{u#lsRd8=~BBs3XC3Ch_Mz z_+ew!lXp)n*yJ`>W0gv6>nXB!>QQKUv;gaVS|OJk4*}1nz(iuCS$#{ka?`uvepFiP74_Ni$2kb4(m>txW7je%l%<;mP#^B&%nGO z5?-HjNDrjv*)fL~lqb4L7RbG%G<)LXqNP3p`m~ z9_gpCYMlH2VTaxq!t3m3)Qcx_B8Xr!;1W@!HP6wwiwi{JR*rl zVWC|<029Pr5?7=g90E4K{qW&i*U`gpRg9;a1FPUMpNH)r#15qXrHA$EsxhtX=N!)j z$p!uaQ#Z-JSWMQq>(OXbl`t?tkFEkVLxF#$nwphd(~povQzDe2Ol9{_tyL|CreJ}% zAAb4x*NOb`+s98o|MlbhpCl?bCrVQw1xQJz^uJlAwCxQseruYYDH}~b|N7heT<3{e zj2Ev%I{)V3>S<>peCaL-eenlgFjiW0Tq1+}2A79L zjv-85g`PveZff#JZNAQs{+#PQ?!|+B_?hiTI=AM6HN_5K(yX2X$T{5Xe}KIY|0X3? z5x<|l;v|W+5?H$R59G5{;5BT7lD@t0BnX#Zq>KczO>!l>!I*B=IlCRKmWi@ta#+tO z^6@;VhvImVr$zlc@k=MTqD#nzGD|Z74LE#a$vC2Nfe|6Nhu4+1tXfqW()Eeb>Gms_ ziRuX5&Zxd7{1Ca1j8n3|x-_~oPe?T>lDq7g{x8={?=ITD!gElkO8K@C2Anr!B!WhQ zJVO`Q#%;Lqo0=)W*|gyD9)w{JPmxy-aGP#W+!F3lC@X~XoZ7f(!^*S2qG}p2c`-WV zoVYVV&Zy4A`+mQ@c`N*vs3?>Pb?dvWlNSmLUSrA8Bfs$N*}A zjG*`h;$16P)Cd|F+D8~ZRgQ#<^rjszQ0Ad>2=*uF4KgmqYCZ3sRY*8`!;|TkH7-%s z29AQ%a#i4gMJpH-8N4OrVkvd64E0HePstV9oY4$q4cfKEzQb2tIPHF7ZN7D5YK`CoVrTB%@yA#6FFWtS(! zODG*cn-P!*)-3dsqLfetK+H^an91Qb>5+7dAi}d-yxhhdzR7c%w`JiiiYlq}0BYvb z6Fh|Q*(HU98k*VYjE;gfK9|cW&ac%lBGsg>!9XqC3|_Q&PTmZzx@2u zcQ5eICkP!sex5v3Ghu9(8T^|sefQnVfB*fv$-m(}yd5^&BA+3t6Y)H8F}AOEymKvM zGxbu?a?T2$7#WMEVY=^1QlT=>e*ikf;A9zDkJay?;LEu|31Ex>x+e<((0z%X=QfWR z=Zq7P^ZJ_`0Tx4ecK(jSMH&N(1KDF;>Y)p&qML8m8Pbffr>rO=?ce5aBsee3=_X zqufEER^MK@W^#x>VSA=1mg>$cuXhOuUCE>%M_nYD4eool0%_ zc1xgw06HvBfYw^b5U`L+SHk-%#_XyN4In5vUA14i(1tld&a{G7f;S~+G{^AVt$wGN z8G#a&`Xp+VoQer9XWTiUTvUI=n&dh%Pil@FosxriX5*U2~SWJM~cG>dw$2 z4JRDv7Aa|(Lyc^U?DLkO%+`~+y}G}1L@|G_L2U7g${YdamL#Fp0044G$n?1*#_%oq zSf(F$AQAgVSHHWv^6@*08<;;>D3aJ(JKU@@m=t(rj&G#o5lK zIGgi95(jk&(RAB;>Kh%7$wuicg>`*atUDJwc#HHZisg#}dMnt}qvK*tGmk&3bb^qN z-%b861eQTj0VcpeQOE%+HfybdIixO?i)w zdW)8R?)HP2vD!*oKjLj;c5`EQkY_mLDf_X{F6&^Jfr+rC&$XGQwku-N7t-8zfg#-L4T7^PnsbRqr}5F+d1obkys@t zNxqGcvc$WZ`+EqRUa~}EOG{6L0t*4sfI$^=V2Om@4H}w2vl+4DP)nYPO=}CDaP2s; z452V7Fb~$$F0rbo`~k&kCM(%8!kBeB5b6;wHJHV3c+O`Ph#UGagE%BbE-FmKmYpf#+-t@G}^@{v50ArEmzl*|AR;@v54T0 ze@*|6o}fw5W}GDM+sSihL>HX~sF?B<1(7CF{Q`07L4xEGJcgYk9m+GDL#+FbL2}>~ zB|@&S;>t+I$AA-4;Gpd~Gt2{QD6Y?87FEc>r0zua{cHTHt02D^V-Nf93`ySp+)} z5GOai2s0}hqVAAt=Pe|3RdwkRfFAY*;ovoB92Y6;!BQUHif3)fc7V5c_b=F?c6GR! z{Qk>_cb|X$@P6{*+mFBej#dcdTU;cZ9-X5QnB*m^Ydl9ufZkJI-*8M8*rh!v zEpffuUfn%yz@7hShW|i!p8Q6lPxolL%U)?WXvTz~0JwXBKl(&VXfDFY_fNeg-{;W> zd93+KJEW)gkL~+UpOspWpwNbK50m=qz*Qk6)ldgnJCnGa3MSotg^CSRw?3T~b3T8f zQz1mr!o^5rf<48`xFKVmeBN1BJEN>A7`IxCQ@ly!M#1X{$)0tVtT4@-X3p=AVRLrs zV8LOHNdXHF+9o0FT0h%J%oJp`wq*HU z0!&Ze;_I^A1AkS!I$_MvOw9RPAA9vY8GzhIZc* z45I!oJ=;vEp>dD@;66uD8;JiN~py$KSAK!~uC^v#byI?}GfG_$*!4&&ApHE+vTECp8)~Vg9 zYo5YmhmjHcl!ygetcM=rpj&7)MDs406+Xbm_Hh8s^Ul`W8NTW3?fP1h$dIO@B`IIL zTujk9N?;Iy-)v&W(mLz-vB5~^=Z16i2dQg2ucsKm!wRsms1^#Ynj0zSP!|I{;#fLu zN8x0^fFEn`Jpm&!Z9PwEUtj(;Ha9w>v&r$fx>=mL@M$7B#1`O(aEA7=V)hUe5a$dc z*fVhIi0^jzrtDR(05>Nq2Vrm;M`B+FWv+2CIE{g1$9u8qAnxUfy)H;d#OMaiTszAs zB2?)!B*#3C?vO9EH$i@$KT!obl|sQvI+h~Ljt8yG&LwDt-+`?R7~*c5v$L%@FGN#X z4|4m%Bk!TSW~T&k*T{}>F2@rzUx9?AhmMhn3|23x5Bs-r25Jxz9FQNHlCMaq2nq>- z2gRj4J(nstCNs>YVMe6RX2AqgaA;f@f5+M@)HjoUS+9BHNkW@T7WFoT2DHrLMR|u3 zH;OF1+dIS_8opUQ_-e?nw)d`Ftu1{{u}Bv@$62f8OJh+86`0DLQl|_EzOo9(LHuT$ z@Rf6YN?@N+=0K|Z@s4>R$+{@tJjc_5gKolL3=xnJp{I)SSfwj#3`+VCCwJS z@BTD9JE1w{1O#e6KR-Kp|8jADF|YwHkNYd89JIDUeeP#A9F-GubEJu{_b5xF5-`Zf zh#k_MDY6gbi+2*vVX$1DOTL5N2+U?~2P*O>ug0WFm0BfcIcVc>s6!Mxlen!)O(@z6 zG6A$^rxsxL`;)9kwL{I(am~Hg;ZCDf)L_P`pPmuhqv7DgR@50)a_cB{64vsR0}VO6 zL8(ER#qPL%+C=Cx3AbQfbXb;}0!*Bga|<65JJ~r>&)c%-aoP&4>T` z^yBAWKd4S2k^tJ}VyVgp%uT2i0Paa-4Xl5VHEHWa&LZ`)sxKm}8Hr%@XPj{y@fHdG z*(5(QLob$#=jU^R@vuMu@fR~^$8mNwU(VQU%Du_h_T}H-eg5_5FMm#+WZgH>$w1rP zG6}ppqrOy_=yD@?TDiG&k~b#PnJK)^D2`FN6cXlPEl^O4kpaKs{;+(K$6IG(MrdEN zqOZ-2PlbjrFxuGG<0^?zF+q=qOO)fNlYqSnMeAkZRK#ef`YuJ!GQdpgpwE+KUZNEF z{^~8{5+nzySR>Zw)il!w*npkLY1T9&{!w89pFnIzvSramDQ&BV&;0nC5m`l8DU|HM zOi}up5vnarlX>|hy{JX0eAUw|FAg^bg!XT{;})4V=;8-r%Y**P1Yg(4G+CeXJdbZG zfieg*7N)mvGmDmz+ZYi93+-AVHx+_Zu}mzb>2?CDWNUc%XIe|@!P!(bEd|crdYW^8nCg$oga1WV3VW@<#o^Db`-Hfoobl z91j$dAXQ^f0RcsuK+#XDpP>Y;VxZcSHzzyHk4(@R2(j|m&z=mZen%D~BG7s&A|^tR zRRSWR5yq=NfP)+(11;eH*qlwyq|PG{|5IpF0iGf@)h|3c$6UcDh85;-l?)sd`ikK?@4t)ZD@t4 z`Dht&i0zEaR(PN#Z!Ub`d~AZ;@nXAOtKE8@bM+q3uu_us0Nmx^^w_kV!2a&;2F~I% zO@5M??1pW)aJRc_6iK}P54&XwA+DHi3mIEnIGt8oyz^z(6=rqmTKYGbZ~=osm3I-( z{agm4vP7YAQdJ{$JID`Z!}WDm!~9C|3&NU?Fc>9Iy1egXHrcxWkywIPo3jRW&I77kQB(^BT01qxBvZDVQh?cnEui#hPp=9R+ zdcV;Hzg?@%jV|~N607)Mbis?2xpLUd!fn(W(^V)ifV|TJlN{lCT#7^{2FW%-i#kjI zg_Wh3C?w!+O;+dFtm@*pg3k%Fr^Oaw6>>>hI)b(0l)}YN<@=E$(;NPQieh7pD2xjI%evg=Y za5+C;Rf zw?=U>kUtPECnP}Dpm!8ZVE6l{|N0bSI^tSH*;iUbNDup8A^DPoTM`%$5f#y8r(tp_ zQrKz2erkd$$YZ17Uu@Zrx%`y8;t)xrv)iu{_p4cc;Uiy^Ln1@57~ncMBy2f~=OxXX z6^q5SdC8Qbp)s}|_ce@uMOG06kzfZnhLzDM^TmaP`x8hE5y~B~j;zGtu^A#_>%3Km zb@cknqmcACWQN`dTq5$u?PXSbt1W-S6XQzIUhYm_fh?n^SORQ3U)-q@l_jom|L|CH zrW8QCQ)J`R3p@dt01v_80-%6s2oRppF+bGK4E^e`O~Oha$uLgs0G4n(zWWR-&!2z$ z{Qh`+@#42n?|%Ys1fGQ<2>pq_rlTtDY?3wfmdLRLsQ2G1&02mFrU&G0F?Y))t{3e< zhldZQa38=V9GTXV@sLdYFGgeHY-}kBYgb*Xeb1+5wtP?*x7jL1q_Ij4k%)tz7qT^0 z7cC8zmuNFlFDCy4psB@B{nrX)>R)oe4OUiEC)3T^%PD0D+>G0z9ZV{5?wzsD(f4NQ z=_}7q`fj)e6bIbFvXKVjh3`HhG0l)N_euEhZvuV*wgIuSy5 zA3q>iaUy2c%{-*X!q z9jbWeT+#h!?QHp0P~3Xc4mWB(kzOX#KM=D%N-7MI}GMaKa$Z);74SX(-I%u2*xoQogisKNDyC%We!^vNi z8>2XqUNB$aQVc$md3oH*$otm$=2#XA8pvA2taGu3j#2|Jd_jiXIGk`q3T^7U)n9YA zB%)1jnkN{|d|NpTq!d>ja@|gLl0(cun*P8guASv7*uFg6T@1=K2vO25H}w9O9!N!$ zMok~CSs6{`H8*Wz`i0h86k6WSaZNA&^7H!-KQWD-h6`lS|Mv68&k%z?BV}Hn{kMrs znf%MYOb8O+P5%Ag(-VQ-lCoX2QbV9*VoW}sfSKVeg=!v!-{sr{a0+vffvb2d2$)d* zn4cJ*bZXBA^|`1`e}euT)w4>QVSs-rvohP@#EOu+x!OQiWo>_<{=)(Ns8Cw93wvnx zxkM97p|rq6y)PA?0Qsk_^UO9z@4-O&z7>CaQ@Qt>gr);OYZ zK<^|Ll|0jNQlp2oYRs`k>slZx1rc?-r(P)gr}w`jl%413E=~F>2?!`0TG^q*G>kjI zTwmyHW$=}K1fW3oFje!DgU8M#JA+ig1#~Efh~MNWO(&5HqTt#eRFF+c%JSEW0@IaK`~&HCt>)af?iQ;A#*I%Kf?AX zi?mPx^j_Vr45R1bpRIFc8+p~>v&jwPwW?%6qoC^u{TF9lO$Lf(~t$13lV$Y*CNQQ zk6L@4(#|XqNC>4U`7t|<0JHgIi9A(5B3%eou@rhZ{j!u|*&=KO=x9(Gpgl#ww}T3< ziJVc=fR$yB;wPj6r+WXeQS*n7ciNc?rQ-aRZdCjv2x)iN>A>hWf$)V?wP1?rbH0(lXARqVK&?82WPuvX?qCg(>oAH_h_s1Th{BMP8Ik*0lz`5V zZ%ZjcH9@mpIe*9o8XQ3V-6j5r@OihCpT~G*6 zba_?Xwce1Q@bFzZ zU`=%qO5ovZxBDk|x{*NS#|HW#sb{xvCN!1lfnHnRnNzFnVo_>YOTeEaD<6kR^xSy@ zC=-1aP5{rrT@>ff0_PW#<*RE^flwTQ^)p7>B-i6|4B^xeW~fE+lbWn#w>L@N1`Bg9 z8~*mf6TKFt^soYR&>-7LMFu*=fWuy{ST>BRc-}vh8gcW1YQ=1rHxh6F5(J4UyQeM= zVkv}Z1TlGJz~N*#EL-&JDYW$9h3YBNggBvg`KRJW9gK7^Z#aj)>E7>+)u4udvq+u# zN*)^hZZ%0iTroaM!bvz8j49CbTqvKAq(=@1vtRT?yF>X*hZE#n4Q>>*@Ap^y{`80* zHu!hsb=8gw>Is86`WcK2sxs3qppZ)irwq#*RD_tLYlq9ys9;gUYu==~^(=AA<7w*R zY301VcNe8|l(8J3Uu=ufxO5@r;insau0HhRYT3Q4m&li6HD)7G%KF?tHGE4x280zJ z$Xb-ej)HZMvRC-$(WdX~OxpYSK`I{oj{H1f0X}uN6_`^;6O%lWop2_NooY5F@F&kZ z@Git8&EStI^Qy^H1MT&of;+GIIa@>A#XB6(7oHG}fA=PlC6Ag|F>lmL@o4XMFmT;+ zU8cu*gb2eZGPgpP2_=SARazLCyH7ouz! z1^`*bD;1Yj(Gn9rYsr*gZJ`_WsGbaE;jkI2*hDtq9xI|t_!>Fzc#Eogg^2)lP4uAa z$9o^J^ND0XU9Jwt?;o%5-YskLvHS#nPmHF7g!S=yrlF2{l&nQYM?{lVie@5?`clRZ z?DqBUFj;6^Ra}@lWl@<$#p9v3U-~<#MNHBZuUA($Sulubxzmf4#QkG6)YI!;va(db z3P2DHk(hwL>Fq+%ut#_kQF5B@uCYN!{@xV9KMbUT1*&S!&Lfr{rCG0qe>%-3=@cL4 zd@A2op)Yd;`Zk$Y$NajQA>Bc!H9!NjOWDm-_83>IwQ=jx4}hHkd~C(p5>e>kW43R(F~bucAm+1c922(^!O# z8bJv~*W^#g-B!{oB#f}ByOE?bVjEK;?e-*4XB5Unj>7OF22<*jVcZE)=n-Z7P|9OM zrdQVNd#`6@Xz`*4(c{B@b$bZUt2a9)7S(w3+7^);} zF1emHZtCha^*!lZo}ktVN*tJEKRx8|-LWZ(8lu%HxyIt1}RkAE_OhJczA zXa)rS8DZ375KKK76_B)dPAxR&5xqsPJ_B$7od;>zY!J0kk{jU*ynlKAc=;xWsVFHx zGSmHahOe~KJVSp-)N8j+dlp3k&hEIavBD7Kf4Wu}s4Dy7fWE-12viWIDPmHcY(mP;c$_vab6F-Xf$Stcb0Uv{r z4!<1E;SMQyyv9wU_t_22FpwNUZ&2fqJu5EuyB)Mn6{w6iq3s(u6FHRO1f>k;I>7qH z0{Z;}dVfjbFoeL06R6Qi(aMtGqyF;4GXjf(fi%xM8);|gHke%)h`)PI0M(2rEOmng zKs-T?M~D2{`V)9_PI}$8Et0Sle$D08StYSz9(qp1fl42 z;vaGU)oDNjTMfJV=Jzbm^wyNCpaXy(a1%yvoCR4tRK`wEpWfQa;%l@%g3Bz7uMxEs@mGT;YY4W&x5Ml#xf_j4R6ajHv7)~Bp&-vs znz#bq1b2^AKmcu3X;%J&QO#jjr1TP8f^{0NQhCN7fBA?Cd*oI;p5rEaL()?PKd0h# z?%JF=1}4zdr^pO{(Q zEG0Rq#yh$(IGL?#ziUJbPPZMEYpq2>D1Z@9>1T;R2SEe3gp0eXBw>iejU)^w^{T{} z<(kfa(Z-#h<{tM{+=Z8-N^bav5mt097-%Fw(mA!?0P(VY>QLK`$=;Mv&!=HBKBdXn zB{e&JnhTSJ(HYhIPWR?$uuGL?(r{Ze&l$znrs!|*hKiiLdeBT%txiZYgy8-48w$mc zopIush2V(~_^EV;{~u#-!W-FfW((F&A-aJ4#gIp=&!EH`es-7S)JBkmFr-@a;N<^+u7j|Q!C)AWqq{RiScbr+K2 zlPUxH`uQ#NrNK8gE8((?*dF!Iv57Dx&J29^{oEjOXZ=V?t+_go&)RV=gdFAS>*#QQ zX4x|q5^rEe>%kLc@ZZ;Dn-DQG%NE<&p75QIb2ZV!KILB!*WNZZH zChI@{H?r5so6{nvcHqgK)BcIpB$Nb1XxuCL_j<~7faI>Zeoi(5) zW0Tzs!vX0fV4@O>xU*q^xqLyzVz=HE3Co-4in;9Xw(svC7c5%e7y5g0msh6|TZ8zq zmpzzeZUAKjwfnZ~gSGcFY}pOjVxA}p^?mcSUFL)5CJf#%JJnv5@MS>>h=+46$AyKuU$r>P@g9ib9rGM%Hf zH78>dq|pbt(|QjM*Yen>BTD6+cO4z$hrvdjI+}D7lMPtZu!!GRs$Iay)$m>BptoOEM((mOrX#Q^6 zl^N}G^8@;>p$V8^$dd`p%&orD`ehw=hb0oFVet(Ni6b6$vS)6mlSFxp75wU;a~|re zT$xaJB}CT7`D_dq9$kKCvE8fGA30YSBG`ajH)g=g=QJTWS_cdd9{Knd0YvCautBN} zBOmR97iVcaIy*XZ|JB`B67VpU;DKM9Yr7uE4WKiYe7^~KoA0kL+gHT~jy!syDA(3L zJV9m_k~TyYXbouRs*aN5x6R|f@vU2+jN`RR5o#7xV&3Gzzhk-*LViXdk5;h6>9b+)VFT$(YjFT|YUEzQuo+q0LUr7q zn`V0)s)FpRMMjd}Tp_mzMfArDfJ+@Jwni{Vl!p+Ca_bZ+si6nDaN+C~Pxb;P+~Qm$ zF!9r<3Zz|$vsJh`)6Sv#cu$mL2O&lUHf!P|tg&jmjdYMvNN^EW+va@5HEydzTbbgT z>$WkXBN+j?+bIwZEI6g5@dUeBN(a?E#87U%5VfrjCq7UYqiR#5LxN&f883}~={cy6 zS33rVSv3xc3*32TA6V}xLgD0c4WiAUKos#xgZ&3>pBad!jfN#|2$HJ!Lo#GPn2X7A zCiKXbP;GW|=H zeH6JsEt8aLeOdxO6aY1FbITMd_=+%l6feP_DXk9127>Bn77w|$L0OvJev5cltJtJi zIL8Nz0!;bK73#Fa`>^1~4@lw>gX|ACs9KV^G8nZTg2u_R>WaI`I;_jzp1(Oidvm-z zKDjts9WPJMKmO);ae?Bdlq+NF+%BvNVn=Ug%H%+ZAudj<;QUXDMl_M5s@PHHbnM-^D`cAxBc4M zF1aa$z)b7KWLzgVq+>w%JiPIQ0^xSJ$Bs#CjFv!Mv_AyLF=nAQart&`xKv`vpfsk` zB;Sz}N^R1Z4}bjj7o`(dYO~3fb+UC$s|Ta@{SKJ4J49%5&;S!x8m|*}*Tb^>n>Z(s z+$aSL#FR4BlA$N<@GcaN3fdrRkGscn&r(rZSeFpsrb?U!rR#n|ogtbx_Q4rqnvepUEnGOne$jHM1e!YKxd-Z&CxO_pWYP0GMhvJc= zd-I_@7fJ`LPYlW1wI67ufOUUkQ%>eRKMn!Tco`TR zKSe+ZifQgg_jnv%Tk3fu8zgTD6b(^=M5GOt>Sx&6s+CtYWAR1EKyp#*b5jf-jg2FO zEs~7s3w5;$r^p8k$OH-i8`Y%StT&;8`&(Z0)Vi8O0h9f`f=9kIWZ=VWRKK3Z=17P^ z%?hy@`hCU-^Ee0cO;9H}g8R$14V8kWoahzxtHa^zs^Joa8icV}-;op5?E%hw(*`b# zCJcaf?pgYn3Tl^i^ppc^eXWDlYDf=3;_Tw&==dyMU>S;!3Ow7#guu}I+Tp=>&rCFU z9I`Dy;^+CAJ9-*GXwuW(n+=hd{}Q(YEn79j#25 zzTND1hlhJGn};jnDQ-AAUcThUDy~5|Prz*h0%OxAa}4y#un2I`UsyI2p%{*7%)}#N zH1aX4{#F}29JjXs>u-mM`Y=QgUdI5k7rk(a(7Ai;8p{bE<(>eF&jJ@2S1f*h=Hu@dLfyF|#`d0GJ z!p}<)7$>j8DPmzJX%-Jk8CI{$r# zcSbCp`LX8K!+v>JE7bF6@?aGM>QcMl(SkWjS?(d0;@m!ur5^GZU~vX$lAfvfCX!YY zc%eSSQ;H4SfBf|H^AA7${KKdJK0@Qj?ve}_R1iVk*H4g~GDN3bG&rm_f?6izdD@aF zTMtI;7SdK(f)trer{@N57+nn}G#L{)pmPS&3(KWbh)H7ET^~@%euk09TJjC&A-@>b z1VTAYRe%oZEeYdQ1PiLPLX<4U8-OGN3+f&sa+A^x^$e{jOd;##n{;kh7+YWLv9%g2 z$}DO*xuAoH3N5AWbrF`P+`6F|YAWswr`aaDBm}n=7LPbN70`Q!z5`Ar83gJZEgjLc zIV_wR`P^)TE#nz3?Szm~LH~5nph{>X8W46VL1BN{CL5Ya@WhYDLzD-hLRHAUL}MCl zudZ%x?(g@|-#;@Lz&e}S{t zL*ql5s{=xMPq=Ks>YdDi)AByHtM0Ba6bD;IJYz?56 zb?!5&8=ymzgEQ6L-YBwZQ_YOX(b6AYy)>Zwlf$ybrxO3P01#OW{422V>qb7tB=V!+|! z3y^LAUDfzMgF!Nn#*>qNSxOs|#Hz3zyT28Mzwj3}5P(GSCWx2$8G^iSkQZCmSn|yn zOtQ@yS;HYsLUx)PiB*oqb-W-9ZlkpLZ}(FVFUQ0BL4y;lmn9D2ZbZFq{q-9n*p(Gn zCIO-ypWL2y^}r+p^L|uM40}*WcEvIyehTpmG2H{B@A?fHss}cjVqn0F;7fn)@>hx) zGjnV?!YnlbloeJ#FydUjmN=X5A`OKoGx)3xQ?BOM^!e&kL{2}aIib;j2MVl&Mt1mS z9YnX1q)Sv*5(TdJdpDiJJ~xfi6b)VfW9h zLE&GxK2UmO=}x9^xJLc) z!@d2q`X5eJ$8+>iR(lA69y%k0cZTq-sO#m?>Q3NNYtRW;#q|y2<_dsSy$1^wCy%(1 z)BtAZ7_e|~ulBk3F30}lrz*|XB#)f3`lu5MNC z0A&xy$%cWRZf5VmO!+koWLpKpW5+tw()YvJdV7!do>vG| zL#2lES29n)eIR?BYEYZJitw@*t9`LBX(C)sSNJ1V21gw!k8rdZ7eu5We_Z-*LJ4!# zySdm2^7R-($RjMga8pP*6N8@ZFN}g7RzRsFKVkVMz)`wjtxt3V-KX?7rmwHx522bUi2ztircFX6f15X@1TIpjT9zPNh5xz5YV{g%J>}W}HPv zyPLE>(97!y?WS0@ea0XwnPDXiF6uyb_xP5gd<0aWj2evPSOrp~+QcFC9!#uFshcWe zX-n98PzRH^Kg_`J#OED)oPB^*DoVny7jH7!a#?b*kYH-c&hZisAi4Ip?{)0k_qHbo zQ2rvc%s8B6R+_BdxFXWS!dHFbzUnZqpOo6brJ@fzQ^lj|s{tI-F@Z)S0U42a{xB+m zWM+mk!+@a>SOB`YDZ~i!T;?VWXzJ`#JEPV>vwa5@FW)j48@ymN%bqBp@&>Tc53R4a>MFAQT zBeW!j@lM6F6}qoWKHESqpUrz*utK#?_kx z1`Rym3ZzOPAn+P^@PEIa1Om2lw4K8Ki9JTlf4Ry$-@-`Qx^>Dzoyp^{r(>c8M)|Bq zqA&i0yE2;1{ekG^3cJIOr9wDFdzpL!chFyFlL7PfjB39Tu9w;sVi7ny zP{0pCDQ_fCgmS+Y`%xbcEzD$2s4oUF^k@v~Atwy+K-6AwEhulZp-RBt@WX(Dy^AJU zu!7>Pwo5eloLt-=pro)GR-c914-a;I{2XD6@3+?qOa=~fpQ)he*Wf&Pq=9Z0SI|H) z1GzZ+s?lD1MM4KC@_RHlMqi^WH5g!LY9%1Q%+T5KI5xLekS!qm-$!X+W?9%rDfFZ1 z-qnpHcZeJym>R5sRSJrvhMpjlgM%F$P7}b2oSEf}tZfHPJ-HSsT|H#qV-|U;gf;9L z_3PMzGLRaHJir#enOnjqLN_s84ssfym+`jdL~uiRKdQ~GxVxhoN=L*8F(HKKZ?8U; zAFBx{FA*q9i$JL!7s<&yY&@FD$rL|Gvj54fI4_qG5Ov(wX>UesdN87Z(_91#^f^aF z$<6f!TyhD|L9rDR@su^$da?%-a>r_;d%_S`RSyi{XEMcLhjBX_v|QOge}y8tZ@udD zONzpBcY@kfb{j1-uiF*`RW;5vuS98ra4uNh%Ti^E2ScA6Vj5e*itCNM87TkXK*8`>!so<0qVZ z6ER?zYpv8eG>oofq4C=IZEVk3ao)jl&x4{%{MbT~%kY3VT3 zfV#PU*@(q}YnNgc-Stk0>4tF#O>oCs=JGGkK2-8)Z&h0{jSoq|7*N9Wc7( ziK=Fse8BEFhyp^b^g`*o5eK|N{;$!`=BAooaRv?94OB~bK!j8rEM)`y0`Z&~h(jF= z>p-eP5X$dFL5L(;gRueK8qMOPi{`&De@3PIhy0(E3V<-svc#eI*gCVgiFr!1G@Q1;*y1U?uvS+;9POexz!LFL*R z;c=;-FdSYgI7E8}-y*1ko!qjiLAG452$}d=U0WM|ox_L77Yq&CWl9#^MhCJjv<6e1 zNqK516(O<}S?ER#HDE^vDv{GpD$M=*<1at_iS=q&Y7RbAq8$6PR>ZC96b+0U(Kf3C z5@J-km&rq_PaBTg2PSb}uIT1DQHXpH_WD{!)T<#Q=wTHQs&DBpZ1o`_={r$_K<1^F z2Z;ItZA-ZDs4)PYBpSZ|5DyFa2qR0yw{X&(jls;1pk$T=HMOCUoV`5l_V)tLl3U}Y zEjj#ILwHUYP~kwv{#n|7It$;?q9yqjdK-X~t za+25pg1WJrHu1mEI68woPEHi$(XY_XR+a{T$;sjRE0p8y)F}G^9}^9&gR7`_8B2+X z7^?8G>ed@@Fxey4l5|Z*26SsWhYvNvAD}~#`N0l%)kGrZwwYf5)B*qr6?D`d zeYH4=1kfmsmmf+nj*EkDY=-Ugv>lDxHUy@@h@B^oTXv~Sem3Ruo;-qN46H)6KiISs zZ%W?@B>c*DT*nhM)Pyf6(nad8>FA0zDENrn(_vw}Zq!y*iCJvV25iiooemB5cQDCz znK_aW^3DfRdT1HzWm69sr{Esf{(7rz^tajCJtLF_ICBNulj{25^ZOb0?Rnga5p)J(T>}RPHC&2IW~kWI_Yiw^5GcL+gTf0Q2POduF_*!D7`8{Mqu?rA4v9R zCfOH2uS!(IqCLJI1gswwa-fyGE%oNxAHV<0$Db?N7XI=Ta*t+(+r@=Mj{=7m)OM|0 zcfw$Gt%tudBpY(tq=8|y^buB0VH?6f(V665{_-zrG;MXQcvYi-b?>UjTv$SfJJq^zN##m5o=(oDbj|udzXvjx<)$^q!9NlQ&Xc?Nyx;_GIUW+JmXLp50$|Tmb{J`7R%tZe#LZx)2Gw5lmI5)PKRg6@L(c8ZKwk= zh^iH!V+Sfl$tT$YDXU=FI`rocxECfy?7p?y&i&Ac5Aca7&HXjmF5+t6*1aPqWA+k< z#geBMqG*I$yK9IT1|7m~3UjF`)YCXx`^+lP{&tW0j5jc3BRon~q)=}Hxs?2woUgk+ z*z$gc?R7Gbzz&PC2B!k(hU=}ZylgthUPk~iu!BzrYGTdeCS$f;AtFbpns-r@ z$?Q0&6Zv8u>h8lJwYHyO54T;uhPteNWsk^d*vQR%V{KnLHJ3W^Ing9J$>JiS#)~vl zU+bxRnQnGS37NhlqATzrd62ZZ9qYvH^E~d}MFy#BVwRuIsgJxX5aqVmW4Do8jALzN z0N25~8>|z^$TG^!j97+nr(fd_HFj~0WpX{3z4%8n%I|Cyav+bPkCl6HvPxScbAO^Q z#Ncwgguo?I9QWuQ#+BBq<1l_xF)-X+9S7@+YAjcXMfdhUC4(R|2|mBNYS3lK6?y9M z+x99E&l&1F3r`H!$l^`SmEO>MLAEaYk8|}d77omTTs_dmg8NXCa(lmis0;p&C)fwa zE_Ky7s-i`TZMs|UZsnD8N?L{V@c%@@lUqPIvwZ%xW zC{Y84`uTQx(HL=cUPsGD6lZ<0L&N%pVKu+A2>HGQ&`*p!ywKESZa$BMo)-WbHldO;xFJ<)&3*iQRNcWk33gqQJrv1=IwU4(?D1~*4{{)#Y z3Q$!On)fJ$x4U2O+EO{~(17qzDd;BgJrwtD_m2m(0=eDaA|?#N>YF!%P(|gAP~`?i zPl}iJW@DSfvS4Gk5HiFA7 zg0zxXS-t zP@Ol3vlmS1lG|OTHI89It((pVBX%mvq<}*P(GZBgfa?`(+M?vF(CZrdAHE#XiZ$q& z(&EP7M8OI+n+XFFFgsOPr&n3nmjl}6S4)WK`VwT5vW~?St3j~}a{kfaQP_HC=GtL& zNe&U9RVkCP-yvMa6x%#JN)USUR0oK*) z-%0oki{}1$kCbt4`~Tw4rGBoEEY8!gw=9{S$Y^dJ%V5z_^%n_;_X>c;{u|P`M0-J5 z)+MlMm&65kSb){IsSHt;$%m^Xjd}HSI?1;BX8(A-(Z;yf15$sOl3;L|39BCq`kbJ=!#@)2N?6t6;+PfvD%uxvLLFj)p4+J_9$M|%)I!+~{)e^NufUVr36K)Z#)qG&ssvA?__8HRc3rh-M`zf^d zi$b;Jd)IeSUBnKxvT#EYWrh6d!B6Md$rCAj%b$@)@09E`9vxlty+Ay1#>Mm(wnf6r~WnGG?)pl-0}HiBGR5!B*}{a-DFL5oL@182&J-VDnChk zz(&ML>)AA3GaM@f2>aLc0W*nzZ!_MP>=&K zl_>ASS}OXitfR9h>O@qiQIicgi!yw+$;ZdQ1WDQ(JCi5L4e1NWPZTd>1acrw2`EYZ zY&xXFY^@@LNnBnSB^IYaTojVMBo$2Mk`j~ZafH=#Fk(j}fVTJ*_%0Z^lXBS_NyS9H zwup0eH4b8OhmIkH7~|BJkB`r=*=OkmFc)s~%p^U4fLWn7BG8^5fgi{VK_SK&XP(@p zZhl)?FfjPu3*v(B^|cmw9$Q;C)B`LJFUKNBgZfOap1hFJlqecf)xw>O3{H7E#}1je zOr?n@S;(;^c9)=&KGsE)Gt!)$i_tk0nY8{kYoy=>bMd~TvtW6W1f?Ljz%5&tNE860 zX*P1lW+SJsyrzLOGZ-m?gr(eF`Kec8Wzwk4s=;US1CWK~E3Qk>!AD<8Nh(&fHlqT3 z+I#Xi!eRNadl!l9TzP%jpuYmI`0RrhdE-~Lmh#QzJ8E~Kl85Dum+fiBoe<54K5$6? z-o4$j>{c34P33XvPNiH?u<98ZH)g;Z3N_qtp$%vB4rovwU@>ONWAw)B-W=&Mr=m zrf4-(El^nkDW)AnNcW)Z#@!uMXaVEZMk&}&1UFbphS)4}iG-Z#@L-iQ6K$bk(sN&8 z3k@C;Z z3RIh!D+s0N3$S3&HAJA^SAd{vnlIfmK}Ie8qavvN5Vu!1h*gIzwK8ZqHxN~t=|?)5 zVh<(sCRs>HbyaHZ7X7C!zM_614ms{clROT}U9BV}g>HtuJ78fM>>SefRz*H3q|J=l ztt50^IbZCwguBs@-_(*6_-jKTbdz*=FE|q0BjASu`LK@aDwK60_QKQmh;+7Sj%=2a zh1!nc$mgBJTBr6f%)!cE*60&(Gy&e?Eu>?0n+o8}j>#w!vsj^H-Us-I)x}Y_PlI_pJKUND3ed=Zh<1HtB7s$}&E0AAM&mu0JY^ zdF_Ly;sK$c5_m-XiY1*m=n=Td`{6+0&V=j>BTV>A`^&byVtkjCC*tVj&Sh2g>$4K8 zP?b@zE&B9P_?cvhrf|nD%Y0Xu<(RghwinF6<^M452{ETJWCI#X16{vys_hpsm%mQx&0!*JlWAY+KGU2C>wLq>V7xc6~L_a5|<3BJIT_vOu;~ z+S3u_vc4+FwmOXnw;RU;hb3Yv_mLm%idSW$HYx&M51KeAhk3zRq_EVglxk(Bh2x3d z_-?gWNhXdM5Z4xTTf63Hfw`5=_Yc!F*$`wT^?StA7eb8n%X73PPT;)0w5m1Z<>I^w z5ILO&0XoHhSZMfQP%d|n&sAOPgxhL54k0sP)|E*d!p|$~3uwRmUn~39@heLwg&Rnb zI-)iBQIe{SqV}WO!h@XOUZh;U2blR4;)U!J1jV{!x0Lq9(iAB0@E)08HE%Mdax%rRy+*@mOO8~_+EC#HVSy%OP6AL*p~8Ly8a+J1 znnmTQ^}1C9flrhB3_tA~!~Q9Vy0`9Xz0gcEKqEBMJ`nCrN`|5#O{jsjZcpM{1r~Ty z_o)Iiox|^xkr(%fo})sBg|sXPD^I)I_$?5^zgIntqc==s-Oi7iN>Jjq5)5#@q0`-{ zom};aWK=kv{z~k5cfG}L;MQ}gFe64JL%9KVl7ViTo?#A)KBspH2YnI7R;lL=q85SO z5w%GFFV5A{r;rHw_+$do^m?p{9J(3RH`P}5o9IRioGM>*>bj8RJU~ zIWE4jh#i|fOy21=e2UgO>eRDpFk4kVfe{vKe*tmAfD}22I4c(?BrOQL9|fI#$CBLoJQ zN0#(b8r&8=T`h9%4Ez5I?4B_NL2g@z0#uj*y`9c6v`vfxl!(sBT3XTT@dUd$$VG9J zveHc+-*|X%elrv806+fYyN`eS^utd-9zK2hhrgf}hZTsettxIb4?0!ZTVlk%w+_N% z^HbHT`2aC=W*~5TIIJ^2fYAU*U!JcIkk`?!EiJ)J>BF&k`FB_lfpZRr=qdAkG!jjO9FVmdbz%N^efY)bP^YXvD4@6yC93AW zrmGo)<0g~|`nXCdy?MHXSenHdx)FnMuBFqCvx4q$tU?W!)C)t1Pcwd1^z+L-_4h`} zl77B*OFs2kwl;o3mBg2Ocuc7i1cj;cr8&Z^i`+AGzS}=(BP|3VvIj!63Ch@p;UqGR zhX+VCGm#q}UQm&P!#p+pDOm1Uy0SFiu^#nIvL?I-x2{_yLkkB7tS z*Wdm{r64haMT2u216!TC5(tqeavIB}PNgZ63vq}dNt?$tnxo~}#O}1igR_~LXlIjz z4~AjVhIeb!Tcs-^RBuW#)Ffvo3aN&QO6L*8?$zo6Zm|MySND;gN4Hx~BLFoI&qpVu z_hx`TJiXllYb8N9*9i-!Y39ZciOHT$u7(dEv*B|ho#5Y>CrK(p@45&+AQdv?LK6q z0EC%Q1Bn_+qBT(vysP756cm)56`#lGn0QpPi5jc(wL;BkYVw!jPF|CrP-fg8pFCDZ zP)?7wYR=@Fy@+ALVM$8?AV#0yFwIynKf9#Vg@bEQEm4u$HGF~$PCFLmtnDxt;o=Ea z_YRv9Ov35dab`v+s6G)@8RXbwbXa1KClqV6FHqv2@#)x87i=j-`l)Yd=AamXnp4?I zhf0x`LmcV`DF0E&>v0qxmLapaMOXbmPinjIVsPWv)^U--GbVPk9_~E?8&Q1(feJ7g zp~_5rJff~(_J6p%3Ciho)7Mspg;)KuP|{TGmd4iuPp6~yFnK+rER|I zD@?a+L_%ZoKQDWGdANJNMHu;;`@O%P9)TKbsC7$tm2PBcfbzy!LI11}C!ka0MK@Pl zU_%kh>K1dRdUhM*!%1DtA5E%HmmS^I(xxu33pLlQ24W|M!#WEBvWwJds9mhRG2O-_ z7%Wwi**~hUDpA~^uiR7jR7J$MmDj}*Cu0Tz%EMSyS&u7}Cni#1X_Q-0S}+KAchv|s zAPt|+h7E#jh$h>2&ysxI@K-A5_})#)dCE!Cp#iTNOyW{JmOoq^_%3dCR2N>6b_K{m z2YI`tI?)Iwe$|$B03=Gm#hxE-jv!0I*a&a`H)xP`IBNWAd}`5dBh%~LBREuShh_42wx8ew+{4M`K$?C~ zfJ@COiY0;Rc{D3DvzdW-*l3vQeY^yuHdrg6{X@Pbbdhhw#~ll1nWww68dd94mD zwPJ$pfqlBDK5z|rzRUAhi5Kcr%q^8dp9Jk}(`Ia`U=aahm1R7%R&A81ItL+ir9FYe zAbBvY?7`gAU)WrZ#fPF>2DR$A416}BOy-FxraT!CLjY2WN>}^mbh)Lj;i7*8M|EY| z&kdE}YzXt1MXO%lKUcal#g4r005))n6T@EdrDW|{v?eBj1`Mck(_t(Gs<=ek5e)sFAAO7;o@4ox%FF*Xk z3*WDnzx(BH0-ELS52u|lc%fs4HEK#_I%H?!eM^UlCQZ7OaCIFn58=m*>(((=7c*Ln9 zt`0uES~5a^-}#M8h-KWmp}jX^QWWVl(-C9AK;Gf1nm^X zlcn)x#XA>PDDDU#1p8Xmj!e_+>f|K6YL$c#A4%7^7fG(83_$Dqjqc1 z^B~IC41$8e4&=4Ml%#wAyooJg(P!Bk_!t8`voQ$nA-9*$A{p=y*$SeDju2+V>EWIUMq1YVnhtblho!%qC0eM=t@Mm}1Y#dvuUd9)Y1WJ0Am0plT zj)1?3t7Dn|CZ_c_y9G}OBMDGgD*=n}v|Gb!hZJ(>Y|8$#QwZ>DXK52+$Q*8N__Ml` zvqQ0|!wGt-pz?BAs;kIA7BHk1*b&SbX5QkSvK_;UV~QSGonT73i%kdrC}4B_LVWz5f?byZ%VIxIUc$v>rq=B}0`e;SaQ{;^ zXb3{F#c28Vy?uA5pHhA>-89IVLky&E-x~@rWJ}Wt+8K9n2|K~+uQsKRR7YzxuZq)8PDiVI(lk|}HsZ9b>Q98jr@n@jRrT`L)lej8G{8BP zz8dMlPN2aTrFkn&M|74Hj#eNfTw<*dtX-?`)C%NS*XZxcc1V`z*A%`9lvUtv_Wtl~ z&8=Z%ObsmQl62k317|#PGKi8F)L@jH1rSUJnse-ib&vx!f>OCNoJ`+vPoN- z@umUPZpoVrv`2=1-Zhv+xHWj1D|aGqY?@O-jv%wKX^t^|;y@LnXq?C_75oWHGeSn& z7^$jFoR8y0o^xC3CZnb_n|@&ag4Bou8i0{ z(23_y=hbIR!;34^m1#QEenV zcrN}+9(OpEQ3x&sw+Xo$yz3EIq$2`kH#m}#M$@>xagd#W*|ym12aQ11I3~ZJesFW6 ze$C=MN>MM45NlTn*`w3MoFbOJCo!){N|w*HZk+FJ!4 zdZgtEi16rYfa`Qj&?*ad>dI(m6Dykz2g=wO+rx`ipKK>0QLC?YiWQjdz_&raKxA9tf(8*!kV*7u{lV$X`LkB z%{)VHh-FO9Bm7xyJP?#(&Pe<#$|u@M0R2dIph7B13tFpV-HCuT)nsE$<|5|{+DsdJ zzjf;xeX=+7zHoxr)f9L&=6%&D-dr79FS&Ww@#cW(Q75~RT0d~QWpW% ziQJt+oxFlWz*i+fAgiJQW}HPa2oFZ=^UABOBtzqEu3mewP@s^slgq`bW>2v2Iq0Ae zmo^n5nR0?vT zP;#Rxxd=W9npOmXMceQVgEDctT+@g|Fm^W_sI`h2!Pq~#8lO2C)90D|6FPCLy%_pQ zCM&0TY>jS0U>ZOYQrL)SCNMxYC%1Gl>##WT2D)y{@oF(BiGtjrw?M|C`G&BwUYsit z*qWtjFl{)(_y(7GbdNkf~2UwTaF^HiXhekM&1he|s&Ycw1mJ2OdN3<5ZCSk~cm)b7`} zyA7H(qC4msg`f6DGf!U_!~%f~_Bx|7BJa3%d<4g1P+W84fz&>rLj;K9_u85Ds%2` zKfp+GU8&_LYH5BQCAA=$UCal+_mnF7K7j3_X(?ZrY;;c!;W@&OixlmOhiXNt^Vp3aJ*686PdehCh#^bjTX1icCF#DWsz3NhUb zqb)@sJMpZs(4Hib6%z)FXk4gdgl}RA%MCT_{CazF-Z~#;=K)~v9~l?v#m6NZT)rhV z4aC0Viv=SwCF#}nTtR(W2v%^u0m88+)3I7}TQ_1$rETW+SumWm?HZ|1O%`j|&YCpV z;P6o}6NUZ|d($81%q&AZQHZ*o7V5f!Q(k=?g;>#bs1gbJl?c+ap2aRd7Ftmb&2*wB zdME*8aiQQLKQ0oTw6^rf(+tkzO*_p{W)fwAge87oLHye~JnE!Baj7B~438@N=R&<2 za-kAKtNzbU(?+9MIU9x4#meUd&KZ(li=$8o=Ba*|GD=c?*IkMf(JYf_BpD98vzZb^ z0&j$maPMM?C04lKHKF+T68Z>J=_1CtFZ%NUYUBNN0ol_~c0_04T*Y%$ekarv$K*P#*7raIS}F3nRJA zeXmVwq5Fux)hy7!?k!^HDnr|Nf_-{$Y%^~@(ddH8Ko^nlxB*m} zC@5_cva6ub5}WZaIPW_F$}B4YT^cgmO!^=N5kL`?rJFT87lVv|TgDWTl6Xi&)^p4^ z6k;=(qQ_4#HJR`NYDW~KFBesq91`WKX|{z(gB4r?X2?|2p>oHYA}gZ@90h51io(m$ z1&6{i2&GrmI+58HIQmVLVCcRMlDZ{ro?joM!N41?Uf>cQH-!(tPv@kBAr`{>FyWIW z$JRI zmKyarCSGK9Flop_IRk)c=#|hWVmEjOS;tqzUi(vTQb1^pIc1V|Dj04GeJZkAVcmFw zVO?Q2r(`#utwOxCD#X>}go+*7;mAAUi7-$#fMUvpc?lQlw+$iw{o~>YB45&#CzJ}h z{XLYZZy-pT87|Z}#qW{ueQvTgM8|~P2tED=f14&>tQQQBIG`buGsRbBe}Qt(=oY&_ zu%}Jqt)87@TS-hRKJaY>mGzf>-;*v8=-P1Wpe@vYfzgwCRm)Y(sC+j9AAtZ>;WaeZ z4LaOq26OrL%9Llb?1OrC0(fO{3j=<2jt(N32E7`*htGFnaly}2@QEs=Y(H47oex%P z=fiQ>HBYktj{KWub3y5!^iwR+#Gbkhkh1PmKQ-Dh%4=lm%jhqF(ey3>PeBN;e@JW_ z$QiCih)lsG4oMM0)$IdJWL4DJ`k<&XkEf~}2<*k| zb`oJoxxo-;BZ39x*q=A2wV8qbeh7WRkm*Zvln9kJxSn5@edAsAJzPjLX5Z0oITR8W zR48I+4Mi@uZebd!n<>$20&o(JMC&Tl0}_B)k+hBFD8XemQaxqrqVA}m*bqLgR^uCfzFD=9nmyJ*-!=e^S8eo z{_yJ$fBE#ok4)Wq4VNOI5uNQ9rzj)pIl(4ZaW&OJO$Qxf$Oyds*S)vqEBMc&$^B~b zP$_yBTj>+91MOguNg)5DaK$dgPV@uX2SCpXIa4?!!cpzYTGBjcXf(MTkDT74hteo& zQbWd{5fCg4)6`MMn_fnM0UuLewtZoA8wtWCYOOjlFd!2Esl~y=T2w|@J1zPJj{^Hy zKNr7nNo7LPIki6Ww9Gzm%$f4l>C#FpP`e+z{!capD}mT7?t|a#O}IE*qM>cbZbTmn zONob+$d@bXgodz0!|4~LuW@utIrvY$ZMS{rej((C0*qfB*3S^F(TN&8SvFfCUGh34 z0pf29IZJ7(kV5qIfm0=fP8=0w2q!d?SppRpOGp{QSW+N?@Bnu!W3WmCSp$-8s9s+; zL9Z}qu@;+bu(f3EN|J?^(5gvRdTLj7H>~i~HW#t7I)bnsGs}WN5eWo|y=hNCac}~n zXC6kecdwiI#~0ZpRBsTtUzGf!cb{A=mPGzcg>5h)jVI03_H$e0vG1+%qGYVwCV@11 zG+FJw-!f;Oa9aVlB3bwYqQvY_9NB1s&Wtb-o-nbYCqv67Y3AZvw+ z+?1<&EH*S#kfkny8bw+pPFs`$q3ht#ceuaaeXVt5r-3XoNGme|tSep~*&(B$G1ESs zNl3Trv5P&6^Dl0B$WUV^xL~Bz^BExap5T0pqp#`Dm)rRTiX--B4Cpn#Cr&z&l#owLB7x5HYnMs4U5AYjS z$2&L0amnj3IF+~Tqf#_#JX$s(4F@5IFV3%7nHY??`fj-)7v7j~d_AybIx1+$v+(b{ z{OZ8Ew_eoH#4_V*wBS!ACSA49&PS;-?^L8o0T6Np4BlNG#4Bd)U0UI zHKb9G*P}oJiER_TwUx2T3;jSWcW|H9TiGj!vfWo&oR&*NFdIl@!f(C>g#R6-J6Drk zBFb}uVXfYs=DO`q2;hCd;&OSvFEJIP(OA^n6ST4z#COV8<8}4_xhJ%=)iO5YnD)Gh zV$JZ$blV0Sd$_lIxUxnKQ?00K-~e(my-RC293Hms8HbKukYT5x%dHiaa7v|+2;^GS zfxdl6^A3bv@n{||1Ox6pqFW3e;MEWuT{;{=NSGOjEguZqZd*u_>}W-fw2f| zz={5|De;b(iFyx-m%#AyU|DTN8l7B@=S}X>lX|NUc|@;{V?SQ+KmPpPPk&8eamlXp zXr&h74Ht_%f%OA4-{f@DK?8Y1`SohaxDd9aC5jk}ir(j>8rr~=Q!5b=YU;*(oz3Bt=}pN-sxO{y~_uS})<%*>AqG^61q^RyGS%?eTH@Mh+#E ztBt4N+#Ge>Y8g~nFrvu+MSwrvEE)M4Qi5W=MKh4OUcG-fZtw5$w`vh){WHz@yIO`# zUtvzL>0?iTQbD6S^6Sh^3zLX#afWVDMix8+#Keq?J(AH2xZ&dHU;g~(e=&mutRbix zQ5kZAha%SD{Q8WxRzh9l$he224@DIfjW-ww)SBEU)T*K4Y5jJ)2f-l{+PBKdKz`6j zE#26R+|tkD>qwu z&Pf#Qxj@0Cj{4DRO3PgZUvpCo#0+&TTBWKh+Ze>_<6y8<%E3lsCc|1)MIJ#@zz_;o zr=7#H$7px9l01L;>Bm2{b$LuRfOBngqtXhS81mF)h#E@p7>?W6az#* zL7E?zM^eQnp(Y>%Av1AzYmh2_3?O^LR3TG(|?;erlAQ!VP!n%PdwNGjBsWq_o$c9>9{X4ut*J1dgS2K78!pc~q6z8yee#tfq zaCped)D|+0NjklF0OQyz_KAxb{*Wg#!#=3(wavI@Cbp-vXZj~83Zoob+pr;P zv60z~8WB;!1mJG162jkQZ*4~GmRPa-ZXa1-5ds>SU}p5Hh=`uxF>b1sliDfTRVff# ze#5YUH5WUrv>s~&SUpK+TQ1CAf>Dl-jy;$1@mry17NaL*Ht0JpKwD68cQ~u;KGy6HdhCy z(vI749B!Y`(B;GH+uhec!Vw3}>GiKjEeEiD`r>u|q0ujn{_Wq6R?wo3Qkfy)phmXa zey!86*w>IeCCgL}Q=)Xw1{a61>)WmeT2Jp1mP$OoT-IKO6U5sT0UH#n>SfhkAM8*+ z!}chUO$bBSkZ1A=e_)&$COl+uBtmmS2Ua^W%};BU@OC$pzoP<3PTX8Gs0rGZpCMLL z^B$|0QA=@k;I#^AOa+nSgr9zwj|V$6o#T5{^WOZzt6gm|4LM63yq;t0D?*j(`Mbq3 zksxWLcf!!ZtzDKFm^T!&Gbz?vyhmtBE3U?@dORb@QvEtFM>t6aBqI~iH*#8sexF(3 zM6vWnt{7=tI!EyVs*9BbS`>qet*7Ec3VjF||z8U`h)unjz`XSqo zs-0xfv76c~0@MAyZ&yOrVsTX&6{=3GdE8DVrB-I5$KcS%^X%vYv}?_Utl)8^y+AAo zA~_=)>&&bqngVqkF98xv}j- z$A}!!<1U8*ZS!dDVEdsvoE1BPE}y>~dL$9EK5^QHIz?Z}TX$S3bC5exDT% zNbg4n&^3IDV4oouFUT(hJoO)X@p7}{sI9_7GP2eD)0Z%Wd=;X2RF{Pl24+s9JyZXT zmHRccFP zidZ0CK@L&yAmz(p51}k6t>WMrQ}TaKZ_Vv(U+7mVC01i@Yb zeuzqB_-yJp72D|pmXq>)X3hXYJ~x|tWe^{f<@p0#ct#MC6;j%6#|`0)-hKx^A*A>M^|IB+A(dEaaovGaFQQH z4NG{}bQ8m#Hy9D&as(j_@4SQ3DBvA5u_CQuArkeM?P-+YnW8wat1nb2Ed&U<8?86< zxtcOM^c$$j`4#UQjMyt}KfG)*c*7t6=$gRtO@k}&+CfO_a0G;Qp3ce-2^k$9yGFuF zpwRm8p11_tiUKVWj5ae8hd3D5Nq$BkA>=QkKZ010%{x9`q)p4YZ9C4f!M|7 ze|)lJY$8EA5-ijzB7&o*1NQL1QP?!hQiyas!mEqH^~Dx9n;RUEGk8qej!AhL(`UQT zJ2I?R>R>@j%RvqtMYa{RkkO<<@yT;PYNvBAdJYZsC`*n~#oP5Ai zmvC=I|CAgaC2L#d!vy^O)%xb~u8`HjHNz|GGX~3;pKB}P_Bc2xo|%x=#DphQBSKg( zmS0Y3Qc|Nl<1_v}h*G|Yx zT+6DKAWU3>(~S9W9u~U-9JO(CpB0N_Uy>5tYD*BlWHD`6K?vZrnGl=e1TqT{jUdA6 zMUiFgzjGT#gM%FyPP4^V!Wx{@hf_w)WJ@QP9+$lanldReSP1^tsqyP6{RKyZ7sF_IzGa1ATNoW+0z&?}yvRZ9Tsou*u*IVdzo`Vw_2JR)ywe zo*}SQQi1ljShhYlQws?@5xHOy|dpS2HcF6=<`hWl*OsXJUo{JuF={yCGey#<%e#o8{@3NXyimgdd z9*hkjc{IxaIk22DJcswh9H+DVLig5G%bpI}ClVxG5soC+G$EsBF)5}Q0eXsQlv0L( zud^(QnjCYFq`puwZBuIm(S_f0B4(_wq$M*_;_2~y)>)zsTr_b9ULMM02yio_wlc*K zMtMk(=4vzgA-lmf3KyO%oXaBj;QWb$ctN-p;0~zr)R5zuXiPkwi5`si%pW_#oMZ;3|sl*;l6`ViH-lS)E+BjWW)+ zI;k8grFcpOmXTiuVEvG*>m4+jU#ONyJPYyZA=H&_J`$_e%Qp;6IaV+$l|?bewo-s?WPnh96~*oy z%Diy+BB7sGMFIg$8wyAmJ%s`!B&K_K7d~Q0c7(XvO@a`$q<(|30reZr;x<;%7ZGX< zxZeT4h#^g^v91UIos9BfF50+;vO^@fIW36vCaXm3S2{H+QZhHijyo5S&Dryh4hcRp zQyU@>wuuv-snCNp5}VQ7h^cy<$lY+RgwRD91Ym^@-~^p*b#Yat+AvHw z;FzS4E9e}(mbmCuhc6-#NPY(D7e>4&HUl|6^4#mDr zCTPn}*#)5;fZ(TpCTMCMl zG9H$ZDEe_OPO0J{9oHhMvq&taMzmg$6X-0i$h^5>kpq%ZmY+9$a=9YmJ5fO1$%*AV zkAcbfwWEsrT=pMYiOgAlb60=D8f!7ZQg9=qTw*9Hb3=W*`czn@zWiy)tCB(!05BWd%)$*{lo_viZ^@Tl zFOM54nP$rAKraqg1T%(sTFoaoG=D4#b__!%YnI(jFQsm6n!7i$r2JajcmYFRB?q z^+dgGY$S9;{dmxJR~a>uj7k$7cFnPuEwX^j^9QEsxo~YoM{d4B(=#511&g_cdB54- zCP?z^s_O$t>}PN_({r%2ps)uca;a+>CN}3sjPg^ovJcL9aYhqJ(fr|cJ3Q@w9{|Nq zv3-@PfshDsi@%K1kH_M4MtAFIjE;&B;>Y%Y;Oxnzct}5>2l%-gOeB8nSr&gPNsngP zNjp7XoQM`%k*<*05F0T#2x6H<9`(7mpkp%wxe~SiZ0^%y3>FigSwyUX(q_ay93lpk zsjnBaNhkOkipE6=#Xd)0#`vD`1R^RIPKePDiir=U+=3+uQBx?`F=#PWElO^=cWikl zk~SEzMY;Y_Oz!TvO&nvo;^709-k2r>1FKPcUd883Bs<(8^@M+J5n3VJs%r$(;n<_k zJ;NZ;@!yge%sROF$`~~?*4W9ac@_7vc(l8Lc<;6WdHE2kE3U<*|no2 z%#^J>RTbFJlxE$?oDz^3sv`fpfwPKf$f&jF9c%Mq>3P;YQ^Aaw)m?%+w^;olrFn_HG?a=Y|RO zp}2+8i{8%^_@i3yCCaEHr~?6BXvYEyalAn3b(e=rDlc@mc(yB&<2ib&?HCk>KE4A5 zeWg~6Ddp`0x}D7q1tyOtkjkRc#N~D^ZK#&X5ya=2-v7LyN(rZ}LYrh%0vDlf!tG3g zn*%*;S*hXRGb7LfaN&r>FOjG%imqQt6{bT2f-soG1MnEf(733$=W}KMsYeEnozAhR z8hgJ)3!Px2N||INqYX7@RzwIX zYcdp|GM(T+uSk3Z2R<+r|JNUX_~}RJUEhBC^z#pY`1RAr!{PPozkwir{QiF(efyUm z{`BL=?_V#!z^;-}M1*XM-Hef_M^(+X%ebjnUx1|iU+5hH5YTdAw zb9SCvKQK79$rL*^@}J0Ru`(M7<)L!}5emC+m_-SdJm1p~4Bj)DV(+0#Qy|Y(kt?>f zLPo;|0nTCK^n-`6_9r}?+GuvH?`*5>mB{xi>@gn0Bs}~N4+}8VN74l77W4u4=%?6+ zlJpp`Niy~$JCWV z3EEtM)V1Qs3PusT0&|gtgk8NQ)FTOvHKw;$aG4rk1-l75(W>=tfx$R0TAijcY|4q9 zQbzXf$){5jo}Utgqfv*dXNi1>3TBNXp=p~8GGvfoKo||d7-O#Q?4$mwRaG{nMK*3jI z1)=BVxz0UCUkLiA`A@vjGce<6t-{#}Oc2x-)<*^Ohef^VonGI)OxlU`!`eX6p1vCF zzy{k-(an<3)=kP-BvJIr7q5Rs+2`V(4DZp!qDb4?^Pf?rq5w98%wi)rU zDZX)}Nbu>wM_OTq7Ks45d4otqWwE`2PCgSsO7*OB*C|)W^5@eagmwcknWr#7HvAb` zp&E96lbQ+1a_XDc7i92~TO$H!yA%1}!4W&Y9@{(`)h=^~06cn8VkWdB*%G!Nbp7i2 z#ys$d6?GCSUvyIHMq{Shx4B#2-g8}!T|zCxE<12Gh4H>?2H%v=z<0pD<9SM6 zl|`+zjVP^73B^_*Hqr{}Yb_M7tD!Gm{o@J3i<@0fio`O_VM{iXE&Qf)2G|>fZ7^BL zYjlfbbYO0Wehi9FB(I%F-+|7-X0Pc{{xe!f_9y#+2KX>E@PvFA3V zbbgYPPKxSM(fG;vStVKGn9o&LJ!W7T_2AEzJ#iY(~UK_@bM47{^`{ona}IzM>KpiH~E*yq(OCF>>F%uuZ2154__R8d4x{!+#A=3 zt6ibv+fNE$adL5Tja?y}k-l|y*&qN?anYw0yoUQ!+}xwK*`YtOsLjDj6t8O*BazQmv=gvpeU zoWlC4;8o*VaPRaz?T~CbuG?H{fki2W1voVkvCU4xfByFG9}f)dMzqKh|8}6?q!r<@d3YI89PaW063b*YkT2AMf(6pP3kCI~N)Ki}(J zUe%L%vjj9t>M>9~F``2x9C(9K?39Aa0Z0!=+dG&xF7F_zr{%+`BWzrFb~5C!i!`GH zmOP%vO?Mg|-P{rmj!kxjA)(!~AP=BCj8bwUnnLOn~^`itpyLczuRJ(7RkVwpEbMoz)+Q7~!{ z!34#Yt`?BvVIKWC(&+g@?1-ggS(Y$>*c<_aA!8;1LzY$$t*)!D6tbfi+oDbZJ}Cw* z*wsfbPM`1K&GP3ptkO*aZjje0qs>LuXDKzajX@xYrLqer)PUc>E)Dd2kGhBG7hj6R z)E5T;+6)_9P6RiEL%U|~&RwAr-{YCp%n_XIn?yVOc$`K$;8K8(?Qxka_(?WWTQ~|K zPJaVC1Jx=TAS=%-m03g>h6U22)fDANW7cf3+zS=Ug=T_!AA2fgzu_Tppp`f~@AF>6bmQFKk=if*9H!OEkZOe%YzC=BGx(smqH)x2iY zxP@J>ijQ){jws@;8HRILAOHTtcOTzDj{WK9Um&sgv5W$cW`+Wx2KVZyOn=7lU;}vr~Gn7j~XKI*_DOZ zc3X0)_1)$A+{&sMwlZQ;I67H8Ft@577(8(@#U9ywN<_*7ug2E{;wGblH@UGc7blsJ zyhI5iF9IiNJPUs2hjSa-p~0sQCfUcc{;>%N*Vfti>{!ZI>FEHDReH_gM3WX>!z)-M zacKV18H~>1IKxte_rNyo`cLhk+M{eoG5yR<7%XOXsx7H-VhK0oxXJMsRnwIeeO{h+ zdrK!W3r`Lx2M%zbbeP-Njdu8L!W0~nRxAcJU8=3GO5HgJAd2YBAuok-esQ!~+!d>R z3k<39H6YTfeD&b8i?Zz>2{UUaHh`FJI~yGWE9MARiR?7Q^28^ZVz6YQkSL8Vov53| z7gSidRJeEgu#i(!DHlj}M90P8pV)TUqtwWi9{{bNTU$yaLYqp)S6%gw1y!c@p52TQ z_OpF76X!*~#O$^=k4lVKq69LcuTwDim0UGGXEam0Vi>$UsioiL$q`dVh&dicm_X$0 znCn4F25OV(Y`A&vnR`da%Ltk3OM(GVs;7FE5(S}-o&wBTE%yB_?ECD*3HSWmNSyv? zTx$)7lO1ta@;Us99=D_;L;O{-0kvX8V*;Wa!H4z*iX+8k@ehhlP6x!Gaznw3n{H$C zlMFEW?NgY5av};7^kSi(@%ma6AKVrXC{6eF0Z|bi_DXPl{q6VP|ID0-*Wdm0<1e3% ze);tCuit$-dama{it^FF|MbK6{FiR};>&;gyII4m_UPbTgWMsH6aHcTmk=AW>~xBb zPiJ8+T{B)ZIVi{1Tlpf)0_j0at}BlBcsj?nm>R(i%)uzX$lWHaTi-QL1vGKqqtw}a zG6k!t|7<#F?=VwGTWTk|VwrYxxm;Pno9jKbT(1s*TS!uuM~RXMennT724e$0Kbpk_8EvppPl-bjJTue#xsrHv6SNiJ7^~VWCI>T8)Kf{(%sLY8%g zi}PK9&*SCYt`l>1k0|u>yhZpk#hfdY%MHdckaVV#wZ_;v%W0B(grAC7!G>)}a&rkU z;~7FXgBc!zPIVl$ykUcO4kT}rLadCuH@Y|+3h*D^YfC^GXI8BZ$&ThRs6t217bG4- zE&T)2@-r=mgm{~F^*x|9(KLxE0^3j~epms7#53i3H^k(MpNr^OFjCN8yo+Lm_ zpRSqdsNEV^mGK|D7ld}9r6}de9Aad^)~0i~{E{h{PfjHqmV!Vwk~jnv-yvcA2_-$K4Lv@fwlAudv{CRg zlL9Peo~MH-99G6G6d=5O%l9UaukBgN#>pz%zgfJxhLNbw6uJbdXZm{!I4)J$a;mD| z6dP$T!c+npc~iXW2L@0xnPNagq)@;_mNLDd=Zi!IaAW}~J4AT&7MU0^{HdX#E5bo! zzyrDCCLccU)C2&pM^2a>bl3-Z^oQtorxPBG1O!gUwMQ;px1r#E`dArB!ElOhp3s2} z`nm!!a`od0wh2LqKU)n;x(95#GVtd4HCG3R*N)rCCF%7NR_`Dpb5q}FujS#06EvGg zwG5udU`AO-m~-A;BGic|Rn?4|hR%DTWDgt?bT7EX*6ZV9N}EyuE_1A8d;bF+T@Mggdwi->Hil<@87@u%da0* z&SE&tHX>m?HKAfjKsD#kFU8-#O8HJ%K7-Bl<@P@xqog|Xtfd0 zmDu~&M${+c15lltX~#q}k4adnEWTr$P?Fv0pe?s%YOd`pgMHb-@h7Y>cy<$2SWY%4 zXthb-v!av*U02^SH^mPiLn59~?&sk#-is}Nxzm>S6ZGC%)U`vwS?BTxqyDx!zDRV! z^Ex=p3fx>L@fu#NC&ZnAV&VGgoKY%Xe%ssLJtMBndI*(h9Q_4dR-%e1aGF)8YG%fBXSinh34p9q#9| z2G{Ir78Kn@n#^W1CfszrOTq(k5oMTJS1g1jr$={>YftGDExtxs9|cNuYz{AkQVbRN z!rEShWTb{{Aw3FW8mb%#%Xk^9QWyz~%Iw*=+QP=dpA*E?KhOtlyBfLMQEqX(SsY)h zw@>aFk`ug7BGh|SAo6`RW!m|j}Fyz&ZJTAO*jyr~kHJ#|7ovgl<-99u^i zU&?SxP@Eb8b|#W#8+y27GL)NDR{Y7tKxYzIB#eLCx-jlw)b^-3U`EgM#m9P42b((e zX>zA2`+&#v^GN}KTb7}R&?cqpIV6eeTG#Bu=Jj`99DSF(7OCP@A8mTb<$6+%o{>MRL; z14M>?Gz8c@J0DJIa6j~=nSnTl$*|6$CDYgm7NW5XvfD@Gm<74J1cbY=AaHZiP%I4V zJgD_*d868es8d~pqUK_IP|XeI?_q>xkJ5_3PL^+Ne zF_D!QW<36@5;$D+S@pg9Xu>z{mqs z3-B~>*4d$ey72^3fZ&2g_^K-SIv4Af@N}t)Oj8;yttb8V?|1kboMMGY;|YdAZa)(+ zjErwNFxcq+UOmyaF0AWFmjuATcALk@nphLs{Mo%k}sv8dZaa7u3qo}kRfTjgw)glT~+k0qw%vkK3|G<%A z1!R!Qa)l(9!_nz+LHi;@L60})3AC=dO#?yU)W|)b4h=SZFv(E{2xY-5+V93BuVSDf zNh}6hbHq$wduOK!`$>`|KsuxG-esuPcn|-OnGR?>J0M|`T|IMSN`j~v&TB;vx*i@* z-wAQAM3r4LwgrMHh&r4K^J6vUc6aNeae#OVbB}OB3wkMC!6-qX`m1-4(x7A3g?Pj0 zPErOwJ_?PIJ0ioWz9hg=dK34r5AV5;K0!#5L30Dzxh1Pnu@B8q+fBaONF9idV-A*_ z#;~nMH}&czc1#Lht4Pgwf{_}R-6k!nkxB$5j2b>xCVFGp04ae_N9=*M^65Mn6r-e* zBuItaKc$_8jYqB=s6I0QU!JQ~eP)(@iQAXp+~3Wyl?$uZVQcX~7Av&Rco11)M`Qkc zfQS1HMlm?DZk?wPSy}3fbK!WS52$JO?!)86*`odDz<@&Z_v+g$J}462lT|KOz|?Pl z{;M*yL|RZqigCO^mGYNM1tlz(`!G9OC`UM{i+D$#a4%Uy#8^KddUAX{(5@fVE2y^t z6iu>XI8KpC`yo3XRQuX)P${OOO2~Vy)IR_o1!vAifw5F#wArJ!WjSEa2l6(>i@*}z z)$L~Zrf9?UuzSbs32tP6*&fy`IRb_wOOYyR@mMXqlp9HEN=hY%ba5f0X^kC9{^-yw zJ%IckGeohumNo<1)J13pNSL754eEHn{a|ZeEF=FIYCQ9g(K(4PAZcZnC7QUAI+ohR zWXyIWf(S|Js;U(=_YS?+cXc#m&vN`QxFHT1Yz|{kNO3I;Mf-5(rbREW4wtv*=!azZ z`22K&D#j@GDQWI$ecZ0U7}AfC>uPOgM@$c<>T7JvfaPf<@M19Ts9{tlh49H)Q%#^G z5^C9~Vpk0@#z)o}ya5}R4j7Fr)116Sb~y?v336Es>e%9%2}_8EVmy%Tw58#>-hpDa zkFN7HaV^4Zng{oLb*4ZxOZ`1LeAR{s&Cap2=Y|zyA#_LA3y!s;ChlQZqU^ascsl7?v1^_AK`lNiT_L%ybcC@HadudqW14y4X%+9MD80LHV_&CK$l9C)J}w$$dMek zP0YOw{=EC!MQS>u!GR<*oW>0>HLrSm)q}&(1e61`whdK9?%C<`jFAuoHo+9>`^)vd zT2epcAORqBf+Z1Qdt2SYYCi3t-Gny~@|+dOWQQL(?P+=Hv^*NyD06k(!Ced_TE7)T z@>C}#CMj6&?(uEw-*qhCTq~^{eHx*2;s2SKFGoG|HFl2-si}m`$;jZ0rgQ9ooc}GW za=Ph5M*k9`)#e67%>O_nDFw#+C&C$YM+C9mum2rKIJ}(vufIpzRo{Z0Z0b}CbdB{D z`8NMb0G$`n(c!~R+M`|x;b~DFNJWv%oYJ%25O<) zK_01K^pkY@MV$oxm3odYV@QGRBb9QQd}u#7Smtn=EtBMfAl$`7hTzUX|3bV^U2r#& zmHSc1ca(pbN&z?wriRyNJ3GnF*BXYhX(k45FHkAqJCSuK8I# z9}|&6Gw$Gq@HnkeBp8t;;K??)%0aP8SYIRTrXT3+di{-A1BfBdb?W*8^-cL7_SG;g z{ewlv%|TgTTtw{2 zSVVe>Rt};VVJE=vV%R6_8uXN}XY~O~GFg@~awXkld92zYDX|jhw|9#KX%NGHYyi=t zSq9LJcq^Vsp}Z7)lC)nNc`Y!+EP<@XYV?s^ttEyjYbtov`sKq)$H`DmCWc(#|FGck zS%gq5Jzf%KS{F^za3<{W_27&ai9ER+?1RYK4vK={tN^IHPhN9HlA8rc>dmZDPy*+d z+b@rguOMuOS%@NqS;mmj*O`p!vB1hZZ}{t}wLroSkT*Bvkr(kSF^zD+vq~xZe1*O zGEMIWR|Y)au71flx>EoJ`&;3gjQ6bdyVQ(L|6e<-$GP60Er>%4N{tK764f*g2t=3# z62A$7TBmDP^md{fGad;Uw6S(U77Z%>x$NU($csA*ZUOh`pHO}3^1R8jJvxEj9mTA+ zKYsg%zkF;9T;;Wh;S}MjEk%@b(t;JsJeFu(i$K>X=KRi92-CjoVXNFWv7nXGxJie+ zj8+?gV)Sb`6aY84S4#&r;m}`x`GXxgbss-;;+b4HcDAr@S+O`)*sNgkbJL96I3P3; zvZt{6+{rafjkJpx2Ds(b0TZGgRmQmrn92@8MV#->$l&9++-ZnvPJg$uWpfu zm)+oV{}O0F8#Qn4ELWQJqTp5|mvEhKH6D*e%sxf$z!D)2aTzI+K+k76mtOKpa~pV!w~%W z{86krpb=~NXES0&v-9jIVSywzA`A(74G^fy)4!(av9eSp*P>wPe&pb~mFz~*Q)WQu zcC=C|6UO3@=sGKI2K-sqYH9}tq_DqNd*@|HDs>|Dn2DY-wZu%OaAT&GO`h;-!=aVR zi-^Na)Yv813^fxTvIjuyPSo>m4jI)gF?=bSAqwYP^&|}4&N(cB+uJTbihaYPTnc-&i9k`HfjcI-7^tz zW*@df7U30vlvM>duXq{L4Is8QNqM{wLGKzfg<-jN{05Gwb5l;R1LBTI?g2TH4aU05 zJ704}VrG(2GC~SEQXQRKv_U?m*zT^^x8|y5J8GSF6*-`SHc+1%9pI*82D* zx~8#RG6)EHom&opZ7-0Y(OY?DAdYH0OkQ16u?P=x&UMXD*BXZ^UT)4UZc9w3pC};?i={^NaeW+_{sE|WU%e!0T=2f za7E?FA>M#9=tn*=jUSm~Y%*=S?vAD$FV!sD`cRy}GG22~Ik`X;2R<%b4S1Z~ zAxKI?q{XDZ`R$v3_P_1f^5g_|N`5!~zfC&Tv5+%40FNi>&8BynJP!vIYT_EtLjx8w zQ$sh(S|$u}GL%@t!cOofx7Dgg$#81)f;ek9y}0J(ZYKsvl<<3v6r7_2X#O)GSXT3%GgYC=X^ohyTH@y zW*MG9MSZOe77PN^POyaw+Tk81*fJoJ#TnBO;wBJTcH1dB3$zi~t>50WwgBp}Fw6rh zcv#=F1Uf%IYb8gNRxY790FN&eGUCMKG~8EmwH+UwKz@)^f&AUDnc_^m%}4NkH8M_y zvY}5VmRyO+a8AW>x&o^;L$*ZYCU!PKwVS4s(Ercao9;$-o!NqV6ru`fq}o@7m^kE* zZXieoMOJchkjzZ^Pe7K^W#OtMLrS?-)wkch*IM7OhqDiL4LdV^_KtJ*@XcdA5)e7~ zrH&#o)fJUw5&gh`KTM|ZjTXY;^jED1?xS8Z8#G+cp;=)gCS!ev< z9OJYC!0AKBeODA}W`+TZ-Oy@%bbK5TjTQue>z67I%OE|TkM`Qlu&p*g*m0sPR8fM; zMU3ilr43Ju{Y}z7FRkSXlt!p@m*@vz&KY7O|6{;zud)d-Q8!$(1xsr?n&GHkt{X!j;!Yv~ zr5|Vwf!qFvc<27%>)k6P(K*uX9;Tl`e9~DJpQM`vu_A~e?F*G7hh_SgCog*eo%1Ks z{U$((B(@oInBA(m#N)T6xddDUatzT>#PbF5s;dgE>M&pc_a%CAA)wkU1Vp^R5z!p8 zK>H}=+~m6?!bZ+JfzDKRAF@?COY^#sIudxFYrM3;hi-!Xm|t|MIk_PZ)7wXT`BBL+ z>{IfQ7TX#i>yZ(_=D2~ZL)=-==?>zc6C&Q?J&YiIGYmYUE)Slt!A-re4~MCXi)Dt_ zqK7#=E95qP)l{{Du}hF%3Q0XATNt|_)lzh4Ok&!mk{twcM@fmS!A(f;z>4~tV1US5 zt4@D9=qDe+O%B9+tFnbI?1^(31LBb*iF5rxk8|C)F#`wiXMjFez%2YWQ0f83jcVc3 zL5DXKJJ_PQ3HS+$zP;O}ubD?x>LIjKbp6JPdy2qx-H?ozDH*dYhD*k9NOT^5%U(JXK8NuWoRV4$5rb_fC|4L? zQc)a}a3NTP3_UYy8!2#+vW$y42^al94;S5dILRtyg-Gs)DTd7fsEejf zGsw2{hW>fCoNm~lR06TWGg6SObgp_-Yp{qIC>ujP8+%nIDO1Jhr2=(+=cCW+U zBhk;3e5wY2I-~^?Vfmx{9GVxzS#0b)#o6xpK*H;1a77NMk&4ksc*|)25w?7wNfp%y zU{nrKFXENBN8k_*Y4{mkuWxHHXAL$3+B9%nCQs*P6EUaZa~aJS4A0~0I!x$)I6zET z5iSAVg^3wS1YczC6HW~gHy0lfK6mVH=X5ntim*>R;-{O zvLh1|M4A%8!1N=QCkLy3zq>@tGN9K`6g3ghvgPLan?n_PHyRzl#PBUz16Ga5_KR!y z%L&ZR;!Hf+Jy^1cgs9I?od}e>pfezGl5Q|g>u&&^A0odtbT0U_Ja=t1@hjq zgj29|BkUZhforvio~vk1uz^NZ1*DoR=`3b*evWXIw;(!S{Nryw(H(dF?N8tT_~{o( zmv)}Wya__>+=Z;Fj0vc3ppUnn7+V=6KGu6pPfKIIsHWKJgX(#oGs=tm=NF{lHr1Er zW@#ao?R$lYZAe%OKsX$c1d6#3VdaS_)gk(V$Cx5Iu7#TkNqH}Mtq~A^>=>drVwcQy z0)D`-skzth=nZ#0c^tH{8`LfeZKA;mcn1b?wg0n!l7ukVQB9Y_%Y^7&%uAxVI{=Obime<=_s z=_FdRMWet~3ejd@wc3OyJy+0BY}3dwb|5@}lEOrL^ae-NK4KzN(Xu8LyZRvmRe87_ znEb@fDDm@N8e_D>u18i*hIQQy&#;%0K6v$z4tl9C(BDsLQWZ&k^r8)3)aY1un&D%jpL0%7k+3itgXl5XmIvCbU zCjt!8O$s>n(hYM_csFed$><#pm~2*=#)Jww?HXi4P^3rcjB&g?V@H)DYm)}ZEf?|p zvqQ1h(FD5|HFkUR`p!1J})TudEO<<+HC z-NT7c*beP&n{gJzAD&U32$ZfU*cB(0pE{jTC8SUzaoh(}az$ciAS&=){*H z?|n^MJp>f7{M#=-fj@vjAfO9=?2{rB0A1BXbNRfX{B|rKW(2w>dgoQ6F2uG zi{*5V)&g$6snvI-`(BNzblZ-I9N$e!NQ?4IETO*Noeik)66vXUW-0C1y~(JA(H*c+8p#vW-iZ%$B@LLX~&yZoVrJEM=a_eG{Go@pYucHvD|+Hxygi6 zb@HK&7KT!Bq7wGBNlMZOL_kyF!p({nvNYFE$N+pDMBF>>MW^LC9r=m$=ey)OMGf$9a<+zlRxNi*zKNA2RXH-n#5jD9)WtV#@79 zN@769av^u^_<68|$*65GV&fN0Y&=vUKk)u}cj(=)n|jQQfdUn@W<)fnctj~xO+#|j z!=VD|6|6n3r+{+yW*9`}`1MdKa;}WGrX64~>JS!Xw>l{ks+9?~#cC?*hgY(6ELS@j z*C|@yT*(q_g69^S&BD z!))IF&;HYYq&m6Tyrs>%w=o|>w!24c()f9x-gHz@LxiZ(n!qffeOLoFJ2nOOdg0vU z<|SMf*NY{^x&;AzL&>KVeWmS2NxH9OHPmwqrbwD<#jM1X+bzR%pi`=Q!>QoLirGq` z02=?Iv?)e&xnmsV&Hc^OGt8TXgYqEUo)HOg{96&1HjBZZCcHvfBG1X=sDw!COE(l< zv-4__U%myxHj{^TkMLBa1T;HiAPCLRVCu{!+w?(wC<%;vdWI`6^cf+o%axyW-P@%7QTzV7^N-8U%>e#W|3URKj8 zYNGB=p&1VQZB&9)C9M5JC$$A!^}9O<(($2`A167hzYte)xT_13&CDq0ls^mc%Bopr z6ZsSuSPAn3iomPCknB;#2m*40l+o%^S{sQC+czu!H3Oiey(Ooc4%&}Mc*;Um*f6{Z zIaGo(ET;Adp`A|d;f}$7L$5am*bM24CwVx=J-x_ZmE1!zv|gn(aPm97jVP6|8+{_q zbkO$6=LWb^Dkh9FyMBg`cX?KO#!}kZ_Ro9H(f$me>r9F+SjJ#%5sGs_o4Bd>CKLJx zL$(PDXn9#Yf=FIpTNn-rRzlUnGV1En^%7HqbC2Rvq@~!=_~3)Do-dmWU(^8&QE)QQ zp3dZlUw#3n$kCrHiioGy!&3M54u}%2tWXSk@1_ zi>SwHb_Z-AyEAievw1X-U2az7bcau$KmGnWbtz<#h$Tbz%-(`Pnoy>O!@+)LC+Rwz zmYe;>$V8?00_7^bmkueDa597<%PW1@-aIqNkw6nU&A10R@V3wJFZ(u&K(gAb>4M-@ z4Y+EFUZmIEEGH}F51dNl-P|_L3y;f*a(}gdB8CQJewt%dr@ByLZ(EFZCOAPbHj%{fsY`>M{kS^#d~bzf6K)dt#lhxrGqfRkpA} z*=A%0Y1=v6zA5yg{N%;(Z{DHxD7Rth#5Lt~>i`z$c9>zUlM;>(84hI=2G45=D#&Gxym#jkM%UB?zk(ut-y614JCq^YZgOF4TR-qF(C=#%0 zrD))df`L~E2G*M=L7cEEo034u&vCu9%6%Qv+bAh?A6mhQnPOF}*X0%s^I}x74b1B9 zb1AoRLTwC+{nLN_`uxLp|I^DZ!UlF{3N7l8lfl!TEjl+fJ!sFh6-$*%g0v}iUsRo; z`_9(JgTBO_KW9#IB;gF4xN zB^BL4B)sQ=h3-!?JF@&~+{@L|ozbK4x2bVZ;gWB!84PKWj$w1Cp0((OD6E`bD*RZC zpVFyNSwvtL&^8$g#2QQxFa{JC6IH^+vpO@DYC$r z@ec25Wy2r?^@MUZje#`mdpfmt#8Ctb$5|sO_~IN?y_cs}i&HW%(;uSq8A!VqJBG+G zI}|rLoP zN}0$ixTgx@(Hh3ILC1R~D@+2^o-S^m?nE{qOxO{G(*iMnZR?y`;3}O%unlnOzyq~a zh0I^EkbcN6w6;vc!%@xeMshmbOYr0*J)%9#Oe^OL1VD1_sNpYl$JBI0K=y>tlwee4 zh+{{i&lL9oBzElW!}i#lF`~!aBOKP`WT<~!%Um*CKFx{$ATL6$Cp2|Nh_LnPc=Ofb zIPw!bB^E5$Ik%S`wYhg%^NK#8}~a$&xJS?LH>_6pqyqf&2N zn3-W$z!UCzjkI==!34p0PjtpA5!%!6OgOoQ!^HJMnl_6iOCwQI1(j3q;gpFH>Y_jH z?mTpc?x}68tWP@I?4_B`=4TY*6(CRY7100*7^yImh#}P)1VRXM@i0Z&D-i(HP)x#i-h+HlFt`6bw=+#1;f;m8=FqL9$8dvb1%B{&Lxv+|n z&cGQA{|WvVQHs=2cysFs;NQS(hbVVVf~vK{ zf~OvBDj}RLmG??bfnQNZoJ_{DE#F>uOfUMRBkQ&t(Aesb9AKT zlK~O0WDHBb^(4K#%p<)NAW-j#?OPieF@_ zVxaketCc>i4+zyLzm*c5*a)d8c4)y3ipzmBDpkh8C=Z|r{`x6zg-Y=4#k)GWF3xu{ z$6i5F9o|0BBePQUUP?-WTzHrCk2HF-gbv5-{o<#f44?&!@xoS0fENOqyTY}r#>)qs z1C%PAU&>OUvaU&`s=tI~l{JhGPuDkB&u>tR^QSM5-hes0dGj0W#fba<{Nx$oarA#4ok`JlU^I_>n7A(cx`lLY+eImksIvHq%s>u(*5+e?>a|!TEGBVaQCGi?@*^q^#K5 zw%-kO$I<`L4XEnDI)5J6fkGOKX>)cGu5R52dM$0mdN6nHbYMDq1Mn)7(I z@w!o^?vK3WZ0L+$Mu?bv@`c~aGG1Z1u*E*%L0qq7h*G%LSBR2OrkM;U`T1ji`Y--3 zge5M>W9dg${2+_aG*Zl}w68ugKy(Vkpjl*HBjPy^VIM52(8dLt}OuAML zODNp@wTIvhOV!$|Knk$7K@o=yV_qaJJltQQFDUfkr`_?PYsMaew2KZSyQK7(T+xiXr)L|#84+JI>!uA0dN2O=|3-!K5_Bm&)@w|Dc_L#kmY`U zgO&&QXDXijLOV3jI|q|^hB7WDQ?hhhg4QbP3T2NGVGdGZk(q4HtEP|pMwQ<~z;9|Z z3RKZ@#93)^^n}>K6OdpxA3sLPaI>Zfsiuu8$%Fd)o<2W26ks%*AV`{tE(1lPC@I1+ z2SPM>pl*y6#f$@RkJ^WQzS@}%bmxNW!YuqC2k zpafn?@|1~*uy))k&y>_j;SegTz;TnL;7=K+!>e`e4Zf~m zG%n_JgL(sjG)^$8%$7(^w_y@g&o%^AukZ<07BopgBmT)(JN_bo+j6X9mQkAk#&dzB zQkpN(1mp%D8l=u-alPSifWY7#+8k4KLrhCC7ePWMzni%&>1iDz?UFySnQjxnNm>i~ zA=^c$EiCsBfW1J;U;gV))PT`hgUW9$nav|!Z3GS{6Sr4P!!ViCIAOpIO*CP64f}ea zU7N=cbUQBE+?AoURGS-5un!&%Dq{4ozl6$p00Xsu-QGMuLk@%W_-2dd-A-c{ii$x= z2qvIxL|;wET$R$3*j|dCS#@XAMLIxUEn{`KlKBIP52>6Ki~9m8R)E$zrgb08(Rma#)j*%}4}w_f`NL&(UYiQlk4} zR?zM4ebtSAG&o?B!)biGsp%ff#&npv_)_2$q!A4n5cINv34YHitewpJwMm2Zy*l02 z=}1>=@E8CqlYruXp#lfk_%kf6{A+E0p~fu#A7Tvn*NOdAcWq6wbO&*Lwq5yTmK}$v zr>IGEcs3fBAX)U~#hEI4Q-u-OHBb^jhb7vHx*VbA@-b-OlXPss_?wHn#pCS@WT(3) zWMPDTmszUA5tv1wMM@!fVKC9$w5ONzqk=vLKwes3pi87l!oJme^b>tl9++*>;zDo zSXhfSGbj>`mW-#w3JiE581o+}VZ1#@Am*@wu|@(m2EWt|;knC-P?UBLNgKQ819I5U z;OmNxfS8qBI?Sb}MpF-iJ#lvroKk{b|JY88x+Mq;dzMPFfpEfJehW1;mNsUXUMeLm zJsu!Xy);iJd<(3@9NoDz3-DUeyUT5wJ?3iOaf+Rtsh7x(!`b0agGp(7*eTj{0E!_T zVjBQj82(BqAptaKf@!|j(0uiRrq2s3mgN}H+PcAE%39aN6gZWy_Ye0VcvqCHPfL1;tVG7SZUu9)3QSBi{Jm||9JtCuC43&wf6DgOWg$fNM)+Jw!$&W8H|ewx&?b9E)hk@zgocLl*SKjiPx%BLoP&Xkjk*crxYJ zQ4Rr-fCI^=8Vz8Y5R#Z9pb`_HRpa@312;*9KtQbWe278q48G39x(bU$DtbQvgFF@U z|L_DG0iy0d?6;48QnffPQOLuBx)(8l;7pPsH$crAWn@awOZ{+W>G2x@nxfha_?L|V zk@h9ma3=#D8ua5-%}xg;Mgrj77*{S^GE5phu^L8_mKvRmvnK;>3VC|l^(dRkirl~c z@ZX<4|JOf0g`l)#3hK?}MX}7f1eF7W6Pir%+XdOAl{jq^uH>A_59gvx@dz*uM0EQD z3=QEtof!^r74TG<4*3p&t0*JrsEfL-wA~FReEU_u55bZ!1_*)qLDsBfXPM)T6e8w$ z$4!}T!;Y$e)lTnkG$Ws)50fr;(IBXoCJH zR_o>Q>8C%j1yIHGS?M1X0~Kmsb`S-Z6bVc|0cE*CCpp-E&mm;>LLgc~uVL9bL9OhH zJb(bn2n+)8^zvjF`Brs@+@l{ABqIh^B}$kmQv57lp@f8uEcE#!QZIJQzkn=6&NCQ@ zn;#78B+WxCwsPi2gUS$p!(qWYj5L(GibG@CLr$CgV1fqre!!m&T*XDYdY$$ zKY;4jucaTj9krWGX1bi@Uqlhs}$fpQy;=b-#7g7Z&L_IGtKgcSH zjKvb@o!%w>u-$D^Oz?n1)E)yw50j1-=IcVuXwKja zUYl*lL4cx#lU~(}3lvPXpi9WUG2#PO(>1e|v;a~eq_*ERhSB<)Wr86tZ@r?4U^R~q zL@$(?5x2mJLPZ~iBhb0RSZugHV8MZ{4H3d05pgGuQ6(?;<05h}8RUgg2I%!27$Kl&|V%J?$ye zp=07K>?(?N4r_#b4&s0D2va7S2tB?~LcssRv_Py0ehxqImAj^$o1dniuEg5L6rHq5 zC2R@jI7I;~^b1!P`^<*Xu)&z#_PD>{r7dXo!M_)ADj&(UH!4en)cfWKS^dQPIy zVI)mX=)gan<7(BONJQ+kai1RzGA5B$1#_7e7kwP`s@) zihv+UCarRbD|KCsTkp-Whg2CZns-C7$#cuCYRC$0?W2I8&zc+R#X`SeZgyAZXa3FMBya~8Z+aAjnBeFu%q&vN+azD-aLMIX_oFHb5%^ z`2xf4@M|K*m;FG;h5GT%hh`h?rH%Yj;*IpW>$NpqPhD`{$j-kJX3@DfV9j z?XeB`&gv0jYGV(B3%9eTf(br+n1Ns@Ym`|`PgK`bnb zA;iimoSdCwub4B!AQpFaC^j*kz1TNRLUWeoM^~q3a z0|>x>xZ1U1nD6i5_Hv|y6#p;wr#beK5FSfhB7?z*T?<1=*h5fZFv9iNFU5^oYP>yB zRyP~6&}!*d>=VR4l6Q;-2drZ_jn@MD^9 zt$D+?rz#Pp^iw@Wz);I{uyvVC$95SA@^O83_wf<-H1yIYE2* z=Lok!MPy0~1wQVxcG53Dlm}#7h-D}>QhXl#* zsge}{pW1LA4Je>=m8{}^f`FHx!HstxsMLtfVhhk94WmyV$!|aY@Y5#~wL(RLTg-rv zhmRX^p-P2$nshxgWUIxQW7kry?I6}>kE&*AjV|%IoDcnNT$qLfhBX~CvQ~PK>&^Hr zFzov5NuP*-NEx^A2l5GhE0jYdL@FK4BuBMcY>0%}8OHOp5mGMM#u!lmM}}e38S%&? zTV9^8DB-~NK2pcbHNT3)itQrHMPvQSJ#nx` zAqTCbXw}1GJMD=`p#mge6vh+cZj)ap6q|lU>^|Gjd5=<1RvALxhs8%2Mf9J?ua?K} zj0gb&A-Q*T@%aY+hjsDoUyFs;#SfgeGV2h{oG&>7VfJ#Pn7WFoAP|CB(N*Y{7zzT1 zMio(|t42DU7VSRB{XtGqAb>-S>6}T@5cDuPlS1$|7dcvC!!&R+Bicu^2GlJ+Ih@W* z2m4Gaw%WK25+00*uPu){%2g)2wc+XEWsiE8sV1YiF=52ykS6d(5q$GwI!7|c!LJ3U zX7w33^sedftFD0;Y9wm?he?SB5bk1Hu33z}bulg1P+O$H6#)`a0IVug3-RL_hBH#} zQNyIfB}e^|q|ym5urnv&urmaEH_Imu;plCkx_cJf5(bSpnI;7uW6pJeI+2{9NrOt{ zA@Q7QROpS*Q00n!q*g5#%!T8xs|qE;w#N5ppkYSM*D%6%sFD{Nuh*DV<4w9<0 zY7>#tY3SJ{$P*?NrfYT!C$Y*+AJeIu`?{8g4v)rV6t}p!OaY>OJixAQg78TkBihBe#+pOUzu!2UQIAmi7?&U2wJO5Jutxnk}?Xg>RGBfONdjZ z69sU9+yv*GE=|E4T8-&Uf_B1gmxY<&Z#U}MK5Xe{GuGk83u5i?_9J&S0byPCFgu4C zjwP9f77S0ke!(ly!nUvAPeBG~yv;XuX8^Yu^Xy z>Fg-WIH;MZG&h12@B&cirL_^jjmmCkxHx}b8*sz?z{f^QA-%?1>MrVd)_CjPWr=uX z@@=*&MlA0HlAoR~>!8Wuczo7a8e-KS;gkvSQsSQ!A$x3--7(b={BTSy(W3qwt3|ib z?QPx7nlwX~+2o9iy4jgAI4!n>0Y##5kn2RK< z23w84`R>c3?~eZUUys5(&AlKK8ZOKCm#|4yQg<_A%OV8fu{LBOs7v!YAl#c^5(OUi z2w$@>USm^25#oL-f9MDpE zC2TkbV>iLQW6O=Ai zHPcnoq~?UZ)}bqyf8c5N=flO*GqNQQia z%4;o9)${9Fb8MW;G#~MW(%prCnn8;g1=j{yT7fKqQX0L5c*_tA`HfT2}ah+6-LF!S8G8NO2M<2X5d3H zh^gfoxwm9@b9ErzppF%1^s>;Y^6woXM7Mp_sTd=0Pl@og);KrEHW&6l;e7|2#+w15 zb^>Y$s8li(8NepvDItrXJ>BW@qH%Ml!3Jdd3Z_1T2V;U^5DTKO(n1~<<^EQg%A9Rg zjY|XU%pzmPS|ablW6D-dXxJHPef^Uc2mzCQW6#$pI=8?1h|UeA;RG+FOKwR#8H^2Z zWHc-A!<6Uj%}PrZ)&XEo?nYJKBVz)s#gdGC2r6BzaA|o4bcGXf^M*>&J|6dlbB%`w zTbh|zb``={TMW@Hf}%KC2GL9nQ}aun^4x^Mx@M=^%KT_T<#abgdIK1(1J|ILTwa?L zgl9ZeCtdSOq^s7!68(@NB}l{W151#=nsRZi{Avg<79HryS=-<0V?`9)2y3Nk*!Iw( z8BP#$W9f$(27-tihJkDl2BO276iUi8HhP6pZDUjU>zl8YjaDMHC6X1gkXEtTYzNp$ zX}U2Edi|i#qvd<{Ce>xa(-0wSqbWLA+t8|MJ8-I`P@e0hiuRT$&g$6+T*@zW32u4} zk3?iTt*euE5cc@FJ&Gz)vj$;Ddp3vz=ET(jR%=HRx>XE^6r*mwz1eOFUi#2glQOxSK zMR3J>#j$>ai9xkS-oc6re=k&B?f>j|M~jV#d+7ZRjhKL8SI6bpo1anW1qlNZ=(09L z$u!lTGTyORR!x&MS^!5kd)GwZj@V-{UY2|xy$d^MvEy*z>`oVQhIV4fJbMqxrmBRK zE}I|)YmowEO|J!l6gSb|X!~fkS_);Mz%5V10f(_IBT!t2n+$YnTtD8eLF`Xyp@%aR zGV=5qFXrko5Ky3S^n@uCg>B0d4rT=T)geZ8!upUkR69b|)0Tp|2rNElZUc1jm)BrzjjSA057e;8|*IJL?JPUu-p`!I;yYbXX5%4(FNKZ&A2!&EH zPz(O$4#pe;N|*76Ofudz0wPN#=NndGMl{lj$_i{D+*&z1&uA)=Zc!8qcLz6Ias`!I znWDIip98=|qtmePgwM(-z<|?kL<^_LNjY|e(vokfna-p1^?!c*`IjGl|Lfx~zuLMX zOi*JyJY6xKq|y2jS97{l24>}SQ}F33A|e^v;#3e=aR1N${O{dPU7hiUCX*(ooSk$JtI&`s`#Vy^3Buy?gi5;tcZed5{>&W{PuIW{ z`iu6+9ry`y|9g|1tgcpY+Ni+ys85GzZ6%@%BmF)rsXjcH2F$eFz#7 zbtg76YjSFK?BT#>d-du_`@sOW*(tj7>L?@56MsKFKh$tHKgpITu)U2; z6IdvUvCk4i5{C`$=k&I)l;h>*Em(>Jf0n~g_7Zk{sbj%Q+cMt1B=1QW|D+w^=U+Y- zu?A5B=H(V#N&2{q5S3CveTw)OS6^F35~ZFR=TAnClap~WjvmJzd)ZK-v2s}ENkX&@ z_2f-$mdEn}5ce~9XnFtKAYTszI1GL# zsCP{&_4wRw+Fe#>D=AZ{%6LCC91!6 zBce2RfF9KAz|vfl&x^8<5i5dP@f6gsIz8y$gowsHpK~6nh_UiT3JFR z3L9ecv<2qvvK|!0TF6ETyLu=gB8H?kVf{4^B17y7y9-zC%{?tjFIoD|5FU9{y^WaO&sE$ajq#@Td-sa)BogF`n|C()ue@-~)WOP7# zhHudaQd))RVLkd}U*Ik|ezZK51mt17@8i<>!hB{cEk`KPLbSURLBs@DE(zrhRW*rb z0!A;9gP#TKPOeQ8Q<@sL>__DgffhC4jfKDcf(ml_$T*_-0O|kun zzhRv+%OgNqbs!nPh&5$QHDpLHv9l260P(@5`yhnm8vVfF>LybNk4D$2p(%jNaJ!_> z87rpM1^=4VX&j8&4l6Je^_C#v76 z2@YS;aO>3r;bTkO*7G37lV1r(jb$~xM>jBuCWV$pgFTH_bEZ-TmKT0aKgi{W?fwd} zhgUa;Eu!wT5uI5aRN~)tDXE2l_iq#$#Zwg!d&3ODLFp|FFNKLlqJV9LWDhYt3u_S?<0%S#H*su=smB zpe9g`<&neM0cF5-R}|81)T53FFc(m|NT@5u|LO6SF_RA8#x+7=_z&jlSUm_5q!pX= zEpGnp?PhUue6l${+bma`ljF^&uhy$g?q^kj@9_W}@nU2dHKMq>clwPx_n3}rK?Zp! zI!Kc^1z)O(ZGI5!oNJSY!j|F)P26J)F|SxYn-h$aT8V7*+Ww=RK;CoE#pt(Pp?6k@JhlupvPDH6d{1Q z^pftJ1It3cYWeW0(SX9)cj&NQfl;h>#%zDLz5k%KCF2p}Q~~awD-LD+`e>FuZp6^jULQ*-P@rzX9^o7LyrSRj63*3tV`Za3ic-GYtYI$=!Jn^rwy^YW3^9YE>{5Ajo9}hJe06* z=J`5u70GSe$LAN+bU+C%swGA`1u6jI&^a_XhruK}2^`Sl_GbS?4bv3TKKnE<3vI?o)D!nR zbT_3JoAqP!NQcU}XQGB)VuruRhufymU-i@u!H6ByJgR#r6hbF{>xHDYced=sGzt7H z*ioHx@^)+>$L%wN!vP5=otzs9@;w;mu{JyuG_zVp&0R5K3*bXpU|{P+bzw{X$OUKM zt}H(@P8piFDWU@rsiEzk@6U^%+z$)@WHQCT{lVze8m+i1N|&{uxCN@uh=gbj zw-L|{&8IQw=JPnk$*9gSz_Hj9AYD}pC@HgZs$Dp>pvl>l+eE$HOe7%6RmJnQX_Og^ z>=n7>*)s#p)@rMpK3)q)_xoLK0uIrIj}15|QyU4E$Eo^fk^GGJ&CkrQ zro?xFWHCHtm>Ecbk^4!DbzrmK!fI`i>BT#k^18Tzo6Cm=5VM(v0E(K;r33hjA(b^0rPl^aJ`?uz-wZaHxY(1ZJ5 zl@iMwJE@v;r>e$NTGZ)p)YBy#xXrRu^FTUXKN#x|{imLy9n|at&Ex7=soygZ$X)ULzjWG!{;v#hrj;z!;hbT`04Q7kN@3BkyxpGN_Zba zQY3LVl>s2jFlHiPL|{E}`V2;G{aWC}dtimYn&v!(gXP667pFb&6tNDJ0|csrjdpkj zfXXC65fdM-c%rQ>k7bx$O)*I}Dai9jWCf?lIy=YqfBtR>Jd%q}21ETZix(-!cKb@J zKpV0?n#Da)I2As@AYyj=HH{_EpQ@@ah!~RY+dhgjYv<~qsD52r3ez>(3JL-vl4E%i zMtMbYERHtnh=7x`ifG{wdbxf#?60!OosVP@v!}FsAJ4Ph`<<9s$HLv7AT9TI){fhK zB|AttsR2haG?)y9zxZ4CVz&ut6~AQ_=Twy_+o_HC`>UgqH5_GEk1v>nuDOes;&@(W z_^uKy0Mrq<&<{YAr~+}e{SOsARJ)2*$L@xeU24q__#Ojs*`wi*+$*pLAm=raWVS;C zIeIWDE~r(y6-pyzNG8HYn=*^2A~W-H@MZI3u!}%w?gkM`g5ovN(Z{QCA{ohA6qG2Q zBm1pO_o_zXU_`y@Uvl|@ToSDKqps~^%}t2zvRYDsni+_X4TtqXu^5#O{NFSf2Vtm< ziW8PQ7>uQiey^1Tou{>s2b{>U!ZDl1)po@K(z%s)GTgi{Zj>*+y?ED9SeH)vDTc81 zsd=-cu8xN*>H-)QYXd?gDVPr0YhCItjX2g!Omo6Q2ZhX5W&4(isf!|U=shT`bh_D6 zH8(Z>^bged3#sv3oCiWG0BI0ESv`-->waa&>1N# zT}Wm|3e@BFZX=zGCX{EzIw%m4s9v0&KoqJvWIQ9P^sq%Ty4>LVmmL@jEU=O>EvR7e z`$kbcILG9^rGC+UNwuea*+1V@X;|~~xvkos56|%Ogr?LyuGgm721zDc*H`uP>7f0H zuXP(-p6UctuzaGM)j7vsMuQJ1GwX25${01q8{$V_#KDMtJXr!<*B(xTdNu4tQ+E)p zb}<>V_h8p>^tp>>f=s?fUV_6{YEELl$^)!EGC#?_M#Dv(mDkpT6Pb!?*ZEbH3z?9Y zMl0X|y*nTa7_v5!!YSv2Gwk*#4Yz+fAkFou6x6b#Ga{yl6ECMS-*2a8vmNCgqdp9i2M{pcb=_*)|Rb`Dje-bbRs!j!UFlm z%OhSHb@M40gb?DSHjJ`M_Px)+*mO@9;>XRneK~+w)q)SZ%dhFrXYp57Eyw?jH4t8t zkXLMsA=x?+D?RIQ3yyPvnxZ`1sR&7~WLBK&SjxL?hh>tjvjQiZ4HeSf4F`)Z@n1d`{4wWD0npF_%Wx5Xb!7AYu8iM)#m zC#B$3c4lC||A>})3HI*4zk0g!Lu}u{lrnKaw(@IDgM{HCoh@BD43u(6DT%*q2LlQ|TwJ5Ej)#ED&IK3jGI^wO)X@JA20^^zplK3rMLC+GA)y+P44jVYmALg>iN$?qE7W z&^j?90nm_#?)XPaB_6J@7v##KLru%V3CfnTMO#ObleFy0w#&R)D*|?vmLP`DDffFoMWa{bV@o;@a07MsKBn+_ybZ&lm7Pf4#1a&0| zJ4Zjz9YOy?lN?CUTw8jCcxuE3{6Hq6ae-$jC1lYz_u^q@4?xldvJT8-w1ahT*(e9`3|M4>%bxxqp z7bPo^t%B@52svUl_{{}_Q0{K1`H_q2+^Xit{rGEVPCMq)E%T9zl<#>9DSbv2RkRJi zzuY08KrQ5;m-KGsMPGkI8=koSz|EvK%#0e0#1&U~Bf5tT7t3m$hrMF$g}A=eK{bUD zpOmj5ml4EJ-|f=QoY)OJ@LAaL|A) zy9cNZ)94*CDWx`cTE&#A=jHHmT)}iu2dYmE540Pxm4Uh5Z*P&^4y!41NfB!NVUK$3 zZ@&Hh`(OUYKfnDE6_o$^^AF#D`3C>__46;kefRn3xtWPb4$a`-{LzFL;-`t_~<;?Ytv z7_sZ9W$)Bk26e_Ddeh?)OURA2fj7Q8En>&LFW(mS724$G` z@JP-AwvZz9+!T93%BqmW&`kK|o`lml0mM{|!r)9!l~zLgNY_mJ!RAlB@g|`+^D1hc z$j|7si}sK(N*A%3p?x88o-bF1^;b_7(pS%VoG(MhnTq}Gp}w#Bv0Yi*=mXf-Kj9p^xht>gTMK}POwA;cOcEipx@8TCCWj{SV`w)Vr(Utq}awqRDcdXf-q zE*lMfcW#`(n&Fw=aelL9|Ea*RD(bTx*PcqLf%lJyy~wpU&u!I(!f#mB*eXYKYB4=u zXR9hVfg6xy?tL`LT@tEu2nZ&6HW=}5yArUH)dy#Nd-&#?ySvNF>+3sIZgZrjBZlxe zNl#nV+9_uw+j;&7o3B|Jt?^V?A621v_YlY1%Rws%*3&3YdmcbE{Z$e+D6zbx4yMg1 znVU6f3@i#;k_k9i1k$P`sO3<6aWuh!*G&-xcqwgcmv2)&S|2UndJuDD?}doEFi(4T zzE{Wug?TN3rapU(+2!#Rbl~JNKxX_qh19FvowlBx1}Q1j`4qqm81Cxx$UK%gu$+jQu)l?5LcZC+ZT z((p7);(z%Ow*cg+?mv1lPcNe6%SAPRWT9*RNt^lro^4BE%M4^<8CPABN&@yBVk z@vz>DlfvR#=`9g`7vRED1Of)!3bMT*;l`PDPwl{JnME0qF?%{te&9;f1vA%eizXx0 znjf6j327-0oF5`jdjM&pSq5pk?TP06R-cA%6Y}5(TvcDYFyh@SlaRU3LjW%Ir_fwZe35phv1Ks=I)IePq1_*ctI7@Uuh0~h%EI0JU_ta{WXEzxcoZNJdof{4& z!Bmxnoz_pD+tp5uOsZg!OPLuTENE_~Ei2ILJshD?1%c|&DdHe*Z|exzH(xD2E+5y+ z+uL{LUyAE=)0TdYsyuix@UpPU^&fL1$|$K9OQLO%oJH&)nB(CPDAAu+kX_QRtylMIWASly~6!x8$8PZb1S zkyWb;2Jq^fa+IM_qckplIgPc@87h&9MNyCr1nE`(`V;Pt#fg|qF_jC2(a{aV5=;+UuE`~R}8T`PtTX<=HNSNgLKlFH(|x@ zN=<=TD}m~Gsx^Bz!V=nKLXj!>s3AyuBUNrxGCiXzX5yh2%!_&XWJ!)Lq^`1 z_f$Fv6=*}3uAH{SX(jH*>8T-zTli2&s@%Zh^{`J=9c9?3sTJErF%L2cRXkYm0$$7# zN?DS`dHiUGwuF7I$h?@i#|YH0>p$+#pI~w53Et@ zJj}Q>EiYxR$;be^r*pXStWi+e0Zdw7RlPG2?U3IhSemfR7zmc+AsRKxJIFbaM^eoxa;N$aW&)ZGX-X*otFQ0)Nj(0X2WWNaj#E>*sI3`=4p4m!bmk&MND!#4<_JQK13?6*?;4o!`Ive2G3vba|#Rf7rop$kCnFuQt! z!x1+1UW}7CqFM)u_w!Q*MBtUlTtx{yGD>2>oeI31Q@(>vCK%XnN96dd_uHqyVbK%r422B^?FcJz$uRo90gTxL z5}h91&A45mNF&@bsuXDUb=3L;55c z)=F494p0o`{QW*Ech)LB%!CowmtyvEi<=gNx;=AF4Kn?2|NiM2c~TU`@1V9IrB~(q z&P=@g!}5J`52;g`FADie-Uon~$XfkRcrX5q8i3`>41_3avOkM9-lQq)-Fqm37Z4eiRBeH@ zM0Q%#`8U*C?FV`PDZ_YQ%UD^Dci@c>Dv5Vx7Z>l8bE`iH7feq5?LYtXzcMH~pn;l! z9F`_)@Lj5P0I~k*0vo)Ao_9%IPgqw)S+%1Mkq9Of1c9=lHkJo@#iv9w%c&NV81@&g zH^`E~``jrI;NMNs2cufb`%m9}{`r@qQY*zC1TF+wKJ|8-C&v^0jMv~I0gcGcuRT}b z-1N@bK0Cu{cG)04M%Na{5yb!n$fGIiXRUvGTMbEVcQR!0QoK+!Br!*i*&^iU3A+f% zuNWsm6qSIVSo&E|Aj({ZuF&KiYR>PA68m|+JT*uB9n0)w_)Yz=U25}#RK`mC9~otc zAZ80-^`rz-LlG3ILRcuI^~zHguwvjRcvbkr`;A)zpRSRQ7Q z0w7*{AwMI=CGN(g7~v8+ejlvEpEV*us6gPyYqJELIQW^l!UiwTeZ8f}70E9*&)+B* zBz|M!SyKiaco*mfGZjOQ{$R2`>-^`WiURzTd$rNK+bEZth=@1LS*L zyD4{OmYpQFsGunGFgIp78n>$7l0kIi0Ti>d^bLV`_!cgQd2Mm&27&Yw!1Qy~LuNdW z&rW}(?-h)JE^MVo^7fWnj{{nrB_o!YXJP=qU-&Lk`xqGE@93lvN~L~y&KXGT$Ud+L zP{%N!>y^y-kQBB0 z6QIY#10bH6$Zr&dtuN4pOgf%xFL*E#zsGV39kB9MHYahSOgLxkiR-7Q+2kY&A(u>> ztcJ|II1(T-FG$;Fg0AQ3c!>J}%NIjfc1UWIJi{bvytrw3!zeUe` zN3{?gQn3tfpWxLEbCg+CU|d2^aT+KIsIyRPAO#i0P(=j^9EQ82;He}a48{gfFq&l` zAkj&cOmC2Y<_L-_N-P6j<<6QGQ!;hMNQ#*gn`JX>n`PQU=o0uhbO~`tc1lm8tT45eFDvJ-O;|>XazkhjzJoEZ^yni@LC*fi| z3jRJ=2Do`IjgK3eh}OUC&+9zhgxZo|bhzLgackiC@!{!udx?O0v+WB`c5HT}m}j&Z z>W{=54V`Mqa#*0=qr{C=MVcn+rG2vAmuefLOara z;>hNNI-eDb1>!zW8s*AEV1NL^MT-r#g@~~G=cCO!(!Jzt)9P6q2^M@mNZtffTtbkp|I zbdtc7LC0uM#%s@kofURmSgjQ7{B)IGkr|;zX6BGaqk4G;E8aeAk#e@vU&IKYEk!v} zb8lmzn~(Tn<)0p4Rpi_y$VOQjJv*>bH@=L?D1Rpl=&A--^uI;%jfz%F+P_En}fy1Rk2z^s4LOrTgV8{Nf13LjFVM~1X=JLqoT+9#f?~l?B=@^GZLaK9YwQqLw zm>r748conj1xH@8%>JTuqA>u17I36VOCJ$(y0tj(e$S4*$vKEO z*p(whm(x-rC|Jjvxwhy904wl&bclGjLlw1c#5cd_gY*XLJGG8b0DEoOXTSdaXLKND za_?dL4DaghU?I(*u1@n-ODITMyduJ@WxzDw%SuMK;6Iodh{NxPb?_-=uhwaRfVk!c z+2&!()3)`PAs7KFYiIO$%~%AKMdDGX2{?JX0w9u;ZWsMP_vwB-u5Y_|8|Nlff#loJ z14%7Z{d6~^pOku0-b?UB7$^gqK>6S1kf0u z@(z6^1K3Jt2I3wE!@5c`$v|I^HQk75x2=t-Ds#f1QzxRk+Ad~EPreTr}=cNTn% z?ra8Jj-JMwCS!UJ2^!g^(oD|muK|@_T_{$LY{{6j$_pR~?`X61TV6NqRF}sQeebts zVaf=b^TdW#Yddr=BAY=k(U2IhQ-l=e6kBagFp18KS4oM)o^I5jgnNwKuaSjqV*zen zga!f1=2M5j>f&{VO9KGY(Egm}zVl(}PuAqL*kc91}0yJsdczpOk zLF|ua#1+rXYrcXmC0o?2W38FTwDrUf>%oD|XE=>-FV+gH_<@wddz8qkgDMDqL9_0F z@jS#O+@-V91VDg{FTf4zD8UV7uUR&&V#J#&dT|s$YMj{M{A6A{L}4|GhY+!_O2h%P zts>i%!?%--oXK1XmL&$+v;6{K5IdEO2L^ zI6)lK;cwsm`Wg8IpAX-E`r8jI(4_+IZWumEp#b`U3kA#iVS6NC6XgfJ1dofQVT=?! zvNr=vrN#W|Xk&6j6<`W8G6cbCgz06Nk+sq=+JZl7(_>d#^vdXML(FCX^BUexi{i!3#w7y!rv}h;Es&bW8pd^*}>ahW0%b;7I8A@1x z0q_T7x_I;ZmxyF4|EFW@`rREHZxM!5gR&1Z>G2ln*E1R_!zUgBRnQ?-p?%#FrT z&%8lL9p}-8m4ssp5C~#{=-#6@UkOY3|CF2h)e*!k-|Dgyty{vrY)^rTh_pmjSr;=a zlK}o;%xwI-33n}}tCDnc10L8^>RL^G;lpX%WyOO~Em_wG28f&zA@RD>V!&|;xW*@w z5Y{=f%PrIsekr{?HkpPe{EGpy&AR8O4Oq|X?-jC7O@xL?C}>p`TX+l7MteeN1;|xl z%}Z0iHl(-1pmxyuwPgtfTtscXRK}q!nk0tW&Xg>X!}4$pm)>pG3xk|NGK5e}XmCd} zJP6n^W=>X41&BQu7Q_Mo88!lq@Dh?XYEw!-L=YG2dv1znqZ1~B=)xGF)t%cobg9w z0Ab2uR`dcoGS0wkPJ@A<0n^_Fr3D9CEyA)Y)n*0Pi*gaD1IbThHMUenTz}xV(7_76 zVc8|=AOxa;$&!RZF1M*Q)9a`KcpyFrMKhCed;X9mD{C_@oobqS0b`zJr(XAC$rK|VB4{vglKcB#b^ui3;?fho09lnh0bdb$Q@>HlU@;snF zgXF1VJYhD-)APe)wm8nZ_Iz3}ru_wB!HJp7`W^4b^^qc7Frl+fhdEhpJP6!5fx1de ze;m3^z!RetBSp;ogMeQqs~77AN*B2?(vw6y5l6oUjKma9c- z-y0DL3X=RGl>+=NVes+t4&X626306n=Mi%t4#7%jwF-m=rpO ztSU-o9iN&s?3$A+51R*C%X@uUYv^YK61&Qb!$_>|+sg7l((?DCk#h{#Xw9$FN|Ei3`y1-wZcR>09IyR^P2n-s$N8gXt36RKLDVIDv7}l%EKCAMt z7(qXO=fECd#vsFgD1JUW=i$|rTsVGODhFUI3> z3$t(0DY&@OwBb6X^U?WA6-1?fUOlQzL5gRuPa6npfA9k?%80S5*hbpu9h!%kusnGj z`y`??gWBKW@cHk*{pVkmV^pDSUV3GuDhE|t5yFHsGipd$gdxQ5vRb=idD4Q!ujPfx zMscy>T&hag`>gqcmjol@GTjGq(Ij@Xw4Vw`M$v3=`VDx+;y;zaNz6nojrV6ORecFs~@&J7KNIM zn2Vge2uTPvL8cF>p+OVOz`^7|m$6@A0NY121yJw>d|EFfbA&9FW{xoN8`9!1gD4?o zJUjrDnTZA`RbVDfUAMdU%>1_GfCwiZ&e3f+Fu5{qR?b-wNy2R5!TyocQ3^ttrO#OT z@h?z41G!Qz(_5?=z`-PdvjnlqUj*=8zE`7R{}{eSbv9sYIJE_{EtAcjg&Sv(G1#u1 z{@MBp)sL*(wJeK;IKZs-nq>m9qF~qMo(%Q2�Eh^cI0O*F7`CNRjJtZnDI|fPY>b z7$1anN1t!2Q}+>ve)ag(DVlv#*y>}=UHOIk%h^~G&4`++)-*fER%L8vu}b?t7Gy<0 z!fj$ne-3dLAVzxK4C_t?YJFa-Y($ z%G1FPpgkF3!3sV{*r=V)(nHZLLP`xx#q`?lENe7W3Uq(mBDh9r{|EvGagE?Da^xhd z-SYuG?Pu^%T&q=(1I%GpU&BMu2TE)Z*bFrH)n~ma`D@jbvQmoOqG@W~rn1}>b8ECg6o#?#mbW{=4SWb5(evZaKmEs%{$NOtZzP*_ zDJMi=8Ye`DA%n{xWOp(6qg)hy zC_Y?7!!bLMMies1B=s*!LTS0BdEDcDB9f&kERYAf#EA~fs8Jc1gd&oiN}WLNp(zlO zQkgmuYLBdA2rClvb{@aZ%2)9WstY=?Wh8?l@E?$5Un}^s)A%OgXTJ}^R~2!pM}_I} ze!kwMlDA)2DS|5O3DxM{i($z#M=x5mlVRQNBe7a=CG80beRju4U8CeeIJV%qo|ZutcJGEH zoUjN$iw2f=bdPfLnM|75GQk_*K`wDd*YzN$PX`BJZhV6*oFOXY(-W(ffwc)>I3ZZ? z?0a+oG3shGKbSx=7#qOIXqJJ<_1zXVZa*St`wFECC+$=+*rG{HhC*r^M3I`+Jv@2ER8G*0r*SO(FLW9S?@?Gn<#}8t@MO&K9R0j7Sq92JKK+GV zu}d(Gg~?1^)MVlelT7$^ zXD0QC{p4`Cf?7h~K5{0llouPHL^emXsbbZ=)PAcvLE44FZn00oU4 zG&eCql5svBAVW97@W9`%xR5pM-KtjI5J0;l>c7^-SC@DyEziqdl6+OCNHM7zvGXXp z)u7MWn01Gu;Yw9S(2a`~%fOKYjl{j=ud-ZHC`0zjWK4B$)I%0AhKBb?*G3Mbw(p zhHcGVVS*EdM`KBgV-%O8cm*dk@Irs)v2@P|r_s-_L#VD-1om*h-#sGh9is>bZ>W?3 z@!{sl0^f2}YQMu`umMR3Q_`31iI}bw=TA|tifoQsvvh$;x53e{p+}=*QJ><2IzK9< zRwAO&pe2F}C|*+>fia;?K@HWhD%s;HK!cH>_kyNooCj^y*sN@~10Al##Z%%!zc?P=uWXBl2K#wr0{ugy%#hdTGJo@hFU;p*!7Upi3 zPSx83;_UPO0n#xOuq^Z>akCHE6wCFD8_8I%<+v=jUanQSF&OT`TFbIH45Xfny_QYc z9(F9Z{(+rXLY+bt!OAU~6t5e&nKmpWUELguNM%&=_<2BFH!6TLg~RupgtK`%Ae-$t zUo;o(hMu}=2HHcr0lJOG`uaIqASv{yB21kt)#4_A+>!IxbYE|$gDA(#-R<_9!!3H( zNoJW2#8QUCww_8u%d(hsP&cVpu!fuk`Klah$p9A@37`RpDxz=+aRHxUvqs?(1OPnIR)8yd-{ z8^xN)7vg=F_3|8t79bgdVWV(O{WzG8?A45$2xjTzIFAppjl3a#LR9|t0VdrnAQO&- z(UiDDKM6DNxcVDmva3yny-D5>wH^j$3U#m|OZks-N+UybHBa9q0yYlI%ct{)=jVsJ zful2q0wO2B)f*-KmU>_3bBS66lggWOxJK4|@II&ClVN=zX;aM+lTHjr2lQe*j~}Q! zzbtZ=Z_e%V>EMm+xV?#_f>w4!neFo*`NrYs;LYQC_Jv?76vX#iRG30l2 zVt$?u)lsAIo)y@xnj?xXqjnusJ^YaQpQcu=jw5nTaiFTlli!SBON;Ts&phCz^XVja zF;6vx1|uhVS;mPd7vl17t;W)Ecu27#5ZZgzlnxXyAC6l%%DA@7r|I0wJ87N{?xG#H zJMa_eYcs&yAH<67>|PMktUvQx!_mPv$MfvlB6TQigjz;U-Ag&0{YPjb#7FTC>dSe; zg<_-lg2F`Vc7!I)+c7e;B_r!BRgOu7cKa(QQ0KjOKWG#q{Y&nA5L=2Xu_>qHEybk} z_XiV#3e8T_C5QEsbnoI_$mxpSLXQ9Q-A9(%*sCq1LI8ul)=BlsL|cl~ z=S9=u4jmX(GNH-ARg(0?lhCb<$kEHwe}19OGfg}sNG@q}#Mmc6A;h^l<}NfJa<6kJ z2CSuU|A0STl?sb)H4-=A;&uJY*sw6;ys8L-zyuRW+lQ?{+Kf%bIMZ5Smg=Q5ABc7J z!&($?$#}eo5%qn`gy|l}OS<_1RQro9Viee$s5)=}TY2u%3b0Sf6jmC0fPV;fqYa2? z${Yky21tb%Hgl| z-ovlIeERX{@4o%~$x1X@JoxJEOO}BnBGh&*lz}7YgwBZ)Q_lKN@H`%Sz4gZrviAGj zd>G4`%%{mEqy(?5LnV6;XB$?FoN9hqghd3Ltr$>TgVr~bPlrS0Yu#^k00q)ZXT<;^ z(Nt8U1yqtwih#gOB0v4gabTGVFO(-Pk?;b|KA0z3m7IfY!WOFaua_^5sz}bezehL| zjE>hKp16g_K(3jfh2pRrjZ+X?T)Ap9k+xRA#sl03i^tm+I4bX+pnF88`#T7rM{hVf z7?_e*J0Mb^(tx)#O(bxX^_23_ieK?geoB*41sFeU(>Du+O$lgbd>{kO&E!!@#<+L{ zK}N@x#}_UI|64+x1VtV^4*;2r>fHBeJ3;&bfn2)MYadrmmuIFn(xmux{Dm>E-FMB1pp7ddvt+g8^Qi>H@(KU_7%!vBA*inbC6o` z6G-fkG>r=^nxy06)ajqMOnuu8M=DO$jkW+5%AcEH8_uJri+A)p0M#tVfr?6jCr@XZ z8Y7Lgq99Ay4W*oryO?GG$5Zehqw8u9Q3@RK0I43sjsdS<*1LAh?MHRAx<*7X>0DLw zh^(EyJ-9BoWQ)ygYRzp*Q!y9V)C>BsN5+9&^W<@T#m%4=k!;Ki)J?7N5hfYpLKax> z(0RSc1BSR_lT^Acz=n)rY+7rFrSBwKH)ak`?V+&T_RJg2;_AOtJp?b71NR9FBAkVZ znblaa9wkaeW-fOOy;y9pa;$|ee!p;u z%#U9gFU!OA&DYmHfkrR_K0<7u7^(Xy|Cx6`7#ooD(JZ@rZq?(NJ*>}q?{=p#nqo(x z>Q9OmgBT6KAnWU&9S&3POGN__*z00l%DXJhpVX)3XE*1lU4*4WSn041(jyG!<~tAR z(eRNYw%7>^0zg6c9X_r=sby*cB>%Y8OQzgxqV(Z7dI}^Kx1hzFsD}zXr8=V6xk9#z zV;I^9Q`(2presxz85&m&0h&5_JT=tiWLYI4*hIy}&l+&B(?cx7bImyCrua@EM^V-D zOh=KiKkA(nlXS2Z?&+MQrabJQU?NRrVs?(Lp`!jwWAF;{b!$1{uUOks3~@d)cX6T2 z+(wUA8C`NU#%T;jY!xAB7oWX~=^U2JI|z&4{s@7GlCN1^!6j~BGeDuXbHqBW6TOF{ z0|Xz>)x&Fkh90)*orG3`fDtJgtlv>&-vW*6sEw z7uF99)-{=8%hF@WITCYp(37wMGyTC^S)kq*8G!{c!=Mc(u#=F$m;v^t16-n5hic0q zjJGZ;7v3V&vboRF8f`^!^pV%HEV82Uz9}iF1`VUm`;Vx>-@z83n}`;d19Ya;mz=Wl z3R?@+f|V}&Gg7plj_9|gg%$Rk_4(oiHXTH7%?tzp_QSemK_ylwRRKyU9v0|VIBEu= z^sxrzUf_^way{*8_IscJI{bX$|9~~#o~#*Y9CB)va0S{wGZ0%C4O6)f0o;SSB*v%k z&8mCu0Qx;4~vMXx7d#JR?mrB1Dgn`-InDaHo%G61n>`|K(K!(F(PaKA_(dN%Kflx&!x; zENC$5w+)Clh**iW%%v7v@stv?6~zEDW#JqP|!CrP^`er zdlqa57Xn5JPi-xAZ}UNQ(56neK8rul52ZJ_;ZXo-rwFb*Jd zu|JL%wSz+2IBDT@)|Uw5(W2^EfY@TdcHT1r+%!31NemQwD3=s1W)q zqqk@XqPk5e&Uh{>Q1B1ciy_Drbi~4GJzAcq#pn2W-1=lxi!`8W>tQFQgPB3&1f`xS znb8qP984Gvikpjz-Tk@QSu<*5#AhM$#Ql{XWe|7<4`cb$A+6u&t!@!IcU+O%NxDJ( zfOawjVA4#sSPrZx5w+|#{K~$fDnDj;sntxF?V)Cl8c(PC31ZIIwZF2}eERj%FaP}X zJ(!yR%bFpj>&~Eql709K!puvQ<-H&*h%p7l}$i4D%CKg(puU_%QmFpg5T!mb2uE}C?%`frw{g5q+IkR_{tL48l&kz}wrZR&F2gPISDFa(e&JvpfmN4X?QZ0hg z5*X_#dHpq^Ej2}g+Rqf3p!bsDZp1XSf12c%80|3CeU7q}QLQC}JOq4Z(ID_*_Z&A5 zTk)>>fd%q-qFQFx)`yT|b^>WB5IJZg5@bUR2np6+RAY>N)!q1z$B^HGWIUte%%HDj4EC9xA z5qR9-%wjn#n&Th_dKy_O-2^SiP$}dhq!A!?2=3a)Pd|PC^gcbdwA4`)t_*kne`&L; zH@p9cN-2*OF`f<@`Vgw?xPJ10#zjpv58Hyvi~YlzeM1yooo!S&tkF~&LFeog4J_AZ zj6CG&1QJ_~J>V$H51Dy|WScXDQM~@UI@z~*J|K_XFSWS{901w&0zHEbKtILB@px1= zPJc9HA#kFdqFd`en#^%z-$B90CLntI@^O9ir=wF8G3(Do4L1B#7!^*s7<49r0Md9m z8ndefZ7~{%24yNs?X-0A+^47d)gbrk7{sMWb^Tai+GvK(sGz%Fy``V>o6sbC@Dtkq zK}*J*W9#k}mbg4axPDY?V%P;rh;M=*o0Q3mo)hKwSKAwls9W*6mddoI-%oK1Tlj@c zJYdVw6l}p`i9MwM=cpCki41T$oS0h4`>!2obf+PhzeNjC4Wua|e%yChIohg0Z7|ds z6O7n$na7=Fo>!|{^~C+UP_P0p0y_Mnz>hqc8opTzRDo!Q7=t@hcs*aG^fDM5An0fo z*V>pl)~k1J=Bh|nCCim@yZZnxP$vqh6E#!@fcj2dD6nLb>nDqDaxu6Y3&^hceltS{ z&HE)`0D3movf>6f4r|$aKnV2#=t><%S&7Y-y)89T6xRxkGtsNDDj7=)a8i+1t`*raT6 zwfPkVxLii+*5UBu4}bj*4L5%HeE9a4U%vgXi447z8`$4SPfGJfQi|favNB;+pN#V- zs9_C9Ge;n`ib(9r=~Z@DAbnTZBCv?Hn#%$DG3oe!Y`VFT4V5Z$7eavD{&sNeQpsofmf7JRQJKJ8mE|MHBdH;Z1wkUn!#`ZE>$p zwEZVra00e_dTA1LhvW9s!{P7W{tA%!?#JK0|MUjgkF0}VJwnYScECHghdxq8;U~z zywerB;fShCYms#rIpLW@K2KX;BOaVNUQ)7CtqaoV?d^x{H&5;su9|0n1(LkN71@_1 zCba}t0y`o!B*vB~Z3y2)*@_MyRNyqPYcevpt?3-QEN+duBEwDxHB#IkhgnI(kYUbK zG2zB}i)v5lkI)A~tgO(XOwtwF{p+ajZ5cl*_AEkdh$O zh0I&59FBOU6U^UHfcJ=x@ zic)qJxs7fUsL7sd0%=hGZkA4pFc=0mSU?yDhZM-0(2%G@Rp644@$&e`4tLG58#dc; z(Y5UUuPTYFVLx8iBzlKY;q*&s8i(;WVH|V4qkCgFYKtK&xrAxt@+MVf2d#QUr-S>a z%6<};4|R)|&%TPrkw#X5eX2scnvHbof7v>(uLU4 z+#(i?A`?}CA5bs#uJP{WZU@Y#LCdGVu&wvO^KLZ_HyT3YXPj^v8IU_(SMzIK2QT^W z-~RK{fyJZvKQyQ{akYXwfaT)@fbX#Lf{Fkjkd?MSFeIttqIHRWSEdFYiX|t+?{1!xiGpVe3(^gxG;`e{><-KOmO+1|>~`@*5qPpQjs# z4!A{}o9Isx*(%`}XAU@aN>qIFsO7><)B?T}+ad^e*_fa&^j6e_hSFtq;YnzGQPr8e zGRx10g>`D{^r;FO!Z@ASDI46WOmK;q)w3Qa%TQ4r<=#n2AFhM*hb@u;%rbmHumhsJ zQ40fiQSVFsGPz#rKJpH)Z`t}&#(ayjAy9b8O$01go3Gt|`-{itNKqw}M{(%;{d@Z* znwasqEA3$@M7_Y9_b{=|4h4-EOc2QMxj>f$ouz~#9Y8-^{I1I8O`b(*;?2V;@B4(# z*?fv$ox#FEAp>&ablO?=9Se1r+m}E;si6s9-ycnheauYMcPNDzbKf2i>{s)He#mY# zM3K@-jpeo6z=ouB7s-p(PC<|ztH5VnfK)W5ZieziTRd`hj(t7M7Vtf@q7hbpZ>=_A zgQOmQ*uFeJWTjkV?OHKd9U!-`6mx5Wi*Gh(n{W;RF!U+&y{M_Lrwpa8<;Ac8SAm}I~NlXEapL}S2Y490*lU;{S6 zCI=e>@2je>`t<30?#h1e=l6TR_qS~Jn?Bw5gzoC9>ZmhB4n^u2r7-VpZgU_lx&Cboe za6CA4xKjvljMk{iJNH>QUq@MyX;Hyupe_{GoFosnF>1c1XaTSghj-v|SeEE^zKuvo z+T(Qlo%z|ZIE%b<#d;+NpCBTklAf1)>rUfxO(Bp+d36biOlfFjq7hv1=Lc1~ipYCY zrCku{2^21P)1KIOzVh}es8Zc@l?Am6A~J86j}jOCXtoEI%?anTsTiWuJ_**isX zRv{;M*eSk3wKF7FbJ7tx#eE8SYIgH5rpF&uR$v|F!4HNtMa*r^*vl}9H< zPl{NG1vs;bJx@Y>b!f1@*AS0zP_OA98r`kwIP@gaERZEzdDtA~eI<&8}I|Wfnf8ZCEo@bE2`N(FLu_vH`v%IVC9S0@t|1tC1viSuQsfl~XCecO4+t>JCieFvH3! zr*XyP9{SQ{1EpieV^I9V?M=n-$*C0$Y@xkO+MtLbGl{JF3R*>cu1k5nYZp$76Rq?a zk)BTDkq)!75?(Vi`=y=GVN>c$`fOTDdAcqh5N5DnPa%xl@KC>G8tv<0gA2U8;jw{R zCk;xTJGH4j_|nTf25~3W>2axADAi*9#6qC1h5v~5P~boECcz>%Ia^G-)AZZAldq10 zsP>ZyOX_{t=rjx6`l>{~suD^@QLNG7l$!-cX=^;40G2_;=b}|qVY!sKVF#p2c)+rr z;u4ruP`+C@fe5nCR=v@|pmhyaa`uDsd1L9)b?!59y~uphYRcH);t?ThFX|aC>dqR} z^jg*3{kF)W{$PCR9Og|>@1hYhGe-Z!vMOa8Dk~;tp!R>Fd{~5rtDGUYyf4QQnjc%tD)s?7{z8TDvvBai8OamHPi~qw z%s*s8x>LnguNX`4*I2ZM)hl<^BVt9G*!%kncB*nY9mRXWk>p@qs z!iXfQSPoK&%k@aPZ13RYhht79icTze=y(dg_*#+d;^t<=U=Qt?gYp_bvT)s=$ymzX z8U}k(5ScVto0A|eI16HJufX;rgMoCLsScv8_zVs+G51W>JGzeHNZU?QN-wP55!6w# zhZ8o9LVi58&`JJBF_zhych06*;amZ?t3yTKvBDu2ozLA2xvR9eGA?OgGXypa@g?DZ zfuW1>g4arTcw8&DuyK-Z^CLaOjr5zMijuocG_hL-K|wbH;|`-aFr|f&LYQ;PbR-*% zO|P0A9v}8V=LhIITgY#V@s6tPzD6n4pt;e>y>)G_zJ{cxR_9TTo<$zHCs`{ z_ts`tkZ4IBj`f)6?%dd!lkXgsVF+nB3?Fp7HBb&Yl1mXgf%1$fL!TOApjps^n@kI1 z%AAFLyG5emv2&g|KtpHdAc$~slXB5@J(XA9sn)a{H(~UrL+0s`QWt&j@)OJRj{r*aCZf-BK?21>oFKowox>#>S*+EzKa7x_S z{7C72H@-Jxx=3{Q(x~kq<7798%{LXnSZBa5Sd3#+lC}`nf`1$)^2%H-UQfC;7dlJ4 zqcxR@m}hfmVXYAL(6lDjIbvM{E%o{k(HJBU|CSs<9ED`K37E?Wc=XB`SpuWBz&=W;&$JZo$TZM_8pw>6Yqietue5X&}^Y(B;-R!-02~nChku5>48*p*W zQXgZXJ|*|DEa64}gtUoGzu}iUq%!%{$1Yh}m5Ra5bH1mYZa!t?q3N9yJ~{BSv_;9M zPj72oAn)L6Re$dyefbtbN)KphJ|DzPuk?1EmB)ADOXXgL-{@DWCFcS9YNy7QoCnzO z<|_Ceq-Rs=3MYKmxLYI4;$XI}nYu@%PUu!t+zE2>(+#<6Pr2jX8aTjAh#{ zehSesLyIE0;)o*~PHcm9rw=JvsJHz$FI{9KLeL!Ap)@ zdH~0u^^wt_yh@$$7I!cI;%r(4}0Wp|#{*%K;!!uhm{s`Zs}0b%D<7vw{rEapRq zXDxRA3*!UGz}vD?G&1QTq=&3UQTL%=*fC{I^ksrpWUGeUl#^DJ%;||Haz_mSE5>q& z4zYShwY7K6t$bmoBXkf{r<|Np+bnKw&JM~g+*4!`Du;FJemK}&q<0dY7o>Dal~D$$ zf~s{}{5}|D-$etP)U-c~Z2* zr%bPl`)l&o()%To(~<`uP%m=DglLBFjZ`N=%J9XAs_g0$xA8y?!T~-JotD-ck%kFf zZH3*iASmAr8(&N&a{L3^s3kTm84cu5Ee6(M4O5>}FQm;F^}*=rBrTt2A=0S7c1~I7 zL$Ti8-E`mey*LO7^eaGnj;+l^x0`IDjx?goh$~gZu)jr)cZ}vqEX&PJ;ZYhTH8$cx z2ignfIC?`Y`6G&l77lqJ|b1!`v{fA`lXPrmSGWANNug9p#oQ&6(Bvv})Y+bg# z9v^)$rG?R4R5?vm=L%e-2-n?VDyoQanMx~mMz$o>MW_jbYcE3|XspLi)Y-cfwFsf> zz+&>yE~pUVBGpM$u%0~dy!{@|dJCeAm}wI?v{^wnOi ziQ!dMULD>-Q6`CMP0PbfZo9DGSW6$Tkn3VahK&{en&s6p%H`v~ zyh}o-r`P#?%Uj5O_pJ4Ht?vqRNJA4Ra`0%QRiy-O%UWDs0kguQEX?I-qOj5?P;T6Z zIGix<+ch!nOIH>mF&P39?bU{)59}=%i(vm2?&b=HI_@>H zRI^r#rtUXbwhUo`!=Cg@6f!R;;k$anWGWCn>{IDS(j)3%`l%9v+gBWc^5% zf631%+-zT?ZZp=%NF17#Q_Id^2GWq>=rg9au`hxw%GW(1$yajKnwpeGrY5)7!5XR& zmSn|MtbR;`UR#zyu1Nag)wSg)KZ)ef#+5>C$w-&pMPI^Jd8Jy4xIxWHFp=FJdm^XR z_zWni*GZtS;_;4lQVfP&b0AEu@_UvvuzSLojC=z-Am68v5Y%X7=vdw%d*y_))oY@Q zpL*)4JUx03uYx_H;tjFd4oU#>bd7hAi7;#FN&N@W{r4$?-ZVLi@-tJda>B*Q&tuh_w?rPFF!z>RU}4vctY7K8I(s;=A0z&D~9t; zRFY`cmUjlS$h$~|^;&;vUj8WS!$O1U-NWH3Qbt*RCr^_OQ`_C~=qF>3=q8e*1OB)#nYQ15$MpoHQrH<(;k`KLYt2Rxj z0gXr}ZAp#YJ00JOt|umK4R+nTd-7M>&&K(&bs~?rl0~Cw;Pui-`3c4bUIC^yAN=ff z+j{G&7=jt${sC#0gsDrWEvYKH<`>uZFVF8;3bS9j!rk_)E1CFZt>ha^&4<2lm2OEa zi>ft7);=8`(Q1Qf115FVrd_<6=24fmoKS;bMlh-`z|+h5NG1=j!V8W5zypMYOu}m< z49NuVkrI>bkCosbvkk;HcdZ)oMSPsBzg^F$FX?$iJ6CgBtAf~|U5UkwyE^5R^3|EfN>I9H zqu^}V_1yiCCn;}=H6JG`&0ICZu88VP*Aj+VwuRAHXXTV6}pZSHkVl0T(tnA)l9Kh4!tgiLeAsQyMJ_MQI zzClayd2CDHdO@?2&3MQsA_nC=Epn_b#SKb6e`-_fg|Jpr`>Bo^22+v<$QsnX+;g!g z!>C|{)|as9Nn9>4CtuOt+}xCmtV@G~qs76I3l9hHz|@Vc6G~7-I1_b7He?OW;JK>` zmlVqICG4Ja+zwcb{Vs2#jDTw~Tl*LH+yxRlN}H12K3Z$*a9!H(*1a|2!Kk(-^9bOY z^RT3~y)~kddq}sthNBwiBp8`{KT$bT-5ag3IseGb=Y{b^)IcqCb?4GNLb!IFD}lsE zt@d}C+Q{CnWM?!IH$)@sinC8jiO*$f2V?hK^#lckELOgwY=NfRA9J#qo!=j8dc_JO zCKs;ss^@0KqE~Hdv%-fKU3R&bS5{-m@WqaqF!97lB2N-(sDRsvl<^*jHIZ=5zClL8 zVzh0TMb}wfTw7Rp035Z!XmXTRb{m=TrXuNK^FdpJUJ%O(HGsK2Um02^c&6!?(YX?E|T z1`rFn(9+eKET)7BiWfa@gu9z?RUb7n_DBf1FtqS%Q^BKd4Ndg7B!zf8D`KYEMaj(0 z1uBAAU_U%+wbC)(dTJwmyoM?-Ts+vgaJ;;B_6%c}!-c?G+`+aJTa@kWKjc3Ub|66+ zqPD~RwlF`F!H%{%ch;WdP(e;mb02H=5`U{QH?JJ@boBc4+a~U!-==nHdgv#ZfV>MR zT1+0UFj<@cyFQ}b&Q9}?_G1^A%^yZ`^cUuL2ulU`SlFj|3sz-o?X|b@4=HV_A2GqH z*u#l3LPLW|4>pl6$vt`Cm0+t#Skc=F?kaDK1bmoX+DnDq%;xcC@5SeP_mG(iCJ#yuxW0fmz7TY;@mL&I* zuc+eSAPbER@hPIwCFFp&Ei%-Ff>}YjO~T&6tzas{sxw#8u>7wtE_gCvd6Wn|o?QgT zM6h0_RrW2y7R&@C4)cafG6r4=fhGbZ=t#-+67?u;A=D5p1fmg<&lhXcEON~@B)4+A z&s}#jwQjzgW=s>dr@8)2Arjf+t18dUU}$=QdBkm1AC7&NEgm?FLb8)#0@4W{8R#bs zxYazo4fHYjE2DKAYL%-hZUe!F04xxjX)tge`1nMhAz{^0lMjzmr*AL7sf#;q$(PQ8&r}W!x4*({ zoz|da+D>h1^A|dZj{)Y*&eiaUw4&tJ6HRiKK$JS*=Z-)PEW7IDUaZp>Hv(H?Uo3*J zMY_afrS&j{C^)O7-Rm!{i(xNN-<0|iBAnJz-YwTw0*#9eU}f$s4If0{vx({1N-Ouo zG=hr`=Q_%8l9uPN{)3h?i?zypZ_N(@5x-Q#e^g3Lau_v>_G;~d5v3`SrB+kVw>nhB zU>Yb4a@75onw2-=cwy&1{hJ|cuZNRh4ux<+C7ZmVdteCibHZNQW)Rj5So zCVB7l1wpT1#wF47x;sW9F=Ta@$3?Rg=4v7CT$QQZ)je6~#OSYEXrVMoq7WU;gMcSh zG#T66kGe0=UUI#J=F%2MYr@*ny?#PWqJWmxSF{D28evUjSS*o0VQekY8^d~QohbJt9xeCxpSu-k?dJuW~cO1$({7O zO|oO>x@x$xg`Ee43Vlsn_936B)ZtdGB6sG@uA>7dojF}$o=MZ*loND3V=U)>m_%6H z%TR_{X=}WAl+!93WO-~*3&oF=yr3kRXlP~v-Bk=}hZPvuO(4qR<&wTm8W>5+Q09(O zoMMEm*wY-PH01cC!grXzYY~e(Ik&ljqiXl!+$qQtGl}3tFr0?6I@`nID7@!nRmr=S zG_v<~gR1G1@yRubM(KV$YL%q^kX9e`y|CNebg_g`{tS+aXza30ZYqxoZ8&^*0PL%0 ztMMJ?n7w&2d^(+F0wyh#G>yMkTj6-M)(j5&7BY5Ue&iUe`T)VQDO_V}Gre`h4<%huRuW*!{2e{Z}$qO{(ADjpwbeJj0L zj50=Haao+gLHxR7L=LP8GdbLjE)_k^MyRV85LVhu2Jf^i&30$RSjRd87D-AavEiHQ zi;Ytvu*7A8ekke^vVXNw?P6rg1gS+pAycPKwn`JqoXSxoB`@8(;}$7xKU*oNWqOXi z@gK-0aR5J^N5zW3*ft zlfFGo7Z!>zea~ift}Z=`X{S$E_%Ej!vcylIOC&qt6j8IXceaP1_}0sUP9c^ioeQ>Z z2^^=eA`{*c)i{6fo|5;qj>^9m8{lPQ1*&wc!=UB18m1@5tectz0X&pTB_m9_P2{%OJcVdm;lFYLH41tc@7SWXn|BxYaJ+^B@QT@ zPQaD0_EN#H+gh9zwbGWvYS!JqR@gd`)YzS+PgO!poN=Di_e;-HiB^T?btUPVia5e*^7xNYrw-Yhx2pRkq-m!M~w)Z6h#(aYjYtZbw$Au zfh=^g^%s69c{UbJOnu1}ezc)EQq}n8l8;FKH0PTMkEj*&=!rw9lppRFqKTPwuW0l^ z)^&67CM#O=KPjV7VP96AYj3u{P2)@R{!yi~w2gL(>s>;RV!fKvv#p}(QQp7?*Xsg( zU>zcvRa%hjdsnzHQ})W-?)H^|dUJ1ZwNveZd;=4(*I8ek7rTES3A#+RhKy zC_QO9(!j0cAWP`M_s0mtOe|b>Njy=h9V66H;5mV#P1KZdv&lAcQ&%*rT|1z69Vf-% zptw?1px`Hr#D?6NQ`?P^IE+m4Vn?SmVXrO?m8|n`E%~*6ZB8K4^Tpks4L)6Xz5Je} zsvCb9n$e7fdsr6bCXZ?-x;P=;^E#t|#r2(hMwESAB^6ySrX1#GVV7bs^SGqeCb18_ zro?f-m)TO=s(2PePw7so%7U=7KwHd`SC}x|pKs~&CRZHkZ}rt+>J4V`z#-wRFPfvL zwz1EXJG;HmS-gZ}=BkkLCcpo5-XuSeae@LNj(ZB=oP{+iHPH`4c0~z5w*5>Z7iqD9 z18UE?Je!)SN@E*WZg91T6)5y=gf!u{xgG(}(S+JiQfpsNUDEc0%1DD*_FLGO;9YBi zIZPn-ke<2~m~nmtEX9gu?{#B_DtCDkV<+e8$Y)MH7ygA96{O770BJDX6=d14 zPe$HEsDP@i6zW~VO>GqjGw?Hnf9esTYqEq6wAr@PD&30|(p^I6NV-cmS|QI97PJx& zQTMDW)tLtDfc--cA0NC#7OIvNIV4k!hiuZFlq=5+y#dix_syJIe%-%zqE^3h-IHcZ zlzJ4RUfFB!jI#<==GvKFHHfI93W9iN25`yRnmKIv9o(#@Q$obC^HkBB=Pb34FKkVi z6q2C3rVcZVDD)EQ7YM(ku8iF13XbkTVIg6o9^T`--tuWDQdFn-#L1a%{g_f)!bp8% zJzUeBJod$mg!|^PIxAXJLWSL`4|yaxrgjL^YKj*eipESk>|r;SY%>yvg|3E-Q?wv+ z!c8{IDV!#aYg^(Y97EVJOd6i32)V$Qu{y$=A&!0ZjCxHI7EyPgZ(vRWr|>_dMKT4Y zCEQR`mf^6tcyTG#Shw+FzDNhd3pRhzU>If_0>gEwAYwXavp6RZA0siDa-9kn(zM%E zy(n^{FaJmoe=$R9;j+&Zxl!Ra+FQPYvEI&ILI~`JmVpP!QN@rsFrj%IobQ0gj{ZQp zN;*+R3EfUKk>^KB6IAvk>0n>EO~iy+br@rfD-dHP-mVy9Yke!`)rQ6bDVxF()$laN z-KqL}MOc{hv?M$g{@mfGV#t{b0>yg$c>v%S-719PX+V+^dH4I(^J3kU zN77my=l%)!zEL^<$0EP8E=Vz6p=Ln8hjG z1$${(Wy#DaZDynGIzbO-b|l8gU|!T3d9!FMWDwVGN)3kSyvBYKF=d1T>@&v#xr@7| zZI3rHUo9@$VILG*Dw8Y$wjJ8%Tes`FME7de6h$a3O|^h;SFY(2?}Chb>$zO(FVZO5B5qQCUXpUtB&_(xmp*cjg}KQ%}Bp^T5fX>6)G@`l_fdVLqf4 zCFD5K#7NL7OQU=AA15AeFcBNoWFw^^)t`g9>y3ickR5q`3R>SRuQ)x!ozLq_qAd1h zNdO&Bl)<11z+{J@DlSs>b~1z>q8f3SscrJXaxOYK$2ek87MZQw?-qxBC^11Sa{&+~ z5fOzc`~vaPN)gy#iwuKdwFx^_>|4wAnmFwcx&|4-Z7u7Ox4n9>nP3?g+f3xtaPMiO zdyy!sv+z0M)R@W@4N2ygP9G)0J!?nhW>K3G>N(0CwXZF!ked#2)69Iet8$+rur%3; z3XHIJs6~MdT=+_24JTi%c_1=P1!-HW zd%8olh2|1>q9T#HX?-5UZ(-h^biL{)|8+48JV8m`0p8?neF>)ky4ONuI)mD1j)VB5 z-WphNkg>1|ioo-wIe2D!uC1Q+@MYC-h^#L9^DmpINWLts_vKU)AtoOY9|5VdDu@ic zQEo*Hp;wpMxfSq-Fr+Q*eeiJO?6vw#%{Ir&B7=L$gK*F7>=5oX=wnV?A+i>mD&dl% z=p@^k(n!gf@}vrd3ok=QiO9R~ZFM9ugNQWYTv!Ci&KjLC1;0$}ueN2Cuon%} zuz!?Uad2Z3s0PYvKVz%5>@$kZaj@0J8*^RsF~Q($y}n+)m#EkvpMdVoQ`Kl;cUNZ$ zwvpyyUnP=DeXVXWC{Nb}pk0R1NzViw#RDl+25`ru+m;I z7E_YGc>OF1RF}gk@E>T#JJ}on8h+e|?pu1<8FN{slCt!atm$dut>%igP_Zf2iRMdV zhz`A4KJpnA^t9A0hSxStX$d~zv-d9J-n)8YWv}|=E^JmpM1Y9?+_Sn<{HsAEm(-Pr z<+4`t&3Pau&fX|6bi|V~1I$E4ai}ML7}>EAM5K0X?DvZTQlhIqCNj>93W!YGay7Si zh@O2#dj?JR>xR=r+6ULz~Kqi01~UlU6toJQeq;V2XG zI#|!73hq{8N1A!UgiU?|XIKm)r<;Ta&`(n3^usoE2pd-wG5yxgL3V^`(|@l}JL3EF zgC&4HWuc4UrBF099EgqFGUd`TaaOAw6pc&1c$cgutlap|3^cmC!wWljD(b(6e$wO=`sXu5dZL8y!WN?y{4-=Ps=#vWl{p3nx zZgmX_;mfc4ohEDbW|Vnt*qc96s*tYDky|1FL^4)H{NChU+Q8VUuz?auvc9q)r&%4X)_Y`18|@abPtRSmhEToO zQ(2DDdmca2*Hh z>`_`-uf;>Hiv^oIVVrZN7~?FFr|VZ9+H+udfi4v!ieMebMh+C>Q zEY}^PBIavVBw7+HKgI<lWIr#3Nc$_&QR6u8{TIM7T!+Ngkz@4IQE^3Q_`wIYfdiNOVCSbx~b7qcy*%oGK*IQiCa! zsY1xVxcBFDbE$K^j_Y#pg2YC*YA0WAdq#EnWNes^Uf;VVX<@I2(w3jk>>KLn-Nx+F7>Tmf5pi8aU+9ZHJ0^lD zLpX$rIPsslp;7;i?fsD`oM;zlLC?;z6STDFD>}Wse}mS6slKujDSxFR}2 zJ0MP+&fIy|x(6;M$yMWuvU1Q)TRS@_CpIA0(pX1kZ4DM09B!n3FVD6LeP4A#8ToL{ zI%oH)Mi(7rerR9erJw| zYVtPvi9Rxtx3WLGLyz4p;lFXUKQpMD>tm zY2J3n!XO&5LKA->QHgyf;)tQxM6)34O-v)361A#KiFUy~8(%A$6?#z>W348FHGTWF zsuq*A_J+Cr%S_r>^MXI=m=puZbEcf18nm;JFgbATxPd*zN7U5VAYAH5vCB}himw)7 zCn|_!EJiRFZRYg)`{j=XBs-ejy$`#1rqu+-Jo!rEG zC?t{gzMV4O(X7HWPAYBaWL1~gaGl$fRoRcQp+WRZWVUl*E}D!^x_DwbABeP_yiFJ= z%qv~}2C}Km<+exRNM?Hs22)Q^5>?q-$>zXl*s?nz#C_=- zB7U23HC4-?h%Sbm;w-RhxgmVu(q(>JVfda(-KRmNne>$#oT1l5#cGzXNn!B8EGnvIw&lXCuz#HA{T7XMZATD=4)o zThN@tPif$V;X4FbWc=#LFY5uyW;o zd6x%fNl1T8H)0WiEgs#5P21k9Sh(&p0V}C_f)S#;xnRQX zYa{>x!Ekn!;ziLB*H~u@p^H)6j;sqb9dt!9?yJI^o>viit5E?mA*bDq*jA7^zkQpLXvQ;i`gbU_*OZ^lnVdA zvTA+cgy9#$D{$(`%6t?}?pKa-pnIjG2f7O}Nfg?2hdq)*io?DTy8!_uOE;txteE`~ zX^TP-q#Br`m(z5)?J4J-*>&%T$zN$V2%`|E6QaBb(&30#nAD(zFNYAMM7yf0*}NbK zIXSp`&_tU)0g7Au&EgJY2n2;~Dv8-npdk{`lvesNzE01hwBVHqQXs^^`GN+Ex@ud` z!M33i8$LE1l@K{>aTq5XdAb&aG4`g4ltNS21+meCuD3SFJn@hh1M|~LBSFZ6N}igz zrOQ1mKEytg>t=|Lfs8`rT`Y_ma#&e#k<^udk75dFXgVDEf!c%cxq14*+`Nv1&}EAm zzgJE1(ldo<9At|SF{_d+&&T1u4vX%o$T>$cTb2eFk1iY^)YjI=nr4f-XcWz)IoQG{ zBP^4qUD`iZu4k*_`3KtVKB(96SW_qq(SO^DVr7IB=2hNVYb;gqXQgck|tgL!%l|N5j?vrDkN6k6znFOZZPY>b+aufmq- zl}_%vkrkkstgt#s#PsUgZb|MH1VCA}&A*t^Rr{4UPI>_(x3auv526kcI=tN51&!DG zY)+Ic?1c9%mcD<v25Hb*$)aY=O1$Z`zE&8Tx!APZq~TqyI0gQ`slL?O_oK8Ox|!yoV(-Kmvx6zGTow zl;+(D8zEKe7U3`(nLkG^gcs!bsl>Qo_Y-fnnI(wtDDsl5T zx-&!^d3dLvo3Kw6HL%4R_FqfCy8%V!ow;c={3VR=QQDLY_R(6~xI25r@(rpBgzg?h z%}Sp7An2yFFxr#{C>PMzRY3=-j}6Pw4W_oq$yUVPwuL;!ic{Zwa{JH~;u%AOS8%%790ED0D|pasHP5i$kPQ1+A?S} zYJ}C?+>%81aRpG*3>bO5C8BXwf}$WR zW^K_jnh6=>IJlif`#>zp8G zE5&g0>P%hICH432$(J?g=CJZ+JmS8!OFMzdlvS3nnbKxCqluj?GAOQD$n+^SZP`{F zoIL2~99wu`upOZv%ub-oiefCE3#5rQ@yO&`CUrT1w2(E#3qz^ItLkw=p*I)j<`PSQ zWD0Qa3RgUm2)d%lUu110_rW5}49a;x9U8S37bPe?XOz?{HERoKN=eNWWR9vO@B=*} zde-=;FpSiASXW;X8|bD^d_9cBQSL%33C+Yj*wbP3XV2XOwSH=q^On*EGSIO)g=cfq z#%@>k;KT@)t|l#Z9=N8RnRgSGygXyq)>`Qry#?Rav>JcSl6rkfu2%94Y`RtwG87iL z)N>nA$Hb7w*iYpDQz2EXHR|&I!Ni4>!@q8E4@Q%T7W!g{F*lxr8Lesf=A6<<@0|~x+1c$~(^-I#LlSF=?v?hM%SoIR z8c&He^h7)*#u;rT4-!p*apYvs$02fobD>)Ae(U%W?URo*JMeiWf6oGHcA7T3C;lw(+l>*0OZK zov^|v=0->ixtuWvvUDHBK2aXQKxI)eanT>2R@q~5Ri-4?N2)>2_spt`IAPb3u;tsR zWp{2rls(^|8~P6e>#p1Sv$)8U(FiT7$Q_^)QjUicngOovUdfg0vdR+qSK3Uz2%bP_ z5ZG3iCpnUm8e28Jk&QyrXMh}2xnJziWlCJ&C1y1(Yofgv&*bG@`# z*Le}kiYVF*G#XHgjowF6DjvIO2HLtea=&$D8UeG&JA77opr z-Hj}iI6z|otjfMC*;So4@SB%5(=1nZZu5VH76ax73}rOwB$tv>i#A=0%PUrfg?sx$pW-ii7^iByBrMO|y+ zwe6+rIIIm1ujSVId`L=q4i}{hmogxh;-`*GA(2THCSH)cC`_kElU*dAeTXSg|tkNa5 z_A_V8DoEC4C-*w|F*^==DdHzBO*RxbN9L zjpqxg#xxpYe)nFm9UWg2O5oN|qnDvqEXc?&%+EXmZlw8H*@m*Xcz66gSDCBJ-`K!N zokQHL(RN7;v^e%hk!K&&ES~-J>&z-#25FiIodz+R#d1At29ib$Lx zu05TMZD*-r2gEd2g3~;u^TH0{fufDA=X1_y-BH@=L=i2Khw)B(99D8sU{%iAseWKz z)`Db;ELT6Q*61l08LoN_2YeN$=A<9Kj_V? zr5T4z?Oc`=)zCFp_9U*n>FtzFK!KlfSA88Pl6XUTzRO(297z$qr zoGy-wWxa&}NkqDTXr;;z1(QHBhr{EG*2ag%hiCfO7FN8(bzRRw&5#LCV$FhB!sZBH zjyoNzgc&iCb?FvZF$OQu(8GkLmqNv-BxLNAr1ptJ2{GSg&hMAQ{Yjdj7KXqW5sy3y&X zQR_%#w~WL7^3_i{?T2qHuP*t@DGlvA`qq}z}DCUDtAJ(^ZomvY$R)eVfclAt2`>GVeNO+w^&u={fD zV=7?KMWEs2J1b#`@nxj?p}0W}PgEW=N#OD>V%+u?M&L?Eb4o|}PV;iZ{UYv+;Etar z_VI&U%|ndDPKlAI`=l^tA&&&R*=0xNg{34rX0i?G6-qP5K72i5RpC)x-lHE8M2slg z%7Ri)0(8XSEY*lIrZ2H4%d!(KEQJY`=2`ROCJ8doe9Fz#5> z6X%?kRLbux=5%$nPI_zN0B8~#f2TdcB)Qs&viZ|RWNsbRtJZ5GsHX-OO;(Zw-9E`Z z$9aF-*4UUkRP?10rba(OYCxnBNv&bdarK}bMC;5U=yFPh3%0acDO7hmg^bZA!La8A z3WTWNh|7ibPvX;qjUa@e$f&?XAe@H=C0jT2XAy$z>xN3D7xZ{l6QZOKxU1&*SW6Fk z?uk)Q9xsutSO~72i*ezOfUqkK+beVr2KzV2RnhT07lQSA0 zOW24nx!mcN4+-*n%WT6talkABhrGYE&R$9;koTXgY~wh-!u7m)zGm2M%&*prDJJww z9I@&OSe{xxEF^`~2=nHwk&G0w-*4iQlYCO46hFmrZV-9w=t!YD~1r2<(#Cp_I$-Sf$@SKz8h4FM^OO?kh~;?%(twD|t9)=fitK*89CmU+!@L&tg6=E4fjB==8$2lGvY8eTGOQ{3~&vC*e zjw|)u0#6V%+t`IfEXuH!Rk0Iw-&?gFuRNg5$;o}%zvk@4E&=iR2JLdPszhp*G?Mqs z-8-PtRZ$v(gvg^7;NPi(m6yD?ZxJY~vQLVqhiN&gc*z=SeML7cURw*Nf+_9d-d3H! zI=i3*V{{5t_G-Bh$Vbo8#mvdpisC#2S@4t%4CB-)f7kK`vJw{NEBh8C>P>7qyW;)V zla<9coj7!q`fIYibfTH|Q9gn3xlyu3QsuO2djk_R<_%Hb41YCoJv5hAs)WkZuyagY ztw=DhJ%mjK=wP3vn)3o-r@ApQ;y9)Cc7N%pLeQVO+ig82!o|2zui6gTHf8b|SCw#K z_l?fxX3%7-)Ig+ShC5uY|)!0=Ih@77gKzUC{ z)&<+lBiA7PxG*xB-_MI85h5)QZ|Ud3(fnW5Y$RWz0#$^+dp4p0laE%HO2yxB4OwY%+yKym@3)o^QG=w*|V= zxoT;(KXGa!eQZvtdeU$p%dlu@R&>XtjY|y?eI^@pL0XYNjqA)xGHB;DEfcWeSl`vP*>$nt7UDbMrEOQ{CH9JZtESpV zqhS22A#Tq|1|!nflys(oB81(<4FieswMBP!Nv+Yf^t0;)urj9aq?>8!2s6jDAIlkW zHkoy#Qm?g}N36!R%0RDJ^M#Nqz8l+^p$adE+A*pvUaqEr>@g$9DLQ!QTlG5ubNsVP@x z`~(ndrF3L;U1^5x-%Sa3(Jw8y7cCWMlvaQPp^I}Y7^^M1#2R7iW1qQ$HDVElsQ4@r zKW1Js$UuBq=kxF#85QvxBvT2Zw%X32tehQjp{w3D*Vm`i8lib*F)fJe#ZzkSrxYux6B>5wWjV)h8ULex2lv6=l^M+c zSl#m7Iyz3t7R^X*$dW>5k<4~s1=w%6T%HXB8%6U_J>Q0|aUIcwbJkj@w-1UftcW&Q zTZj|BO;*OeQ0Y1ohjmO`@xsJ&cZ)hx0{g&59PijNDHC>b%jOO>=B)IVcMA1R+C%gE zq=riqN=Mu*8l#AAE$@T^gsg%7jc$I(k&AMKi}g-*XPukshEMmJ}L7eUxKDEW~=k z(mVNk?KTrh94|cstBP6ALqQ{!u!JqcYs=>#@?dcy%SB)dF(VGfuh^GvB3b7u{@LB1 z=M!X^;d4O;R~Gsjw}=E4CG%yXjgf%sI+0b?CNFLW`{LbB-JgU?R9msVRM$?DTcRHy z$`Hw36G5`~R-T6(CMrf~qBqbaF{q(tJl~fSie+MmU9JHUA=F*$?$y6}usH{wE(JH4O zk;$k|0~?fbbxRDuz>~lrkt0ax%KHLglhY#v8ydTt@>+6AohIhIHfdGEL5(V;mv^^i zTeHp%p)s*?uId6`-m4&v0_88r7Pn|>L}XfQ!_fi>M#gcOUkJi=nMm84erVx5E&zKxcwisiQv3y^_Hv}ozSj&`t;3i>HZ zdX214{`862Z)@>%>MuwzC!`V=F2zbsEB_pf1nd1J=mH7mY8R**J3G z!qGiunw8FJGp+6Q?NFgA)pn&-1D`uM#$KaCn*q0a{DcIulV2i(lObOe;3zn%a-F}wk^AVQa&pwuVgnZTv;iU zwq-k$`kmQ{Xz{wxYG?RM{@9l7*82S7?)*=!KRYR}C*?g!d0$dKCn-N5DgPiT|C_$g zjlyFZP|m8^6w?(ha}~Vq&!N>n@Rcnr2NpNd|^_)I4NJ6ln*B5!%6wFqx2m zKTXOnO3HthlwX>ZU!Ig-k(6JRlwXsS^O+0ebZ%N8;OUi$dl;4?@-<_1- zo0Q*|ls}M^Ka`aJGAaL6QvO&{{_CXt$)x=0r2N^W{Q0E(g{1tqN%`-R@>i1b-zVjN zNXp+x%Kw;@zm=4~la&7@DSt01|0ugv;mVfmzJ>DE?21BpTlU&Q`IxNu2FGT%hy_G; zoouO4J}$emP`+;VhC=yz*>?)%>t`ozjURY}?Ce7M`0VOJ`G(nF6v`)L-zt=El-+b& ze7_rKy+ZjW*(HVYO|usk$~VhCRVd#)`_Dr8#O%yt;``qsdvKwA%j~Iz@~yIK3gug8 z-!GJJliljr_u3?AYtX_nXP;h4LM`U zP(CAjW}&=2`*5LrX7-apxsu)Sdhz{{51~-5WsfYB>)GoHJ^xy3DCMkaf?B zHYtB2DgPiT|0*dT0~Q+h&&`wa9g^~yN%=lW`Tj}yK}q?1mcOO+u`|02W&cmO_al?? zlaulvC*|iS<(DMoS10B7B;^mVEGx5{z#j71q&_=zcyRFWkxToB1{aNL)$POV&WE!* zkFv`zYHY7;uQ%(p(XhU;(d|?l?Ph1Q+v-%B)mCdz?bf;@YX*<<E;i z=WlP|hwWOo(rI?v8|})d)vk@Io$BVWy*Wf;xgqxG%KbOTgTqG-jt}7%_4@WstG-#^ z7*{rG<7TZoZq*u_!(n^W+8orYquOwwKk1Lgn}Z`49_}B$@>2a_wYk04Xpfu25o~GW z;h^4V)P@_I%|@kxY)HdKx8AfLA_nTvkxTKYYGwOyIIguf$D>Z8(->AdgL-|lDJQ=+ zhV{y*w!vQy<`( z(CTb92AyWL-QMg}HX60ss99@wnq%uZ>+^?JI|DqW)!kknAvwZET|TF=f#)^rgJx&Y z7&ThsdZ*U0UK?I?=)j?Ro1L+~-SCow zh)@OW4KYpyA7M0)!MKjZ`$0f4F*m7D0|#_!y$fCYi%Eo zn;V$N)yy>`xciX6MRBM|R z3=q1}Mt2|&sW-P*H){3GZgtpbjXLdmYh&CQk2VICQFFWj;%U_Ndj=!6t`921QL{T9 z)H>sKtBqun?N(=_gRe)9q3Woktw(ZCVXHZ8RJ-HX0oar?tK) zH1Bq+wT(e%hz}nRDw`O>acyHbY>d0rdZjzG=7)~hi(}C7j?LC)YlxSwkE_;`-Ac8N z`7mf}>+u1;c%w2LRyRke9AE@$^=bnHUTJhY81d==C!onB8DDnjN}VAf^x;NhjPcqW zj4+qFm@3s~b1>|-EA7#sf%rOUf8OxI3+!dkcw8Abs<0Rf1vM(@i$)8-X>3*po7l-N z&CkDRfN=UNHtZ*Z`o>_;9gJ%2#;`gVbvuJ*t5ePq`$$=W*XJgPE*6YJs zdxWe`&Gxv~7~soW-Rh{>K#C{p_=^tn-rW{%(Cy+2I<@Aw2GXp9%IlrMpjsU@>h`r4 zUv|;(@WBi1ZHB`^Yt$IEhL!qe3msd>7j&yFyvP8T+D2=ahLLIyH@eN*XrtC0ZVszp z1t5fRtI`%4Z@29JmtJJIZjGAF(Qva4Pt&L}Y_+T1F@_)X&>9TDu54H@g*n`s*GHR; zabwg4A=E+f)oOP*#A|esH>i!@S@VO3Ij$}69sF-l15@d?hl6Ib-E9uLoiV<>wTaV) zq~i~b4-PNk+tjPg5h!rfst-HEE)vexDqTsHRRhP^s5gvkKn8i&G^*px_OMk2<#(#x zN^5gmX;<6bVWqKA1@&y|Bf|T%&* zM;z5KM@N-UMY>TqM&#X(7(alKgu<#xdBFq#7pWUEo>4vYpa zAF&@dyA6nw4qDeM;L)ubD5zCMvj%4GXlPu~2eb)Nth9!M?s!ydjM^ZD%0{OOA23+M z0B#F??Z5?^O;A*$jkl?zH@dBQz1gZ`XgBKPc5P#m6V>kx!BA;-@WggUI64^6aMq|zLZ z8yg+a18xGrHf(ZUI~QoRFo!qs@L{XlYJi;%+Fg;j_4-D=GH4k?inzdLyWRk|tu|_n z26z`zzzGNT z{(%crHZZ+LEzGzfJ{(*TUDUxav>VM02o-BxOgNOjzJ4LvS?nl=Iwf0I&=VfZ>czHw?)6f zD|TwtQOkHLn%As3Xs?Nn9F7K^&Ea5(d@Zpr9r(sp zyImRJS>Rrv=vK34U7s_VF2qt7l!GZLf^X2+#8e%0H=qnuhSiagO<*#E5oXZ{Ob%L9 z7kb9H-UXd^!4ewvjn;@7i82}X4CKqk5FLl_lvfhh<9G}evi1w#3eXW;E(H zkgl%^Uef?O7*$&10T>PRrgp1pgkZm5|BQxR@Q96#TDw~BHX56dg7}msl%z&$SaUr| z->Pms+Qc9>2dy@wd;{UE5Z&E6NCZR;A=n()Otfb}T8VbBQQg?w0LQMO8!PpZaQWf5 zy9w%VgO4J8O3q%J_6zVP@LfzA4D`6(7-PJucw}v((g4?LQyGi-YZJ6Q9Mwf$ZdRa1 z)LPw9b91yQ;tC{eeRX)qZ@|Ff-jGbA?hxri+tm?J#Hhiz*OsaV^njyLM9P77kSS|2sRT_Nf_;AssK z|KXueEokH8O08MnXhUV}Y+%lBg65l0GzU;{NuX#>>ZxyT;M=Q%2BtVv>@nQdV4)54 z<_1V~!^Ah5lXTjmTvZx_8i;++?QSB1yxy+1MBUz~S1UG1LGBDXBKSI($D=`|(rw^X zMjKEg8qFc7uWDoy$r8(aKu4-oP)HgNc#NCmRz!0>`lmSnK& z2&Lnr*Z1XjTpAo4E$Z6TYq5tlwA5@Oq0}EE&f;*^KZLN4ONN8PcnXLb}p137@C(Gh#-3sU22Lx}qCHt7*t+r(1f!uoQE!j5&ZzGly z;O(}k<$UW+x2Oet>rJ+(C41|Qw`5|`+ut$a1+Tj$+aq|~mh2qC>uk{oh^@zN$sQu*W42@?!EIZz^98qV z$u1P!vL(Az5SE?8f`~e~Lh!d)c9r07vh2}<|Cwcv7yNaWJz4NSvg~Ps|DI)kDEO-^ zdzRoYv+TKozsRyb75sUY{h8p;vh3x8Kh3gN3BvvGI>8@j>M7U?Tio$dhM8R~@q%Je z7Zl6*)*EM;SeUoMEH9Sgt!PwXy;xqQomeadmx;TG4nZzD(oj4|5PQ04K#EXfKCjJre|vxo-ZhV48i*lA4Pl-@lC`J5x+qECGmQ&d&}?dLfj-if%tCX7l_vb z@0Rxai4P+_j`&XE=ZW7U{(^WL@Ot_E-HC^YPba>Z_-5jFh!Wr^zq={%&ct(xhlo!g zK8N^8;&+I@B3>W&lKb74_uaKT7;t;t^ zUl6Z*SG(`MiTjBMh>svXo%mwnn~5JLev$ZNV(k>W@7cs5@d)ur#OD!TOT32o8RGYe zcR$tccNX!{#OD)VM|>agr^FkcX1}`wu}a(|KAQM4;@=T}LOkVe_WK@joj4|5PQ04< z0^;k5A17Y_bi2=;i7nz1@d3mOi7z3(hxjSt*NHzSZo9kP_eA0;#5v;Qi7z6)iTEMn z7l_{>-u4W;Uz50lcrNh*;v%=kf`NVe-KSBH&@rT4M zXWIR4M!XBLM_eb4iLW4jfcSahw}`(WUbkZRy$un56y=wf6Q4=Q30K9cw};tPncA-;?FFT~#vk8j%j zZ%e!zu}fSc?k8>%j}RYEd=~LF#CH)tM*I@-JH($8k7?QSZ$>BI)HL(K4>v_FP;Jn_cF86wmIZ3i~12=<_O9YOp^+vELj z#p?k59=$KFUzgYY{@bK{Q(iwY%Wf`ZyeHI9MTkR1unom~66XYOL3`vaGenG`jE9~h zcx!AI6ueEAohJxg{9-}e_pl&z=HF-eiGq08(*&WjKAYEHC%985Ip0lf|#?<7Q|e7u^?#s z)qduQ2K1@Dt(-xj=YmixC85Y!6l5B;BuC&3*JA=9xQkk#z}A`%N{1U6Lw?4U0L=7 zK@9FQ1Xr`{d4gwW*~sj^=!97{_LBYLQ_6fm#S@uQ2{aN;PK@i7x1<%Fz z2tFXoekJ(8Ec>nCgEEb@7dp9tj2G}GM39)4oh~utT6X%x5NR1*ujq7&uF^8PKoOs& zh*ws`t0?w~W8wwGhY>F)K9cx2;?=}w5T8wa0r4foR}x=Od@E7u8Q=MSmOn!L1o3mk zFA={+{3qgfi9aO%ocL=ZTp;>=aGfZ^<)WzckLSXLqvbmg??ODCsPh5s+AQCjI7eJ2 z>ij^vy(~YFI3S)!)cJyThgiOn_$cBNh&q4J?wKqazn%CV;s=R3 zztHYeEdLGh%fzn}b-tn9_gVfi@t4Hk5Ow~c-SvcSfj1(aNW3jk=OgM*VfhSVo!BMn z{6xD2md_%}zBRf3exlA-l!q)IAYMW|Le%+-c8_8CNyMiU|Cp%r8SP%o@}Co5OMEj? z=QrA2!}5oTA18i>sPi4|zRL19iT_OeSK?2J|4#fJ@z`zly*D7Ae>;dfiR;93iGAXjcmeTY#LJ0~BtDLKHSrn5XA@sQdaRz<5%EOgZHadzoSSNOg_a(Z&?ktwi zChjLbm^dUJAYMY0eVy{&k03sV_$1=fiGNId9`VJ*KPSGH_-5ieh}RH5O#C?UGsG_v zze@Zj@t=wRO8hDD--*8?%05$h{~Hi*LcAsMB;uWjrxDL2Hi`En_J})(JBjPWbBTT8 zn0Nv4VZ_Uck0d^hcr{V>yUKe%oA?6aONg%|zMlA2;=73NCw_$Z3F7C7Um|{u_)o;| z5`ReiIq}!TEyvk=9Y?$&@n*zZ6YoI03-NSfmDncUn>a^YChjKgB|eZiAf89Oh8js&u6*d>-*);t}Fi#K#ezLVPCixx^O}UqSTs5V}4~Rb{{s+<5j|joj@3|3C@^uLRJBfH_qOTikEO&|bBkmyXBKmsqK`g6X1^0a@ z%MT-7LG*Rv6Ip&b@ma(d5MN64_2HXXeh2Y=#E%d^N%VE$S6EKzmh*uCFMSLRh>BMId-5&B%mS0VL6Vbo#c$Pr|N?$M`D&CQJ zD$)7n|IWkzGv?tpIUoOpsP<40$uC%TyUa1PBiw*^Q{t_OGeoz?Y-hPiyccnfcz>eX zVa{Q>PuwJ4L_AD%`^#flzMA-l#ODxSM0C5$>sWp(@!dqVtKxe<#=5ru^mtactL)+R2NE}k2Z)yv-JbIM zEI)zxG~yoz<|99=gFXelteDNK;{yySIh@T|>4e=|) zZxa87=yu^>u>2b$LgtkYZa_rHzLwn{Jj3!S#O=f;@m@r?1K*$Jvx(;r`@~J6+kX$U z{0QP>iB}Wb7qR^3#McquN_;ob?Y$pk`7^}7C4PUqp_2w+!mUuGpG-8G5cGvr`yg*zbt`i?XbbIUhEMG#r zjQB|6i7z9* zhUoUvce4C`;$IOzMf?KM?WF(6@^^{8|KgV{|CZ?X(c@{~yBYB|#5)pCCAwX-#qzz0 z^Te}=Yect)K7{4-h!+!&5U(QQwRGJ6|00K9!tZ${(bv~+W!dc>|I_~3|5Ml7|EuTQ zeEMHK-}>Q=l%Ib=yoUIfME8?^p5-qSzd`(G;=d8yKPvfGMc!<-T(P=mS0PJ3-MjV4-nn& z`DvEFNc?-^KM}u2bbsfsSpFUHI+Q;*B;K6pe$JCwK8;u*wu$#4x_@(p<#pl%hy&vJ zME7f6#_}VHk0(Br_(w$dXZ{(>uOz;K_;%uZiSEbzYnDGpl>E0sA73Yahv@#xpRxRF z;#SJT>k)5Ebid{8S-uPL?!-Fr9z^$7F0s6uxR3Z?;)v*e%7ZLlNqjW%NyI-Ox_|Od zS$-MuHN-a)-$``8V)>WE-x7U4=<&x{|GFRYHZ0$fcq;Ks zVvFeh$9a~|BCZk7B|e1ce#eVhmOR2Tu2&HsM|=v={f*CM`NhOn5MNJx8`1rYA7c6A z#Lp7HMEnP$`xk$}@=uBXLEJ+B+I5NUSG)zwClT*VJe^o0x(!V7&4C`q70d*Orea?K%*v<5|yYZMUt5aQ8bDAujReh>HYe8clv+leCM3+yz9F5 zb?;}d-~R2jpS||l>sjkr&raSy4A!@`>R|(;^Dtj1@8$s>lyX;+@`#jhj@%9n8JQ~8fIcn=4Vls;AOmuRe3#c zV$`p*nQ|-M&2H?&fgHv$oWvQN%cvjcv&t{?b*|<*M*TOpDDUKc9^v#i{E_Py1-pdC#g5x=rk230)xk&j1F6Enimr;MrPnEym z9vHo zAx>x1&+>8QXZR9d<0?k|D?d{Hj63)xzh>00@}zP~`|IhLh2j60g!LEor@T=45|(8J zUc;y#Wj*Cvc?a9DBcuM4{gm(LaE{{?M*Sw|D?i2O`6}OF)L-%gslr7kfQGdwZ$^$rrqZo}36!n9g?eT?t znlExW-{O1Rz|GvweLT$L{F!H^O;}&jGBb0r054!kUe2pojWt=9jd(j-vje-cF9$Ii z=V+|*WX|L~KFR0!3Rm!LzR!*PoV$2{NBIMPVd``V^%VY3PWb)G#yl*-aIZa3}Zk z2*2kkrV2Wkg|DBUS(%#!c@azT3RdK`ypcDvG4JGE?8IpNtb3Io;0TWAR6febxQH)s zDc|I~{E(mW3+~}Te#@U2{?$-eKjHr|g`UeC%*P_Un3wWOR$&d+VME@=VD}^8^Wg9M zM@Ic0i7{<3|0(+jzVKqkfJ5zx{aT$g_}(`2v^nO}@(y z`6;90LVJ`C@>~AIn0DkjJeN6`k41PfFXff2!Wyi@hP;g}*`8f_5AWkpj^;z0&N+OX z&+sL_##LO)kN6pP@JoKp?|70awI|at3(w>EypWf$EGzID-oSdim3OcWJF*A+@qP~H zI8NasoX@BDJYVG-e1{+K6K>^he#LM2BY)-D+LIZXoq1W9#aV{sS((?dHXE=hTd*Cw zur~*A2uE=uALeW>Uk>7f9Lvd^$$5N|&+!$m;M;tk8~HhR@c@tV2mZp; zwm%t|jd@sz#aNoRL@xR^`0j4Qc@>$!>BxR-}` zj3=1F_9qQ9F(>o0C`<4%Ud5`so;UFpHe)N^&2H?&fgHv$oWvQN%P06OU*_vv&2{{k zTey?^d4%8d6jRxLrDs;=W$6I*^ z+pr^hupjT|aE{{?KEh}m?x&QWXLOzU8_MtS1AfA-jIJ;LO8Fc9$X|K3<9r#Joq1W9 z#aV{sS((?dHXE=hqwC1qDR*IS4&V?**N;zBeweelkWVwZZhX1&TYQfjxS7%Q;`@{j z^EiKI_&?O)_bs|kJhO5x7T^Ue$>{pwBP@p-<=H~0=e;3wS5 z-TaE*@JIg2v+Z|gWOn9dVHRf@mS<&N$J%Vbrfk7>?84q0z#$yPiF}x|xsXruMK0%C ze2*KrncKOKhk2Yo^DO(DX_=Y1Sb!I>BroUHtj3zG%SODNt=WOy*_VU(AjfhtXL25& z72vp|9_%!oL=(yYh1;({D{%`O*@po-aIZa3}Zk2*2kkrm~-ro>`fj1$hxm@d{St zwY-rxvoY`FUF^i3yq6Df1jlnKALV0Q#22`fZ}MG!$WQqN_wXRULIy3oKO$R?cs!aNNx`&)Wd&zd-xLV;0(`~NHZncwh7{>rnp zZ!U^{kUZw}xPj^ac<%-LMXr}-k6^DVx|4cyG_+{eQ_ z&YyXf_H9~bW-cbLkAH1_JYc>1v*S_csQ+la%%+^1(RsnBpI&ra>QeKQ*UyB{rGWMH z0+!_EyqeWmlXcmMx3e`nusi#55Fg}NPUcL`l z1XDQPl!lp@llfVcC3qRHV)FIM3E#Wq>y;DgA^CdcgnCH6UOAy2lCM`zsE2>I>y`gm z`?>$D*Zo&LukdH*dz0IH3E!`ORC_OZe>$OlllP|+>LGc5I-wpuw*S9{JGq}n_&rZC zdHkP*`ukTK|L6be@9yJ{KRv^j_!?I+I=-5G9zS9IOzzj7P!Gxd+7s&Gul8&Izq&nH zXnXPdaYg>Df6$-p$Nz5~C%@A1@+z#sI&8?>*pltpmG|&I4&`V*#Oa*F$N3Cj;%i*R zwfu;maR&-_mrZ{&UZ=NtJsckuv^@(2FH)WHTnygoDo zvoQ|~u^3CU94oOpYq36?usPeZGkdW=2XiDRa2jWE0T*)#mvJT6a6LD18~5@MkMRUk zxK1PuGchOgvnWgOGG4{1yq-7l7B*um-py|8!+{*eF`UF1oXaQpEMMm9T+Ma-m|M7$ z`+0=l^AuCLP9#0EGB*qIB9`J6tjKG5BX4G7-pRYzi9LBQAK(a%=Ttt*$GC_ua4Fy9 zyZn%!@(b?aL4M1h7}GE29G=S@%*P_Un3wWOR$&d+VME@=mTb?iyodL3C`U8;fAi_e zbND!);Y)mttGJdQ@iXq=m;9RF@g!5aemfnr@I0Q+3wa64vI4K+4Xnpoc?a9DBYUtP z@8@ui;}kx^`Fx7c^HsjVclZH6;a2YESNw)Q@>ia1{K|~X&b%zl;w;1Rtjz0Jn+@2M zE!d7-*qZ}5grhi-4|6sb@@c-v<$R0paRWDVJNNN0kMn1ql|JEjEiE%M7YpzLmgMEU zn$=j7b=iowvo$-gJNt4FALLk0=1k7xlYEY^a0TDy``pOSxr+yQlt1tnrZ#?M24-U( z7Gg1$W;s@3b=G2iHeqwNWoPzc@N=7dz2HCKdcmanXXVk}D#T(e&2p^7>a4~3Y{KSj z%g*e@{v6DaoWNwBP@p-<=H~0=e;3wS5 z-TaE*@JIg2v$d--GCT9KFpIMc%d;}CV{JBIQ?_6`c42Q0;1G`DL_W;fT*#;SBA4?m zzQ+yR%vnOQohM|`5`~$7u>^x{FXm4rag5I&t(qgV-a4=OL--$ zum0rU-29M$X|JO z#)S58MrLPT7G`mlVR=^Ob*#+>Y|0jF$1d#60UW|noXCecn+y3gU*vMW#rL>@o4K9) zc$mleGtWBL@f~JnE*9VgEXm7xHLI~E>#`AVXKQv~clPBVKFG10%$c0WC;1#-;R?RZ z_qmaua~BWrD1YEDOr0sAo-!~S^RN($u{6uE605To>$3@)vn@Nb7yENCM{)wEaTXVF zF_&-|S8@&4a}&35FAwn;PcTL1g!)OtOw7srEXoqRj90NLujfs?h0WNCce5M&a3F_q z41;Dt^6{}a$H%s*pS?W9V?4nWj{BrxCgx;*CXb_KeRKRLD|53TlgH6YsHbxs2g<>G zOddxop?>~R<7nOLxY8YL!;b91e!QQWc> zw&Ok-nVorAn8jI!@;Sc36?~iT zb0a_JE*{`f{=i?D+Hs!@%*H${#9}PXa;(Jati}3l!scwt&g{kh9L$lNz-gSt1zgM} zT*j4L!}Z+6ZQRR4JjN4D;kZv4W@1j}XHk~mWxR@2c|C99Eo{bCyqn$FhXXl`V>pR3 zIG0cGS-#BIxtiwBP@p-<=H~0=e;3wS5-TaE*@JIg2vmN)z$n4C^ z!Ys}*EYHfkj=|4na(gjOdolW-(B10k0FN*l@ALQLFDKQX;;)W(6ZNaOR(taX)@3vv zbJU+d8kZ@#e?`K2o!q}7p&pX^S0vO!a{r2idPweHkx&o+Y5gmbfA14M_qBe%|5dKr zi^jK0>i52$-}_s62iveCd$1qx=WveW6h6ZFe2ULA`8wf*^(FZ_;e>kltJeu9kN2HW zZ^`3*C)C40$7zRg3@330lmGuJp}s~qUOS#s`6!eB|0Uk>7f9Lvd^$$5N|&+!$m z;M;tk8~HhR@c@tV2mZp;j*DhsHs)a=7Gr6aVVV2t z;9@S}GOpwruIDCh<6a)(F`i%w$9dB*6LT^@i?ReS<5jH6>v<%j%~UvLi(@>~AIn0|ui@Lc9#J{IA{yp&h63TvM1?5GB*qIB9`J6tjKG5BX4G7-pRYz zi9LBQAK(a%=Ttt*$GC_ua4Fy9yZn%!@(b?aL4M1h7|W4RKj-jV=3qV+;l;d^SF#Fg zunrsYHnwDYcI7?1k3%_{4{zM3s{nu^J-ROP1a>2-p~LOo?*Hs)a=7Gr6aVVV2t z;9@S}GOpwruIDCh<6a)(F`i(GJPGxahMAa?`B{`Dcp0x^RbJ1Vcnh1c74K#@_TfMd z;}}lj49?{fe3mcsb*|<*e#|Z0$^AUS?|F);@+Q<%dS+#A7UV@N#Vc5m*YZZ*%*MQv zcd-+D@?Ji`5ggB{e3XxI5ntd^2B%Y!`}YO=cx(So=ljocUOX)`GZzam`X9TJ%9rzM zR%1;@{rMUx-_F+T!0znJL41&7Ihiv#k5BSBzQPrJo9}ZYKj$tU;8Fg-Uzpl;Um2K< zd02?WSeoTniPc$)_1T2Y*_NHzi~Tv6BRPT7IExFom`k{fE4ha2xry7jmxp+aCz!%@ zUul?$IhmhDS%R1GDpuw7yotB48C&sgc4Hq7rmB+lSmKEY@CGGFIvuH(nt!kygD zBmADHn96le>6w+eS&$d86t7@KUdtPKGaK_x-o;Mr$$R+#M{qo+@=-pibiym>}uXI>U&ah73uR_1lA%?51B7Hr2Z z?9Blj!cm;ahdG-I`7~eTa=yj)xPhCwo%?v0$N4kQa{f9kGcy+p@B)_P<-D5JSd(?x zh_|yfJFq+Zau6TnSWf0l&f}AOj<0Y9-{$+=$j`Zp2Y8e}@E4|b9yr@dQ&if1QSzn3MTg zlqGl>uVPhR&zpD)o3Rz|W;gcXKn~*=PT~yC<@=o7tFm@-B8_Pu|N1ID+Fjm5=f)#+t0lM!cP^*@4~J zmxK5q$8s`favq=Lb9{v>_%`3?Mt;s+Jiw#;fxj@d^Vk`fjd@sz#aNoRL@xR^`0j4Qc@>$!>BxR-}`j3=1F`Rg>y#GK5}qAbD7conPi zdfvoa*o>`sH@mS92XYw4a1v*5E}!7De3`FvHP`WDZsAVu=MjF-Q%vPNc6w%IZWiQ4 zEX6BWk=OD@-pt0llXtNbd-7gBz!4nJseF`=aS>nOQohM|`5`~$7u>^x{FXm4=KS?J zJeN6`k41PfFXff2!Wyi@hP;g}*`8f_5AWkpj^;z0&N+OX&+sL_##LO)kN6pP@JoKp z?|70aoyShcEIg0r^Fm(2vaG=1a6pR3IG0cGS-#BIxti*0TU`Tw_H?_YJj`FH>QPinlJPJUmb>*%BN?9qO}Q1eGI`d^}{%F*@o(fRghf8ZJO zU*s~r$#jd$kw- z?0x>LEa$)acKT z^`Bh-;dQ0|?BiESZ6A`?|Ah7P-}QGkdHa*_`Tg1P+vN2>p`QL($Ip}N|NmzFXSAP_ zjlUnaJ$e5p;dB49^*_0ulItnlPdwA}k;&^*!us+*{oPOg-X(wU{+{2v|KH;XfA%{X zT_;^4`MARAeub+Ym-(~p;9vbblD7wEwmtZ>dX4HaxUA&#=N0_4oi-Ro;|uhowZn>QGbu-%5B-1z1W{o`+lVI1Ww~DE@1RKyhM2!S8@&4a}$&QH#gz)P42&$ zP!EgrvwVR|`6l1xhy0XZa1RgiTmGZ^Z`Meeu-tXnkhifV+p{b0;e8y+(R_&0Ifsw) z8NS5VxQc7}5kKP&e#x)-9Zxc)aR8$K&5gEC>3wg5%P3B7mx5uXPWxBS{{@$YpMG9O z2HoIKm-DhPqw}3*l*_X+uVZaCU{khWJ9c4j4&V@u;zT~o*^K&iJgxj9m-8*Y#|_-f z?cB%1JkIF6N%D9w3F|}hcrXd|@PB1In7{XSq?+%|-@CuVV0lMy94B)I=P=qXMEgPi z({}c5dH?G9)xX*c+kl!#G zXDYf5CZ+v`w9LdD%*P_Un3wWOR$&d+VME@=mTb?iyodL3C`a=lPUjpx&S&@%U*jsS zS*`Mi*quq-R^8s5Nqyp?yb4Lh<2`|*Ab=QvK`Bb?8t z_&i_b8+?Z!@Dpz3ZhpmY_#=Pi+4iS0GCT9KFpIMc%d;}CV{JBIQ?_6`c42Q0;1G`D zL_W;fT*#;SBA4?mzQ+yR%*_elgSd67vj+I!Q zwOF4`*qm+InZ4MbgE^8DIE}NofQz|=%eazjxSpH1jeB{B$9RG%&PiC0(l8TqGCzy5 z1TW)Ntjg)#+t0lM!cP^ z*@4~JmxK5q$8s`favq=Lb9{v>_%`3?Mt;s+Jiw#;fxj?yaKJ2Fk1{YD^RN($u{6uE z605To>$3@)vn@Nb7yENCM{)wEaTXVFF_&-|S8@&4a}&35FAwn;PcVh?l+rL0b22}R zvIH;VRjkVEc@uA8Gq&R0?8ZJE$YC7ANu0sCe1gyNWxmeUT*r^Og*&;QNBBKYF;%97 z^(Z~FGB*qIB9`J6tjKG5BX4G7-pRYzi9LBQAK(a%=Ttt*$GC_ua4Fy9yZn%!@(b?a zL4M1h7&D&IIXss+n2$wxF)!tntil?s!-l+#E!m!3c@OX7P>$w9oX$CXoX_wjzQ$Et z%a8aOckoMo&F^@UDT5OM;r1vUv+z8g&kK18%d!Hm;SH?ETX_fDup@i0AMfXIj^h+Q z!ufoP&+}Ej!FTupKjBvH=2!fNKk`?eZCs^{%+9h=7xHPo$mM*C?{Nb+b36C(Fpu+RhU-vxOUum6#R9y5C3!ioW;NDiT{hzF zY|Rer&b}PP2RW9LIg|7FB%k9eT*0^bJ~#4n?&1L+P1u}m*_plApMyD)6F7~txPXhfgv+>+Yq*}9xQ%;xh{t$>DRLyNM`@UeIhmhD zS%R1GDpuw7yotB48C&sgc4Hq7rmB+lSmKEY@CGGFIvuH(nt!kygDBmADHn9Bbp zrDs;=W?84q0 zz#$yPiF}x|xsXruMK0%Ce2*KrncKOKhk2Yo^DO_Dl$M#9iv@TAOY(AF&1$U4x@^ST z*_s{Loqaio4{|Igb0+8UNj}F{xPou{XD|&d5Wom z4qM@Rl%83cn+16hOYsU;v~1bEP4C2WJ9cT6xY(k5 zi_UG^{Fmqd_eVOlXw^MP`P)l$Y~Q&}^b)~aiIr;ArBkOiorAaXTg+YETXYJ7s@1Pk zszuM9-P^b9)iZpZw%xn*>KatanN49|oS^ytx2bG!FF3svI~LsT2yVft@6)&6$5jj- zkK)2{9g~Wyo+z$)oVak^i1LN&LsIz~CW>nrC$3qdxK?rES|^GN?YWdbBit839Zeyaj?s4L_CW`A3CvH!oxNzM`YWWT& zit808?s%fO-f`kiCW^Z!PF$*B`w)FE`^1UMkSMNioVe_X;`+sj3&&iEUiaQOaYYlw z^^X%5{ugDGZ$O;5aE!Sq?!Gv26%)k`j1yNqQQV+7akUf0-5)2eVWPMP;>3kxd`ItN zaGbdCKQ^PdA#vh5CW;#xC$49rxM6YP`X`EeFizZ%L~+C8#EniAHzH2lq(pHe7I4L~-Ne#H~vdHz7{k#zb)wWSiJ$BC<*C~i)i zxUf%hR4;Sm#5GG4H!n_H>qK$$V0d;~~7#A*Av)~rKZj?X#kKH9fIhvw;je`dg=UWuy3&(d2^VJP*QND0r zHG1#C-B{*eMwP3>dkIrCFWl!&oNra_@Ms6d|`Y2zvWZ6X{*O#E5jAU6us8( z^Bo_WCiZyHpDN53u1iVfTU$F8D;y;YOe){Z3Bh_0%n$QL?bUEG{`P(?-{Bx%_?bsj zQu$T|`NHjdn6G(oOKSOg249MBy^E%#@->^7=5(GgU(4W@RKC?gz9Uhxz@+jm5AsFd zqt4}!wwi`uJyRDT76FKu{zN;E}xfB*S5n-qK=L7j*B z+6A|y-tVb;u~?$7d6MsU$duo{Z&7>okCv}|@L}YO7A`QUlNgCF^G$%q?Rv3(3yBg5EL$7)L#9gd>7Xb#+5mP@9&`? zUwEBHm@jIt{!zY7x5Q%M|1w8YQp=Yw_*32C=OpCo83ZR)e~p7L_lw~RnUcyke_rr; zq)W&bwO9XW`7#DS0A+la!<0$oTeUdYzF7bI1aV0%U!}Ie_dBD7i<7V8vuR>|G9@fu zzc~3G2`Xc4_+qA{mTyn+XT#G{C*W>&u|vmelf%3K~VXoWc7YvL*O@z267o5Ui-qF>L{n1v4*i-YRxfyy;ror+tACX5!e?T!ei@={ zNpBA-rb-(N`?-esqW0<^<(nPsFigz+=lPaoO&cqiEg|2eAUvthb!F z8w>wOHe9}_z4}MX*MD;?w)6}>k5pyT#*X?tro~yla=}IZEAsyN`#oME$aj9i@=cGE z@4FMh{&V&}&$lO7>Fec6$Tu@izAG}Nh*dv>^`&f&w6X9y#<2dP_Ua$iU*D`LV&V2S znvz=oN(L9R%npLWe4$BwzTXA;!uipZRK9gVzV$&+m~U=yODf;wV6es7QL@0K^3Cj% zHr70tALg4M+>*+-GsyQ|Fh81-%6BrzmnN7Q=35ZllFIi~_7t&jeUGN3@}=sRHugpk z6y|$8xFwaZN-(%~<6wR?C6#YgkgsbH6y|#}xFwZuYLIWhnXE7O2J2stB+R!cPQL8H zpqJtARWv2Fd_xANJ^enzd`}0rq?T_=kZ(+sB#4Xd{(k?tWbosAG?*FYdp1tKB6(B9 zUJ2$$Q&RczJrLvzg2H^y2e+h_@ADwv>|lO0C6zD3;NRBgB|&gf`R>hkX3MuV$QLc& zOL6jDonEw@t9yp|4kgOBG)}$_XP7TTaB*D0AWyh_%j4vG zFvxc%>tD$rU-&%>^SvG?U)lmGVojqDHHeGu{(k-280335m>K4KBTl|n!JqVO4dzEv zQu$^+7`$KkRtCXIeI8YUpkh&yATGN5`{i3cJZ)@{e5>N*Yg_2d@>Lx9TfVpBPFY)P*#6@!aU!}k%c&mYCfcSZ3t z%h!6+Z}~RH$(QTmGs`!6@^AS*jg#-EGt9SS%5V8L$I173FzDo&)Zf;rzvbH!C*Nx& zQ^d+dA8HU6-TnRNo9f}TvGG2SaQl(e`h5736tT0TBysXh3N9*cEZ?>``Hq!J5es%v z|29Rbf4_W(W~7bPly656o#gVBP7%u#&NL;Juk0hi{$vmru76>DCzbEy3YHZFyEfwmQ=oqWzTGXsOa3^_Mi8~$@gk7_)h%-L4YZ#<;%Aq*q1F9St4` z??+S3S_#K7qjLDV;S?@I;^kWuEMLLkv2gjq9MSTf3hoEHw_O8HPs4+JmqmrZ=(R%6 zO7!^hAZ~q@SnPQ4Sd=ewa6f#_M7zte(YL3GZ4QoeMN^b7cj$?c5?yh5ZcXtOMAP52~7=S2qX1dKl4P(K3=Fwh_a4KdI#1C21yC(lMM8Mfj%^)b)_-6n zaQ`pQ^81jBQmvvN$H}Nd`U7S3dxBOf`o9}Nx zkoGzwxpOu8<7Tp3rN7-o7>P1k;%yj}(NFLsBc-(EYc{biVvv!My!lfzk{!nXhmH$X zMp}o{%1E^R;~IK!A*z@_6un_$qC=;W6@m|3m-d{ty4ZBP!$!9$-QWw1}TShDAKT;UU3PRIOw}7xrS*>P7Hahjnm71#m z-O4}8|HsOT@_);M*l%&4pq<^hD=7(et-Ngkla{Nt1~8qZ%(EE#0J+gmz380 zYg5fg$*)w|5a1hCG4u+ks-ag%)eOBNs&42NQw>A!JJmGwN~o5h_k;d4^nOxpL+=;W zG4y^@T|>`U)HC!o1y0+x*K|DMGr&ooakxjofo|fJx|fw z(7Pb|7km$|b1?g(z5N)7GY ze8xXpEYR-##O>}>##ia6L+en?R&`o%e^X<&qEboU6t-GhoAE!}u-3W_BMX;u-{u%= zCr@R3!w{AP{bHZ)HCbYl%37}z9DU3H?k~wHPuSTY{}lLw`cs(f!yCdlOz6$VxRG)V|e$1@kO_}9W{pKov$xS$@ok+mvlAzdW4fFQd3^ zDHxynhW(t$V(q%;+%CV*a;vW_Z`htAdbVWAj=pU5`!M%=Vj|Ow+P7fObvm+Dxsxo( zZ^@Ds(QNf4fct6;w}ZVHvlOcWA}(Ri?|O0DyE1zVe99P6dbL{~WBIy<{Cls)vCoaQ zxDEcowrVuvjUyNz+lbqJtr*{+J@?0rVC08n?$3J09wt3v?Z6C{|1{w?H;W~YH*veR zFJpSoV7cnbl8*~H%45vQno+Hh+)jVO-l`4cen=h0H}1fg3>)rOIK|_s;mMLiSJ=<5 zw%i7qu;fQ&_Bqyz+j0SnUs!s5$}VTC-@RB8+@0}HA2NRb8IF>7p7Gbb7}IzgW2Tk* zsWh4~$ykClqaHSFmARfVLnm^-#|-WdAHt)xKgyUI?HGUj6>F@lM9~D4iKn+c5HM0k=V)SR0L1 zT{C)pktNl3vh89k#*Y}v^27ri#kn!}E2px2+XO~V>%(mZu{Ndj&5c5`f_E*4kx8#v zzUc>#JoFjMcPwN4nzr1(k;K~b(X8!!oFi_m$yUcc@_0g;aXYLl%Uw@1a!P-0?bfoS zTN(DSdIo#Tcj11mL97k&X6@A=mJF-J+QXe#{v(~`ZJMx8|2rHpu=K4Trx-JADr?XD z#oC86xIKWB2s6)EwjEjeUUaF#nBabF`zxERV!kluuW1~sn=MN+k1@V%=`Y&8ndQwt zGyZKomS4?h4~>JlJzvbWvnFug#hrikwR`Mgpq?dhrFUw{BlfVj^qqZd&HYNB85#DQ zz2%g~%|w!eJN})m{w$qm3LV+c*$>=iAxFZmyTN1FjV%Fwduz6xl&5^#d4cj`i?u5 zKIh}Y+0XsbYd-HUj$&6jpLZ?o_l{vn@6r_{=PyQv9pcvWG`Hy*w^IhP&nsuRKL|On zW)xAGN1jyrM%b6Wr(Z9#Jgzz;r#o;zS~C7bZ|;w<Z|} z{h{1`EWQ3C&ochXRsLP)2XOzOCI4QN(v`I3KDKgB4sWp!_$%5ORUwJ%d4r{=dN#cIjwJbkbhiyM&^Pw3{ zEnVgJcj1U?i9}ni0n&A&EtoTPMqQ6`ySns^_PW5a_G62r8LcmUmiA5NQPswM*BP~3 z&q(XPdCX1gvFCHM8R_$ir9prxW0_&w>cxCx&2$rmgWg^1@77`n$@7{{vLz)P@-Q-{bwEZ2cbDkgkmp zuBEPRyg9ax?RXQYYd<;`_o2fdtKhEVrN0rhQ)G4Y|8aeXw)3P_7)+P^e{o^DZZ(Fa z+hRh$|H51FKdkQ<^v8KE$G~O`c87NHnwr3`nSKzX+Wdj@xIKG&qHj+2{HWMde1eK0Bl1hghMLb$v4Drza`?>cx zrK?f>Hr&*;{)4zh4(uPL>9H$B~AScLn1%f)!hKta-Q>|8TbcUMn>)}C< z7eVMpM?DeGpQ=tmr~rCl3tMXzfEa*dw4KzN-haiDmzb%*c~d2|Bg zF%9no*H38t6@-0F*Lm{EpqH;UaZA>>|Xq<>2Cl zPX|O#5N|6%cuy?32)n03X9F+KMfpP5rHgNG0m%|o0>Ql&UCuz4C(M$;6^KS_K#XOr zWJEWYTerd7MmqE3dr*G*4DPrbWDN5Y@={+!@Q~Yh5;-du1;QXuj+_l)ko-~=iab4qZ9WjYlfM2r{IU>Tfe&_4+nMkdt?mj78c z{5b@cO=?vEw&@Yho0_ekXL!8_T1LTU&^lj82S2<3H^Z!WavA-!K8id%57p_<`AyUL z$I-Ux(h-t2_IH45-)IADI<@3Xy~`<{f4kS@N1#{MH4Lq96ko0Zzt6(^V3Qp5M{jBX z`J~-bfSJ{^Ebxoo_kea)z)*NtTV^IO8)ops8?HML4p4;7trAG2t_d&0*L2NSfV-uu z!LRNEUEUUu6kV?q5GLvuYOwyIZ(GMO=)G;qL+wKa!$AC~BpV+5X=xH71(63o)4}A5 zdaD*fxJ!J|JpVxCOlm$G!j}}qSMn9TWXNlBj{uiLg$H4mOLZKyB42@=5ZYxBC$Xyyyts&Gy!SgPjK2VRO6=i0>a-ZZ z50|@G;ft_mgcFlcVdV>5m@qGdE<)7gtT<8>f5C9SiFrSvD-dT3!4-*sSacM6i?(#(`MLUVj5= zEr(-1##8LF97tPP&K^QL`FJY0L-NmGKpvK_d8%-bb*sXQqwHb=$T8W~9>U{tASYN( zGU*18&a(Gla3`fR=XS30U=X~x%Z2=0pOH4VV0T^~o&%ky>^}#bmvrP+%v+wi38b&I zHHA+<`3ot979gAR%M&PF)8I2$hP8rSi0tbEE?jQT0y0A8{0X}#nJ^9Hq8vF3&Z6Z= zUJ@_MHqnT1MVkMHL7aS33C^y`kDWlS%ljWdZpc)gF>cDJdf+nUGR`Zq7lHgC@9c-OpE9X2AYarQdyo>9>j*nb&AKTdR@ze!Kn`e+MnPw- zHGT=*L9GL4)V5l0q&u3O_NW_thH3>m3^zVbFyw57JtJ=0<_BWY<`lR|LMwNV*{g{#SDb9iXIg=d&H?|va*?_qTT z=_CEn_RHj?rT^~{@HAjfEcyeRS42DLiz$$UT{odW#DjwmeS#cK8}`HvlHq5ODQPp3 zO@Nu{je@l{JDxL$Ia?CY&VA4u@_9!-pq+nm6XGuTy%h3=)fywpqMP@C-@C0fB*s)` z9T-!(#XHb_RJ;`-%*ezKLUXEp8*mG1auVEr`Z^zhE$K`bAg##hC(I8}5%2G=Lj;1EU+@AdTwjZJ#eliZzrhagCgz6ju(t$ehbLmJmAH%?# z-c5qghtduJ=|@ddp!28Hn+Oy@qqCq3q?7zu2a&lCTnE!)e%3=MsRl?WHJA%8VYF!z zAmOx|lg|jECm@mJRSZZJ&EaVxiLU$w;eDz*0TCY3+5kj&L|1qfe@vlK5I!NtJwQIC ztv3-UnU-&dK?)7+3FI?c#FKC;t>R5e8dWp~_nf9Y05Y9w@QV9_%o3sdMh$qaPGDvyqbaG#ve5kfP$zzSZ><%;p}X(1(-a`ww^mf$Sq zL*B4k$>UBS2c)SDbk=g#9gNIIo~;eLgEIXrI9nO+i9y)OPwl{Y%XpkdX+Co87C`*u zw3aaUm&+@F1V{@P=mO<{{xArVCr*P4mM3^x!YWZ0BvjtG2fHx2elc|6awBIW5i*RI zl}I_6cMDO{kLSjV@&Z4?m!uu1HqmlZ5)3ZOa<{<6NQYo>v2w^e1iB)d<^dTe-8fgc zDii8~T$4lGa6zui+D<^m%U0DOOpuFty0{?^@Ljnn&3Na0OSZg*LEM(R`K&8Ze&C1U zj&$Sw0tLYO93@dEo?4&cp7x_rGFNd%WE zEwGoyDtLM=$V>UN33RVyE+??r@|QixYiZORBu75uy2cxs#KquTdGr*xxANl!j4V&4 z^PKfgW^t90SA^f%do zYjg$jZytQ1Y&;H-BH4=HVsn+kvxbH0G#{=l)iAC!TB+1`;0~yG&RnfkQL!OGZsNgj zaO)TW2W?i*M8IA){2O|wbwk@XjMIRA?aD&le?e(l8x#e}z>{3c88mnf;tdv@15KED z8vTh2x#%`|wjbIl*|un>jt>HI+R#vFr^lTEazfPgiBX*Zj}Ht z*NKa~^E&N>WPW0M^cT$i3+=)ITOe6Ohnl){i2Vz5G(%|eM9i0!( zmSU>IiyaMH4g-6N9}D3jvMhp~D`i9jd5Yd}%Hu}5P0+d1bv{TrLt}HH^PtbXpoi1v zRUi?R-v-X2$dMDui`14Uqf69}?`t%D+6eA4wSNJ-7%Ib4MJ$cxs=yWUItTMO8vQ5C zuTs1FAlE3mJ9O9S5>G$z^kF6-2~>3g>~7GXQ$TLg*nc6sMVsybd7Ij8L4-ug;&<;3 zb>w98F4=RAbdN$fbxEQuzI6BL{B>{-$ioZdAzAax{fKVxqw$zF@G-y>IyfEUd`cA- zfJ>&>U~nm9kp=T-G<-8iDkbAs9V_T;PDP&6!=pf^(>-3bUeKxgaF#*a_(gtC7N0;q zkR>m3`4o*!oc4*1=fme`N-Gb$FVsI2kgrsH3U=S<=Sm0*=pP3}D5U2&k;el3pacfR z3FEl&Ofu@Q%PKW8i2TnA?-W=TNoMK5044~Y>! z;q$O4;$`5h2;}YXIbnMp@jS(HE^1s5b-loO3BQ%ld5a<+nEQzM9N77a@P9!3#Q5EC z<}c#8pc)|D%7O%nKM%kzNE}@TFTuiFlfzb6sGwCOFN@{Aa2+E?^G+aER4@kQiolb>OLZ}4iC0C{ zV=%ZT;uiyXU2Nflw|L=b29h9Bk0AODQSKrPZVKlxAa9A3c-Y+*cW=NTQ8a%I*LOsv zY#7`X@9TrRC;ISPnj{|o3CMjhsRO(`5Ht*S4@Hd%5Izzcm%!O$aSWwX?TLuzI^t7N zbq}~?F|r3pidcI9KA#E8k07bSd?gIh#D2bw&&AGraF#Aw?E?2g1pI-p8Dix^*ky`j zZ^X+INkxFX6z%wqy%I4y;U!zx#>2~NF_W`^9O3;h?A{2oYVeXPl6bm!D>^m<$rCrb z!Pz@8cm^QvMcJt^{~#tVh3k(ZfOi7<;`&q|KM6}~kk4YC4}@RDm_Ok9tJskV@=ciV zELR}>P)pVdMaQr3QY3Eh5>YJfap~y07gLw^zl$&^2h?39u!S13=Oos51^l}7=mJLV2?y~gQ3tfyX zQxPOq)*67YNwOxFFz?F*&YT{~N?cNVB!BSTd@O^{!RHg%H631_%G>79CCePnYf|J$ z50GcF#2j8yWg$-X$b9KI2f9zviKoNQvQ`z4FLL}8 z=)TH%oNj!Rzj*pXQtM2Qf;Aj@_fBtU2P7brJBRDqJz506TG8RT|kbh z!M4yHSL^-&IiVi$c@ zVQtqU)wGd_a8U*EgnLP~$_E#%>P`c9S*3*oa$D`%4K7i2`-lD*8ut(EvQ%PqKwc^xZ>C-;s~3pyS=BoM@v+!c&>H_jz_2=}oL_O>a14}I?0K`gb;Ra%@?dFBSMr+a% zfevczaE4-p|7lGYj)uS1<#{D*(}G{eUj2Dl@BO;~ZC^(ncRt*QSCmXKc9ik;% zX*fcKTzPY#!RZh>QaK#dXh$jO61ZbDz#8N@W%A^If=Zge+>^3&5MH3Od^xsmk zvh)GxOJ#Oqlzx=K#WH{TeXCWg@d5#&md@A~*zJ;Mb+LM>cF>Clf zjLrKC;l|bCD?Prr3EcnNgtLwb74AVh@fvRoCl&icGPz(cd`_vIg8o#~ZLpf=g?+L% zJ%_i8%XeP|e#J_D4Obx>!~s&XzKFP{$4f}oE=T}!T>+;x>uc6Pf5VCA&~6-TgZ`#I zVUTauIKkY~lD9cqyYVr^w(hkMYkS$T!0c$>74n@k`K)kPZ?1UlwwjD~57|SzH_8XC zG5PZ%XF?UWf-|KY{`vc8xF!7BQD@%g*;A`)&>g0`d{lgdN;u(mprgFoaHM8SfOMm2 zw?W(~dpDwcPzp~)XQ{l%Daie;8F=3S9)DAWElp#Tl6PSOoF%%ujEq`L;6Mz z_2H#}>T{A(NVDGnQbdPwyo!gnU=7H3I${q<2`!%wXFsTC2iX0j2|4ici(c@t!f)#9 z0i>~rcm$z|n6U(2PKu&q;9SKT4trX-@x^izlcOMX7pKGE^NiTy3ZaMi&3E&x2%Zjd zPP~2#gY%+$H^lQ4&N(0#L|Rn@@)B(;Lgy_eegW|jmvNea1l0Q>eENw;!$ADSlL+_> z5SvE9AW$4Ph0h={Z77hzV&fc;5D`ltp(4{5kTCIJB)D)f-v~pB5QCS&OQfiB8+K9R z(MRYm3UL9#2O=v61`kEPhUkyQeqOJih(0k8J{8*=f=d>6ICDu6S-e4iCMxo3lPZ?- z5onsQIfV$%MR8>a)5Wf*fV>d*d4|XkBNWUtMb-L1W{EhSv|fsHyj;8zIq|T|7B)P= zycWUNfXop=H9+2ojAsz$3KuS7{uFPy`u|JJ`x`!u<;)uxyotQS>Ak7ky#faNpXCo7NCOIhE^Pz*S zyjdA`cCy_Y=)C3Jr64}CIX*i@v1iH=K>Xz${**01rtts+HrG1sEl zbKyQ9p|aCYn1@O0V)zV~v(`WuA@dYSq+H9J(J0xy1q?3A+)sd9k~_=6AX@&-W$DZE zEYoQ`&JE@t1tH0|vikGrs-C>d_?_n5Y*c0Wnoh z0TAv}9fA?zl=`PHbf;CzSP*xW&a2EB6~xeokUUlYG(Ni^< zg+Lcni;94FsdO(0y;XbO9r&mZhrs!&GgV;Vr^cCq^H(;UA_b^2T+I(uX6Zl%sboI* z3|8O0K|<7J-qwXG_m+qrrZ&!ndAO=u3@$=BPC~p$RcRNTMX7;2m0VO7jX*A`FT8F< zE3Z75KUQ5sfqbHFPlsKy>eU~R6!q_5aL?4X{y?Uxku5QZG&Ps2XmZy@Q)hEs?a z>O60@GgKc-kW96G9&}kM={4+Ls---QzEUk(fn=)*k05-l@;P_UQ42pI0-k%`W#_6q zp2Xj(CJjOIl>b_gLRF2Yry{jt49ItN<2)jiC>{T>A8I_`@Sn=_CWw_bgm=UTv^)Ia zoQ-DA#|8(r`&Te(Tdkihbaq+?&Q)AAXA9^~YNpS@ozgaQj&oXD#wC6?t@;uKa@V@_ z0SVAVEOdd|mpwoRYiqXw5`xPNU8v^41(7gqIzO%9S_RHi6Se)-5dDspyAT&GspT548loG^6Z_hy`2h!*V>1fAUWFj80g+;9V&q2YPa|ec&m+B3tgVp?gY4ZS}30!z1Jp} zN7xVAd@g}})O;EPlCRnFYWPXB+6c&Jt??Nce9^x0#^b9td=!x1v>Oi46=)e>!4+z2 z{V}p4Z6Pm2#ahW&Airy`Dua}04-(&R92tH=HKA z?k9jW)wS&epZjzz1E4e09r_ELxo+MB#Iw+C{|iV*osA`QM|CeZLU&v@+Yh=EI>iZr zlg=2qndYp!$}5nIZtzu*lRD?mAg;Q-yf5?Bh4%-AKwWAQ?LE84zabc5)J(rCU-2;Y(eux8Pps zvX+Bn>pXkI*=yYpo=v~&YWzU-65W?L#QUjh#k=!gx|dw4{jH0c2m@pNm0KVt`duvm zG1Z6ffNr1u8`r$d^p0FFGS`=#3y6ij(sV%f>%I9ov(!h%L1?A#`5r?$p#SI!q_y7G z5n*lg(Z4|s>IWTz&Q@R82|7Fdthx}|>rZcj>qGjCf508q4|jvJBl=loKpgb-xa8@m z|H%{CQN8Ib2#@LG;$U!Gf1cNs6M8Rq=$!PmBOr9v+gybg7k%La=uYZsHVj<#Hg1S_ zN#= zgctN5cz*HHH`0T6>sOvcbRYfZ0C2wg_$*ukKfMo|`|E>V!7e~wk*DTB{fH?bLHe?M zL=&v1;cy+I58*9QsJ?I-xG??gnb3vn8~lQo2>mgxjz#J{`Dwkb_vM{Ng1+MdAaCe( zoE_fOlQFnk`bqr(d7^jX8gR1Si>nAJ`ng@9d!~=$ZDguGke8kuz3&G6zFa-h4ZPF( zNv}Zi^vhCU{!Z_}r$0sd>IER*^~)R}EYUkO1NTF})fU`O{nBr67FcE-4*34tk8f@T zLF=jPwN2LXV(_-)wr=m zf-r{GJVAsjbZM1@(;tESMs;{`EubF#AS|ToeE}(={Qr)%efi=4PTzUdCFIZrx*xPT2?o}} zd?Lcy2-7}**ou|g5W!Bg{jZ#U(F(#t;umks4vWD&ryLOtdER#r>v%$R6gm8mxruVi z;Kf};?*PO@q;NU!tcV&3a!%xzz~_08G3XUs zCy3ZuaD7AM--pjz;tA*Qw?zZ4A0>*%d}e(|EDQp7R|J&<@}6)_gO?-`^%Uf}s9peF zx`>?vyA08cYe$*FiEn?FD5(naQdG=`@RRt;bKhr?(H90^MQ{m(--P`<_$&~A@J_W* zoZvIoB2m;3$YODu>;2zFrUN)D`IRd;2jmtva5mEE2J8;vs~|YDmGUXf?c}K4(AmqX zyMR0-D{*=4uuMA*pGV}|9zZ(C*K=X#E)Vj=eMUAq2IN_JleeMgWYJ8RpO@3F!oX8L z8U}Jf9^rJzOU{Xefwv6p2rm(`52v${vVJ&p7iA8o8JA@I8IWk%fD15}W!<{)nJ9}6 zgS#VVw}9@RJi{welDst)&hE=EyplbTzP$cEl%5SCd?YVd0QXqh@PwZwC#S&frJOk% zcG>b!JH&e}?HhsQ$fRVDH?qh9SN@x9S|7*)d1Vbqk*wSgUW(<`iGX~UXfb<~#3$J7f@GqF3r0M@JZ~r$*aZW>Xf{s?i$`)AyX0DTAeS2D!D^Y!P>gZ; zg6(KmB=Syhr5QgqtL(W(zPisPNY*^*2+7(em0`86D)0T*&*P2xhFvuv*=Whz-A$2` z;CXY`Pmq|BxdjHdk1|#OWlrO2fwQ1st_ znedLymj-f~$d9gc1H_-+MF0{&y#@jjNKKjm5=6~b0}@Q{BS1oE%3?$hC1bwZVU*$z z5>8*ufQ+CHoL5KE&@ylqMLpdhyhv;JLU@V1IK_;nRea8MnXdN)iJ_r9qsG$Tyq&&6 z#XRab(zYP_RhnfBgKKn@pM>jlE*e}sUF0Dp(5-zixIyc9zPm}$e}mj2kKrJ<=>e}E ziR7D!uy<(aE;xHn-QL2>2bwVzB%d}p!Tb}oI|=fcTJoj)LiYSs=vSJ_rSWfMvIN2c zy2VwdLYl&R>>}#55u}(7m_zrSUUF_(LN5}){h$mla6d_Mt@jsAa)z_tRDpHIV!9WI ziE!hZs;TJH9lCwOoU3+b;?xlc%|*N!I13Rr5u@HOG_K59iaY$tl$BV{=b{IM`ELlV zg<~)bY{b*!AP2?JDX_B@iJURoiLyW8+Fk?|f;%Ltab|Q_R4NB@MCA5?d9WDB6}Ax3 zn5X#$JCCqP#87Dz*it(O+ z+!D@rp}Q^m@*I;WUh=18cSJ~a_`EAd@so8=JjjADN&HxV2=~Q$OZa>sPPKx;L$Q&6 z-y>1%2``Vu`&(oho689kA+(Uc?_s$6Wzz(h zTgtLry0DVIT-`Vz^NgUgmalKYi;bj~&>fVfLm;%3W6Hz8PFi*a(q6W6h47Hf;Ail# zylxEP5xJZbe+RiW8etvf?0@0qs7&NLeN67)1K8v88qY;1&on)63K%C_suCTet zV_cItDNpkv?J84wyK+iS<{e^;yp{}ItZWkw5+@rhfbOcy;r-1uIdcUd*QHMx=;Gzf zV;Dq&^dA7-4f)O$5pK$jm}Bv!Pg8pYx-G>DAQR;}uJhcH>-o6(uDr#6f_6_Hp9Ex* zTuIQ~m*X43;DKDtr@#+o%vgkdBsXOu-eWm}bGj$;T^pD`m0x%xoGdLrK$s$%a8mb7 zj^)Zgs`TeqJ5ByL2D|4n#1W8m8EOpS3wfp=>@sA|P>@XNUI%tr(ncceOSzOM=vOl3 z6zsC4?>i7<^+yndCdzjdAp6v?HsH)u{VOmxR~vY9W}!~=1GZl+{sd>1s%sMjvQo9W zL3co1cm|=hN}m9sjau3p$b+gw2#BpZFdafWRfhM`_G*qhgojk?dJrB~D{WwYLLB{{CvrUH3FT`v!$lN#F>=FaMHEQBs<`$~|Ls%AIn zTveK_H6ujgHYADGK67jd}|nlt84*-2$js`rAQTI3NA{$=gQngb)Glgm(>31 z&_%1&yeGV@7W1PYqnxII#HyBk;p~c{Kf%SReJf#pRqehD?wX2P2JX5##M|k3)sjy_ z6V%;5VQ@oj;;j0n>NyO^TdFZX?6=jc%YY=R=Unx{G*S+%bKWQy`kfR|?~omazD zwR9o8q^T#odwi}we1b4t{l)9i3)PKzj{p?$h3R zKxn4vPr-}1RwfQY3$2i!*8N)3@(^MfNdv@6t9Aw40j+ggK&-WMZg|B@kCY>3LeTm+ zPseQ@y5pMn`Z*tM??hhd`o5cvarJ9Z7TW%WT<;w)^A6g9X6y0;K( zaZ}Ehmi*>J*`+5kAzxPM1={8B-owv|o2y~9@+Y4(t#YY@cJ^h+btN7ITeh7Y(FK>1i+HsR|9878#&+s zdc^6RHC5ud#)jsx?jYTp385|J@khvZWXETom*{&>_>3mvG%1G8E&(K#+T4Zk3Qb%G z5=YZGPrpj>Ct-Juy70_%9e>dSWIX9B!7hPLb6Ma9-CqZClY+T&bc-G}2Dwd%=`c^E zN__Tyhk9r*ze`8$fV@XWy`W1XdI#Nodifnc>;bjp+VDe4_yFM}8pbCQk7@om#Ct-! z4uX410hhof(}yi^mO_8G1M(R?<$_!)wLA%78vR)xUY^rL-Z`d|TX{fU(1XH zTmpmzl+Q(}LQ=ed6w%~6FfS%|zDnOIkn4aYWMTo%Q_QXb?t*YW1EjYo{0nwI;#(Xz zU!izC@DmOLfbD^T^WjMTC6F)dbye<-7LKiPO>;{)0CN2ZyhUmu!rZ>f)7w~dRjA{de+rl;# z!bI_`5`=d|51v`?ifT2$-4n?Z@f(sv|6~a7i|zcQ9|(tE5Iz*yO=0&)BeL5vGC&J*g?8bSA zn{3Nxs_wE<283s%`3?v@WaAmQ5@+T2Js{`goTq@Cm#2!sdCJC55$J;a_aF?sK;Ju%PRL^_dqt|x%Hv+;vIsq z%D)X_qW&!l^L=X0TiBVYa%~|rR}Hz+Z=p1TZog_)7dlH-mfsF5^{EAf2UJurTw5zE z8~g?vmAVyn2bKABgtb-AM*w1{y3U5sUcKd=;UV>kzg9o2!i!*cMD1{e&_PA;ft#bM z9|YG&)ez3{jw#P<#5=AQ4FvLpIv)-@CzTit&RK2e-|nI&b3x&xTFe_gSGA0HYNyon zaQHl}`b`GJO_^N-aaZH3BElKfwg8-m3gs%`S#^XT;d5%B3xwxYfeY+B)kI$VE~uwm za`RFpcVOqOFlBN2xQg$QulmWKr1_~!e?jN3`ZR?wK=pEhFi=G-1PM|@cqI;2og<(N zQC5*ahAMkYkT5mkG<4yr4F8J<5i0%%3?h|ZUyvx3nU3fem02Wom(-EU;G$KVPKbV4 zJ>{GvMs28q!IY*7<<~*bdZz|#o7zrjdtBmAMSAX;h5)^XRzch6dTsdayW=Mujhf|( zcJ%I*z>Ia`>1kY3u1k)uy8`2yzcwBC1wS65zo-${>=qka0l7pkAz505myTt3T!3Gm z)E@1Mwp=J%>39y;Y1P&$(5`OZ99C;Gxdgek@nmS%edcZUdi@+oHk{vv5pG<^iT@_^ zpYXZ)1)m^p*=Pst*7;ly-uC4UthTQYgw+ls{w+JVL_)Hw_7GU@j^X5F&k^1l?S0PG z6=RxV4v`7XI02L?IdZjWA2p7Gr5Vku4fp0$nYYOnWbJ?e`)RW?kd`!^^K)btBLF!- z`xZfGO&Kj9w4tqBVm?Tb{6UE=l^F)kj&}V8h&}CW1cO5q9RuWH`pz@W5xUEjeFtjC zIe{Zp=W5ka8Wak0jIMfu9H+;;#Gjyg8$g`s<}wgxiW&>zLUm)|>?GCV?9!F2j1lh? zned0Br|B)<0yiq;wcVW(xsY;(4spulK|Aumou%We!JVT`yyTuIZ+?+IX%cS$FHprB z@Zv=~J)rZZvGXxpADYX1L|=+*0&_pQ&q9A%G#Xq0oiTwyAkDl8gCKgzsa7!g=79^L zaoxa$(gZ&I3L|f>--J_qHk?I}CC?amI@`n(8)$?lNtw z2D=#Y;-@N>lK8Ou3VHLMCXV*-p8G1TehlO_3cC;PI<4UsE1n{s0+~P)@}avytGHyJ zP6PPqenIuQB$!E=y}@OXDSy53lA_%~UeWN8&}GxPcCdR*4f%%WP}pPW-cT{$)Li=K zACR{+vpbM^bb}}NcjUq;#e3S@8{7x#Fbd=&Eo}~xPalqBWS{8Y>WKH5#x4N)LVmm8 zKE8p_RIKORY@b-{0nSY9#qnBAN^6PKuv+wf>t_()i=S)^T3Iwi(6CYnSY* z=y%m!2fq8qPLK>(KMf-oIB*r(!Tm2lKHi6G#Q%nJrav*j9P&w9a?wtw3!A|;FQ6R~!7J6U^{dbhU(b2>h&KG;!^m2kZ;e{Z^ZaN_o&?5Z z`9VI`Ef$h-hc{vH<862|`EM2%wL;l9Vld(qkBQ^d~<|_LTJi&JNLNp56~r&3&*tLfiQba-bLP z5IWL7J{aFoN|Z1^M*WKcIZks2L3n~@tN_G`?peZ_Go5Fh3uSY~^&|}#fIzNfUKz+! zw1StP)AXSdgl_aBA9n6^UJv0Jy1_H22gU9Jcb3E`csWNezW{Qcmi-IPlhSSga)G=` z^DtWN3@_fK;}_S59Qh&ir8!+-?nl>CFcyF67z;=M*>mO`NIAzbh#+d-1zv(_+jj^< zD2}TMp)}?LbYYauyQy%h$I&CG(|Y)fB;)1q`Hb8WV310l&0zkVE|r5Wot*1I_=0Tz zfR_xi=N&~RHRQTj7WJGApD(HTCm6hbfH;eoKVfiE?D&Fsu43dh2v3Rr zJm;Mjw|FLV6V>*Ea~C)H!963Qxp3|wwDzz&E1a2}6G!I&a$Z#9v3QC%gW=_Zc*qN< zmx$q=kGHtWvxkp(+z7wcSB$#@FMi?#PeuNspf&;ph+ZcF2^71qp~v=XBj<0y!iCfR z5K-{~xKLqK2Cl<|(LwkO7d~U)B|>;sgI%P^n+V}Eq1?fxin@GtpNm2K;-`x{RW4|L6jz48b-wV4f$o#o_5#AsViphSi!j>> z?yD%%9tPip?iO4Zh^L%X6^b?Qz!eGN1)^9uj)w54^o#&GCcE9nSWd`_X3#mw4GUoI zEQ9|ma^E`x?xc*L0N1W^K0i{YWZgKB)3W<^48lzY@fT0-aw}nQ)KOCAkXBTQ9!0iFRnd5mr0i~>U4RCcPTIAM6Ot5$lB$> zWy*6aV4fwreFDK>r}E#gy^=B3&}GZlHgNV@HfRO&92v77c5h_4=Md(~4}CC*x3YW- zaC!1%80_B3E@uFFFUvfFvk!6$uP-0vUp&?4%QcNaKFQtuCC6uJ+zF5`vO@&SzslYR zfcz${3AzHAT??)Y<=%D>7RiGW$YME!bGYyF-Fyg3WF%MRe#lehL4L}NozVS~PX@s5 zw>->u#aK1xFMdr_56(zURlnyT`&5-ZfS9Ri{XooB=L-;8sFx_%W5<+p3B*#J-2h^x z7B9fa4ye667g(!r)(C5(c0a@b52~!5Ft=5Mxxiwlj2c2{ue$I9c1Q*Fhw!j^xBy;` zsJK5NbWmgZ0ph3z^I~;WRpJ@?n6hjFa$HT}L7Y%8YJqc7TxYKG5zd&y4!+s#$RpLPi&!`gKmw2cZ*T9`sM;}6WPAz#2;d%A@ zEQFrw&=lBRP^FLO!1=2eJoyKxCj3u41gh`+ zGlSI3hVT-slDN7MqS{^o3037d(F#)yI)DpT0Zm~Lp}L)hvq=L;T~Viay^T{1*Ftzz)m#p8O>Lz}K`SIFqU)GBKmhT1xlH<4{>b8g&oMGv&SAA~^O=WR<^^_|1@%zjI=(DrYifp)+l zmP~S5iwKh!bU=WqLz01?7QP()>1ERpWJca9_?+35la^Utp71lfJXh}KwC3q{uH8at z=hgoM$oZ}6LB8NMCq)ZOcuie&+6DN5ucuM57htN|L@nd&EWENs9LE^?)cnKDnH-Wq) zCY^#VT0|X%E?&HkgDyc7?}h6mF@zwzFKVmfOa84T^HYP=~N-HVf^F-QJ@?O4UTjd2|v;D3A??72HT$G6Z zE;nbiySp2q-E+JryzSk&9DQS&J^~;UYQc-EDg6clNw|4icr>F&T%0hc)|_)%P+a^T*$-gA1VM-iQ!LiDN*5sLf*71=DcegNIN9F1v-& z-ePcJ6vOX%IQ8NOJA!WWGZ0Awxj+|1y^Eo{NT)b&zC;uG;3t}Ly+JNh{l4%LL&N#Z zDVAErfLx)&T%e1icGCd4O4j_tuF;-M2p`aPP9Y!Cx?T`Iru$1Vq$kue1Hz|Na}t~- z)A)37DfF2uD)<-WIO}^uW!u91Ers;PAo6I)VUTxJqZ5ShsXHIxe;^lr3Vu+huOL4u zlp(*#QwPpi)Z~=TM8xYbBvY}uEu!xecE%w1m%e!wz`s3L0OSdAm7ifJ;oclbXOY?f zLKkt9)0&f_`3ellRahLss85OCeD-@<|R!rk~ z_;dGJAi?5h2AqY8;?gTG_VXnO7sL5bC_;?VS+GQ1K|xZXd#3* zg~cy$FT}2Yz~LW^84T{FSiBe{TU6$4dX8xF7f7xc*9Ig{bgu;RUJT`pV3GL52d%|o z*l3u47rFhRD-m4|!ThIaqr+H!iHe*G{uU3DfHaoLoCBK5N`4^wWFA+<%;dYeaAq#g z^U=$GS$`#jma|&E=$z#n3m{#j`vi>BRo3tV68g*ffx2nZWbXGg;>eAgOXxD!4Q`XAN}E<+ePSzmScqLzf{< zDnOViUtl=M+C8p8_)0eAg)>`zbjBcF%SD}`%aKo~gXGFiUWoTr?&p0$p1jGk+dJ9p zCUhTVxUx|w|Nf2$#j?>V=)TKG zyxA*}W&-4gOyKR$FS${IP_Kxd|Uw?QCt)x9Hx7V3x* zAXX}UATHJcwT@pPYxPirxs9s52tr$B&To#L`oamby;^q^kVDEg1KbhSl}n2bYAYY_ zJE}#Mz#Uc2ZQ%2`QvB`H33XEs&Ph%6ht63g^8$5JZ9EO3tMb5>7ddqu-bbERiAjLC zE7OZ`c1Ag01@Taa0^#MXI>>3(dDX%n#8ahz2l9dndmb$Ane`lt=HFfv~ieg`^# zwXHVn0@TbLaDgh9--jTzXemY=qP}wxBUCMJ06Qei&d`Oc!(8o*RPXsPFiNdnjX)RG z(FOk>Nmm^h)$xS8yHD;e7brC-g%(Po#i2-Pp*R$GcZbsAQlvmU5QsYxR}zwt5O;+b z2@(hiF#-`>W7zMT{{G3_%zWSM-rak zW1wQGeIz9l`S&HJ{L_9;S_N{8lxP$p^hAe2i3 zDge1FIc)-@Qc9s(u}Ydk54%-M6ZFvTNnacTp;of}3H19?{Ra3RNc%c{^2z^Gs{{px zzP-)i?YHA9pucvaW1!!z4&s018-ih{s(Y=qrGG;%$?HO4sVW0UKB}TI?Uf}hd z9dzI^_uP9-YhFKOvj2~6DTCSf5moH{&QO9n%wQK@51(m>C?kBw0zK)OEq+e^otBU( zqwC?F`q?eKp7t;n-01^f(s*Mim7D4C5aZ1{)CHE=9ce+I^Q}LSbJz67&s7N{fmxkD z2KKey)T>!%vKc?uUzrT=&QG)$eV5{k*LxmOaj>@=CE@$JHsJOCk^N!+=Nr0<4g{y* z^+Atzupg>nczt*WCB^^FTaVXAzNdZR=r=ite5^OcF=9VF2F;kgPshk6%rp_glwFzx zv>A)~9)p;(0Y~s&EZ92=6>g|?;~=ID>< zUSs?l#0Z0Y2PDSWS zwq*h!Rji3F(`x2MJ53FnY7F{4w&*q>wXDZm81J*!bagynkCp*h$4=4SSgcV!ki~i z^T&ecErDjqsr2NvEuTmI zFFU@)4@i67k!mvsE_PsMjy#uINCDh@5wt*_LB(n?520`KJP+^#GK5>wjuFa3soisd zk933xFl+?hP50hK{_H#OA~`z(LKHV|56C5MM^Dd1^PS<)VtAn&AhCS% zD;V?m#6pah&mH?f6mk@9Sj>2D7idM?mzK+7?wb*bInxdPhPr(>`EQhD zmGG)u1iQuOP)Ff5_oGHeDc|b>-yI%EEsruJA262l{t2MJ=MQ#*@PV(P&Cf_|oD7Ju zu=R&95i{s2FcsTqWib;Y{{qik{Cy3fEkv^ugr!KJOYyjHIs^I%kxliCl}N~jI4Ks- z1L2gIN=+4O;YCqwL?B%Zw&KUGFxrWw|LuVy_6;-#aU=>5M^R1dp_9m`xj2h6*C1TP zE_&kERUDvv(M|l?9l~7NMt7ZIPr7a&g2k{>8GorW<;1k$n^EI$1T+IjJs zn(iUu=dUncsIa;LW4w^iZAPlLz!S(MQA?eKWHHAWBczCig%J57b2~(Vm_m)OtKy$1 zAdAHNAMsX-h13TzD@6207%RoyAZXRXjEd+QaoG!yd%~V7kvHN)AdF37C>01T;@kTm zw2GV25N#r24~%ccl@So{L^LH*??pGNAwGyMdKf>7(iPB<(a^e{{=d!qMmKWb3A9A?i&z8xh?aqP{c8k$5F=wKsT!3|7vS#?>0n^=`FvQW zwbEWcJ%aY?nFpI;pEYq5Ue9)?qwzV*D4$qiM^)L%S-oIiT~E34n%dQPy*BI#qODs% z*XZ^+bo#%8qgx^G`rZcK-EnkX?^$sf-o4%P@Y;y|^8?(*Y~x<|O_`2LVl!sZ1#>lL zUFcNIf(@Ylh6B4h08?>fj&%Dtv;5;Qy0Abu2v^qn8GLT6->-=5#}?4->d&k*AOhL! z02qVV#y=4{m>E!?`aElbgYsv@b?aVj-`Ayuu7; zK;*Jw0Z2ZZv^$`|iQ`kwrX*(TG>jZD-7b zok1|+PcLA)rhEgfLuTCM4j|@SO@XlBTZ7@VeV zbYH%a9+&jvqYuO9&)w-MfdK9?43Iz`O+o|ndg7sB5R1uv9`t%C6a zAKM;;Fg}d-pKxA=Rwx!`J38pO$nEJ?j^viNA)>fb1H>hMlA=WOtlpSl3_rOKgjoKz z1cW$_b{xK2Ui;sk%~y1QFOg6C622tDM;62>o3$9_jGQNp6@^XHdy2y9=-}LJU z6+DQx%Syh2nqpP_&!-U8+#Kzd|KG0L*1*trE&ZZKznjlN9Q`;JugBb?t!Z316}{sx zyTd*q{{jL{R1d*E$)6U!$%pA2<&P>l2%b{=IV@94Y4e#j=>mRE|G6jZGfe4-VCH#0 zyqU16D%Jq$nR-h7Gq&#Q?8etyn=L|9Lj9?g*V^Irs`0ZBZFLUqifg_q!Rxh4D5GB2eh4h<57>jX zVFP8L8x!c%WmCzIc)j`dalGF0@jASJcBU5Zp{4YY$KgLc@%qU0J@6it=7DjzfTjoFup&jeo83cP~GZ+vDwv09gN4ApM+D=TF z3E|8Jj0Vz$y&DRiD{KD*nj3SXE~z{F&>6#?W^-~d-Wm4o&!C@W{0JcDnByDxJlL8< zMDb+)blG~bK@`)Q_3{VZhh6>yqx&*u26_zBtOhTZjiz-fo_%c%k-)U4;7eqa=(bE^ z`W1jAv;K5mk;0}i_)^&u+Ede*JPTSn+k6MU3>I<$B9k4GVa#HsKY^FcGRDK0!`9N| zFSA%ZVqRfa4gr$O&Yp&r$0DWxlF#l_-c!KxC^af%e;xz+FUJ2shZz++l|{!&t^DjsjWE zoJ@ec%aAM3A}g=OBr4hC4lq`+4zx2@vjLMqs9||t(C)F17Z9wLJ$elKOIEZL;uV`& z1mhcKSPrd;?JS1Y%!;Y*Xkl|{32bHEUc%SLX3(>dZ&?MEm+#o`Nie$dsdP8Ear@UW zp61S4h%@}#HcaU(|3*fzb9^NgYaYCd0Kt=Ab--M__?Hu4^yWqTFoF;7MN644x0(yO zA9vpY&7b%D8T0_Y!V|neZuSL45Z^;pT`-@09rW}3bPymRd@z-Xq1^c>co+CHN;1Ou z=iz{abL(*MBKTjFxLo8NsZ$ln?^7)r#d}f@<`Vxl283dMfy%dQyh#G&2A^t!_i&TD zngCM5YmDH##pCI@p4L4n)6-~E_ zcY1+=s`*a3&T9C}Z{fSglLy0C%jMaC+~;{U@IBz?_ha-r{@rARuIDSa0n)%{&xWs& zADV`N9`Y=z_8;*?>Kr}h%jP546MpU>w5NP5J$mqrH&Cm>N=*L(#*<nI5h;#|UQOwsMij#=UfN(~t35bgr?SWxkg)McC+{7|E zVs{taHiCfvl<+q~pAoZmz<5^VQPp}*ylD@khbW@Y48Mj(E1j3<=L6v_n#uw35xg6M z`3g)GU%!ZWjDh^cfGlVM;$RZAKrx$+a}vZ-T5uD^hDiAEkgzG}DPnIOjHzPqI%sLa zFB2kN%yx#z5Id;A$rPW{&737xQp%Vuv=c$d5$~E2x=b9SJfU3JCEz_&h<8rlRf^SA z^;C&?0Z}cE&{=7X*g*~Pd%}bAnOd>^B%<6GADzH^AQtxkvQBLN8OD0ClMcrl#ARBZ z-ijkjVSFcsQ?mI%*p>nLQM{suL5-vzX&p3{9_gT&NL}a#GL`Nc0b(Y(eGScA3cm(n zA@$pdn3mEuLujWZRYtHgQV(Ys(L-AV%|mjhFUM0lxB@Y~r0^BcyrmzHL-UdHX&?2K z>^}p+PqLW@;V(H%2QNT6O?Q8S)XfX?Oq7;n!j~*frQUan)O!`iOO@8sB+{hW^cytk zQrt)IGNjB-FlI^@7eZu7Kl)?5Y-ti**E!N=%5E-8Pah-773pW%P|BrYiy`hxv#9Q` zlv?TmsgmBGL$GSe`Vi`XOx=Xmv+yDcp$Zf!dNHGSO`MB6n+I- zoAf(%1>Z_P(pCOmst7>L4^mfpWcZ_WIv2u74!@5H8p|FJ;WLp#=YU`;cX*8P%;X*w z2yHHJqvfEIWU`K>iZ@RZGVBZ`+?JQO}}In4=lA3417r)@5d!7*Q4m4$_%iqs<(*XgB*_CPwN8--(|J#-eD@K2 zY4ZNAm`l3+)EmYOIkpnUO!+M>C0X)x+7Ytl7xTf(k$oKCyDZNyRtvr%7R4TQ|%P47TmEU{| z-&?tq7XNqhep;{J%L@zu`5-T&p6f@sJ+*p`l-bl{Ii>tc`MtH0M}?2A(w&~}wo|?z zg1Oi$_YXrjD1Yw+#6$Uc69}Hlz8XaFR#G3s=%ciDgwa>|5Q16zDaVsRk5=+2U5`;- z(?fxAivLxN9I;A6o zr}}Ia2wrNRDrnxSKb>9ps3WdI^Hs;3hvui6cEWq`S6yg*2~hXbaaf=l{0oK+QoVkL zFIXM?E#BXG^-LxpA?kynpogko&VuiPdg&sfgsCm-pe3q~O@Jh+6SsqqqB=i;NL71U zA!eF7<_~D;>VB%vGSm^D0-344y$CH!O@9KBt*-nAkR0_}YD->LFOS0{uBg){B6O}= zG#7+C_1mQ|=Bs_iAZCGjtP+GmbrSV|uc|BU;44!9!J7R4%H!ZLOs;QsD1P=EdImqI zOr_4k)MEnyoGy1qj2Qto_&M_jswih|rYEyzKcgn#oDKJ2pZf)64)Ye#qoDI&SHZF% zsTOe-#?c0|D4XuQ#T#k4SW-0xmZj70;^(q2Xcb#tOSRI9K|A4Hx$+SrtjeNa%Ur#) zGvcqwpfzf3rZ+I_+B;(O_3}|zHq8G8KR1rvgb16uIm5nrU@m@cF@6K&pGzqX+j^%j zUjJo9i}K&=kHfNU=XFHhodO*z4Kezl6HMH3ek242jl0S@pRGJvROAluw%<; z$#Y;x!zkBxp%ul6{kRC4Gy9G5Iv2K-@^V+!hw3OdR_qL(JDa!{#?!15b-vE9{NeDO zWtB4_&atS@n6(ERXoM)9?9xdHFJ?q{qc_W=`qPKqh(Q!z_Ly!2KUPoWhd(oj^?2^Q7*15Q}RCB$z$E2;Ow7yg` zFNRsyFuz(L@3F;y08-0_Q(=0a6?;H?z#_*2S;ww#0kWRWqdTX8y?O)D$X?Of`jAa< z0rC;kq{H}_y`y^c37btN_*14`1@VkIEy6sXGfjJhe!&dr&Uwjr7I?2%D}C>;S?L!T z;SCF;>b{Bn`vXEZGh15ZT3BDIom$yWJ3ySdZ6CY`7e37vQQWw64w^gPO<(b8ZbmuL z8NTelXPJ0<8+_;Z*3U4k2mglBWl#QTFoYLxqLRj&C(?rJ!#Q=Pe7S)&e11I67@9wi zvB&5Ed={nBfqdK}7=!rGDVR$zpSl7Ao#!>w?F!*3hk*>`YiPf{z(dm!C5)H60WzE? zH~<;J=Pd*BB0nnu63N%+gAm0n!T`C%tLXBM=8t+qi{a}xK#S#OCg8=f(8( zC-9h^peOPHI}j|1ThiK*%uPpvkiz@410j|FPKk9IPbh>)=Y5SKGWcq`k21OY0T8nI zv=qd=#T%$Uf1A6W0`CrQ*B`zzelZCnl=G2PH{RuWl(4N~2I|YkepteU8wNMOh=XC*sI#xCkF8rzg@z+-rpNxPz7X@h~5OzEMC%KTZ@=a zAGw*dss@_5^aCaGmQq)G567jcbfkGg>PLqzR?-aGGQ6dRQ22Z#hiwpk68iuG{vbpS zv;c{#m{OoLc@B8zr5~uB8Y1oP4`ZnG=6lTLf|N&vN|fk57udL6+C z52QPVpw~&E^#1ClsPExxkVbcbXq4I)B1)^&u_Neh(l{zq-boEbcn|NTkuyO5AZ>4g z_$W=J)_{@Rn>x|P@*}z}OyqszK`@mwHPFoDJc2mO6Iwubkq-<5(oJr71E0GbK-b`D zxnU2)8M)&cK+ekBsiHk6|I-^r54n(HddfQ5bG+np)cN$5Z)#!mkrU~*h?XzDhc8Ax zPnSlVoahKhJkB&QP=dUA6p)GX;cs9}lE0=>Hd+327mO)#XS!EX<;7HQrpY^KnMs#B z9t1B#{)*Q5Oxd+Pj9K!9=OARu^QXX=Bd0C^q(ttT4RK3eNd--cndcjwyJedt6{zRw= zLKi9WHi%-S^K|&GDdVVHab5AF1Fjp&Pl4doD(9y{+*eM0z(93MJ30`pS2|Fs(4f>g z!q})Zbp!9Af>ZcW|;dzT}oh3eh{f~BfA2J*OSPN#?`)CLO}tO`AH zh&t{bf`zJwmqT1oyS)G*Ox;JBN4UC-R=x<;=^;dp+Or2nzpNI`gfCa^5P@KMYM=Sg z^3{Le15%(WbcYwJ_oxkeRju;J2u11?%GHZi*L)DJse0OdudAy%fOkX9rK{(r+D0v} z5_QXT5N@e8+o9c7djtbns(KFq@{X$WgI1>Yz6PyawW8C5yK4Skh*#>BwHW=i`ji%{ zCiMr(lbh95?Gd^~Jzs-ht?HjWfNWETP>%mr?Mpl5JGC1%JKn3_KY;f^oh2d4M|FKy z2qR6?K=_O`ljt!66U``U8k%Zu{t98Hv7^R>xyHEt>3DbBe5D}V>7C>IqxNn3OskvwY5v6(E z2gpkrtJ~m3Yu3d=#ApT?K*VY)T0w}@=;+#w*R08fF+meWk7Ffj7SsNhqzR_GBVV)U z2}Uo_sO1QDRpUk-cIUUy+_h$uhlFScQFalk{iYNnglT(FJ{Yd;L?@gP+CB7?_(iP; zRdJEpuvIWdY3mn3T+-H4k`b*vU5=PB+9A}UiPaXigD+0I`fJQAUi$~FZVB4!|6T0b z^}hg8sJ+u4gd(ll3%+7);&6n%rj4db^SZW?j!SN6yCp*0)P|11+bq#u*o=X0X(!S$ zb6ZE>%AB(g0ApVlpt%$tz zi`lR&o8k=2@<()=t=QKH@5(>sBF?H|vk`xF$AkE}W(gJsdF_`!;`KVO_4v7dRaaOx zbi4)R#vub?*>s5ZjLpl_5MhfGrTu@78Vzp%F*<5roMrbf!FP_O4+YYL1<+3D$<7{y z=EaU!ViMl0dqWG~=Ck*7zRu#Y)z;Zu;m%;uV4`U`9Mjui((^9`E zhb^=OWtdJ$Wg3u7@` zKxd8DST>cM*I65VNjI1=t?D;f|L#DRFju-_ZZQjL1Kwu$*FcoAQKvBM9j5$urLy7F zAueZ4bhdeyExG`$g7rTPt&+VT0a3-4>_M<G-Div+e4!UcIKhXF!{n{_qhAr_ zBv0Cmd7k1w(Ee@Bw@@iy!>1p{u(muT5*~FRcUad>egcr+ITVv@`q-Ew5)emQH-{yfdxw_%FH%5T3j{ z)l^>mw|nqi;sfdtGnyY7h|y#D5&9tGxMgP;<9YltyrTrZoMI;Op%(BZ@qu}WlFTDE zK}+G&M?*{HCiLV%8h=M8Z0UU32KX|#J>4{!ygMaVS^PQffBZS51=Kvr;eV~i2$y+= z3c?lsWG;NU{GA1SdAym5n|yBH5u$*1pl)>`U$hL6tNhe|nGD}xjrUN@E6qT^#+T4B zQ3K!J4q7AMMQQsZJ|r0$P7nhz`6u`@nlL`)Zz!97#`iZtd(M~BO8SB?EQa=yJJMJ6 zii>)P*F2Uwoo{$w8oi0%?Fn8pZ_|R%!gtf@R4c!H9mqB=(RYh~c}LBKcRauw!QS(! z4KRM-N9YM)7qKoHnyZ*R5fFC~LcG(W-yOWaGvW@VK4--tOF+&EbGrCEMB|STo}%t| zK)l4fWfVe=0}f=KELkt8YxfsiZ)?Ld?iu`~mORPluyv@~H+4J}IVFV}X@G-=6mVUkno{LnV!sja4 zm_c)s%$>kxRplGkf!=cJC*HSv&K=*sexawtRalF}mK^Olw$ zM-(6Fw~p}nN=L4M=O=mn0?l7)=>;u7n)nukK&h80j6sqeJ=7B{W#oV!E8Xn|dYtt8 z2^bTkVbtqMls@|uB1vlBAI4;<@BfcKJ5mEERT{h;zBI{!Hk5Q}rHc2LAq|}jEmQKN zZRwiC(xF|K?$SDOQ+i2-NQrcy1AMon1C)i|mTqo?u~gF1R(D6bJP4u7Bs5`gO-M_s zVZ1ASX@)2jQX17G52YowfIO1=(uVm&%B2U5o=Rird%&M>tOUa`0v#UFGpJAlzhodIG~;j-kTtv~1)CI+%KrYJr@CyfW zr0hiBZIpa=60}QlcPcNUL){boUw%BQU{>pOA@btB5;O?p5c$qV(+9?SJ~e)Lq{O{L>AIczqJ&*h+ZfV_}R zjq!oJls$(a$}2gSzQ5PNIWO$W$1-YGYqf)I3JFvQ`UTsFjK#I0?f4iQ5bwW zjto$9yr&y_<_KDvX4U4yJA3^oOlD3S6_RteFNI~^_|AAeU-%%xf`lJoS!i01n2W|y z3up0oTKSgjdw{W)R{nt3%QhS1=W?YIm=%*~Nm}Vik1MUZ{3*Dr2hfT58h?(TYd6wa z`MSnR*w=?qXKjN*i`GVu3g9(`k;{`JFWu>3vB5SVRE zjj(KYqhqffk<^sgS@{nvyY{qU&bw{>VBg~^BhFr5T4?ui%H#GAqn_hGpE=>@0cj2} z2NyF$JEUs_<^)dkpjfe{Vc?x&bvH1aHA|v9)rJip4q?mMy@1b-9oY}fo{gX#&w(Y- z0_ezoqQ%3PCD4W9$Hsh#Q36;r<>i5Fqcb2u%<~{(2D1~?v_8*z&|MY6#!n!pZCUJxL)5r6WeTNhmhm*f#L3_du(1Gq#R=6Ig)f%h zj{^Y*iN-L-^C8q7PvFD%!kEb0%ityP>%E{Q^D*!7(WUTZ)GJ8kUWFJTjn{VpB%ObM z3BC;ec@n0Z$)8hVmc@T~3N4%O=78jI@AHUK!E5RMs^o_|V!UeZM7{YMK7lf_dwd@~ zm{iLX-@$jE|8fK30YCdUAa(p*G<@}3q7%dhKL0XABX6Y){vqF10s13e9Rcx}H&b`u z39q4a*-ZRMvo;qg)Lpd{g>+9H7n}bD#)4T6=Jw+_aWVj3m0r-bnw@bDLA=(N^G z^rh<4R)|zU?8H%8%k9NhD!K8i(zL)kib(1{I*H5y&_YBWHDE(UT{3uK;_Ph@!bP{Q z;ENFP)Qz|(wv5H(BSrIQ_@cyQ+T$*Xo0R=V3zwOI#E50oXO0yIJHr?!()z;~FR~W` znINX7z?djnykN{1ixUv6K-5qp=c@R65+FswJpfv<2%#0>nmGLdbGa^(YJt2V?$Ppe zQ}jNM_fRcn(35C2;^P1qYenq|i2K578F&xGDQex+iF#u|UW$HnfxQxsXvcdag6O?B zi7z&S&@AkaAy|vJyB(Ste$x{(Gnc+egk~v?ErY-xt?vrR328DF#8#5seZ+K;7E#;E zRmwaE;Vzx{4dS#EFcXk7k_VMZXQkcqA%dj*TNp1`T6q?}5UKYZ7(=D^W(a*jDx89u zg-K_ZLrahb&xA;nu2un=ERDN@xui%37DA*-LpLKznq)r|qCiTBfGCtg=o>4NJSf{P zmikaBgdF+|=+`Afe{3}W?OeZ1K-j*W={etioezOA{jV|*W;~4ne%3%bznDEO3Enw> zeTUa`XHwZT&sf6L=6Bx>%z`Q^CKm3b^<>d!wBjs&L;bTQ1E;{d)aey|E~{Sv%knUD z#92}A0sP7fbXLCV)JXhXofZMhnp`@`*pC?6 z!+!Mea=bqF8KrDSESmBoV+jJkGa?02f-%-Lw#i!GS_XaurkW2w(} zoXw^QxUmXa4Bgq$_t4I;q2&-~*~*U)=h&qpg!W+dbc1@bPSgzZVlF!o#hZQF6FeVg zW(Uoe8J~gAkBz4d#Gmz~bv=L`{1lT2WJ~D@z#wKPBT6tUrBC`i8}b445SBxQGpg9$ zKwe-@l)s0uq3_@eXTOI*i(n?M5Eq%U0lY{yoIZvqwzC1^5;OQ614Xm1D8G$iWsfjW zEZg}9L>zOa)GMC--5$PN)|rl5^4PR%7_Wf2q{3IoxHZI87EQN75nGoINHLpAi}p3P zWCX-@_Fyo?4Oa3cAUE0Ti=dY<1L}$0Vlx6U&}}x)10$3&{sG84Z2vyQEMxI^AEdVUwwgevb{HHh3-DO7G}CGo+jF0eee# zdmS^TTB4rqD}ZQV8|}brWRs~;_KzS#P9r#D9(H!rNA!y&{u%C z^5U;xbmNBfFb@6*<~nGnd3*xI8J>O*$g^B}1mrp1^(G)5JpUWS^yF&-Fsv6ZpiIe| zb6;paTwemDFL$Po&X0GX%f_GQynz5NxFo zq5RNZyp;?5--m#N@$vxh@Pz+F3>(283<3myQg0=Ek=*)AAfxy;YRO#U76Txnc^qAz zF#!76R7M{c)|bFLA$Cx*WhGYc1Mj5h zLT#*5BA%`u{A=Zl5H_OcP55j@lRy+Z5lDS6dy!0~hJ#2AK@>-kHXfRjcthKsvlto) z;Ua82;d2#NauLN%ETZh)U5ttZ{j}Ie*X|i%TLp1ed_zh0IWgfGG!OA+Jb0es7rG|A z#N`hV-XeQ2=ssc|U8cU`0;T_c!r2y~{l!Ddqyoe@)c;5kb8{h5MZ+i<(?tpuj~QZW zDMrW?OTLCLOPnr&$QF9q8S!tIj{oMOe_mTCR`_Fo`@-JrKxzVMh06fv}@J zyHIrg4#=w_nC_J#@#8Z1ip8L>A+Cw3^qE~3x91`B4Kc$9;--j+gReyNY=F2W2GYuY z8{JkQOGSVL$Q_Y<8E>piOb>vuT)gN9?XD<4je#n}7HS1litOI-RSD$_h-wjE4r7hD z-vaHPaQy;NYDH{!5ble0EucRTOELke6SlK4UcIb)%!D%wi}_J3nMy3cp=iu5#^;gcLao2Vh3d}uZ0U0$8W@U zbr`lu%pD0xvlwXudW+~txqYixav#1n;r1QGTOsH(dnfEV1NmM=(C7Ic z3j{04k+QmzQipDca!T4B1E0SX@*G-#6m}21An66Ik?2%v;X6+!LzH^$+zEQ9w3BY_ z3(^o7QNpC;?a;!d4wQRDNR_vNyeKu-K#P!}wmxYQR7rq@TQi{3u1a zBc_qOm@*GzId>EYCUWU;7)|9?`ZmqvLv+$_E=%-PS;+3R%UH^ev<@DZ4W}aX33(kQ z*;exM{utq;{Fs^or{uB!tvzx+)ipNqAo@IQ<@sZPw3AI~A+wjG=qq-RpBq3p%Bxpn zASd~!8u*;$E4G+~i|j?~wW}P`5p*|s18ubK@>V^Jr{x9I2|6RMeGcPU`6oK`I42J% zf$)$WI$#o>@_{S}FIgLmVZHH}Pr&n$_nBh^UwQK!Xnyi`#X>U@@LcG3zWO~ zgAgPSpB-}b}kt3>5P_$ltc!RW87 zp!+XCNuX9^pi(;-z940;8+^fvM{kU9URk6x#~l|aTT zu2l3SC|xU{B`RKRAS5Zql<*`g?VV@}L zw?KQUe4YvMOxZ{)%5!By29Pfl8`|n#DqE;*f2F*0MU>Zy;R5*HC`mV;vB1ml+3tq4~vjc_= zQ76zI5UQT1E%}1lp&Ils^`RXg;p%ZJBO=r*a%*_>Ppu{hU!m^xlDC>JMgm9g~rgbRZjP2jyj|drh8d^p9kL+^>81E zTy;LJ6M1Ue5`=!J?ly;bq}mn(`9xhzO_8Unt`_2%I*4+H=jxoVpuJF+QRDHYy6Yjd zS85bJ-1J&)Hx1&A+PVfaYf>AiNNZL|XX z_+A}E3(^O*pgTf;R690;Zlqam3#75;n@xb2XpY1|Gu3pLbIRR zZI+rkI#N2W**^{9gy!5^2rJE{&wxCsS&)YDPHCzV5hYHOJ`ppE*Zlkmv_wq;t+Yv+ zBi#W>)@-7yCq>ii6Yx?s-c5*-rs=Q*Bcy8*<3Y&KT%=njQxi@pYL-Ux1X{M{6mZH_bhS|f{p!s1Ze2toE)XaRS>D~?Ek!CW@`mrWZi538rmM2%{D?c_LU4%#7`;d9iY%Etn) z{bD#~=Bf>N42YX{VktCtZFO%zPHP97Ks%@1Obf1uw(2M}Pwk{+4C|$>TL$5youY^E z)n;GAto^iOz5&Ev`vv8SS=!&|nX7DV+*XLo+RUXuUeU%jV}iNbi|1g>(;CyMXukIK z6^u}z{jM3tLhWq2eXnW-HPMQ+|4`*!tes2g)-~+}>PBAI+IhftL%U%W#7*sOBSb0D zTGRG&OB+oM#M{~hy?`v$uJ=OdJKArep_OTiV<5`48$UqY)wcJ*coo`f^?+1r1F5xH zrQH;bnAO@3S%^}jEvGZ}d)m|^5VhK+hcJoz+OMeUd7$0!BSf9{pH4v5YX?n2lm@NO zDTqexjc-ACsO?3y#Ut&t>j?c=dyUecCt3^o$enea?jx9sF3uZsanpTa1f;v}#|IFn zb-P1>Jfkb9f;g*-rFVNy7cv<>58XoQhWPk}E$R}uzepw4A0AVE6Yd59UTbE9GnQTh|9JGueJDBX;71iPeLOUGf+I-fv5Vssv~8^-Ex zZGtaOcRC4Lyzcf4hy>lqA`F|Tvl;@Cr1LulUb3$L*BB^8_u@W`sk**t2%V;LH3lJF zw}yVlGehS?xp1a#m={ErZU`-1*}CnNx8~?p6@Y$O*OAh~E4mrzxZ=@9W7_}nbh!$A z`MNARbt=#u`4!_8>Uyt(c2&2%6`_lCueu^wvCfhj8rO6i%b{J@`7|KP4PDqg7;owl zC;=(al^p=@mhNFVLf_UoQ_A>CXXXgwYhByd(3*9TOQE&s7Rk_Bbw`sxZ__m$!a#3z zpZ5aqo$keSK;G;49r%n4u28?n*kCL5kW37!J7HK;gXwe-X=Z?qKGtM|j5k197&KCA z`hhj*gi{7>-4N5-;67CaHU|AWfo^MHN9BOM!5EsngF!(K2#yAY zYapBqZgqe$!l1buw2KBacLI6IVAWfQXoF?c@{KWAO}R#_K@Zxb;tbMt2pw-=atT_3 z!Pp7Vk_}R5K}s=*oCjm7!M*noX$C)@gfHD7l);x_@QS{UOamh)h#Z5-Z{fRa@Y4Z| ze#PJl-6XjNFDd)YGZ?=DTE4-;t?(5Xc;Cg$t{SwQhOfxrRa zSNU6m!mSwgoxzCdfV?;0Rxo}r*mD-fj|R`pK{wLZ(h_T||Bcdf6TPD?qL}IzDV3zp^v|pi<+(ne zdZRD&E2#*5srP*h-z$CcFA%Tw4wS{d(enZDHR*HzyZiO4vq5Ol$I%&Et6opJO`Co= zJtg;6??u(wJN*n9zW4h4{V;yekI9AjsP~|U4U7yYEW})l4G(XCW@6YS26R)yKk2UW zG#p94CF^DQE9Hv5h8JovK|jMbO1k|Gm)`<1z%c&@h(NLJK#X;fV1f4Dk#2SOg3|+X!Ez;V?Q5iZ+b0gD=L=U?gJ38V>eB zlsH4DVVFd`VM`T^35HQ=AS4>jnh9jGVdotXDTXdf07*3*Kx)D(@T0BOo3a{!Cjzo#8^tTN@33p(Wv=;m?zx zJu>`jEVRdlz3CwOiQ$*DWj!@)$_3$>p<@=bmxeQ_q4>&hwk5RJhQB#Ndt$7!t>I5S0eNSbVFvNu@Yy!$6Rci%@T5Dn0sGGCggE`i zQv+>O!AQKZ-;YtZeM~jgJYz?O!amOUJS^jDi-DXF9R>TuKswKv6lnzSXayf?V3^Z&$5*0|H5 z@%A*Ti*_W`BhD_*$MEj{nfi@;77N7OdyML`eO;+BxW6Y|QU82;3El%^j^XvewS5u! zP{{Z29v*cZG5`IMZu=v<-QYd?^GA4(MbNHb#BTOSXk%t~41N<SY(5nM)hu-=v>G<70^%O~t`){w)~*o9`)mPK<`3A& zpAf8$)yzVadZstQKn?5~B_WMWVh|5mzeo@svC*^^K4y!Z!F$5SQHS{{3#UBg8H;=Y zc4xcHnw}NKQ1vTp|_@=7} zZOO0r!FZha>H~3t2hvJq#W&3Y!Hv)LgXYe)!y(S_68a#|@-KIRcaGnr{M&=O_JqJ+ z-}k~>@#4N$fehy@<1q>RXJ6XxBDv}eUlccxfV{+mZonAL|C$03!-Lwv7|Y`aVZ1ng zav4I$bL%@WCh*{4FedUHv^FL2Wk*0r#yKBE3V%!!Oyxe|Af$2o+km8Vhidxbc*#^i zGWpwB__Da84SYBFfpo;Y$@@NqxP>!0h}(SVaEMZVoRYyiydQ1L`0H788d}aLE<}{O z{Lo0y8#x}gz?Z`>4+QctZ@&}9C%h>h;wf*J4DpQnQM>awpF{Wi3;s}o zDnjVjynwQCJfMCJz9!z8(vD`HPN%3X+-WjIEB|gkAZ@(kG5Fr{QtmP!e0@tG@(w&ES_5q9FsIuPtdCiOiW#LCYh97WG+2q*EJ?o?;7{~Q+O zOc2Gi%O;8vI>Ja64U2$G5%X?9q>5U~%F=|*E6nVcXgdJjZ85MM$UCBwH-eRk9@P3N z7hkuB@2+t1gs(z;*9fgrMD9T7Dq&A)Rkd*Qg|9~JQ6cV$&6B{Z6^}kbyDygCL9hqn zod(0!iD(P(>c!_1;A;@`W&_zMEP6sb6iMI0_efm71LR}Tiw;Gfh~8YOa|nnWIr0(DQO7ZYSvOO8Acn) zArZmwk8@kWvy;kCL$jB9P`lbex=W2GN9ixB{hg#*OU%q!DmDN;L^`(!givY8Ptd|7 z585rmrTk5RL`dOO7+#c~(=&XL(%T9kqoh)5@n4dL9t0#>n)@jTG14(=YQ##vQ}ZlN z`otH6c=%FG4?*e0soV zB=<1FbdBYG-@|7r&pimFnQTJu)?8jrJ_~u)0E})aH)TQ`m*11|gj|z?C|2?>l((Oh zCs8qdO3tP`$6B5;2*O70e;;$Pl}|0fKz4F^cOdQMdmlh|kTqvubd-ypLpaHk?SXWb zU&KOl!GClD(p4^^2U|ns$p4CP8Q&?sKRJa`rwF-`(#?zV%3jbS69 zX@N0SK5`Sr+w#qJ2wf`Y{|#T6Y}yP_F57zna#uE_C>8kqO$<~ir_v)aVIRsv z>15%N{8tnRkL6~%WuC|*Vrlr6OT9#_;)AxanD0dh+5 zAAy+G%8HFJ+9;p@38SsDdImz- zYU!lLRXOz#1UIFIDo}StP!r{}Qt1x*8Rf!S7z35_bes~TEH{EUuWWS%Bt$8siy>4Q zu?Ub0%HSmsDN2olWGYjqfsmy{Pl3o*mQq75N0}83aaoD4 zgYk;e+6BYrDv7il<|$YA!FNZAwMMWqWf7%Kca>XrV60I3Q|?x&=zhX@RZ3GZAk|9F z1ZXu%P$nSvl*|K&QmZ`Z3g3Mtdl|$lrKde0uazb$fSQ!gra?3--Qyuzl!0b|v?|Us zF;JWGp%0?GRR&B#ly}Ok>43afX8(`2_kfD(`r<}8_s-0{10*q3O-w>!G`1*15$q1b zP^8Q_LkAs^A}E3a3bu&Yd+!x{!QOlCz4zXG@9q8ey)!h)|9jtB?`5r=vfJtV?7hz& zJcjI(%Af4B0m90Ms0|bX$l)3+RHl1uh;WXsm!ZPyL69;`=-deXoGZlsgxWmeD1Gv= zK$xNgWxn7(0l0-iwLig*AIN_O$zq||0Ax#qM^gb@DkKg;Czc6+MnmRuq3UR4D}bftWb_m-(i-&=-%&86xA=$yNgweo#i{#> zPdA~~PaH_b-b8U=BS@Jfs>mCeBF?7epDG&Y^=_J2)&aOY(fc%j)5Yu4kQG7uTKv z-vjYH?dyl)ZrX#7M8jQaz zYYov8)Lkf6G*La2Hv1&CcUROVtL+`pmnrH#JR^O%iAl zE=yW*ySfTJsqauPB6D)5y5m@MVwd^{6)1PBc{(I})D;vkhbczqE$BzN~AvL!G*JP7g%dxj36Q_rL`c!1O_J`X6 z*VBH=BZ%&0Ux%!`3HG~apG~w6rVp?t+cy{n$|?3+W}(@s_TMi98$RqX-`vQ2>9>;;=+g_wGkK1>d4ZaihF*lK&w7*7Agoe)ApKcG5bM}jAHP728=K*)Y{s9>a7wxZ7==GBQciqw6W&55D0K8&9b_*C@ z*>j|mU)u-MS151oCncly&c4Fm$llv$()d2uzi0rNAML;H0+LVmUzyRt&-U4ikmWdd zYaykF!{hg$%ypRB8KQeS3?=)em&1jBAf>lM94%ZQhtUIp>+7(IEY5xof0I=*%Aw5$ z;Kn#)(29+9ctkpKoP)y!2pjJ(otmBHaI-D4ISvWuk5!~}=+h1c`rPb{gE$h|SqIO}$j&(|BZKF>!=-^> zxZqH?1v-Dx;XKXfB?mPrL?*@{7jz8anu>OvRDfl_S zu@ODg4Rm}&7ttWcG0~7Y*l{?WoFR@KaK9)gIbPce_9>3t=&Ve2{InUkX^ssx0+;7_ z=K^Ze9qs7mTH^Sg`m)S%0a+Kz9WRo(wZd^f^=zf1ofmMc95-D9Zl@!22XL3;v0Sk4 zar`b8z`c$y$SB?CxUM~L`yKTuX!d~PFBDrm=;+i1xI>Ovx#;d;$C|XZM;x8#1Bs)K zb14#j-O)r2<4wo%xv1T8)RGZ=+cA@XcN~uzz<1ZNX%-r~=P1zI#eK&i?NEE**rf}k zAW}?EWse-s(H4H}m{$R~Cyq5=A$#iBn=Za*jy`k@-+Z-ZuAQA6KlBzMsCp$5P$Pan z80&BD4oCy$h~^r(koVBItta5xSXvmbk5Pc@z>WA}`TY>BcN>DTDgFh@=FMc8gw9F= zO;|aKKZg$)3`j%}86c4_cLEaCL4`EB8U-Gk1D4X}+O4Bv`JIXWHT$vfyGn zQQC51XJF%YlS>`Hvnj9%M>7FQG?2&Fs_Um~8lri%U_?TuYj$!>C4pbmXEzlf{uom@QUE z+G!%qN#||rk#=$D1CdXa2g3kXs~2hm**_M@9LElj8#JCh zAQxgHn?i52lh`o|hEHa9>BATNtcjwU6-AQ< za?NPZ4d#9z^K=OJxC3fKxsyMmHjL{!2eskcJF;CyaKoSg2vkT4!WgKjWs&-i8iEcsarqJO04Mm|a@!{;{##!pSG9rV1SB@BLK>pc%H8N>Mh{@Ka4}#B=JXZ1spOX4fGq0 zG-&s~pb2&(``GaO5A?|#@dMJ3k28>l_9itK_T5MDhEM5jo13pmvuLtAVq`8ACxhSh z-=QeGRbGhHy>1|=Yu`&k>anf{C_NkaKuR4aasV4R(kX5D{R(CsYyLSv8&#N#u{QSX z1RkxPPOaDFuK?G*3P9=|^erIz4_Tn`sTmB2?s1S4h{| zJqN_gFc1=T#=4N@U5{QY^h?Mr^f^RVj_(VK3j5XFhSdKEJ?Ax9L?%c;jX2N*2HQhI z&|)h;qFo7@Fwz+Y>i z1A64VI0X_l-yQ?R<)6oZxQ@*Or`!JSNZmJU0k5N=XO+74OHrGkV0aOozOLFyezdO?5iZ&3OK&~5Eoo}MWEnv=)tzqtiu zHi;o?D&WauzypU-&_3vhD|mxDlF@4zNj`*WDYa!DUk~Mwar8zJnnd?l*a%mY!z+@P z8gb=0u#q=U0Uot@D0ri1g`gZ$=OJjCC9ede`INPwwDhBQlomec0gvrZCV5NWZGgnR zSD+mK=`X+&*4%-##2L>}Zgu`|z>|FbMmafb3gE33p-9_Qs0Mh-zN#p0D_vz?lJ+4l3tfGfQ{&3VR*34mmlr^n9@I~swqM%9|qiT7D%`52$n?w#gQz018SpKXSyFpv-S-A8^cy1E{Q)vwP!FG#<5)uK{B2l zCw(-5WvKz2$TG+pnZ(XOcjD5VOu?LKtlV*AdF<<+V4u!1=Yw(vGcE-COjc_ldOeGc zBcp9Lo3aV*&0)$Jpq$HY&{mnpqR4=p&$3^lwt&65k6J!!K+gF>mTv*WB36+el@>Ey zG^8wHyT+onl;zb$Z5i9C2IX>QjzK3@u&nXGtz@elk*&f%gAJ0^Yy_F1Ygh*gm91s@ zWRtC9@A^XYdN$)C_%^WLDAKl(J*5@g#CENND@EQ^#Uy$c{Ace^psjiKAf(lrNO583 zU&$2FJR$STee)_%)_$Z1)}tlG`8~fmik9k(a7MXqcmUw_uG8YzUqD7r13!xNHf*j( z7aR3G3Yx|#WcF!CEeDO)sHTvtd+uOUb?455E@Ic;kQk|>qY<@hD=4CuH$xipvk^Sa zex-xoe9P~UVrfOsTrCdmLK?fo2;P=qbY;cO@B>Y}ehVN8H%YN2`Vm*F#Uwvz_9H-& z7f@)iwQC#nsm=XefTzTEM%wo8#Yj`L>6%WvatK`Qp6fx`et`+)^xW@J&M-s*o4F_+ zlpX5o0q@wC4p&xpx~#K5Yk=)keL3KrJI0}(UG6v{?b?10(r(q-1N(2^aA3R7UxS|H zuqUM5da!_-z~{2L-y`eE>U#p%i_N7kR(i9gF`(?jrd~ypec9g;AQ{M#?U4;;r-p-a z2rE+uxS{MjGFXPOYjocXXFq%ez7gy#>FANnnW9gl*y=^VjbRI_q9;|)j;{F!1rL3woGgFBFB zFkCuAt*%@Inx;nDqJ=0(c)ReN}ax>a#WN<;+xFfv`YITE9_WGS19NiX*xp=R)0HQDF3oidt z4*+RW?hUX3X{0g&7tmn|>Vg9-_rR_T@&85hr2B9jW$)A;pwKS}K-otyAocA}c9P#B z`aHnD&nS`@Q3+|l6bEnx*4#!CqsJl*ZaNV(hB_wn+c>Zi(x$y=W=vb=fzrI<8^{R> zrk`PjrmO%YY~W~=!{7XazDBtI3ChTy)F?;!(^V4vbO-1yy~w(0@#IIOu?tDNw`_G2 zY23E<;EjJA39bZpy8jZd9R{S8$qA68RtG?nyx=t8tp}MQr;Q_7mnqv`0Ma&#!uqK} ztx!&@-w%}Srknw`{f`WNPG2?^@Qj~kfGacmKCm6$(S6quE*6wr9qM`Z-`|41)9ZAk zo&CuI@AA_Iq+OT8j*w@z2H8vA6C%K)PdW%XpJo)N@eQa9>3;WrfE53|&^F2@@#I4X z?4olR*v}F0po)@xHIHmt!_INQ8nfs;H~nKE%BIE5L1~Ul0ygB%Zj?huIzoOJuL3sw z`y(hv)OZHTkv&f!jk-z}OZ2$;po}?B7G|@CbwShouUddu`cdd4PBRc`d=}Z)30dty zlQ`{P@V5H*Z=^|m>HwR(y`RcDw!38Un1+u6)a=zB(nECjz!}O7Ksjt~G}7?Qj=)Cr z9*llRez*;6R0K(h?jHwi%wUT4HrrbnY4csA{VYu>2-YHx;vTW%ya8`{nY2sXUD`YG z!$^N7EFBF<;vel$Ze_QHde*!vAjw_oB5fTw2b68b(lby>vsoy&y|)6=Qq#%GNvlQQ z^t5a14X*Z;=(0>trx;GgynjHGIp90MJN(cek~@C)Ez+zSbk}6Jo(F8F{`*kwoJOnC zrCm2rcHbEbnj9w5uZnswU2EWT*#L6Dd$O3VwzJ=GCjh4Qt3YHvMm~4QX8QUh^!PxO z!;aJeJUn1D%~SJEpp1M@5tOJ(b|^<*sEpQPMDk9W&3OoT^YZlWWa$}>a*J2ATVnUq zGeygMdM1kdjkIw5q;SYgxJTniJdl92Rn}c#lgzV$P0pwIP3!9vqHXhnyy=v2S0JbD zo)4f*{cR{{(z;V%v|aOANZVfx0cH9?vaT~)Gy#1k=Zv&NwJh|cV_Z4Fvm!5IEZL?5 zpzlGCbnw?$(NOSu^5N|m{ zA9J*5(j4&E3BRB(EoYOb5;vB zZ8p&SrF0|nuWeK{NK0La^;M=FXbJjuPJ=Os2YvT=vNLk<&+ekrJy^8^sO7R3#LDM`l7`zro@`YM#BP|%)mAvDY`~3ll%OS5heiQA9gpM52M9VfvXtk1NHz|~! z2a*FK0cl-Hg>sv>U!k0m;|G~-UmZg0spYgu3{=R@`rC&#y{rfSr*y$~~H=Whr0Ndpq2Y7emB|vhR@;eCX!B!=MJC_9x zM%I&=Uf6E6)-)fcwS8!wX1oJmNF$1{g>HNgNSK9U#^IIvq8u@qVm6UC{ZWqE@GIJh zcHRm4m?KX?(`+%_*Uj^u0c%Ml-?l}Cg}}xRu!sDXOM<~0w|^ff;{&vyNw~ZlY2w#k zfwI*H0lZ1Oh5(X0K>%0lh4igNn?~%^$l9C2&_d|am&0#Zc zKu{0nJ^+$(SzmIHd$MfYit-)x?k%WH>g(V$|D{3uAxrl_Qs|H_fQRLdKsh{xRyU$~ z4bVik*#}5e{&}R)?P$$n8svbhSz-p#<_@IsEn}llZgJNS<=D(UplO*!Vf8qBidn_8 zM(9GqpR)l^462NBtFC0M!X%I}WdJP+$14$#z63{pP zo%*W{dUeNN$lTrPFp&Xu812u2fXp`vw=X zb+ScUrN2WTk}mxWcyh&)plRKitb{iEM*^NQ!2ro^cmHBLa}m;8NCIi#hF+=QY1C>Q zC>pP#?}fA%%c1P`c_mua{oEf?yiIl}>sNOK+$WB9v~PYYAbvki0oMOF(&tUgG`4_d zgOCOub_6ykF%$BG=a2_wsQwUTWBs$BY^tKjVrU*&*Pbs?FHpu+hNmN+mI2Kmr9m87 z3Jvop(%)$1I!I~U^KZ1Loo+_i>xLiTI^#BQdXFZ#`s(y7?sJObgT7bS1M4@h3b6iz zcwn21rnpu>l~qUslWGATG?*-x;CNr8hOuzg3KpULz44#Hl$!cnJxE|8Rdx%pTOs`R1kIMj}3tmnm^v{4?ebz*zJ};>y-`Vac z`~CC)a{Xt~+&5W4Hc&vv7^H!_#sVIc!y^q|d<&F@S+_xB3?xgxshbIq(Cw{288)&W zdJ^82PE&;N5ar0VosdTLr;$XLS%GrQurc6j*3k!$=DX-Uz|w06jqsWa(pcRlz*|fj|;)MlSf#gJa`(UMIdb$zZn#bmXn3v_^u8;);=Tu!s}PEMRZ=$ zIn2BOS^Ce%KnG|~ru00jf4F@`d-q>IuAWefvXPOw|6H2o$StcQ$#v={Q zUjay1Ez*AB`QHK(adQ&V$e_kZqXyIXqw~_i8#9HRfMzoeLt67UbVFM%lZVz~D{0Z# zmIDDv_&p6YiId*~(rR2jWF|%B1D?EUE7I0#vNPJ$_zmS02eKdAt|eb1^;}(0rj2+4 zNV`E~QMNxk6p(a}?}5!&Bmkc2J_fuUyy@2O_$T?9S=&A!&F=cU&1$@H9QDR+=<5P) z1%LFwOB{iI>)dEHym!w-s;_tjG(O(D(T492?nwQf{soBtjy!NR@gzd^Jz$)D8I(FH#&6= z(wI<+aWqRI8?E_S3IJNJ+y$gXJb84n-D4o3<ktAx{2P)^*RLbF*8 z9=9^7Cw;__tRkDCbr!8|n<-bpmGb7a?c}$<0J?^^U?VCUU8cLIaTC&<+My$9M*dP_ zY`O}~(F5-b^m&;+^8r$yIyCpb2(0O{#0a6>zH|(!fVAAu}k6PEGJF zdN(mtu8*>@KmhM8bV%;IqxKR!!t>nM$Bmgc;xXE&_vbf0}0Wqn*bZ5Bj37N zSMuna&!uRcC5Luai&l_q1UkJRlu%g?Y5z|jwPo<>Uvh?5P%z)W;o<-WMwG4wTXq?LTxiMz6Hq^ z=0^AZR@RQ55x230WE*T}(d1_AV4o-wyOT8tLML{yHtt~9&Eja=?O~Z|Alb{d(xUHU zj)x#~KNGa59bkTBo*rba&w}z0Ye6G9%wCYme1z590+~nIt!R)OW3|ZhInKI}<8p#M z+JM?gru9I3r&!D}08g`Ix|q(e?|UFS%fz-|Kga$i3->(BbwhT6P5&CrUSu1mBD=(R zvf(Z>6&YDq*wi18U1e+QLfAD{J_-!iS*1X)|*VeCv4IU0H3m>r%-#w zI#vJ!1X^SSR*z_)<=Q;%9cH-RE# z3pwv5pj^cH%mi>T*N+^#CESl>%Pr;Z-v@3PcYt;)e&Ixqi7Pk-`OYi3++fsJaSO?Q zTg~xDQCq{64Mnz=v-d={j=LTS+j`-zOeEnE`C za<_8F=wjT)^`O1GojbW0>^rzWn*g_y8{P(#d$}KD0o=#cC0BAkSGgTVdw@G|*Jk9k zngf7y%astIS#}qUF0J>Yb=SNtNZnlN69D(xPC@juWEVg^}C6CZUJ&L zcaF@gE!?|}Xml%ArYTz6#?8+~Z9DfS4%rUQ^a4%o<{r^CwudtZpn<)dkAu{`-Yr0~ zpWCTJdk47kh;iWG3z^ahz(btt5Re?@o{=$mj9WJW*>UdA2f&@+rX+*%B-g7BT0g}d zUxV6duKFJ!Im3;f0KT)_Poz}OaXZP=KF=-e0lo{IUlb@Wa+!YvcZtg(TmCXPa5?y{ za07dS?<&{I8QC@Nhu_i9>)h!}sNLYU&j3 z6q;Pbr*8nmV&0qylBN8rJ?QQ-UPT7da^97q)GPTIa>G{feaT;3&2JfwY%QOi4)%5Y z5PSlHpJP3x&9;$GA_IRDKmIC6HuHHrWNzgjH9~D0KeRU(w(~#jMhiRn<$VF%#Wx`T zayK8H2;g4cgQ8yhc=zf6?&qu1ZG3ZTw$!5^nypXAjw(WO)TA=0d;`36CtJi~V<(dT&IGsw>KTl)fdfnPZewM+bq zH=w-CU!`Mkg;$fFy~=O-1|z!8*Q2=J4Sw?}G<1`n9RteSe8UFF?(jD$5_*@fo&%Em z{GO-C9`IMTLgqu>o;J!O{?QH89`nm*BYVO}{|JVs{M(Zd{fysFhRh3oQWw--@;^7j zz+UlBXw$yow+%%H-}09zj{1%_b^zsj{vKUlA9yc%0{O`A)q?U9{|AK(aumO}0YeW( z++5&t6DO>z&H40L9kS{b z;Gxmo!4+1UVh-W4S!g99ChxqrDL3|p98MePe=!}IXefC z6o=C&x79xZZ>o}RytJ|rNZZ|M1exvoe+~Ndh-D~eOcp?yc|Q!;4h^pZ(y=Sums#Zp z0FwQtDkwXxosJeer_BPqiwEhlt`~-(+^tzzVE;9)LE611c{@35GC55>SSsBux$HhY ztMz32!qBH)tV|$kz1gRAAnC)7%?C+eHed>B{g@vGE&8)x$eS6!R{jFYfh?a$2C=Dr z$Of}@x6sZIw)rVYhO$TA;2X|{lGQST4Wbv%k*pG_x>2kW-2|iAl&P4zp*SR z5G{;jxunC#vwT{l39J(Bh>7es3QSL8-@F3JWVV!?k}0fV1lXstw%>zf8oM+Ie0gjM zU1`(VZL+6kuw5-to5^NZ1H&vffwtOgcBuhG&taqP05_LyB84)KUCc)d^O;x`xCN|A zEV6v|fg%zM*)N2{cbQ~1E@tmnK=cwey$1M}vJ<4MmN9)Zh+fX_(V<(xf+m7tC3`pt zEv#Y=^quu;c95Qu*Ra7N_|~$!6eeBA@?L^+J-bCAiw&$Id6FC1$d1T1F}F=%-^|Kv z2j3R9VKMl&vYpca+{XNWN4A~$HbS<8wHpK6PBx7!uU#zbA!@tXPP!=fu-O!A-OJ{Z z3%id6Is><#O(qBR08_O_LkC&66{sCz{A4g3X5GkcIKm=_pl3(fFO^U`#@dCWcAWKZ z2a*$PUw`nOWKYH;JH?)~LJOyvcSVq#Vb`M2&{?L4N9`PILSs13ey2y)3#>7HUv-f+ zqe;HRLdjyg%(l{GU11q}L2{K{U5DB=CQ#hrI_rN7BsbWlIbgWSw&WnY#cG^IcAHJA z2;3cZgBJWQ+e41pJ?8NZ`0lf%gCXSsYeVsnM=Wdvvd8QJz1BTpk4a5EWqBDG^fNY+ z6wh<^gih`YmQByBFWK{dkiBB9LLl=sbE7T%hGkbq_LjA*0?K#HlR~`j*-JVPAK26l zp!~?He~auB^QEBjXLg_VL=Lx-_Gb_7BQ0Yt_b(m!p4_gn7(*|v6$e~z?hKvuKHRLj z$og_!DB9hRJNy%}{@gcqXm0>FodVkfxwWgoH;4Y9l#L z0vA9o>_o1871Sni$8*6qncLhL*%Zz*4wO^5ZHcH&<7&`;$>VNjBAd=VC6zOS`{@~4 zn914q0p%>N&K3Y?b8YM~lsQ}%ik!~n{96Dwk8?>zZ9cbu8fpu;kLy8_&%GhPYaw^* z1Natkua-mDVy-c*(Gsr9CDfL3Ll>g+_>0*!L9(1{6o}diZpdEXR&tSDQCr0g`v`{B zT+eWjtl?r`qqdfFppCMQ^Y=n~>$&Nqmo{)qP9WRJReyzMH*vYQL9&^1{TDsk!gV6$ zxRo=}jkb-edH|H$x%~1V*}<)A1}Qta5ob`_#hr@<$!;#L1{&JKB_Bj>FBd`Y4Ewl- zbpYJY#h(Ym0WOpd$wBU@4!}d)j%KJG=9W%Fc7*e(gzP9+Wij}UaosARz2n?MiX5Nd zmc@YKB)5g4Y^S)oWHR6{6Ho;B3^%tThIy9zWg~FsxTKqC=sc&{50VR9C?5v z^AdOEGqTHE4B2{DxNDz)yUKO#1}WFLRU5#5ovZH!;05kk2ygA-xDruE`U$D zdK684#?|eC+H>yuALz>quCqTVUvg!~1NVx%Rs-$5=6;}_z2Oq6_LhqwtNtAa-yP?V zE7u0u2hM#h*gtZ+>BN2Fs?myl=4$MOupEB$QV8q859+=Vwq5Wdz^P9l()%=jH&8;&(G3q;+J*+a58_J9JMKY1a*EY@8pY~P2(@S0GG#)>x_)wCP z&+k7Bl7;-wWPUE<6~mA%=6BG&xrC4U3sRQy{`3m6j2}im#d7{5E&mF>B3Yp;d50fR zTgBHTlGXfudV^WR=h3lW%ir9CY#pD!2e|dT*8$)*@J%+MwvktnQ?Qxmd!dCbd|6Lq zTY3Fa;I{D_D8jX!&$@@c?BMU$Lv1Jj3x$l~i;&jX&5t~dX7}*?Mr3>WjA_8_vt{kLEc4J9!Ex@UIo3y_0;y zFw{=*#x5W^&F`T#I>VpIfv~gue)@L*9DjkV`t$sqbg*CG<6fY4ksq!G?h;?-1X{n$ zKRN{96@KDT0I%|Q)`IdHe|`sm*LibK0B`Vu62P1MS_<#n;&(hp?KZz!gX|7}mCTX5 z`~nqPzsENsE8#wWg_iLlpZO<%k9Zfl-yic$>jU_N|MfhiJmvrFhwK?2R|ml7JmVqk z1)ugEhVqhcP73f9-|84@uldiUZQk(LH$%!>e)|V7yyJ(B2g7@Q6bhWaVOYJt7K;!Ph2!yg-`>ujK+EOZMz8lGO{hA4imiP}&_g=fGGQ=IJvnZp&+ zrvW%Zk>&)xk&2~sg^W^k?GJ|03gHoOV-$VnqBd5silVvW6oYAhj#u>3pf*9#$_d#- zMejV2Oj2}ci=ItZRJed_ilRDA`BX(`@^YprGU;(BPmw}j3{F=>QJiCjV(p*EW-1;< zBb%kDw+h*8MJR`Cj^ds#TA!=%I*V+cBB?t%IA77>IjlbX3VXVemn#~jL*@#F@en9iDl+KyU8VT39|2(JQ94D6?Z8V zdPOmkw#`*VU$U>SDOUUgVb>L-$%nh47(;f*O~p?7#l$Uz-#IYcR=l8?%pJupTH?Ek zuzujXrwAky;JzYi4HzCMmWCjEsMz@faE}y=-lFzcvAI98CyGvFE`3R-a5qu-@&WN_k{07)C4SQowkO@+^J+Jyv-z4J6}~A86smD~G*+ z=n2Xm^g=LExqLJzCn?`Nh3Lsj#|V&2QC@8blBvps6yT;Q_fG_6o^mkRrqh)bQjyJ2 zn(1`RR6aByo2AU24ZhjRJ~Z|@$^rX8GFSNzecv=sIg6aM`AW4bT3Dc577Ahc%5&9_ zEmSsW4B#TAS2a*B#tR&zEKx2E2g6e3p6@}jOnE37*>dI6e!#6zmhr<-Rw`#xuxS2E4Q`)$r@$fGpMaqwp|C@I%T<~$kr>5e-FM5$~ys=`Hjl0+tA)7Ww|cEZB{-R z4%`-{`=7|RDo4BnZktkEfowaz@`11&%J%I+xl_4yF4%V|eGVwmTYjKUJx>KPWfu2j55Kj|~9)q)efPJ}cvupv+P6&A{G6b?j@f=c-=Q1>I9M z?H+2qREZSA>8)Bxhop~c-xCPys~TfL)=%|w1>pLtCeDGd0jl%8A$p+daZS_)sjg9I zV6bWwS%*VZ0qejpROOJ4Y?!KCAMg!VbzX>UgsKI3U?WwBpM!6d>YIv?GFl~6MJL9n zcAo`qtZEMV+T&E?=sjz^Dv!P(n4k)zOMjy3<2z)NRA(ueJz4dY8SPC`xmy67s#>B$ zC#I?FN&V)jHqbpiT~&`l_cK%v`lBKIwf<4aW~r{zoikgtnC{{^s*HUA&QX1;b)ha&`1G&>J)tH37 ztWfQyFDF*2s%3y-mCFA!fU8yadjYsc^^R(5ReR2XVVx?1wBmYIds@Z~s*mJ9Y*g*v zf^3uO3f=viRZ0IM+oJO7jBKl_`@fK~O*J734BJ(QkE1U;RDH;U-l?je3(>n&_O7Vy zR;@pX+8$Mq5)6A)ip2o#Q?(&edcSJYY5)(Y_K@>)P_=eGq#ROJr}hr3Rz;voM^smj zfaIv^avAjHm}>kYkQ`SX=>dils%ZK%O(#_~5`jCVa(D#XX_bYSwoEK2W_Ri{+u}5b3N( zDkBA*9;?QaQS(Ig^)BF^s>YGs`b^a&0=4HVUw>pTR7t}@@>1nScEKyvQgY5;tIFA- zOK()K)BwI!y_|(Ey;Jpz1H*gOPoxJws)k$x?vv{8!(jicD)SR+Il?`6Q1%euk>jWd zQo2(c4cq`>yaBa=!mMXt z7$j7rfejHZQbb~?@E{1lVM67}$c76?T+rPS!fX0uVx+M4dt{@8G5wK^7Mhj^-xy&% z1%bv2VkG)GP7o-FH(vPWCD5#geD2WUx8$@peHYRim;t#X{vCwDf&50 zFi~JVPxx*P7^Vv+=<1mvOrpIsQ`kzM_RJERk|LWUJWD_}S6Co|VV)4a47K^fgbT

    Td3U-IP$!23XP_K@0RfID`d9?-D5D^ z5jJFl@}4l_4*GImh#3dU2f}s*Y7d18e#jmPh`!-e36;t2c_O&c)%sMhP(=5c5PJi{ zo(r9F0DK`Bb5MIJIH#iaN?1uB&%PG&mV*6_aE0{JTVb^ZwRgg=tV$+MuDFZ*hn}M2a%8>4UW3q3Z&5+PzdquD zMF92{r!E3tKk=`g;Oj58yN_8KAfBXP<3JJKIu4gO%mUnCF@t`iHbgu}A1n+NQ^vz8RGhMU`M2FRok}sYnD`BCS zI1;!;VrOzD7mKs#`|~B@oO2MiR2=aXl*_~jy3v=5@$q0-A!dC5Zl$RH3Bp#1k2`{4 zwYauCYHP$%|Uq_iqrFc>=gmoE?vBv)G{_7`BL)XjQg~Kc@k> zP5d+l4BJIrBLH`Z3W@>l6b;SL>@IN$eHpl0^dzmgM;t>=#a{7TBarM9E#yY*7t7Fd z-vQB=yupLwOg~T_5-ZmS@US?6f(S>%ckO{YD&C+#`7tq{eEZ{K6M7ChA%07$@}zi( zKCCz;ZgK?4X>m~!YG=glr_sV$acKZ#o)hzFVCTiTJC)6H_tLeLfN8)t4mL7}qs-vMN;sAoft)?%6st@&GiSd?qp;i#h!HSei9vN z(|#7`?Lb30>d|yyd#I=P0ZFcUGex3$s&xZF(o0>IME6$D2nMi^`lsK}dSCTB6@dNJ z$?d?`U;Xt);0CBC(^ed)Hjt(rq;9Ym*$t#|^4q3WHNAaj^{GTlJK)hpoZ4M3(ULP_mSQ@o<1n5U@oJ48%Z@D#?FsTe{FG)s|Yk8HLg zQIBkn;&U62%vDVP8|?EGWoen`D-!61HD3|Z9=L^y>h*wIq_|y|x3jB=&@N|(^KQqn z_g{Zy$MBz>9dxuEt68&FMtWQ=t=XqmMr>Q>S{*WzQ!;ABwarM*AV9o}rdCE;hxE7v zySTbB?b6d)rN_2)N=!~k$Z)EX?OD5OOl)S`gp`69PDLM83?^ZH8W!U|{7_qfe z|DO#xCAnoxtGGC6G75)YyQXVRjco${KWmF7ys!=1NQw+PGbuSezFlm3W~W-ZAhW-@ zmcP6N{}&TeD=w{VJ1k*p=Kl>-a%x6qY$|qAkYlkQmz`CDW4Gsa--w%_MAZJ4;4pd~#|gD%kSHd6J07%AZ7MPcFCCc*cU9>NZxK zk%BT#O=3c9W`}gC1qijICS+kPEgcimGm_I%!3q)!+RW(CPHr|XEj_^!*VegRN(br{ zqH5AnD#B3!qB+DlN-s)=O(IugDHrYRV&koacpD@hCq>4^XLOJY3CUJSLPrc+hP8@I zX(N}C+Qw$4Cug^mYsoHFg0`7fNNUHnahd5UGP<2jc)PY%Kw3J@D7uoKX>BDVAsu~} zfoQLg*+!5ljRGtkGOTT6#ao3);?=fsp&*Zo3i7z9B#jFNd0bSmjtfQWxKPSyDGv-C zK}{YP3i7y6C>$3m7?-n5h?1tD=MN zXvN)HDVQ#Z&Wy9tXU17+GvlmunQ^schRiq*TfsH}%&xTuC|R4ACmJ&4iKc!_y4$+J z?V;@LlsF=GcDy_Lp&=gNZ~<5W+w5$RaPJU{F2odQ(FTTVBh7|ji!m+3$-~ZQFojr* zT8)R^5~9<&Si%h&rz)BbDNgn4J83+s+69LNNoZAhrO5b9xv)gVb)X%;LxLuhb_rnYr0Y2j_t>{8lt)h=AE(($%|{ihV` z{6Pg<5@RzmYr9!8>1u!_5$~k2^A5sLj3$5Tp|d40ED&WEX;W)z+l89FEZ+Jsf1Tc< zH_8LUP%T~o&L(gCTE;osy2Jk`9SN(7Nx;(UBcv%cgak!Y=@^^RA)%^Mm68ZcP(~Z4 z%1%{m1lWgPkdQ=dK!1qT2IxbL)(ra)7$;{tlit_j?}E)49HI}V#i>%QiYd#K&`MLg zT2<$4Hy3ATcV~@rXjSVWv8gbd5*RBT45TXFbxk9}AQxj-w4%%APH991E!AZEM?7 zMqOZl89Lb*7#OGv@R6IYZD+9r25NP>&>%W;{(-n*ESMFI@gG-BU|@iCLNyw#g|@#w zA_TLq(Ku-|fmVgA^V0?g>jN!%Z(lPqA8lYDZW^lUovO$q{vRYZZBi>YrgXQm{D?G%7DixZEPB`rWX#+~z$BT}S2}jty;Iw-> zNv9o3+g+n|wVig{(k|W>xp_D2cyBsr)|=X;s-3qv)Owhq`@DSV@|2fGYSYF>N1nE( zS3zTPThJ^TPiHr@WgQ=e5F}j{h23}YEQZv2dz&nQ`rwjNC~?}5|2=`l$%;)Mb+SOI zSdW({9WL7z^!CBl!ze6j#o3%g+Sr=%s=)=4{tmm}g6c%D+LDB}7m72WI^@ch&9@_gQP%=}gRur_5 zyH#zwWBAq7l(VnnowVgVMApbAv*;D14Kevg;QsaT57v7-6)cLE!Q0ilG<0?+9G!wkzjLggm*nQ&(UUV0W2=OfUs-zor%5uXN&^UFd$bo&s50 zShc+_L_SfjMbxZyF^zhY-XLjbm?pkZkQTEc)CBVb*RN-glWdX5XI>UYd#S(x(>S{o zC_!6WeqN#G$lBC>Cykq(WWLJ_T#dpwNE4fPc9%hjGSuicuyN2|?o5r)`&Zpx~ zeIs9p)(Teuyn+JVY>o`p;rK}?*MilT_B?EJcyGn5PCA{$K|7mv0?b~rnK4_gvPLO| zKpKMu%0vhIKM0nd#Yv<8$JNu-+q<}xZ#~h4hYIJozW1mT88pdXo;h^%O|9p)ts!HF{|Y zWFb3?X4GBkk!&^keX+d@Ede)AH`=uYwnM=h_?Znw?e@|M#f zw*HqSv2K!3tNkf&6X%-Fp|CrP`-vtKJVkx5Ra3iL{c+h1kbHjG6N48m8*+s^M7D)! z#bh^4k_$VFPDsJ-DY{(F-dHZ_D!_F>R1|Wcd6Z8$9dJ^+h?Dpuuu{^Ela7Dk?Q00u zoBa&%2Mboj>YRH?n@`4C7rAK9rRy7NE705OV-}cj1vVCKQnMLjC=gv-Y01_NC}i=n z-q+NzS)$P4T5nf!j!4tcT_EXH~QwV(ojjjj1yny;( zZ=Ih7#vC%6qf`o}*c3=sxYQd-wV*mwCfrrM`s+p{pu)A$B+~viv z`NS?I5heWMl6Wi*wiPLR=l^n!sf$(`7u)4np!;CPQ2z^5kmTQ3<1g4|(on+5+$uaL z?y!BVn}XPE23oMU(EtqxSJI~E3NP}a%(d%)nFxKf<`7T1Ae^fFgP2<(L>qxC;~ytY z(T(SVAPXF{Vv64?mF_`jN%6xxz>R^s&xoL!?X=NhDSlQdoKnIQD3}k8vz@H+b&|@5 z!CvH)mB7ebj<$$wDZ%;GYfb(kkrqucW9|#=f0%P$($OBY29DrLIv1#B4Fan+KhhZl z(j^7Gf>^Q9%L}HuHT-Jbrm+5tYysQyl$aGVpDY#}86CnbUj88z3y|(bA6X-rO$grv zhWKbh1EJLD7AXZ`J5eRj(pf5Y@#urKUV%l;u2QfiHA9db11MN4XI()oyx`PGUN+Xu zHaqTx2gC*4*P4Rh$A_9ow3CK5Nl|;PxM@=yCk=s)3O1QEgVmkkAJu!P8*tqVtMQg}2A-4Hn{Dh;Bx=A5h`ZI>5ME>}e~Bt(AD2QTZ{i~6h~)2Lp=b$e+nHg4n02_& z^kIfzx-Dc2Qxh6Uk+m0wgqRui&+3~5>GXyI6;T3S%A}U0u+FIbC{h?wv^lfVC95OLRN52fP_wa! z5-SBO56NmnNE0GouBK2J;oe?F!|(r1R8ojpo-t|Kq@^xgAg#p@n#5ubFQP{ZU|*;Z zD@L03LQ6!t5Q5~R2!pERj+QP3O>OLGoL6}?g?htTwots}=Pxe`nv&KFHXwDXB27@K zI9u0BrI7k3nTI&bu&T+I!h@QrV4X|Bm9o~QQOWCF)cM4b3DoP2MyNA-mM{b(jH@@B zi>QsF3x%Cv4S1PM257C4W}~#^#fjwAwC)x80>Jr{JxVt_YtTj(YAPk1QxqeMqCH&H z?T|Ms96kzy>ioT(X~wicxD>ollx%j=d`)4Kk#4jpHVP?P100@Co;GIJBF>zTk4{p3 zB-dM~E5#Udk>6u%HlZBK3e<<`0}Ixw6kI85RT`DNR)zc2jSLrAdlc-^BJo=nGNmx} zk~M^N<3zptNlr_mEI zJy6O!B+MvBbzO`4`33tb&=?dPNhh^n`xP-COH#?3#@4$cOC)s+*M{vhNG~2zB5xXt zCDgBJp#dZB6^(nbSb)2e2CgkAn--;n;VA7i9bLkbD*#kgf>BOzW}#|xzHXi^b4HpkY6C5GiqJ(b>#!#S;S_u-W!quqc=!%AO|gMvBw~z z{F3TYTNZ}-w4vxCum!WFhDze(xwg)f3y!ID>p>p9S7=-UB^7E2GRo5v8bLk~s5RC- zK(+?9fYmyYa=p#p`vNYvWdW5px`9j6SS7ov6Ku_j6|?cb#UkAj&=m#R+Re>+Rl{nj zVwOI3DLg+gqCx|4fNb8Bo0CUL1Kw(AxRmyWTktLbAHT%&u7}*y0_)2AH=feC?F{E2yRt=-V}ha1zt6!ef?qc6}+4^wi&Vcmo|e~jz?LYHlr>; zdgzoAtLHkoC=f+ zARi-W6oi6}SO{tUUEB)5QV@zBh)5ocWZU_rxRw^-;o`2)7ig)Ag(e1q#PX*o-u|VV z7exu=1+}{8TAaHuJ$=>_+IHjGb{)lS>63vXxAuRL$lbN-Ck@>=)|c)&1=9&rsEAu2MObVb&9+=$441|% zQObL+sAEl`9WPf`v+X?tZ@(owS3L4VPB;D|qeZqlH6?=}gw_xNO&0}|3_fz$zzk!+ zCcMPsCw=DjpD5ejErp<9_u3{q2+zX+I#D#f(6zDX0x-ZL3-ATbcA})ngtUPql^~lD zc#4!X6?_|iJWP>+5n;QYzeLEQWDnN4*b9mqJt5KqHWmY4KfuU@4ImMExfcIK1o~Y5 z!skmw@o453c=_9{;tzN*1Y|ey3$Sf!i@3*n`O#0B*^&_lj}k}sOT4_pWS_rSu-z1a z&;1I_R_himNg+>NNvUoYFGGmUT*jkWVdPO-D14mI&a>b$D~L)K;-pSj#*A7&V#5rU zMnzqhXAhf6`Vh^6-DK4`%##)qfDML+u}F28_6?z-(Tm3&pI z^S@rDfVAzH|KgW60Mxv7s}@It#a`y{vhQdc6jZUM*&G5w=g7g6e%uC6DD0wF6jm!D~<>U&rVVly@_Lu)N{qQ%FEIyYcezA0MLF4u1)&S|@#_BHc5xz9HWDtb&&>;ZZ&I^ z(;ZV`#@B`PTu<*s_{J%*;DVNvouo5LeDPSIRpdiyHj>)$vFX+-rK3wx!T&)>>rS&; zu<&}5^{JuYvebU@T|&XrU$N^JA1oBVEWco+&G3b-hj~b}{ufFep>Y zc1wU?^@ZtWh2 z=V1YM$w#c;ORUN1h+r^;ut1x*OxPz3zV$QI0>Z?oFSGjuIn=6dIm?F@aML!Ucmzkvr`U<-!KSOftNhljxZB9X!QOLP#JrLdu4 zyDndbYBHR=JyQ%}(7H`E84c9bcGHflYjg|1t^>qK4w;dZ#HR!g0EXpiens&K^9VS* z8%Tex(E%O_nt@@)gkfhfJBP`$1hUW~?}1-g3+c~LYUQ^FN}CB0+U@dEL>N^H;nWuh z@!w7}Xg5Zddxru;KQbpdwtlP;Tc`xQ{GolL-^4LHB zq>xmL^Bv)kbf<`QQV9|S1H>M_Ion;;aa}YxQP|9m_QKdqBAyC1*?N?rIeJ$ivaB4u z)E?|iUtR~KfS0-39jw7JJ_%Zg%El-d&rd_bQ2>^ zKRV-bLTSU)ONfuQYZ z#S|@~CBhOB6JHDihLU+$@i8w;1BVeyUDP#yuIK4MRC1e^>I@!a1-fQdbu}UisnqC3 z#_>6HbZ`Tqe2i{%!nmH-Hk$z4_Id-m&9Df&azYfQXivUJ+@pON&1j_2Zn%*IBE;OA zU1~0FWI{K|JCRM?5DU=g92JuW{E)lDa?Um5W)fX+sPRyTNp&XixsxGQW5I7dX9TTe zy3xv}gzyZsJx5aWoA8-J_r?PXGEdv{$ZLWAh-5bSR}8=mPQ(tm1M|ZgkuMr5`VfFX zV;wWywiNf{>Zg?Ml6|RYQtm1g`7_a z(_#cys&>3HL;huj3r{cZ)G5eB^T08(ByO2aa1DxvKu4lj&KFvqiaIgA?l?5QOArV! zf=`>T9ERt!)on$Jm3lqHg)pXRh^ymqVE@Kr@^qq?Mo&Afzo3vIQD-VZl^7Ai^f)_G zslDlXiT3HL3E56 z0!Y0ex|7>G&_v)e0>fqlYwOD$Tx}-sbdAQf^c>ES?vAS}1X$^g3b;sAa74h+!t=Mk zdHlcnmK}@;MEFYJG`}@sUGTTXxtvZ9haGtC2Kja{z}~Exma524^QjepMkG$uVIFm z9P;P&Cd5NUj)Dg9^n!r*)zTtY)Kvv4Loq+llF5jk4mM{X!3FGXg6a(o8+-8mOa%T; zvtRy!A{{d%{AWly3VGr-YIM0i6angV|4m>EQU{1Fge$RbgU*Hml9dj>6=9O>xtBf|NRMu`?Z?bymxuUoDICa(6qVGw23qs z=(F?7B^~74YzoL5`3Zdr&Uf?RcL(wzHTTIOfph_Tcfb4^o_M~C!99snX_gcCH;LC9 zS#+VEv=2}|Cf|i3R(rT5G5eAc&U~E9$!0a6ozi{qQ~iMbFMxU%meC{~jk~UD4|Y?Q8a`4V>{2-|co>w%Mowy|*Mq zRw{-66tV?ZzS=yeCiuhq)AB27*T^X2A(N%>vJ~s8DcoiPq|sc|qZfd-koxg}udF+q z7pCT1K?166a8mT5f_t+R8%)x!i+Y% zKq^$<35n{9vpU%P<@wwZHI8wfW~n><6AppM#ts48bB8E|Be~^o9-SMoCVww*U*~J>~o-st9$| zL}!xjVugnq#sx~3=T>JpP`SS&$PLXJH0np8(}eYD(wC^MezqG8)Yn9>x1 zf8=H%X97u~4+sHQnRNKmYCbI9T&~U`$*wMki{azz)!CKWnw7kZw9&!>IP2BLp&m$~eW) zFc)(X#W?To2?3Bdv}&}zg>xiC;H0UG>^}(X?LVj+NQXBV!LDvCt1YnGZI)Krcq<|5|5WExdXi_Vn6f_9tCiK6K zpG)*#rD>mIgvP_#MqwD{I%=;s_M&E3$+QF2+^nH-m=3SiTjp#+lBy66iV55;a!f*0B264nen zrIrK4BRMb!TaemA&}h1MU1nmyUp*R@H}il*uA{?*CRmCA^+nx<(}^WoFRRLc^m6*9D7e*`N9nS1_em3 z_9>MGhjWCA_pkdgcSdCs?f4!3$1CQchdu+#S_X#dx~{HZHXikG`~1XEMH=HO!qATS zD!X^oxuNg+`~M|t!)fI*}Gkn((UheR~hlj#`Byu2MNjxH#XO62DqNr z!!W~(XJ#`{oKQR+&XgrQuAd;dJidLuDWVko+U2Ukh*P@~?<t5e;O)yV09>oiZ_Y*CAm zVZaDY10Aarfbp=vmA!E3#z6^Yf%C^|xP&Z(@>$$vBl-Grsh=g4h=?p6I($tY$nwDo zT?`t&)-rI+UEZXsvhz!|;+lvFLAE1eDvuWq3!Gubk$QRIGsh=Mem|WLJN!=oaiOk< zI0p|ot5dU!)nfqk3FR4?J*>VhV6Zzb+gHvgDr$)&6+2bL1&bkR;E147;0Q$v%&My9 zbuW;It(h2*UB(hS!?HjN(k1pEKUmD67~{%;nKc|i+_Y2csS#V#p?)m^5)qEjjMS(k zG6mw&-d33nDS+W5gL+e9F>V8S+{4UwbyUlznLnB_|LCc^<;yu}_6x69@Puj&N%fwX zp(~59MwLw`(kaqlM{@2xL!Mr&N%c9eTyJ72KSRwGn4ZNc!Mm*0##F1DzfmFiZu@RN zLtMMQjxHET7Wjc}z)_Rk)^g}Mi?HZ9ld`4TwpMplCkI$L@iJf!|t^QV;6J@rC9C=5J6B zdm6d1W|cjmy52EJwlks8xO`ke4h@-M+|3x~v5S-=g<>L;ycW8U=2QZm)Df(tr%3h6 zrHcy<(J}!Ut_3QgxK!2yp2A&4nMVtzMZgio`G~W+I;gnIg8oUG)~c(V-Pp?_>Wq7wyZhtd zkn?4P9Vt+7G}1xD@f;qh>2LdkM$W(|k3ZwNhVFT+^W@$veKw)U81xnMChp{?!ZQty zaL~xXT^&E%?2uk7ro4#($GzUL0A~u*@uFuS5WTDZsPULQNaPiVDFn*9c4-ck&WJy7 zbf-LzHU<&b#AZq~Roj5vB`hrX0}%>3zS-Z4sY2k#qupm_9!h(@l1AcW0AMV5YfJhpa8B%50C60%u$JOlroUJsJ z%nzAiQsVozP_prL-^P5zahGhRq6y?IKnjG3R5RoMO;t1gBmIqZG4KGSl?b4LN24}K zLhaF*?x6B(%lSpFJ@f6c=iSS`>d~OA81jYSJ-t6Dd2Eug**Tj*y#Yk5Bv^@=4=lnN z1S90g(_9LYUM%1bXR5#;HeR)qtK%S{@nc)5m~WDEHyKL@v8^>h&J`V&Z;akAR?}B$ zvC`b+_zlKTv~svBX;Q6J!6HPuJHlw;yh@~`iC2wI0INh-l0T%b-63nk_r z7}}BQ)@Gddq|MW292u4)3T~uwE3{R|$IgfXl+*VTY+OEQfrMaRs^K_F!l2?UUIw9o zR4PREJ@P?9>TO2!O{T3bL3sgQ(BZBgS+)>=>$8zY%0Kq=pz`CP4w3In;$B21q<~Q^ z^fMq03upOoEol<}U@;R@mRfxZ0oc{)+J@j4k}1A!@aIc2<)nll+atz{%d(o#Oa6#$ z>5g`GrawMgk$2Ri37nxaPo6;nZQF;7~i+s3PljbS{G6{##st$D*M6JsH! z64fpXK#n5g1d1{`@?bIFPt9&Y_&$hQ^)H~&D4)3w;}11KnL;Eb}V(v zOHtHyE|PjJcQP1LkuQ;?E!EGYwe1#NW4E7v`tE-`|Lgm2KYuhG%Vc^qN_q>`EVWeCc*uFRdTB9Zn8`Sf0 z4T%I;AT@AzG}2kqc#bZo2;ZCCheyFkR@W4Yi=Xt?xNg^6b*M4o+;Pl=-N(oD7E=X> z6{WtVN`0o<4$y5Kj7L1_ItNLR@GH*4siMIEV-T_m*-Er%AeFMjvO5ffQ9j#_Hdtug z8dy9lHrz;^*tnygV`tO*^K|3=GlNzN9m-kIKoeb?x=rHqEGQB25HKgOMhk5mqpTKo zu@n@Y|Hi#?(Tk*v#e~)~lK%01%T0Anw3PM*!ij zx?FQVkTI+IKTNb1qGkoZe}RRh-u~N?XAMe0@OLJ7UX9+z!>| zV)(L-ltEuw{%gep{~l!`|$EW!o!B9rdbxJBQvurx@8 zO)Z1l<7S2gG*_fi29y*{ioStnT9kElvF1$G4d6+$7bpHoyQL)_qr^lFG~3JElt z3AnfMcZ?&5t)530FnDa%G(UP!dppo;=IZ1DU|C6x}dH}rKD%LH;9 z5MF6b4m`0)12TeP6~{FKHPT_Cl?Pbv4U4X!z{V4r1AW2C6T(*3k}14TDbK72Xq(`wa zWUEeunQkS_)Zq^@ypYx*17LlzhQMkpl&Zq%;Tl9Ky@14#B%tFR?4FvbaOvWT>XaT& zdA>rD@8pmJS!aSAM-dOS@0W@PvGo3MXXO(Ub>TF@H?1*9k(4A;>-5#RRNjrmnx3Rk zJ@z+Osaxf=&)s$iN+jLJUDay`+EZ`FN%7v^KSQQFK$ZLYbb9sAZ~x<;Kd@6ry3C6+ zTvZBT?URY~0CZNSA$0`G@_(`I=~`#6^Jonjrg~>A$-8z~BX8hzyF0LAZ@g@BM(5Sj zb9Esq+(c7a3RJLbqZ!`m4&n@i{_wUS2>ZnU;l7CfLr0GALxP{U;BXm&4_TDzRhJrs z6`~iZTy4`)VGbH5Wi}gDK=F@|NRO;NqM!*Lh~Do=meYzVY!%R4l2!qrNh(x}U_vP# zdu{+t8AF!-;b7sM9}WOM)?4PsE-U-Gd;lpMswAK$YmsiqfLbHC%+YU9{xAf8fK{99 zcmRV40h1pteWz@bi6Cuu<8Th@F)`q@qc_as4z!I^ApW8ef}Sc)+x0@FYfLu?-EfSp z7Nf2q8^Ku$ELZzh`6aH_H@7N9FMyIq)NWWmJ)j|zM4Y0I18a;pFy+?04oqiF2%#Co zQ1^oY0Y;Ql%-L~!Yen4Q79cj7MMX<5vsY&bshv?hKz_g77N2+_XXir1m1N*ufsJ|5 za=L?@jBT1=Cg{Q@q>ct^GB&y~X>jY4HU(^|@teAhI^mkRT0CDPv8U0nzaZNT7V*ul z=mgu4FvD(^GGRas+DGZJuy;8-lYeQ{;kcbs!LanQVrNe_!}YIFTI2Mhnj$xMv~g(i zOPs~jh_9tHE>8@(lTI7yAr{bqEOr4lieS(tA8t45%3^Ml<_;~TOp&OFg;Flr`&6XK zQFCLb7OHY!Ly?eTN&tySzN|p&T;6Kuk#6OJSpDG+8=08M9Z=<84;QatTR5kYt?&&q zzKl*lxZikNwBPq^jHbIGS0j;Jq z^8C_Wq=5zI!8TI?R(p7QRwEL$U#-@+D7NHsu8WH**jJ?E_Xp2+G;!LTIVYqeTdywP zdLj;dlJdjAy`VLXUA>6{qLrk+-Xa2=51P*|R!!xvkT4RhXRz{E5o;zW9FTNow+Ay3 zU1($tabKVSqZ}Y$(c!m0SV%8n+sWA_vj)oz_D(U+D_5C=kzGF`0QTk8&Ee}GQKtvQ z7XSSU#sbsA=dWJHA2R+Gyma&5P|^7ItJjzKG5hcTeYW6l7g{{c)XY#oPtdx)^$9~x zGx`%UBPJlSwrEfYlU~T3)$?T7EmB9V3StNrg0tYIuOOGXlZHNcqWZ#w90(W z#nJ125PV=gDu_{NCikRaBF&)O5X3$NC=|6?Z!O))LjD+oh;i+RV%CRjq?b-yO5o>} ziH&KGV>$KiZnwL>zPpP)s7#Nmg-yYw-)lsfC+Uf}X-Z3TJs$t!I{*!j1Z6xfo1mOf z>e3Wer#(AS1{~@Gt2!dvnFj`nXb!th^FFuHg^5anyqA3SaQ@Zof6c`3H@Xp8oJYDw zj|bzIRf;a8l*mhy^CHD%aH7LrLvm$EcZT|}So}Kn)QnnhdhTPAEJ-wjLXf4K!$7TQ1vZ*j&*fAYQRc%Ljx$ zq7m6a`${iM9XZ)7sU}b=8@Fgw8p4l8I+SWWho3`X);7DugH!NJ#;SV2k-BrA>`!7t zNEe~1x7j~^*aN|fbAe`S;nf;i0wz=i2hf?elHh<=9WbxJavVOvUd#3Qsk<&kSa6Cg zG3bb<0jQ9^1Un~R!_s0rjG5wnQ1=uhOGsiJpkx(IUv(ynE0u z)kGmVvz4XRvO8L!M~N+hFL4jJBeXi7FqylZOk~n(HAJSM#Jj7boC^F3d8M!MDVx2!vO3ns-DOT**qC8WJ~ zl`?<7kyHyuX=8~Z6j+vy&yd3+af?sWt{sEQECV(*xVpM@JCUPu9s&wTwA~c5IleFB zXp{~hJg1@`-e}~whnQ0$6UvL#SggYx(4Ls6@SR1y`-5iHKmttr9xe-GZ>lUw!vp2a ziy;{a7Kpz;-S0o}Ls4f)goSII1gbz&cJg)#(n*ZpS%skD;3OJ`{Aq{aKoIbT(0N4l zc%o1f*S!~uSW?o_kXL4GSLCH^jdLH->>QnCTj#Vl;xUx39M>_HQGPrl>jjK?*P7x% zp`5Ulq0TvWCg~Xx#TfA_Fbc7uMN%oCB)t?Io0Xy=OC(k>8tE)xJV)Oj9B7pIM(DC= z1hHi?`h%wqB4L%U{7j<0%K3@3=u+9tdH0>OmGgL@B(>=aoio;X2vhaM^C0FmNyDl?K`c8 z&dS}U*eceUa6>O6z>-1#(hbB`i~75NeEYL#q5`axhN1G4OKL}>BJT-dIeuUyt8hg9 z(~n?cRF_DeLH7$J?J>_dWGbj&Cfo;NOjCrSNGtUOrwNIgrsv3Jn{pGmu(C(m!#>ao zbKp54QEZqCxE?1NXh*c592L_7B9WvnOm0k*h8DGHK^H@k;UZKoHs+@P8PTTlUz22L zs+*L4)Krd@TZ}i$=uFdO_0sa`oX9MeJkz3OBBmeTSu&`~zi2#E5khE5x~GIKtQSia ztr28@8s1(1#VzpTfflWr8)2XoE2mJPek0>Dq+kRz$I|7h>wv5RL79?)akbivGS*-) zo~b@QQR=6Sf0n3s=}vjVg6W2DHC4Hk!wvh8pD4Z))EwtWtn+>2(kjI^1dM zS@OZ8G`TmztJm|F;c+;7=fBOR;R(+-Ma~jOMY-O2b322drW6`yc|^|e{s3xJGa2w? z^lcv?_&z=zb~o@>!r4E2^*a8AG{V<2cv_t6Ki@t=I(U1!dN^$Nk}4}sT$byHP%}ft zt2k?=6|ge99_KvJ6J~f=v7o{*Q23H51q53ZW`(sC#nA82!UK^iVU)H&+RhX?P+zSB zkLQ!akT0-`@&?EgIPB2``2K{NKs)RWMLe^1qo}ZMT%vufkq>umsS%d-)i2Awdqvv2nU@lhb7LS|iH2w5A%{KlQpZ zm+@WINr*edOwf7+i=G)mB?QnF5r;mchJaA<&W1}?eJo1rol6vlmwVWplaM|+N9hhB zQKv}9I?de=P)r)AcC3^A(zxG!D}F)x+MDntOcWuO9!est2jNQA70oTy_XoWJN{47; zVoia^5}vm71?`Y7oGS@}xh?S;&Gin*v@;68m{jJDYCRoo?@|Odr{wY&D}QkJDB_r+ zN-q6TWZ8(pz8KUdZO*G@B!Cu&?gDY2`P>RFsYT2yLT%=(UZap)-GZoVU0K7;%n*U+ zfviDe_pZmF;>UYuEZ z*2f~DluNB_5+hM{fBpTs*hD(XrxuDM( zx?V%f$X`_9FDEc6-)9Qj5d~Cr@sOfu9E7n7MLSP_*&n>^9BsZH2n3QsH!k*6Gek&Y zM8YnejHo!Ua(~gbOv*sR6ueMl;1T$`!0x1zlS(UfH;L|O2Tc3(6jFJ1m2HJ-18?uq z^;tD}mT___da~OpKx)(9 zAfqB>f3av&o>2h4Y??@pE3d|>Ikjrw7NgsZdb~7utNf}lr4+&qA~F;!Hm)Ig5@N9C#vd+3>MLCK`cpGg+fVR z`M0a5r9duSwb1{+@dv4l9rc&A z6FNIOIaPPGB5-^gJX|l9+6_A)qtB1m>m58qpk|0pCB!h9kW))Vw&G7%F!9^Kg?@S@ zrRpy?R_Pg4BL!1jFAuxKVl8jfRZ6{Nb9ObS3xy7V$~W`uY>)MGkmQA(Roqu z=sl!6nD}ncf7Ha3;R?|}i2pIrBkiB8iBk=B7S?-)93*I44-pds>5eN9)Y86-G|g3? z8m1cd#o|TKXqW|nIkStd&@xbtXDCL8nhg?gFcskmbpJwxr;a+$?M8SS=^M_&K|=*c z=^g?@svyCQf6l`EWI4s$)j>fO%{sT_^RUPlX~s&2FAbbMqZ0c$KT#$Qj43v*`I5E} zZjgt)iT;6%S(kOirSH4gP9k(hhMB4e*YMyH?LrTUezdNLXbA$&fs058FMbo1fZ0@F z(5V)YYz3DzKS$Omi$mNW0KcHK8!{5ijRzHq3M|@}z*kVd6&uD6otPxw3RrjggJ3Vk zLJjE}ADV~~*-0tQsBO=U+yr*W&#gz%vBimLD#jCwqc7caUz4#UX*K9lJGFe*{d%OJ0x``2>?N0 zh7c)~6}korM)4D+f>yHb8T@i&=>Y>OUob)3$-j}rO8$^>dC^GUv^W89t;r!@e18J@ ziGNl<1hDK)f83ux`4m|>#k_G|(o|ZKKeKn2JPvHZ7|Pvt&x~S^49-^-&;WnVd*J`t z-zZszT>(-qVN)C_C7Q1oi{VIdHvl7;X?!mx_>eM*=HuZG;7&~B=cqssEXQJjEc0WS z$clM^w;0-sfjB8GVzZ!efxU?{$Lj8%PTzk1{PPcg{q^%lBrm@D_S66T_P>5Xa<%{za`Voq7{Q7hS zxdX?Ea&@ZPYe5CwGQLvd>1dKA=|-MwNUlWzUT&olh&_Px(+kO)dLFJ@o9UKbNM#J_h-@8X(m##z ziAz>W8$_A1;8X_CgWunzev;&o<#Gogn+Xb!m3$Y(=RbUbm5;aFzr7xob;5~4P7b84&>>GAS6PL91Q@%qDRHclotK#GAKruR{iU;b5K(=^~CyHjd;R| zfkHz^v`+R^cW$#-=JqSafJld)ZoA4loBdkLk$-qNz*s&x};}@qBNCZapYmFapM1#`)PcX7euw1PjC?OF0YQK>ZCz(ZB>eE)qUdR0k&a61BWk@L1LWAlz;Q_m81A*raQI4aL*Dym z#qq_o^^hT2=(icVhemsw-jyYqVu?*4hK(KIgC~u2ha4dL_X>E`%*rXS4E zmD3`=Mw1$3O~53M7MgrS2JTYoZ#+#FV6_L9mE%uj0AuExL9*T{5)u&2u8Yu5=Z~p2 z9Nn#TX*jZEf+)WekSIoZ$sixVDklbf+q%QDXcyllPEi&4-wjmE)8rw(e%o~|*J+Xf z-3vxF5H4bh(334{Ltei-qP0vxTrD*=u}+x!;2N^psa`2 z%pUM`cx#m^--J!w=m8pCICa(|a0#W1%hR;dl~p1+UNahsVe_alu}6XQtjOt&Wsm2V z@y--dBqn=W@%Z=%)@MS(tj3&*G=RoBaD`lz&D=$kcew4<^iD(q+1 znu`#f5&duyEIm%7guh5Nsg+8Fa@B$~L_!`Z@T<$!4)UOj@l8!w`PveFk`e`2PC_;V z^&d_*53_&&^a%#BPpEZnI<#quS63TqrGNtw+W~Mrh&QpZ^v!`nGj9|cW|4Hk9u!UERuc<6K&zu^&ObWHWD%rcn`Y{e`+ zX0_Q`$||g3Qrr~S+rRFoH5!%E;+e!sBqVt)9}I``2|2`&6A`|cV+Sg|UxG>arz}?OF0JYH_vU#@DV%XR|UhDQ@s{nQG>`-s(d@26cF%}r7 z91rMm^W8agklV;K*#=~KQ}hACL=nYJtF0DzU1FaruHZcYjrR)c)zI-)@hswfG1{r@ zw5QjRk^&@MW#M9#r{*U$j~nSDXtCp+7oLPi{N|QP-q$<+Vb7uiB}famfKfjof{jKx zGS_$x4-qB=Fjk7VkJs0$rqDSX-EUX>q ztZOvImW3X8sJfgD`N>+t?QlW~{551WfK;3_X>22L0)ld8dwtj)*n-h3u6Wv?9>{#& zr2P>rs~)|K++kSActF8Tu1kHi?G0-UZ(O<>59keHyVEdf*yv*W#zUPA>`c-verPdLAEZ;k@*b5Ea5||mmCAS& zYp!nYZxD!yMrPNihkLY5Mg;>;$66G%58-QGjchX{7fk5eXChz0!T3&uM#zt{0Z63L zoMktOHdpl!h#g*i0uA74t1~Im#huO!p@J4&xX4E71#5XX=YrV3!30~aHRU^2G64OR z-WaUEuaP55=HEc>USo~sKqnZ!t!`r-o}-f@_fApQMv}h7+-T< z+m6(aC|*^Gvqz<#^sMpV=%HnbJ@>r$PEQD7dixfky(bi%cze&P(f}t^)F?fGMKCrY zrzGgn{uCvRynT;tjUPvMj;tX`HjmqPV!Yvxz~hPW4l+;80qJ6!W7I&MAne@wD{VuDU@xZaye`L(85M5cENU(RjkzT zkfV5fA=(rX6IB@bAkp0ItV_KdnV)=@B=whP9z9`j{SGl_34;<0bEMFA<0~R8Zm4m9 zJH81`J(0{PD2j5YAvP>fj-yCwU|j-^VgPVO&y6D?mm(ewd0E9!+n=B-RB0TMh)7QZ3SPG*T+mBtWRs;tVASezU zQ42u-35h`e82pcg|FQHxR{qBs+7T0UjzI3iKA)l*gSrZrl zC5z>YG7hG0UcYbY;bMK3zSC^}S#ow}3tGb$sn8uC^mIT!B^P%l$MxZfBCFgpB_CUO zftnF4_Q*uA-jF`sQH0h`2A9xvR-Cqs#<0ekhdNU-sGgctmEJXTgyQpzDfdfJS1-as z`NyOBDExQM;?C$^bh$7eC93p))(Agz0wK>}za#DD+rrLyZ~ik=_UB`f%r33s7099ho@d>Qp>B!30!iSPGsAWV&N%GEfbMn! zZMefV01q(g%#clYF33z)tCG{HJlu@xOO+9xsmu9Nf5kQzfoi#u?t~7~YU613P*rB^ zq@$|a4%et6YRPOU)6#eV7pD@uo;`zl-NpU!UGm{$x)%b(c&H;^cP8<Eq9yctdkwz#0VI+x0zMaCQ5-(uppr z%{niVq%Gd>#$!};a71n|>k%t)Od&Mr&~t03U}p2@TP!lk9*QS<&>qz_!<6#!t%1hc zT)|wRDrhw>CwS847I?%TKmE~ZR7tEJh-s41Q^<##a^B5dVLKmp@$l`c6qa7E4<$22 z(QSbrPz^f6A~h}!(gj%BAmS?|c;5Jb<&|2Tfw~1_Ylj@RI}>DU$`_jwxqg0nIQ`{T zv=3&vhY%~GM=U%z>%xKnQK>{yXl_txhGw*ysJUTQES3<6KS1vxAep_kTrTS!4U+OZ z5kdl-c$L3_rzo(oH`u|)?li?n1{ma@X z$T0{PGC4-|&Z&x_Dsn54j(AFqlIT!HLvJQ}GShNE584@Z2G$*AFZ?DeF9}{)EhXkj2b! zCq%y|RjhEH#a7eByOakkwL@4S;A!OI7wC@NrUo-RU2H$X(|pAXQ5m7<-kz%ROMi%|^b6>6YZqk`d9)0Ek|Z?6p+i%?I={7tq6ROJpRkrT)n-NYKS zaIprR=!|v9L~j=NDQL9fsYxldyV%gHoe`|k47ith`3T?eTJFvD#t=TQhc%TKK9Fcs zqcW0s=4qdRSpEEDKNH7NKxiijBzPyU_0#tH79I0$MYb<6E4x~88ff5O92Nk=cT7%_ z_a*^M98&iPiwa;L59)T3KDqN&TWKDjH`tCi?x_i#GoPHQ2k!(-b@ZHOT=w->qSy39 z`A!j*;Os!*tYXs$iG#`I4+kkMkJ(hJ8fo^dmE@4p(k;l0fZ%t6ll8U-6R+H~G)B=V zO1_Gx!E{ly$g9nK>$n>n>dns)e z5NN-E;m?-~T4PNPy^O*O!}$!}YJ#~dzaU#K)6o|Mu~en-8%+u7#MXOgUBIH@cX30P zum;mkH^ZPMbdTG2IA_#qKC#XwWL2-urCDTu``h!ayX6<*Vn3%_J{YfTE{T71LPPNp zD&@ITM1Xrc&;j$&6a{Z*07zGbGNnJ^V^Z2rjiMD@ltnjT5D<#=y5oF%m!beEIP4^& zR-8MHD!QUibUWX&p8pk8ZO5npG`C!lQ<~T|NTJkK9TE%UH@^#fA1*okh<&QAb~dRV z*Bvt5II9l(_f#FUT8e^&vAzmUi>NWI1WxVg;vqqP#J)(eqmk*gmAi!$0{Wp7s_VZP zYFyuO)6e^z*JlY$UkyGOP>Sl*LgXzOqb&?Llc@3J9DM{o!U)>4m8h4PBbdzKnOK-x zyjTlCD(F=#WYoMrjqYsI3Iw59EW?d}-SDqhg8B&+^+7j}A3s0-{KHQ_|M2<0-v0d4 zumAet$G^XNK`Wzw|MbK6U*Rt&7*&GLl0im^>MAp`*eUBf29Y#x|6;l$3C&GvQbjSX(*+$?Pb1~m+ zF3xE|@cLvV`>8yc6YkBtt}zTj*d>&9peEs?8x;qWF@c$A9?_65>jq%e3Ow)tv0{=s zb5Mg=#6H9#d0Y)QQRNtxG~rf?I7VfL1*}p26rnM1De_N`Ihh*G5GaeQq`!RT^CJ*@ zZ$vSP=_>1q$}WFiJ*xhWr{EmiTICTpYlI!__IIeuns91*lHnz^F@n18jhuh-FoFl7 z!-JSKA^K|!tEtx@FMCN7;Fde)&Rql0QGJCmwunH+0X**aS{&X2=sjfN3Vnl~V8G;! zvxW7t+Gu6)tKDvszl^)+5o9z@0+l z0sB<<*}~Q@0`2AzO+2eO@BR$EJs#_kQR+*zvM@k@A)GKQaeGiKf;<;eDL@&yL-!lh z$`Zifd5&w)yhptpEnv_ebC~ViEn8&P9<-NIpsf%=abz^)&us6N2MQ1OG+?N{P*@Y- zc1G(xmWr2~w*VM3(K<7jM=4ou40_Ik(luJ4+BQlYi%58&Fr)z&!t0l?H0n-B&t0!5 z11jRj!0@tQ{LS?adu?ZM3se-fyd01(I0=Y+N_=6wJHOgdg2t_ebmGlWpjf$CPl-gx zFu@$q-Xuf|YTgWx+dph)7YKn9HCSpuZc)ei9?BR%qj%L0urro{0^yR7fVbk_!XNZ< z75_sLY-N>%61Xu9Sc#A9Ne~r*;c~J&va0(?;*-E*YKp=LAIeoQbNM9p2dowXZt7S7 zsrl&}_;XIPPe1+mcWG=}J+q>^i7SU_xXx`?gJqy9qH+`n5rih|j^X3rC{B^hTS~e1 z;i0m?)b3Q9pdaSk6+&56{ZrgK8%r2gZA;2B+A&bjRxM^xEBdnq6d9EbH2KTcyMmpa zK_TmfG;}9Uz-( z_(KXPgcb=_X)*b-E(JKGEUuK!PxCvYdYhglHV6a&qU+t`?ViC{`<=8{Fueld6Ruax zsaH5Rgh836BJm5G6l$ij-VDJfCQAmAgm6W%>hy3|(k8gs_i)XNt(_rH zUq2oXCu=5%YVG9Qc1ecy#F4HyMDL~)zkS@TuODtBE5*K~9FA31Jo&u*$e_sX-!|cs z49|E!2vFrCh3Ihx>4WOG8RmpKLk!K?iAYZ5mwJdcjFQ=c?EX zve!I9kK&`#1seC%naK6a7SzmP^{2&o%aBvOj%l?7Zyh{GJ%eQOp^4S>5Z(<4IC-{ zhcXQ_AN@E$FUH12i4^jg{-p~^Pyy9vl01#_ZrE#7ha|mhh`#=Hz3eyGr@uJt;>YA9 zSzKa@aLL>~KHM{2c1F2L*M?K#ANzU0_jsrS;GIc)AA$+-_}e`y2%_GKcK%3$1+Pi5 zeCYs^sM8ZVk5ajG9o49lTvjmTWB{#3x{C#8;|JA{_I8%+!x&2Oy3R%4)$x!#hIp;} zhJ|dmR4+h9;4;IR7y1ZQsG`?&H(yGqWhRHg%MjrW!@oRf5PwfwrtI>a$tr7bJ2A8D ze)#3vzkd3NKF~8Wyp$`I5dtrvv&%r*7!wXJ5z3$Rt0(b?jgqybVl`PQ~(zI zl=9w*K(|ABgM(~xFe0?Y708KEy)`U8vCeBYM&c=Gflxgih)a)Jq6i2{(82$!MBw3I za!YL}uv?*Sr7v(mD51u9kSO6|%QEFSg48Tl$PG6odd&tJ!%aJ`EL)^<+Jwb0jILa& zFwpu@Jbo+6j^YWR<{J=~ET5MWFI_3l(i%{eBuxP=1=R5+bzbH?{cL2~LU8CCA=& zK%g6jazMf`yHIphR9s2LQ(MmTCQ2v?1=g_-7Eb5Gb`6D*2~+YRkSVmJJ5d4-p7*!> zU#>!~P&1F_XrO279ctjH4&eqm$sp}n?sS0f((HE&u>!`jn)un^C4T7SFWB@22AX?P zg>WCPH_jA|eq2!IfCROM?m zvgGOE6c!wi8rPxRGc!>L+2gY+eRvuADHQ(J8SHVm-ZXx>?zs^Q(?djLBw59Y!^td7 z1riZyM)c%}n4yUC6O@^tN2w{f9%>g~25FUmD5Q^Ouo%!5xJl|wxf!}p90jERP5{X{ zTM*Q%g-DlESuVn|E|H5B z=_-cx`GR=~E~06x_xM-@!WJrsr;rM2-K2`?G6MXv>gU#zvI?@i0kTMl9?Hm|5w#>2 zDc>}8a5N}rK;~i*rmmAh(S%6CMtP2WDeMGp-s0fX1mx19aro2lW-))WxO{VVHov$W z7H1z{UtDRX-0i#5H6vno5H}n`HV?Zc*j(c9rbBcl$ZGWr(Y6Qx0&!}rOaZoHOu^LF zD1!0+>H1*@vru-w%@MH#6Ke<#9U?lvlu}vhm?Ra=T|jDD{c!TsS`sh+4A*I>*A(fz z`PMv1KNe^gjOOkU1rBf-{{1BK=V~W1I(Lgt6_O@tFUl~M5ib1n!rm|t{B+>VIf+D% zcXnaeO3;%!rd39c)}X)sn45#@mZ0vOM<9!_mh!Q3QE2uFV}%@$)ZRYc9iNY?^QoTY zUk|gmZ<{`WP@4v2yCRVC@vu&@2&jNJ(&FJMqp}qwHH)>%^klq4Ri|ch1!2xuBxAFG z^8z1TvDKglt1yZ(K7f=!eh?em1&$_6uW&pyFA?dfIhJTBRkDUNt&#<1EDTq~X!L~V zet`m$Gj)etbm>iy<8fM2+gaSVJTa;$?1O_FgGBpx$zozuZ#7UHE*um=Zs<4gV;RuX zC9t$~uOD&1$vI_(&x^%;p}ol*G#hD41Fc9G!gvZ6sutHHq^~CiIAF7otS6unMwG(= z0@(guH3A0Nn#Znq+@UW7uyjW|kkX%LV4|Uo1VU8jz-N=^$T^r5$RaK z9pit1a)p$(@?)-wMDBwvv>*lSVX&PdOQ{Sb!lr#9i>0o2e->bX|5P7>h+TX>90evs z6+IGthosP@0nK``g6&8UP-sC!DU1hduo;c3vMa)qlt&8$44F!+rjd2kZjWYyo+`L~ zqO3G~h#TmJzOm`Cpu3&BS&676X=v9 z@Exg$8OZWQB_LF)wE&a-g2C0RA#}&eZ8<^bd?Im2ulrsVOBVnEJ)13#iOs93j#g^@ zfyzK1tH3Ga$X75&n~4+-dI@VExppc3$03ip_N8J1qcLA&=WaPpUJtEHovT3gGmW)F zhy|JupRQ=^F)HezuC5m0gjr8bZ77A5LOG3SLhO4ev*}`s4e5qTo^y}I<{QMlC_TZ- zA#)2aCX3_$T%J>EVWMy~Lq3;E%kg>(-`4RxRI^(O_lPf%yOUninIgB7FM;1PBpsnW zcm7uM2(_cJ!N~;c_O?zSU~Q07bM6?=d(RT!HF?lHyYzO5TjxcpkqYJS4LN*tC&&kk zj0bKOg8)7~=FjcVprxiT381v*Iuj7T5a(A-QiLs%kLBKKz)+ByiSZ7_nVQKx$cr^o zyoiim3`nIy5eD$%VQh=TCEt*CuI#Z<2Hyu3PT}`iK^Jl&x1!kP%)g99!<8ZL5XlMx zkjE9L>^@*B)BEsC!%3VO%L{^#mu_;bj0ehNj8}v=8eF(C$X{lbF?)vxl*y=-Mz(Hj zfV)&YQy?vmbPi)YM~^|ShZw7+7K*2)J396B_9E2CJ+^lvTWN- z;m%%8Ow|3fJt?^l+sB6-4A>pl?E*+c6nXyTuif$% z)@ESv4pnHyBZDu{y;qZA&5S~Evl1Fzjhj_??A&4gmNo_APHXHX&>^eE>IW02@K=^% zIm;`x>Vx2uMw7gTJoct2q~Wx^R0Fht|Cqt6!yjk-an2tXi2a&^qXFS_U7I!>t(I&) z|Bbnj4zaSoz*e@OA`E(vgX2+(t;fQwq0s3dU4_C9(~KCIuX#L_sH*eKc+@}tB1nkj zwl6gd1j@z`+J-=CiD89*0=eAGp^Dv?=7Y@{N}Y6zKC4PGp=ZVbFi`arui0){hOj~g zdBueUngHf9D2!SXLAI?Ge<0G?FlS3572puwH!fo*Gdk2u)*SFy7V%j7Ve`*d;W~C|T(^ZrTg^Wi>3=ZD>{E zYf=6Oj&YtdYlB1(A`Mpi*{-m6#}smSeEQ0T_zzq)9RtfSmk1K80dqwD|2Owf zpl#3hH&-`M=}vJ_mqCk*%V2S-b-rL^MOz|~B$OH;j%D+f5y}bIxiihEu2$UOTInCA z7CM_z4Z%p;84#3ITW?HI9~jpe)yH=Bd^TYn33IkaOCg&^sa|yes`%7~pV))N zMVMyTTMe5*h$<=3z==R>c>$?zPvmr(s=8ie9A*~1 zNXXOaI!2ZUGzC3`cz*MEt!Oj5Jd+hTK&j6yeH)io59(L-fK{y>$hva{Dn6;9RXR*I%C25e|Rn1`;i`l^NnmY-NsM z5{s8QNp#GhS7O+Qv)CdegRsKUC_0Bkgt}E{M4zNA$VxtdI7>2(ag)-$4>cdi?6{gL zz{RPutBd0aLYH}2!$u+gZhTG6)v_L^sUjXtXqI4)!+c4FpN}baXoxZ_6P2utYKPX# zE}=H}$Rbs9LJc8$5)gKerGDezXR&wPDRMJRz!8-PsShD5r}xquRLs}?Azw`AUU_B$ z9;eHaUxwT-6-XJ6!}H@rEA@xO58$v8Jh&G-V!_rAlJ-+p-rYCWy|~R$;M6xZS!r zs}5h%X|lH?S{RBdRCOGPi6lI@{Y~J1JJ8vo(GrAgM2s5JMqH1wnSn>iewDL9eGqe1V;D zS>X{aoo*iPkzRZ!XvGeF@DgjiHFYgeu%KybfE_>E?j#ck4*|V*PVNbzY!Q8h^a}pM z7kqKpS2#II)|q>Gb$i>7g(q%H@2bCWJSK1AQ5rUDiQ2@~M`Ma2zVzVR5;?S^H!H-m z$vOH68n(ghkw(E^`zHhhJ@2JOqNpeWGmp=Jr>JfwJO3=iRfR8<4uumwyjX7Z(#!Qi zVKcdeyFoE`3)OwT+>%xmGjEBS!WTTgK3_ti%}ltVcfD5anrmyc5FBobTFS2HxSeyB zT7O|6)Nfu^!hfT@g$k@}Yn9mm{*Brg+M}{N=BGZ*AdU6|+~e1kYdy$h5{;IBvy8Uq5O0psW)%1Jo=(|`TzBLupc{DW1hXU>w&La#@- zmpRKDUa5cvj1Xoys?fFd083%71PMqJ4_BIhbg7!NXZo^6B_RL#_HnuJn14on6RS-= zK`IpD`5DJ7M8Cc28$7y0b{NFbVwHja2;wft-;C7AXz7@0$E^KTPBhvn`T(_C%yZ#T zh?she0?hb<&|y0)=;cxohumC9ekgn3+thDNsGFT@dpJE*{>1)4k3DW45CU|k8#6JO z_ota6I}}C%r((glMQoU)qfaM8v>n=Ay1I^h4X<6QIhW(T~mM7Pbd`sQYA;;Y+P`rU*= z-Q`l@#^d1GfyE_Hcd{3=lp+=QBgD^CbV00AmkdYYt&E*9jn2i?P|MCOLGq5)Y9Yi0 znk*ZB)KUqd2`n6RM0*$Wy*QPRCiF$SEe(2r_paLJi?uR}rf3lhfJ|qB{`DgAMkJJG zNyiMNzu?j^vbd(xURDGHQ#!7_xjL#IU{)v!cnU~GoaK0^bCjJ)dXi&N1a5Z2TbgEr zCdS%(LV|vTSeWr?d1<)KFZY*~C+L!japz7NYU&S1DszhUCka*wpXDa0ZY<5+yzGJ2 z;nbTEBuE>(+e!i?!%~mN1dLZ{`F1p?wk1s{fH6%L6{c(nutJUx>eR3A5tBmPzX098 zlqNn?t%`F9Sc%|5tKyL#hvKT(FJ~9(Sd?NJqeFwjv^7N4-LPh~6Aq)TIE)-g&=sLn zjc|iazE-11o}Mc^s0KxVuOb_R@0L7>VSbkUBAOO(g3O7qNGpUfXt82}QZeC*D+?Jj zd^-5M=@kZzh)V!+tM#KT@N7X(V4R~y&z^rn=|iBxy#EYBMK?aa%B`A=6mT&YG?@fB zJ1VhoFrpKDk~a|5r$(Hqb;bp#TyCla$6~-=mQ;&UX>Sx6pM;+=xt9He2n1@?u@}r) zB_}9^8W%7bAw^f~8;xL%OmS-Xj%pfnm!uTK=J6b-hHt@e+-=TySSea-tx)A8yiBT9 z+P6-CM##vL@_9)dhma!(N_sjGWKack$S#wSDolbR`*$ss(r=m~l9buO98r55jt*FD z@83cPcieYPmvHa-x~A5O+uv9?#U*k<(Q>5W~vbZEUweN(P=YATC{+=q-_-Gm#sHjy>odq1os-l&^CS4*`As z%3M%7c*J<9!zVhEcr;z(tXpPjzu>7R<8d^j@Ht*@?i<-~~wfB!7yxlC-XD-%p z6@D$&WvsbVXM&Ot0SwgbM?5=?;6}tKfsq>Q3Jt#6s&uZgm2clW0p+senr>*?ax6;t zzvZwboS&Yg4{;47R8)mn#jI)NKKPEOQJ zowBK9(WMHUVoYbiPm~{D4J+(w26L)nN4yn6fBe9Tb-V@sG5+ZhjtT1IfhSbte}plg zn~$hpEsVFQ6L^zZ0(J@GRoRg&fi7fTuC)CA9RISZndt+U^VzuzFt8G+RIAmzyj8X9 znDwCI5uudHxYN#(rGhg_grEqpewZz_)Ee|ZK%i@oDMV4V%RJVh+opq7v-oAgY*bZR zw!sgsbBzXSp%M*kt6UbOky*Lr6F89o0e#{yDQDe>5!R%!GiDBiM&(!iP}(s)3|#$y zl*~%3gYd8i`E|;o00(0a!AZ%b#ySMnElYG+P1v9kcjy)a19mMUhbz%85LDO>(nrhsE5}`M$cm zL#=i-G>c&iq)kleYy9>QDr%dw`K3BIl7s+R?}Hr7{5OUoXdJeBvGABwf|&5jWfSQ; z`i@w$g;_QvB<7h0P4f+GxiY=qSIIzYry!4Ab>{eBB4W^AHt^-{T5MVuLk)F<0-blvILwTc*^ zC}{S0P;W9j!lIOd1vm02K!`jvRlu$!``_a#@@`1fEw0T+xTZShQp?)0XEBPSKxHO5 zPy%Wt;=~N);v{X~t+`v{DDb$8E+&hxO{MyHEMdvxY7HQq9*~>{g>Hb`J0f=Imx%rp z77?usaBd+3p~{4eY;zDBkxJ#v0l2-@P6K9$mMCUgBK*I{*URDd_MO!1p(X~H{q2ny(L+Eyzo#MC>duX|&A9Gp1W&ZL%3&FAy<99AfH?JpIOvWB}N zU5pDJnAf>sN=hi9wn6{yRGZmS(RD%=cq7h!+9G%=OV_T3LR^Mb1xm1)@(h`$U92qr zRD!q^D{=0rr~+6cFhX8|#X_2Rs_AX}jR>O*5lND2v;u=r8NfI-p#zSSQxzahICYOl zx6WD`H0rCiIU!jgzM~vzVzOmNuOK%+F**YiNHrZ0_a73SuLtZcqAbrZXDhV{bOcYH zi(AZk!}_4KtR_uDj+w?o=4+yO+wS2RVOvtSKCAl zCE`;crn0(~%K}KioAEt@?#hqmZ=`IocEBvXpXW@pR&sHc?A#_Z6zPf3eO}oMVb$O5 zZ`TU=TBt6G;IdC}Scsa`Q&&hoL5xZJCIUYoTp)Rlfgesh>e9@vCA@K)=9~wcYCYuX z(P}02{aD@BN^K*;s|gzGq)6f7wYXgNtobEi)EiO|D$tM%1`&DGCL@Kl*SDgly)TjI zOtLXt7gUE$L#*su-Dd>{J)QTENFP*0g$dCZX=&xcQnZXvK%;TSkb2C=;{%D8-MTUrSx%-8cy~n}RAU7+HnpKp=xGsR^9%(cKq_@kDVC-w0uYd7a}ZTBlWV;riJB!a|vzKk0hvu zC?%W?uGN2ChP2fPTWN;e3QHrB$z~T9;UlA^GWo{Us*Um-x9o+vfs%`@F3Dpw2*GP% zcDYz{Dc^wlmSH@B=v3>R1S2Mvt|Cec+8c$=Aw7F1? zO4d?#cCTXpgi_Ws-vec;L`uMnG8087=ql%G-2Y^o#eDuarAm-J|eOz=LKe;c=(2p0BKe-BG zTd-z0Re+yiX@}0i{0C6tY;tlXuUE)1$6TmAbbcAq8tPSg!t6W(Iu8iwRA=_60qqk0 zAuPuP7KuM;J9>_W1Cqu2#@gl{y2VW_7xnW&6#|}+H;^E%8PVw^8{AS8w~?Z;;q77{ zYAJ`r$jz4^?Qw@6(e567=w-Xlgc4EovaxtdxFu1tn#Kv6qs&J$zXuDVE%mX?CRzz( zB|wbUQ#aB`LBT-LCiERrIf8h!NTK}C1@_0wgy1jrzNI~PNYiAta?LWwdBJ-*Su3`{H zmX27x+r1Gwq)|g=7OmkbI6E?RxeIbu;)+8{7#<>mBnk(HF$$EM)UPzSROm+r(R?eB zGg*;0g$}_UQlgH}Q=QGx3+0dFEhe}jFI$qBV`ZS8TtOCK8`J~-B6yXk$BDkRZXk=v zW6VdMGL1w~cUCiN0F98GIb2LIhxv+rjA?Z3^K!;m+KgdbV94z^Q`W~o?eKq2&FtX%?>$_W0OVI)&Z?p`>^O8;YGe9t>h>sm zgUYf7j#?=>qI0lGrf^wJ@KL;hz>5N_kt?c?X89<_Efv$y>sDd=oAQT7sal%!I5J zeICP;c^1NWsiijLi?vjT7PjREMH{}YFiTSdv0t#jx8dXqhLhXD8;W0nCCj1^XKRQI z4kyg#8YSWa6nldm=<817o@!3MM~__{slT9sE!CGIgUQmcWm1%RlaiC>dD?7`#R80BHUHTTzpBF!UFvj*pWPYx*XA%%G( zlA`D-6+LcmkMM(rt8HS2-aeN4s3u5y`r&`Vjau9-XTzQhBQ{+yj6%xcsO8H_eHA1Z zNqay58G4ONrFJv9-#ox#L*@6UpFay9Bpc#?Om9~Tw4T=#C~QrjKRltv#OJ`}B!RYh z*+ICqvLU)Vu6uAg{rba?pJ4*}?3KB5>M6u}QEMR4=*fV1df9x19m+L?_@K$bi4mu& zwau?#-`MR@)9Vg4=#f*%g*iATc+ifl5$ZXPpBzmgz{|}JKvnIkgz7v$53V+vMfe0R zuv(7>U20heniK z$vF7tYR>q2XEU2+)K@oijoW=j12wxD-I%><^DhdOml2Nb_721q!ng<$?B?ev$@WlL zdU8h*SYAYF#(RT1sR^(-a7AByvl@_9kH?%khi^P+r)cgLWg{$(#?VKtJDH$o{)b=w zL5dMI?18Tps@!egA#x6eodh)*m1109nU<&q16#s2Woy!9U9G-#m9i+?mL_U*C(?mGfLni*lQ5b&ZyB{jjWe2Em9NM zSwYkIT0Mr9kp+fR#LEkL94_a%&yNBz!~L-cMSvCkarE^dSL)m{9}yJA{(_gYKmOw% ze+;_Bn~_-WYJ_tDUn4kZsbldSMfye*7lWM$7j10?DMmdp z;3T9sED!=K9HPi?(1h>A()RNA>7x zlNAZnQ8|;d(5Q^eMT?LIMP(u|)fE*J?C@Q}GT6GtJ1>-4=XBaac;K(VLUHrj8f8H? z!G6g?+s|D9s!`K@WcbkQQ=24`S;>wx>j3Ql>a<`dx%XtebhIoatz5Bsl-TX@*rS13 zv>auGYtVS<(kBgAS7hXmMV|BlX}?25|}9>UDNlf-xoGC&)lo3CE&ytWyn1^~7w ze#t!#l=dVzUAOei z&zu=kbYWi^i%1y_H2c(!;|(ayv|9}5{iD>=Gbf9W6uK2!c7{2l9q1S_MpL+pW-KDG zMj`6K{a!*Tnjxhl8BOs03iMS5EM;Pswb5h^l8LsoEULnLcQ_C=^JRTSW7dG?S||SC zttvxsL~k+aP2|r|k&_`F{6=V}Bs>+aJ8~&MoRrk!m|704kOw@W-UvMD#ll#L{iOjE zU&&7tlPI!Q){jrm2lkeGd=hdvJ?0qDnIY@Q->dv4lCq8zyddz2)}zk(-VA@k#5{RR zOMOzoaJ=4gZx~es_sb8+$@)kf;TlqL7*WDl@AZ{ z#21Hs>64S>3&2~2F{8r|;{0GOklTdyy41M6Z4&hIwd$*K3mq%IGpYzA zp8z(xT(+ehl#S_d1ik}V3W`2C?Xh35yq%%INE|RW+ep5Y;3#f_Ma~vynLI?QM2H9_ zqRJ>pnIfx~2f>UZUIV5VERZ^Ri)X6sj5?8c?b4~^^&PJ2GKs#hGeKV0BKC!J*)b#_ zJnM&5F-QZ}!$TA&22T>f5Uk9&KhRgly)M-%N>Ck}!+~*Vk&7%ME`rdax(_{;rp!5c=w$H}d(VC3{eTZiIb zkA@dK3Ws%%L#5KhM|Q{bc~0NF3$9Xwxf&FOEsi4gp~oe}y4&s_G-?73smDTsyUOv+ zDnM4jE3t_=qNUx$G^S#`q0#ONHvLd@xTG?mALr~rf76QpX**J=NF5nvaJyDvF_6Me*HrN5Al8)l=-#{J79CuQ(tb=Ie{~ zaaCigIjTo_efwek%@I`w+*Gd6P8G44Ae4m^VkEYy^rZSc`QP0(WL{xTCInhj&^pkj znZTDcCuq3*Pk))y(K@mVXHOW!k!}>Ygrp>#-?Q^0FHAb}ru3qXhS=?kz4vuxSZft&k zxC3$%6ZJ5Jc$htqA0OVI)m90M1y}~}k}7Ww?X`h(h3*DZBQ5}R#`R;v%2Ra!#*$hK z38QH)B_pGNu>}~ZnH?QDAy43v@HtvK;0V_tiO^G$IE4Ki2+nrtP8LT4HLk&W51J=L zNN)_eN$d_5(ngGijkwsZEX|~{>=(P4TlTdO#Jm)Khm|y&l3=?^fYXd9c_R+HlPkrm zZ=Vm5(_BZoTp{X20z^)pZ~ zgl4|j`h2n7_B58CGq+rm?Ys1xe6f8_8cX6q$h{0(g^4U!Tu4pz4Bc#pW{k<{ld9i7 zA;%+bpO~SyJ7$^zrL9uk8`c|x{Hzi4f{xvPIYBk=Ty(4(56LNphA)eU8t=Xol2rPB zP>lP%)-XY0MeIZbi?Ts1w}pYDL6^QftwW!F=LW76I0hHcVGq3>tsk$Mo`g^Xs9;)1 z3nsibj4F`ma=2Ok`03Lh>)sk;>A#>R=&MxZn{q9H+R(MYJ+YvwcZe?GL6{St)PN#2 zaGxeebgOU6tK|_tlN{$^xJoneiis1k=%d)Z%jPaFwMR<5%6v|gpz0TGO;pB^!bn)e?c z*d6VBUw>ZyJWp5g-x#B=4i-jOcIsPMufGsxBN{z&Qg%0L2Bs$FZca)P4eW+ILTdup zGSi!?h`H*zZn1xoRE&jv1E#YDD@pa#|{Ns1haZj_c>yGlhyBULI7Elyn?Wu|bpB$Z1%?R`p;v_iSnI$$2Hn zafJr0BEn)&-g0$BEhN>yb<{J&hu}_)nFm!- z$ew5hjHXV~DI_$x%AX2B!8PLMdc*aa1aOkdH3F2b_G>YPT510M&gu&eOM3KIvSq7V zx0GB&a}PutU?W|(Om+{{V832VTiU%j~oY?Zj+JNfRf0HPBU=x*2*Onp%Bmsm* zk5yF8l>E*lU!WRi`FfPgNZ&=f98c0lbh`U{AX96!s1(Un6P($Nph+OLUbas?DPpfh zQKzW4RE)id zk~`X-jg1kk+Fs=slVi~U^`>z-v@}rLtfboxqhKud&um!Q_-2&g#Se!k2@&V&dqzIGIA~{- zr&fC*U#zh(XrCCs9t$ga=VB|(zG#8)O)*7(&MYhSy&+GaqOSBBs)m?awD7hQ=u+A= zRZkIQKX{40Kc`sv5Nzy9vq zPoI9lKfe3dumAZS;s-ze_WGame|`ED*Z#hoy$0)l{mbX?|MTxZ{)(5s{@af~;h#VM z@ZIOv-+ubx+h2b8@$b0!w_kt!?la!;`j?OL8~6V0`)@ygoBsX!U*G=x?LTM#{Pwrk zKm7O!!EE^T%a6aj<`X`B{7;nVENT)h&ZYcXU}-Rndc1?JQ!|xx;C4zk?Az#Wulacn z-$CZFof9g=heSz4QzM0I?A2>jv!FRHo#ey}J<%9+2oeoi(260^Sk1ecT!67iR^ma^bEIusbDw)DF7B)tM5{4XYuKWw2cz50yyEdTNEZ$JGCYV%6|h4chN&&E1U zbKO|~0%fEO!422W8I^{{&v7pWq!YyWL)bt^FzJ+5Ygk2wsb|S|^KeXdln}bLd<2w3 zLHx}^MDz<0(a#`uV^5&4e>yY0K2qdz%Ezse=Hv6iog1@73%3Oi?37!J-9%c_Vh zOuDATEt>1K7PD@KJ1C_k@ywrX2E0(~9nT!=*=bL|i6q>=r{+rF%t9%?FvAbKND!8{ zTGbdtU^VK)+`ruiAM|||!O;dgOt3qRa3GfPfXWI5wS`!A6b5fX+z)PswZ^;v%gUG! zi9{4wRMKaL1XMw)fGB#E>8}~F+;>-;zR$S_|a8b!ySLC`~ZtOsJdbh3qe#IBff zg2*_F0~u?7qbar<4qfv_mI5Fqx{08<-auD#o2kgwd-457r3I}nY-Z5RXl)}Pa8$_} zVE9^+iW{iPA*Vz!O~^1>=l|8~H>k=M1f3d%N-1tE5vVQY)?7@L+-@Pcg*@jY*IUJ(R=9sAV$>&3d2;VHMefx2}J@_DXa4$6D&XL)-5R` z#DuQX&E4be{%#Ml8?{@va~Mq0f1ZeAggGhE%Q}%QLiq{%iy^cPal=crCkH65J$)Vh ze-K*W##bwmA;O9zu9iTq(U2T7wyk$BA(e*@b(3jwUq9dAobT87!kkE1m9~2xj7w!f zQd2&?wXcT8c0ki=G+DYg9+w|O9x+{ke7==-t=Wx+vOYkVK;3qzkV{k8tC*5ZEW6`+ zd!{A8561jvT0;C#>SIZ|Pe>Hfa!GIc7Vf?v)tJG{(le!3$kDh_4?1mWeKkiPBO=Ip zxOCzP(+;s{K%tXz>`rr;=fvAUbag++g_XRY=Xk`SsdJ|QlViPv=H2XGBsvd3RcH-n zKsrW+Z>dL2pRuN4=+AfiZ*19%d*+m;@|kQpEeWLpJmdO@}rcn=4pIEZw zP};j*MGz_2?wOE6{_puWNGhPo84Be1qJw%n6maecRjUKSOTke9Uw8Yb>jz5uYM;#7 z!<^Ov;Gh)@aXKpm5=u^NVJyB5NkB&f|MbQ3t3O=dt_NoFecdiQ6f1zo`eI5pyj)f<5Gl}PNs{;idCBEZ1a;q5*EN)ea-t}n-*UfK_$HVCc|HHf) z3Iw@9vx={Cl!m=K=G(g=Zav?kdn-3rIJ%=?m~N3qD26HV1w=)q+?BE+F{p-9`7wIK zdK!GG`za+XogYy=4^{D{Ey~8+V8@M@c~tvI3W-i)(IG7dPCvaowuQ-z4v%KVnfZ>~ zv4?C}mC{xHnA2%u7}fh3=dtB{JK$BVeMYiK}QL zlsClGMF|U+Xlbg#eUW3r#Md~tP0~nx;GENm^ZJ!F>r!uG8>v3_slO_IK<1sxgWA2S;;k zTUz%a=ds;K`Z#}$a8Vire2zYXwt_bH-uYX1OmE97ou~!?8;IlrG1fdt{g4n?0=Emf zhXgKKw{CcWali`fcw!nCB2$lKjB4)`u%!pifOfWA(!6Y+#z=q5hG+d^?Ll&U-mtz5 zB|eCC=o|khu`~?(*Kf|yj>PP~l!W?F9c|EA+ge{+l5n4~-(I10mg~9wCKfjo#NCQZ z&qT;{V0mj@z)VOP3aN%dcvBI@*miLGjBPhm%5$W?I)1o8ak$gwnI(!8t!eRT5Cj6D zs9b5$6EpPvLQAn96ldY*g&v;n91rSEg`@DOr4&Pu$Ie)XJoaXBBTb=!*Kg&Tw`gri zFM$!w@tAK%AxrQLbS=^?S&@#lPp{K>*H6M3V7<9u?h0=(h`& zus$FN{%OCJa41_FWj`?JiAlOfU@8TU6r*3qvNW5W2#E{QCm#uipc!KAcM{z_l~3R-xt$>>Lf23#eI zQT0S<1s8~ihgP6FTopm*Qc&h}Os3;hIzxIc{I($b0)ZM$H)u4LDUmTlBR&#p5~=%> z^!fF;xR9)1z~n>nBmJUsdJJ+6? z6zipMbfc>*MDJ@ ztr}A2tI(WQQf=E#gy)19F)wK<-LM!eRijSyI4}nlp00t$YT0~!xNi$Pw2Q@_Rgd1W!sNVtRT2_iQj*wD^_7+BOJH;*7%$%)MxH$zD3+^cO~ z;aQ5v)N>JVgPOo{z|R5j>)DTtu1sErY-u&P>irhQELXEb$Fmdu*DLgnGEN5Cpp2EPUr+ ztfR&Q1ub@?$Pr5oU#EJ*_idihpUHl6LsGO{fCB{h1o|PK=W+c|r6H0ZzC}dY{c^_A zYr;ZB9FsJMbB~Q%gr{FTyG5g=Jv;WpzP8vJ1U&rU$;fGMhz|{G`G7zx9J}hvvM~JE zpU}&0bjb-zJ3vGnTdox~P;XU51M!ELXh;!J`rqkJf*7Qbq&Qf#u5@RK853jmmAk|% z{F~S$XL>>?!}X3m+LMf7389Fq+P;H1O2(j?rg}NqfN*z0Kjis2nw*EEOzEM--6Ocd zMF^y7gtQR$vif2zLR4cZKoG{-HJYtne@JnGan1Lis3j_gjmZA{DifO zk%J>=6-A{)|A%!iKu;Qzqo$tq85Q%?tJm|F;Srrh-^Cx0IE)_jUTA8g`ZD0+dR*nS0oB_B9jS z+`lncu<_v0d2(<;+U5~MFYKp~x4}R|;@a_K62|UDr+;3<)EfX79J3nkfZfPM1wMmx zmXN2#k2U1g#5pqHaMT%=gCKf)K%xr0D25L;$t|YE16_oNr8@ER2@|6cON*^N?X5`C zoYG{F^1}B@PK8z}xc#~kESv(AK?QKiOSd!h6SiPV3kGAg%&LlfAj+M5tghZe)nRz zvszW%zvEQt3f%B&O@`RsuAcspZqcEnB@TRmRN#|x?JOl0B~qF%{GLN`-pvxDUb zSD|0UeqiPX^GXDy$4RdJ!n#5;QJc%iIsYYv+eSL%W;ll+k;s`|r8f?%0V-%!Jt=@H z_EKdUI`tiNYxV}C46|(^Gmw~K=>WpKZt2t!+*jcB-723QZQ# zuUxc^ktc6oGHjdmLFh;=na;J*EaQclY3d0I>B6c+i&7t8Zs-k70lb~Rq1j%GKBF5p$5 z+yKQk5A4$P4jS%s1zZ5h&>s1u(U_4U9HcXK$g*Xzq_EA;=TOm#^)rfWfIyl}BI#?h z%SK<@nYEN;f!!S{aljC|ozp?m>O}02?Td0E!6RVdLhG6h9LTWmOc69F*K5}w#jRrL zdbdP?>!mvu0;i=EUF;WZwzJ<2_v`q)nqGu?5O?YE?fGGi$<+%M!nB%3_$sWDtN^5D zrf_hAUc(j^G~Xl}VTT-22qC>IaTNert35iqFhC&JQJaYs-Q@qEjw88&x@?mBCT^`e z+PSa(JfgVoa(3meLNGYi#KkV_Ma%LLsu5MfjC_pE5(2_OXgWj;=O5SHDi@?SLIy)Y z8^WJB#qMJ{AzV~DC_hk;rxad?-LbX~jZf0ssckI#p*;qVd=>3nS%nBP1Fwopf+M5) z+g*MKbjeyfxi8A@Ac->@(}S7GP~OREHjBz|6r9v~s=XHQ7X12JeV=XD&~=TMw0ij< zmqdd!BF|9P^kU{wElfPHBP;=4)L^kLu=nR3>7>G)HeU8EyyyJx_JG2*g0FOY?9St+p!EWA~!S)gAIHAcEBs4$6ti zV-#)&C0_%Q?>^+{3}Xe|Ir6qL33G*^hWinzM(MzN!+PQw4IMDHrS^mCCqx|zS)M^c zn3bXinth7`)~&cepUtM!Ti8N;k$PA9-yj#VrDm{0ExOaVbCE^}Gg|g{3IX*J)Ez`O zNrepeUojwL81OHT{c75G4q#ld zFgab3>Hud-tENobO2fn_PauCOg?_jlHPj@99f~v(lpohH{o|bvyVGPjeUApJumie3 zTP_NFhjr7ygEkbgz76rsD1f@Vxl&bQ5ciE*bsf^C(kyTn823Nk4WbF=EVJZB$rR3G z%JGJdMs7E|4Ep>*WOzlV7X)kU;av_PGRSQn*W2M1+_`oU4n&nA*8Ba_;V6nXyicx` zFxqCE$7?j=IQs{I>B|QKd^wu+_K<^Gni0chll<#~pa?)xZHSfyCKgCYYMAJ5w$qX2 zFYUcr75-=zKQs(gNkD}bfe$1XZ*C3>D+)nm1BWLtX9i8)ua3Lz1Q)2zN}(!N$36X& zlDXrCmvct8)EecnB2C5Pu1AI)l}EoJ$66z(@_0r+aLKhcOw=3fu(9qmuCs(HD5W{> zX|Z^GnxSWGD(V@XJ6(P^8U84BYS@`=T*8^0x6p0^bf!cet-KN7Qn-*mQ7Ej;cRT@5b4f%b%sBq#s#{$jp+u;7#|=&8+Hq>$fXiOXHEbVp*#qod<~M%6eNwh(*|1@U^E_r)qiCTFOBtC&laK2B6$#H zOe(I9qnTSk9}){4-`CSwh|f^~v3^+Ndo@Fjj=Qgv^x^OkZuPKx zc={S~l1?qCB~Pc6Q*cu3t)07pEFqa<8K|bVdH3t*6R*$1{}HZe;VkG0576O5g-SO`JP`o-^zG#IH8lX0m`+kLnqBpXr1U1PW>r(BVQ?giVl`!n7j9 za(4KFkrVmiJRADq`Tpq+Ka31#bah5Lb?}~aOQVxJtmt>W#;quFdW_8D@kO^l4vnpe z)J@8Co`7H1;kgJRU`zq84W`f#GlTJ8x)IszRZpAUT|UzMSWlI(PUEJaiCH=0AbNwH zjd!P&jap_()%FL42t_glfZ`fbJR6&4Bce4?7*zLQonS&lw!&c!TtUip$SviK$b({- ze_}ktLESx1kPr&?s@{YU@GYnq_n|Iq=}$v*lZI^%qG*r~SwX4j!15~cp5j0&4cSLK zC)%H@Mv9s=I zXJ`F+1z3b~W(8BVh{0oDEy1E1l(mA&Au);D#(%2lo8e>s(%>EWlF1ixSBx`}Ee*tv zGAu7~QR>EldwUJtOn|0&s_>$anTw1NbU6mX>|kIW4qQ~Z**Kb;RBhez!cM|p%0v27 zm2k*UGkX7urOaIoXzdT99Z6=Fny>)|(0NzRKr}dEXx6-gw^ZVk!d(rYe$*O4Y95`- z=9jtfyr|8sR9+{OKADlIk-$-E;nRd|e;2C3Sp~(F&|4S6H8te?)u; zkV4OtLRg$>MPC3WGeGhO_Dz+n{{clz|xw?F<@p$OLKR=|PW zOInMZkzooStq*y#xK8*>(>K|LGR z-r8*7NWVceG-TW>GtFvGRS;yjsxz1az5(Xx)Q5xmVL4Y%?ieaQB=xM-C&$|ii+?_e z@0IS0D(4#9h*}Sb=0!J4^;tnM^Ynq)Q~Xv-85<>*+<#7AX=21dMW0n(vi8d{fWhsWcg|&kumX&a4Wt581TZgv?19z& zY2k&|fLI5RLC~{8n^K>YAWi96OPR`0f@2Uzp)p&mHrGEPI*Tu{nxL0xXAzm@6b;Iy zEeD=k6shYrtk>s$1|;;EXPQRn_Z#%!{(kk5>p-OeN=3VmBEZG(Yvpv04%2)X6j(qh z31jkf2a42VNF}Bo*Gz{4y5)QvmZXl}0vl)mT*;MeS$G-GLfIAViISt7BoiOfkM_8* znChg6LPh4`RrZ4zXc@MzE%sGGsWX0L_m9wlGD85W0Z!r>Hy zVk60dzv9p1siB&c)pyvx*gS%DTO}cE;iB9 z167yd1ZKRDZ972bX1xR@gI%`4w3K~qiS|vhc0Bb17`|Z#kk;AFc~?s@hV&vT`yZ_< zDbl1w)58GhF3MIe4%$P$Ju>Kv?oE+pS9hRx&jb24@$Rgw6$CU&&nW>&9JTSdLr9wm z{2}#iIDP5#fs8Dcg3xtcBg zL?{OH*scuI=_O*d3GCwz6*rYF0OCPrPtvlcbU}zm;{B3V2o;TpC6 z(e}-Gy79#Gq6jd1-}ayT?es?Va;yT}Z)5};$s7p&=JR`e0h2p@`sMKHZ{MLA&E4^< z3CfEM9x^=5um?2BuxA-gam7y1KK5!5LLHL3W9o29hs3-wS;nWQlkdv@lMG<>Yq{1|@Lujb9A6s-c+Fs9 z7#ne5?2ijL#t~F`#E{NL2KLmJQwR+I0)f4v+s$z2 zSNh)(x~00JkrC08HLj+qJ9T_TwR!##d#u=143Gb~YPe;h?$BKU;q4w!GN6%9s@u0X zyt@(H_MmkHwLkF{*s=NpG@V8T$<5cYN>FzTWFDC$Kve@Ug_$^k;ZSGyok_ZLAvh6e zH`q1^xTmY78cl>{J$Ox*b0Iq*)r`-yKrCmZh_bin*CC`!YqaQRF0{=tzC&%Z_Zf|v zu5Npb>ENoI#M=kYCDI)hLQqU!a3RnVW+BoRqNY1-yQ_z-M37!!5`wB`jiY>a@nz^} zR8}dGZ12$i$s$K+_EST+6P9Y0K!MxUAvl_`3l%xq>JD4|JqRdqPlbx1w!Ku`qg7UW9I3Xu+jGsX z@3uoi0%~78gxX5XcfEl0hxOE4&L?1*pvSr@2`j4SU6iP2C_WTFW$1rXg;Y6wuh%a` zS>(J3m|QMb6!Y={No{o)hGpZ!0o}H-d~R%wL!+0+>ir3)nf^-uJ76}_Ih$eu?T~(z zOtj?w$=`HC0dERyRG8{vdUxXwY5dnysg4;ElG_!$EKp)i_ot{g)kBVDXSV#RzhGL`B{#%`eB8BluAC_*F}{)&H*!3^+G(ms73{h(og^W5D0up#aF1(oYT6xr3B zxuZweHn2a!X9~(BJ?2vskE)RiOQ6JmxBtLebmL<#V0LH7c{)hu{zm-Cc~E@~AZGba z2(v~<*h7YgsRRe90=HixEj^C15Hj_K+TBc}I1D5m=IA=>L9uQ5(jWuXg9HsV)OPit zNy$8wir~?HNRr2N23sdp7LhPYg!<~l(1l7277xFa{;Mbe$&Ip{Gjt6Wf&+$W6!vX!fHk{HRe3nu}D--R=+jK-KPOhv4<+@!rA_lVi5wxPf$%lze-CIEPS00N*^E z?oN{Fcw{Ng5AS~ZeDc{JfA|cIYR${;$jmDQCab_Bc6>4s=KzMi?WjT+;6xXq5*|IE z%>{^XHz&(WJ9at|%+v21RZt$Kz@L_U^KTb%JieY~$LS8AxBK;2v6tUQwvj)XIcwwVc2vk*&uf1d+1Q1l#6 zj$%|ar-d1Vk>Fev^em74=V^iObX@YU_-5P#7(H|{g&%H_?P6xzv;iSO3Ptz0no!P z_iUEyiu8e14N0G*QRGnjf^s(-3+Y+U=PogUC^Mh(VhR6AO%bJPh?^TqpbL*g>{$1! zyN7&u={{EyVD-$X%zA(nJKDBPH)zd4nqU=_6`Es zNMVS^nCm5+Zm^ZnAwSKL33{eo^}^FU4FQ*;)8&hRa3MQ1{WrS-XaO=^BU(MK zCJPOp@-TNT1!b|Gly<-$kyD9siu1*&2+5}wF-mr^RqCFpBP4$O@}k}Z}7%L^nL z(Ii$9Q(i-~kha{heslj9Wu}b%*|5I||C3FQB}&?FI++^NV7UTnHu-01mEu2zwyDHc z0QFUT(l~+^dP?;AV5Eck!#P}(8V=jQ8Td7k)S*#=dBXgE%q&}K2c?b^HtBr?WzHC{Df^1e`;O5{~ZAtuyzAzi)7*@Z@0I%4-Y4A zKulYYf^1cGWQoE^MMjY--%$WCSY{HOwL^x1-a=E5;bh%Ik}%DB0ribMS~EX2`+o&i?*JW2i}cyy}|9{Ez60a>QAaV+Nf{=ilQ6)w++#nNly zx*~zWU71)+{hnsp`k|6M+l8nLSFT|dm`+ux9f*wF^ylcBGhg%|4HbZrtYKKCw5Oh> zOH1*1H2Eo(07mbl%v3?7M$3j6Ncp^rLzTE8&X?4v=KCG&0_?2^m zRW7nqP?GFGn`o6-?)O)CNWYsX{jPhW$re;kR%=YZ?V*AE@5Aa?HT|fi=L#$cr2q_m z$b|+Z%}ISzX0N0lh#a#@sIxPP!47fneM3%(I|iaz^g8peuSm1gKd2POw=t=9h_e^( zW-5HiP%x&1B7``dL{yZld)6ot6ODs_AUgFIn+v`prV<43J#A1ytVqcUs+~!C;|cwU zdnT_S`Qiq3?snx@5(lM>Z%ucqgd`J`K?TrZ&A_-IQ8lW+olZDgeI=1w&p0yOB`^Ct z`T0M7{OK3Tfg~Lh^=vKG*n)@^ezKg-tuAX2RnP(pU%>h@-An^ct9rvUWmQ-$*C#p; z%9`X*(>G@6-hr=!yff0y{&Qc{U{uJF(25+!R0YR(Ms*28w#JZF128Iv2@Wm%ol^eO z5#jHFOmEET;M7~Qxs}hg@pjd7o44FX`%#W@BPgYh%9;wWLj6{BEt*Xh*Wtd5tCCn# zuZx8u2ofxWB!C-OU$PV_1jcPpt9czv1jDWeUbO?YHEQr;K#+@ND1?$K-V!H(LFl$6 zJ;>y*B;|-e9)yj(=RHo)$iR`&W`mlqZf84$D>j)&fgTK^`GOCdBbn0=#9eyQ+1-2+I!J|$31HOB|V)?(i@cwA*bnXhJ$*bMR>mW z?f!BaYtSG960D?q*Tu!Zi0%F3adn{I9QSj+ov0#)zq?ga(y3%0T4p1J7QhF3e#;1S z5j&l>Aw&d1N}ibqj7bxMuM&`5%uQ#rNoy_Fl7d z!G9PD9Qf6dqSp3np9~44#SQvP!wK@9e9KE9vX*>;_Q99}yPmK}>-b}TUK=B#q$3-b zN-Cs^7H1Y%-W~1WdVe0*+qelB0FKlYIiHkp8*T&}k2?`+M=8L%gVoVdc~o8z$BTyB znBM=Y_KNZ+N>t3@i}})h&GRTRXeM#LR_31u=pM+!);XuM9nzy_kRL+yE=_}Ta%L&z z1L!hIz^j35qBthM-ad6O9*oJ>3p<@@RL;#QQV>`3^sHr~WyrjRAm<1)KI?x16a zp$;5$CMgiefCtMk$W^o{jDf5RV7B$^X)2Qr_tt&YfW;okj-9smBATE!#md7TIWn=c zkKg#T+_e~^P{eq1ZI_*dK5dH!2V5u%!$Ad@1R=CFvb!ScF~!>Z!<`k6Ow`k--$oiW zuAZOvY{QinHW;&YK?#scyL*H{4|h{-OkIkrM=VpQP3}%51ctFTIr79+XO@-_s8PPQ zq7cx)M+O|WJHv9<8)w5Cd;E6O$VkOX``>;|C}2%(m4k5|}8{UY=j zpeNTQOL{2G#g+3{vxgSTiG_v%2=R}}MV?eYvGTuWhssdVu{q_23K0_SEA$YPpzN4~ z&~!F2k6rVJTYi5-QG@DfaaxtF`1h>z&p`2P#&um{iK3KQg{5<)Rv8X&iJZ)Wu$mrp z!2l`n!pU{6!jaWl$^+!2Wo^}{{&GlY452{OCCdI=$*l6)MzHL(gWsdHA?gqR4lL8} z$gg{-HsV@T=8HSfyN9QEe!a-9%eni%M6LHszAQGI?yT`aghZo49N;}Qoa>0+c7e#zvqK-7(E1& z<)B&#Zd4Q{p)(712OxfmQdJzlCVQE^x-&rzCNM`%hZ087DLh8S^#14`xZWc%U-5)l zp%f=eNF~k45+NpGN-**6XotG==W&6~*k)B;Ae{y*g%XZ*iCriWYVAPhCkIpX*MK!qxMReY zz#4Mw8MTXWa93W1r9_nmNIX(s>i_Zekp^bL z`3k#?B5YT;`}@L=3VwKk%}Hl;Zg70AUL^%jqskt7@A3O2V7h>Y$e3DU{8~|Xf1qVh zUyb0prMc-I*a!hY6W8;=3}ka0RZsQoGNeDQ*VnV zPOQ32N<_m70t1NSjAT`ibsh2JaZTNkRZcU98p;faM(*0@8Kq3th6BOhT1kVTRDuSl zQ^qdP6Xf=+5UC zc5VmaH0U<6M4^;2j2;0g@4lGLFT&0)fU7HltN#gXPt$NF=+G^vB|M@bE@Ds1R7-L( zZcp+btb{q)#XRdZZCr>A3SPWXC~89-sW#`+TZ10Xmf)>I37ZnS^FCe6V7+Do

    ?6 zYS;!9`5(~$u~RUSj~$l=?+6T(?P)YMx8B>>Qm`RIF$fCIm>dV3<-VjnzA>i*2XD<* zSm3&g_ZL0?crleXL|0LS=48A^HXF^`owH9?5UHd&J2x_QuELEth(Yj$wI?B-XzdJSCE35}(+-+Y89K54K zjc{)&ZdU;SPCToJ4fV`pJvk-%aSa8PnXE4Y=C%6>4g<0f>a;Cbgh~LKd>e5rLC2ho zRfBSp1f1|(l)FcJ?<6srqZ{2L>5k9bgf&s`v%z{esC?5!No4@{yBC(;)7V6(vb`y? zMxz782PlzQAXU^BAT}bETfJ?gGaPyQ^W;Y;Iz@dm_v~w2oNEnc^DT-gRDxh(3{xXA z1f5dZ%q6q1WU!WpNN`dS_dL6rpmis2!HX>^8hWc)#I04Vlc|A%x^h90vCEd~>9@yA z?UB;ysNM^Wg|H#snpkTacjLMt5+~hBCGZB3z~C=$B&+=lZe2>!*>2q|^s-f=TzYuA zE^NOyyOPe`tiW=3K#a(ICc&@s2q;Ib71sVUtHr!XsoA!lVGo>(`oAG8IdCG8w%G*k z2&XrHP|EZz{-7zsSgheW&zZuMx5|yHvlf`75-jVHB+9WVdIRV4>j@?B;PXSt2N=)! z118=}g_-oBc1S;WMDDs4!5^~0K2Rb$EX^RnAKF)lY;KTrxK z4*;*T9;>*2@}$5qJNuwH7_b_KLT};Yytxtl=0GtX_B3`keNZ^e(j$TdNUm9`Na^*m zAE3djI=-Hs)Eiycom!5CQqk_%5-UoCj+EW0veyJ|K@zkIZo1I%NqV(L&XL-bT3Jz1 z!Y9SzDh-D@7Xbsxjuun5-HZ1N7AOlW^`KZ5(`AZMr8fhSuco_f%Zx$^unq3^$`>_F znuQL`dqiNf>-*_h zORdU~DyGWtrTBzZH2~5F>?e&wQ@%`URARFRuk1{nFC_uZ`Gpi!gq+FJbxv|;3;Xqg zbL$f}FhsfcgW{c~60Kc6=&FLP2`{P@mT4m=&46zn`VNs@xwVE}L>hMCXn0#?lKhAg zm~0mSLi5Pdn@KBovZtZl{~M_r*>|-eGUXSGA{2aiHhmAiTOx1(<@mn*Yll+=6;frQ zflrVXlVK*M$EEv@oW|e}exf&2h)OY$!roxUFimSw!>PD@Akzb6jfLa5k54=F$q;U4 zUsq?DwnXp`^@j9^gL%&u$ysB_ke@ZkkcP}kLni}r+Y|%>9LueMd=nmFB7j_t0L#yQ z_~A3x!o#Fh+$x%$t57kYcj_cp59`%ITq!R^O$|91lL;622aLUfdKD26C!Ba_e}W!e z1z!gFzo+J!6=w5`S0z$vHI?1Nr=+?qQ~-;({o%*I{jZ7qQ3Yidk{=w6;!hoOI6ld@ z0zg7>*!%l64bK#jCcpgj_fO^tm(FR_VZ09BwFr{1T1jx^ML1RvRn32JvT9*34FwTc z`8B}<`z&fM(OV{#A^-t6s!T(4SYAtI24b6I$jjO>s(I?W3Fon0OC<~})ho0N`Jcf; za92T9BAzte1fo`8XGnieVPqbDIoPK^vMEXjK3smM2m_p*)pKxxr-$R$usPyy8bQCv zGo0qJdIYP(COA#M)y~pI3L?QLO0(<2lP_ErlENCua>>O42V?pjmlEt?wN8~~)WZ@- z@sZ~Niy4m>>1kBq6GL{2UMZw;=_c&q)-NmvN%Gmi=^zaeQqq_v zJm`$-Yr=4m2g*n$`%jk%bmj>!AnkK^`weQc^Q+>og%t&!zB)rJ7WnjKjz*cs}mVUmdp(uVzU@Sd_M+%9Ut~{IpP5@XA=0oL$*{XBL)gCFTR5 z%15RfiKYN*fsCLW2!dHFSk!=282d*g3aT&dv6bAk>90~A1@NVAFF}CHmgC+CYKx3{ zvC7g>Wz)O1On+TthAb0o49*`Rwfx=+rbxzo3FTOd9AH@J`fkDw!bdZzfW%ERlayZs z^wohsaHuR`b-`8*7{Qp;DGyYJ&FN|HpuH#|-t07&S6iAf`i( zXKM3;35Q_jp%83CgG|?9xnaAzsRvSVwKS>XIw(wXH2wALB!+wqA zEsg6%bE96>ir*h|EaaAu&C! z?(aT4LJMRo4#d@dL{{qV-8bKT_tT&L@y!pPPu~6G$M3)U{2l)J8S>4yzf7L0nGiZ; z2LH>KKL7lSfBnbjlmChL@OG>_`_7&a#}gN0`)bEK*D^L!F9q%LtRRdLJ0;n1CY3xx z^8x<>=n%OhL7AO-N9rC?5a-+=5-@*&5+s`hD8Uj<%x#{;DI1CAe|mI7#WZ>V_o&4r zl`YWm2O{Emg4U4oxBI)NCrAT8!Mhb*m2Owla#P2TACVa8W(g4^2`}AAf=bEld2=Xc z$XTT|hblwK$5iPCfb$E25bQAep7WV-4`P6jP-NO`g`TD}ir3?%b^m+~%20=S*<&XP zok7`&5`o~}Fqs6nms}&#S&k?jCw=ii4VKD)jpgvs1TFHSA+PGUNthPak7F6WCF?V; z$pE4r*Hl8Kj5EOy#W>BfPU0y-dZc9#cZ0UOgCKeD2i#86UF!j=dD6r?;fKYOL0jGO z8pc^``vOP%bXK{QAPO`0a1C452ed-&r61Z@Tw9Xv>}@%gpsk=$M5h-d!AEW7!nh^m zhgM?-NpaP7P0W|!HA-y~{z0qU;|ZU2_M7iTB9`QA=&;K)q9`EnjH~mT(HCLEx=fM#_B+xv{G{w6LJ=b=4^523Gn^ zsI-h&0x~63wD*wvTh&o9SwaG@)F)A^vJv2liYh=`b)&Y3zFP#_=MC{_h6_Vo&n{DZ zVEAtH!}?Rz3ow)*J{0}cA()8hF2-%C3f?3B#CU>*OM(JZFdI?H)czq(E5tB_v|!87 zR(!5`Mo{4lWNKSzMMr9-H3 zAkh>3=Z+Y|QTbRV8hg+MWK`J%G2)N}(?tvqT3L5Z7Y@AR;=D zet+j!Dzp>vY3uDh^@R_|WZ}F~{fMX%LOO8!g@RC-uv081Gz#6VL{g8A%OlM^u0o3h zXt@`btwh!v{&X{rtiZqmUHra8eK(J3OWKG7PBVkIP@u{OLBL@~eB*7Mpf3-r8^Dx5 zd-=A7jr3UA>QZ0gEaCrx~WR{ za~OhR zt3d=Q?*YWn`b~&qG1kAhc*Rr9kM(S0G1bBNVycJDV)EDkvYUK&@-_3VVL2v#2gzOB zY-w^J94HAe75kcH%UVKr(e@aQ9ZaR%io1~PIID-v4)U1tR3v0EWgsPHxwe`Jy%3HR zng<(bmj=~SeuEG-la(47y~{cl>hX{8mccBcIHsRg;k%)qB9BuU24Z0lL`x$r@J#Dp zNPVaY=u7kHeAbt`ei@g$XyTXDS^cwX4H=m$t0pLjT>zJx48`!ai?e8KSV6ivH8U&# zwX+R*Y>Iy1>=HHfxz8^Dy!i7BUCw7$)5|$xJ%0N1!>4b4{`C3e|3M(?|NZH|{`%>= z?>>F^#pM@&{pp*(efuAipFaKL`=7u6@ozARzF#h`{@>4(NWj2V!hkPGAd0pls{hLt z#Fq%XsN1UU+m z7r4+u6GP}w(%PS=@JuR>YO6VJd$O zCOXf86Q=?Y03bI>{liOX>@B_7-trR@;7K7|VB_G_xn1eBZ<1Z}69(QiG8WaT?Cy`774}Qi^gRk}%Yi$mQk5)q}dq!l^6c z7a#ld<174l&LZ;wyPfNE-xIq2pd5onXXMBx^6!Dh0JM?HN!OFl{^LK~3%dw&D%!B( zn*B?GQ-*xJiKEQt)7o!b7UbW@Vk{)_JS0=WN-x z;M>DDWPx3pc7k_zx4qlHY+&{Otr>m*-FfmGi8>z8^AMOibyr*3*+inXc#Olrwi?}GMCMUL0*Eq3uyp#NA#1%*SPo) z0}2~1?}_(YR0}BY=8m{f>dnvsrYAz@s6ub#nSNy;o0$|s6B_^F%G_}wY)4EjZrmyo zIWx*6>MRAxrf01@UWV$Zvm)B6@t(%Eutdx@5=RC>wXG|Ebni?Zt!t-&>}+96_g^YN zGu4imj1;ok@mmJ`a=Y&dCNaWWELXz=DYDnFNO%P!@G& zI0?>dA#*XhOf{XUU;9qErTDt}!lnr-5YW z0~pHb39{V_`;U--h>;K`2W|bMh)@NQkPP!Uxz$GBv@m34b`g%4X5z z7fiQo%W|Uu4HN+4r&18mwUpB_d0;jTwUsKRlE)d+aoibBa94u(LdQgQM6LqlQCy=|j`oX?%uBV2 zA!E(&xA@~ANciPDy)wlB|C>`OJEMHDa)9_b6ghQ1nzj$OM@%0ekoQdrlSqSL#s1+5 z_0ubUjh($2e_=^RMoj2atWty$DG?8I=m)AjB4$EE!ICaT6RM8V2x7-ee}2E5US3=-FRquf`SS8&2~eId?cq6m^y^wl`xEqZ8_HY<8-W_&8td!c8ICm=3}Xc80jc(_E_@VR1(9^TrzgcOQ$JsOt2s5FtJ+W9<% zSHX9YRKyV!+9QAr3xi}*Q3=?cogQi)I4h{4A_l$%BAQHPw1`TN?%^}Phh{{U(@+(d z9ZDlP0}dHV=b^Gv$T6MtiZuWMwQtdC+)x_S_@ zRKWyJqB_!7VzZW#lSy4cj(VX*h~&y*ej%<)$;Hk;QK;zC@pm-#w9s^&ny(lT;8Dh* z{^PdUC{xdn;Vt^Z(95>t6gmWKMpEHYW(b(OLHu4ohNp(VLWTEGW+4lq;_RN-|A_NRA&0OmObJXUNU>>l#)G|oUV**@@tUtS!Mtf8r%JI{zTGQ|0 zcwm!6y>i!JMV%i&4Nf&&?;z;3EF3;)ZO5#rRDJ0pq`NvuI>%3bb2*AA5z!mcZ~a@Cry zQy{33nvqf)Q8$HGBv42B#A4HB>w;rt$mo${%#f=kbwT3!>c9mdO*S{rGVZ;-R1yvSDJol3sjLH%PVWo6PYK)K{3cS>p zS`G6nn*XcEp4IBSe=mcF`B28mC+Jx(fL9_HOL2w8W+nxZJ+>={63{17Yz;xl0}I}k z?};Z#zBkD&3Q53G%;+!_OjCjeT8I0CB?n%%9qNES?Y8JEKK*sOR>Rt#@b?Xpx%hwJ zH-V1D<1!0(kfUlIQ_`To0NPH&Oi@4WxGHhzEf%xXUak;{yOmv?U9)?SUcK4;pF_^NbOr;}$O~^VOEz z;fZl25BtZxyn^xvPK7kc7BM)MSMFLabs4n?J(sL0)2s~`swuK@3i6()jD=FaU|ta7 z8EWr+J?4knd0W4FK-{;pWUvANX?RfW!5_C5hyD846 zcA&#|2UEBYm}+q>klj#c(1Sqr|0Mp?0mgk_U{upp*UH{=Hks`m0NyNd= z3t1W~!IlOIMe1Ipy+hrL{1browLq9UmKDg5QkUZnil2TnIsI+T$k=(~js(Z_H3wP%U!SDOGC+TOvw~U{}OFSisxUoK%c` zQ+6G9eETKrUzEW%`Zwp9lnU^V2?p*+YiG;1xbLuL4gs<;&_GlJnSc=;;f*42vibM# zlr`g*j4`ag6zQ=Fq8^>2cL1|a-E7b{Z0!dI!?MGnM(mK~C)Ak{&;?*ej|oT;bWRF@ z6)O>Qmb9uG?KVu*cVC^JKfhlokA)ZFO+7$ZV(%UU$K_1rQ3titAeX1{OmSjj5?Yf8 z9pRBLN{Ue-u~^O*uxMpEphR-qYDjTf=bLj_UI;uZVia8<#c@>=04x@=Eky#p-TH@c zL}sm{2w}hGtcs+j>XSDY;){$FRd<@492c}DWj3ae=68!CFG!%`8SQF+M8QCc{?yCR>4z{IMHHjarK#UBZH49et8)Y4SZHe^17kBoGHt84&1r`8y`3$p4Ut8uh_m)zuQKad zm<37^Q5wIjU9R?zPqz+F@4BNMYSW*mgs0+8eywpt<2caCd@3of<5WlwY1Noni*0+s z8nB=oFTJ4npFjNrLGhJM2{JRzb;>_{MYELwQa1L33LQRH{$iAH%(Vh6MyYv+lB2)~ zPR1}yqB)RLqHx(CRM)|7~+dTa>~pq_Rs73p;ED*Xk0b1*zTTIn_GUwOdICogUqRp_y}kq zl-Gm$(}jpHO`#s)KQrS$C{pZxdX#p8##xx%Nt!-5eL`R8-Sw3)=oU19+^ffx;qzQP zvZfC@q%$TEIkvJhSnRzpDaxyF7aG50%>A&MFXHqlq!#36OpL24Ua5Bfl&s6Hr@|uf z0YL&%l$&MNge15?B7Ih59gJr|Amr-qxPjXbC4d1vM&d+BO8p;ubL6IZgDMdW)q-*r z;^!0TfBKaQf>~JPhLgXd=ge z3dsY~4jMoe(nwJRrkNvZrVv6el|;Z8Ekep(n{lBIozuZym1A7zo5KP`z{Sx?a_oN7 z4qofU;^cNKq$DdlSriL2*-9{!Yyp)pW?Ir<_eCK*xOzd8Wp^;4$aNSwDx}(EuR)6H z9EJOo8gzzyTb&8AA*P2<5BJX}$a*i9#^jsJRvn>(P2aEJQ@z0so9a&EDwKEDq?y`^ z3J!Du%d4e!Vk?-6L5=9&YMw;C^clZC#eS22z~FCg78f*K%g&t z4w&@PW7-~%FXV(CFPk4L%=`MRKtOJo&BA&{+nff0g3%YR1V;k?93lDG#O`4iN|(H^ zErETVJ1I<-@9#wqLLKBeVPdR_ay>3v4G)EILkS!dD6mjrRdIWh?4ZJB2G^Nau26q^ zl*$2Usv8y9aeuF>$E9AI0Vl$_VJKHj8b(8WJU*4ma`VJ;G%17mDqn&W>+9M)+XtkjjI+SA6C=&Y4xF>T+7{M3!>xiA8+nxH9+H>*+}HEKKD-z z-;$4kRg2U0TCxLNt;B{$xhX0;^b2gPBksB-rc`DVkxKPf0=pPu(ELa>roTb#*hAX$ z7&A~arl?v8AZ-sH1hg&>l(U@qWymz?_d28fU#vSU7)8?dI)ns?M}+w1j7-@u%mDn_ z|5Vts|d0KHB0pufnQ zG)$qUN-opw>U93<`3~gcQQ-#lUGJ-yY7$)W zb)qQq1S? zaSt7P(FID&;vO8zA;qzeG_um~O3qtvutR~m)07Mq4KPJ@s0!i`Fvpej9bIA#oL+I2 zN;KTI1EPtlmWccxp3Pv29*i%Mnl=*S5#0M!YFk3GRwmk?l`qIYFCkjHC&@>oW6}Nr zaQHWTQ&Qu(rc`57bg97ToFx42i|L;)?vMH>%~I?wNSH)7$&cXe$MX|vFgRD&(w&FX zU(PdXT;0bGjKe|Msba-EB7#8bS6itvfh`@L5J*9S_k|R!kpEr1g5Z2HfpO7@5&OPr zR^@C8GgDBDB5_A>p@#&eAw31=tBy~EYR+b=>S`BeL(9$dGE6n)I<(k-36xGec=?;S+Zv@RE!Q_yUqRTh=2-J@iws>KOQQC#_&d3aWX2$JG`!EYC3d8K{%;3hps| zVyMZb!OrFNz9CDbV5mNS3J8|r&yoDYu0MuQ;UUN|P_`QdkH!1Z;vc;q*Wxv*4vxQV z2?oD|{(}3Njm(7Sqsk@73Grsq1lD2>*-0R+2BC0S?|x+k9fqXX|3w=)a$$-ct4Bo9 zOd|e!wP-6ux#@i{(3s!baZL#DI3k8R@T`$>N{LdG#>W0CfnDYWnMrA7Z9?Hzml+CA zaJOPZ2WqVj(jJh=7PQ0Vdo5xdskQ{$bYx$H*EVXH6t^by4*gZT5-((}$v+8MeJCh5fw?LHzJcfdBlIC_QK9 zZ=zuM6Z#?{j>4iPw7dhAqI$e@DkEQ#-Ku0riSPqXmjoJ2{M}EVPd@vfKYWIIjh=O` z>2z^Rl%9FmS<0O$a`(K*g7tnz!}oYip?4RpOU$Fj`e63(%SF9w2K|H|fFL2y^!k)} zpf10=Bax+>-I2yXOfZ2^n0*TAseVoLVvC6?`5^H7%g@lu!hgHbXw?kaqIr>MS;2x4 zS3@Myy!`~%c|G}YT9Da*!w66Zhh<$prpN+XQ>Mz1s9u3OevY#&HgBX{O;6-#YGpwa zKNQ&HJs8>ba>5oOhi(XU2|#W|6o2^X9ATuZ|kO>p-a+8z??aG;{ z>Q*Ps-QIn;wLNePPIt^xP3Hr23&>KFccViNp`8f|q7v?+Epf(j{d5C7BbP*O`P+=G zEB-i9Q4uCJ=-7ssD4cItgC%XEk&v)Ag`L&>!V-B8<(My;01$FNLK*7D0Jf{LwDLa; zNDk{BWtl*6R$shJMHj#Q@ozu>BJ7R#civ=w1(}{bRVd~D1DDjG4MW7u&1T9#L8W-} zDuHtunLVfb-N*a82Z&@wHdgnN*yE-QCieK>k=VnZQXVR?@`<`PBf2sdIp$8g+V;l% z3Hq{Gv5=Zrkm7o>L{3t5hP#KuQx?UBgXwdt*^(tJDCSP3Ij!FAdU}T)ORCk5YNR~0;d94~c2v-!7X09N zi_k@YvO~cTidFeAHIL46C+foiJuU^C%H0Y*Tb`n(Z3I_HWm#AA2-1wK(5?Wzr)6tF znB)!FnnP+BVlQckb^1X480}*3P*b|VI9nS6#?u}75$sZ}mNeWJjC1<`))YOEOz0u* z3Z1$C62~|);9Kns%Wg@b#k|D`;0?;2LT4{Az%(XZON@ETMt}@M4;nFq6b~9=J70cG z?Py|>SS6}6Rk7ctcevsdykMAXKVt)L{tCA$dM5t}*{OQkk(lanE|+rB_ABo#f2pY@ z8cnV!Naf~mbKLDvi?}#lc!wji^a>kG&g#6~kCiAd7G9YnhbRPT)fC>HPUiFbpo>y) zu%`sgJvAbweRo}>VNM9hpgh@^``=m)Kkxv2e>~s zw-bMnF3_+Ml>?HvS1Mc`cQ+s+c;N;FHB)Q>d_MPKhpN#@TwfvjRwfa;Y_xFl&@~ih z^hoF`bS<(6U=7q#8=CiyqOmV(?Xc)K0=8Bdi5u5}tj>3+X%oXx7t&I= z_%~AvBn4W9hv6LETk&E6Ni+aJolIBP%lE&JEU*cwoYaIS3Ob7?tZxQj}0K zmfNdA(NGd*-&DI%`lJw~#xy{U3C%lRfRg|&~&5inGL2~k1R6Hre3~%@M1H3VCy}eMS|y0| zQ^)ng2Kgb3^wR}bmfK77{8(XROr=We3u)beCerX(<(+7_oDhGph zAy?6U$R=*R@i9S;4)>}7(p>Nn%ifTBBxt^YnmP{ zd6EifR-AtI{Ioy+~<&w2?3Q_LkQ8c^#~0p@-u83AmL0Qid!bSpn-*; z|K-2%=C9A$ZI=c0Y{_BaS4uz+2f=P=DQ2Tw7>F@iuG&23tq?Q_;nIFhWH(zjMa$o{ zYP0ug;Z;D7$KqDc^;m@dM8x3nC{bcy#c{0t3HmYsqodb(6{1Snt36PaB8UiG!u)=F zWGGZAV-q#_PEUJWG_OO10$vA|MLy1uTn!2&_fLN=F+iPbQ6$Amd+&*Gp$yp5_mnpn z*k2DWQz!%%D?BRszax5*`c??7x`f*v;f z+iH+&O1daQVwMd{K|0w277DQGxR0mQD+G!>upBi!@Yj${J7XQOv^R^FoK4c2UUu3+ z>Cj?C(G;g7IYZtGE5KrYwPfI&U5MN(L(I>&r{Q(wQ9ThYAj)W!R5^6^=d1Tum+u#| z#q#=czL;HHefr~KdW`~>u0jm%Lkzq(v@O|z=bnp6v{oF0f+tm zupof58V_3tJH9?5qLN$8?)GryhuNtAyKr7B|74Lr>PAY_LKrQ*RlIl~!Y=gJ zpiiYEl8Xr0SOw$3xa_h^qJZUZ(=geG+Pn4s7luBpP8pC~G&%H)RIX4APgP z`6!x@oyd~6EZXn+-@o};(rS|mtZoO*g3|?MGTa$eAT-z|Z*Vcn0bC^*x1g%uFJhc* zO`PMHZE5!h_-T|z0H;Mn{z&$i^R}-g1QP^7j6LR|jx!5gXsT^1fRIv(%-yAoj+(@; zx=+8*6a8^qpc%IEFE826KGpL?C?^FKiB{`Ab}ShV3ha<~yA|u^^zm-{bbDSuqgu0? z=ek4w$=FK3Q|4@q<-shnwgMpgyui9}5JuzrIf8<|ws%R|>$HG~P zpzQlz+-R0{B@P5T!Qoeu07*z)g{_^TdrLb{m1?jeq~Mqg_0|-{M&;ay^HQBxOURq* zh+E_bIz$3xZIxy+I=Ho;g5hgs@X)jxLk^Mcy8?~;Q5PG}xl)md8R|cjZ#`^Y&j{6w zVy6-8d`U^x?}4HdXp@MQ?PEQLq;W|C@O%P=>wNwywFROagE$g3ge=7z0_p1OI*y<> zp#!vI-;%{7sI5YkyccN-Uus}AP4_Ia>2k8T3@3O5pQEhIZXXcnieNq5S@+09g~u*e z10=r9)g*$k@h(>RU>9a-?t?TM)ei_UXh+bD;EdN{662;UwWw>AU6eX$lR-F0<;Dh&Xn)2x(pq|Fo8DkoorxyUXoZjUlZ zRZfjbNSP8Rx&BFzVCD&-NV+J&$F5TJ^w+C@um8Ef&$c)M)R=AAd)_PWEa8$|xKl0- zkSNulyEulcc^k*X7L039OU>*O03(W_1PhTcs<1k{WJW!H{q*UV!%yG;_|x~l{Bwd{ ziOnOiGf<-gZC)L~Nkd&P4;9oJwGb{`nua83(PLjjN|yp&DF_pZ^d8}mA~4faXCb7e zVleVC!FW1Jz_3cK)eeYFsL0v)gn(LvkW+lPk}^z%0c9hN&&5-@5e40JNT0)2Y6M4D)2=+fQl@exa5mNBUj*x2oH|A_d1sExll#n;xEC~MQ_ zl0d>g0$iHs9Y|?sD3G|)h3vfZZijjv=q<#yIgHLhtx^1Aej|9{R=42aThtJ(1S*gc z%4wmBi^}09#gNc!mhJ&WFFSsbV~>VE29?w}Tf4-jPN!1(*`FjoAk5Bau2@Ay+zv`n zly;QTVjbkx6Fv9cSf<8sTzbFCdRMqbY@z+^OuFTc%+c)>zAW}P`2!=k;y2et@(E$2v#brXhsNK9_z3~K z^WI$CuplIMPh(=A0uK~O7C{4He|nvu8lOglO~XeiRtkyZZf~S#5L5Mab`gTX#bkzn zGDRwvOXxvusB<2YGq3Fq!}kTgO_GG)^z_8a2e!i1nerbaLmzLBUkPj~1J92WY}SKv zo0J_KXwJvieOd2dq_f=N9DcNlYrLU_`a%EH^4LaDNhb_|(;Qh;0}IH0F4op$>6=Pj zLvt@(xrhySC!@p>IK|Iob4LJC@mItA$UgLGEjSEe^d7tsa{lO?S`dM7zy>Fjf~^{O zFhiF$$`skH(Y7RinHB45eS=WF_jJvi&qxC2cee*NEW48oeAMG}@x;meC<>HvU>X$C z6l@|D9MmiXj7P1xA}5lW`XMzxCksWc;@ty?{}vTBP$l3>u7NR0Xb7zCpW;cy_F$kS z8dG&vvMmYEJW~)9!C3bRZSfaBRCv-TUJS?OL_=_2lzxx+X*1_k4;#TfkHID7(a?qM zQW(lGMS7x03lpA21yFp6CCuJCV2bXS^@nDlSxWn2XhZ@i5(;T>B4Mfoc1ufu5FDUx z=t3cG8QliBuPq7*#;l0(L;X3b{}sD+slUW_&Ndli24Syqc^AdN0+pJpLxwT}+U#ac zp*=viD6dUWiGx8ZQLw4`(}Q3$?hX{Rgy)g8Vi%rfo&<9iXXta>R>d1nU$NkM6wsVCL zJgiG(riOSDMI~w0kgu4_I%%Dwa}-?5!a^&?l0Z;B7>8Ce#N^;V0RqFJ&W<~ibgypd znmbVw6K1k>Auh@0Otl&cVTG8d$ciFt3NtV#3f5z3f%wI&Gryi*7{3+*VWh@&F;iz%B2s@On3zX;Xo^J35*{^^b zyOaQ8?skQLLZ}c1N={Hletef)oRsOsV*^H<`giC*e*p$5OJW=iY1%?jcOM`XVfpd=&ZqV(D0APrU}|+ zVtxf2-B^CMs!4SZDu_60A#uxzcuDT}A1Ihd{07V$YI(;`grH0<@A!%Z2i^^bI(XNa z#FJ+-!|G`dn>&8?e)S6TQb6qVeaS;DNk>SUBxDut3RkuoMg@paJCvMSR6J|AXCVU} zWVD{)BW@tlxXIvvoK7s=IlQE}Ug*GVS!&FdN;7P7j+SJg*njR%axN$bfv+OG#~gq0KlJ?J2XWO^DXbZ4 z=c@WPt%@^C3L##$+CQvoQ~rZ$>9ISEL%cqcf_J%yGQAvp=js?pUT}TOEYs5J)FOpJWU~pfxx)x+#lt)XtmS}YWKTH9YB}!2u z-O;?Nt$r8 z7y_0s{v|`5;49FtUn7VEdeOX0Zb(B05WC?EPizj+iC zDJ%^YBRY%@3KS0u7dyh}l(1=IJlniPt>PZ1Mk(0u3%SG*jy-7jr_eO)1XzR9V4Nbz zeJwqqMt$|q;fuxW{>#{Hkrx2&O&(utzB8%AL;W~nDc;!i}o&CGm zP!B4MS_CLXZIoN_7SafOG(2G-vmO*;qR!z>RhmPdA@{{AfbkK+0%Y@t^}W&- z2|d9NDBVH;e&Rp4%RHi|OGvUj8R+ubvnZta-eBj{y3_Q`sJ5tCi7W{Hs!E7SJEZGT zgACOxgRQ9<9wBBmL|+ndDrwk375|ka39P~Gt=PHHXYc~o{Y+UD8K#WN;U-pXAc-(l zUl4D#C=?fG+BB-z>7v=sG+-lr&I^VFQ%0jdlS_VMmfu&zE!?+NxvLSK?mjQMc>bnu zf~DVpwz8EM6h?>dZU)19F}4!f)~HG1$7K$V&V)FzY|{=H;;!;Q2Yv=q6m}R@w?Pw) z)6g;rigMOMfnnxgEED9L$;lJZTxD-D1f z&T9Ep^F{eJ@Qpo;F7n44v0Z(Ar*2F$u*_26&xmW=uC?PM zl930(x?Gkjys(~CtzL`CaX87>-2Yw&e2~h%JFxD1%~hRcD@4R3`GCowu8lEzO|}jL zN(e5~N(u%*o7~bqZ^V{n7-w90ygo1`eRlb3+(=3%4I)(7Hq|E3c2x+Qr-i&>Dp^V? zWEBS3=mcRlsDWKbd^2KjRan9eT9crTM|dL>OOPRbf!YQyh=7Q^1PTK952)tIfM057 zST2Ox4LwaLT;Tr=YSkI*5Uk!Te!A%JELw%`Fv+_FNH01tNf!X2qaFK*Ze8K>LLI(f zU95yPnOm}~9Ec=L(ah^y&15kX8yKot&r_Hz@dX+hS_-3ADie`YC!A6BP5ZD_L6S8Z zgdkAIP(Gua28wout$9X6^7*{}@(_l4So}_@n$x?_{`#N){mbODKm6kxHslLRkb9v< z^A;x)ok0hM-V`|q4j6}*9DZU929Uu@L`cFiD4{4s$N!C#pyFz`7E3k$JC6yd>JN7S zYhaUo}hNFERa z`*vj(nu%RVjV@0LS||xFRe)bTLX09?mNpR?ny|bXQApPcu;iG7s$+lu+t1(sFP2MT z`LRNuQnOzmP1lmEZeO#iP&<(Ik`1KgxQJAZ>!N}_&%_C`lp`&7;8-`3&*#Mc1ama6o;}O!#rKs><#IrDHfPLHN>gs z!}0VWh*6S2tP&y#mN*jw+8t}!2_1MJovILz4n?#ziELVoCXrr(c$7l7(z3;OUs~w8 zeE7zUxNZ=tsS>I=E*r%iYBY)i2|NN94*){FEdp30u4sw^F9`-Gr6EnM$WDu{xJDn} zM%!(IyQtC#UB*cl5){D=%cVfMeSdkr`EuRH4Af&n_bR_P7>j~~2YXPZ_FdI6px2`X z3a`I(V%vFBep6_MS7Sv0H9NMzN78-l-x>_cmojHZJNYLzl0en)Ti3fuy9%LD4Na+h zkckp1JJV2EIg!);`G{K6mZ6I{PU`Y%6!-`WqIiG8nj`6)f1JSn+dBLdb?27SKga+9 z;hiBd=MK_u7M2I~A%=qrr$*Sbf9D{wEe?kzFwK-RyS{~Dhww{DT2p~wMwc`QaQO3( zIgn^!JEXrSmjKW=Mk%#r0^56o9Zc^|<7F0^DbWklQpMm(=oLdpQC$&IAgZf;)ce-$ zRtT^C%MNG@--y~@DA39r)|5Z99RBh`1D(L_sM|K?Z3$M8@MaAM)f0BBLNnRFO|t@n zA>=<$k4j2FJ)&ocvp2(CTiLN0F_I*?HtT6+m4gmmci+ZV+!{vXgn%-3sN5MH5yS*- zX_SNKj%tIq1l5WVAt9AwSl-sI>9HxkVOQcLh?$NT4oqNdpa4>;=AdV{#}+EAw!^X> zqJEp4%+G1x7AKV;`E3r^a{0bf;0P>HwauzsuicRF7g=mc-lvp+U_P}my7cS0gHHLX zNwVz3dxVDwJ7&SfgnXlO^dSA?4M8pin3@5<*Uo#gBtZ-($5qpXh9*~I{?+c=aYMzu zhUOAMDuirX^%-e9(V-K=IsB+<01hkYL%Ms^Ynv~G&;wMUarN3e&!DD_t(4=5VJubJv z#RsHy%ab*ux>`pdN_8r7#AywOI%n3Iq$kI8ILJR&9VykN?A81#`&Zo&m><@1cJ+ez z;!R{GMmdGobqIN8~7gQ%StC&9BdEm$hl-Y&V@*P1#e`IBB9ViiCpD?Yy`$7R4mHn6qDHIaEq$CVSq7G zUXLqeB!z*%0m3QYyf)tp-n;`@1dm-~9n(fsT0OrmCV!k9yoh1&GeBOEu&GtMse| zsWK!6&@iY{nt~mR*M9+12>y35pM3ViXF{lgdR8?Yg~Ff#s)SjZER=LTED;oL_TFVa z=q@M$$DOLI55**yVv3*MAYTU}7^dN*5LZBZK+IDm177|4@{*y+4X1D7oS_QI8d1$p zBoF)-weZmv;J7hguUZv^MjX~`5NUCeGsID<0RR)-YR-IUS`qjyG3{7CoCL0&Q3ck} zctV$&-E5Nu&i&)s(j5^#a(e6OA{Vtr+6;nzX?0=4zh$Z1QoQ9s)8T0Eh(pXI6j533B@>oIld}SpP+@2^HR{Qef?@fm{D9qmMP(-Bs&ZVFSuxb15b=vRQI_y1olfnJ{3lc#PN$Lp8OTeuW(1f6_sFcFs46Zpjncc zTvl|hZJrGx97J+`$<2SNaSp>XNiG-=%T{(0fqi#E)x2BKTtq>%O6XO~ETj?;>JA_y zkADU`Yo2%Rq@AIc5gfs>NkeuK$qImX&rw~EXE4(FvEdy3IRb{lqjvJhd}}bK=jo!a zSKP9;a$-+H$8A;e$n4_QkFT8s&31-9vOz7ZD{tYj{Z-O5v_{BGS$b`?28Miy<_`br0cWD8CHnUS$6tvg7J$h~61cf72Z^=(p$}aFv zF_5jl2ueb(siNiD{GG>H$f(|t-%vicnlC6a&~{8+LD7G{aApcakOfg5U#;0n2}F10 zf@?}o75)PKj19kNY+dAlzR$euJ^NRXeTX&1WPv8Qi+qMm-t6TXw}y&>yNA_dF3;Yd zpo_w-oo>O;nAL}dxEkAjsoi)CRKbPZN|Ml)PxTWju2_Lum?lAdh83Vlkthb^hTHja z_8=mG(;)*))t+Y=`d&fpQVo~WU)Md;3BL}>N=0t^HL9N@<>muiRR4K*kwNcGW{apb z5Kv}$vbeM}F^dos^Pb>S)ET6mDr{pLh|;G>gs`lJc2rL0?tnT)cla0oH<><5B&77^ z_z0i;@nLnWi=5O$9db>%y_v+{p+I+c+Mm&7;qG*Y@Fa+%?@JeT<#274rJzw^W9`rD zETd+f?S}=ju%>K1qTyH!hI7Q>j|}+rb%*6RlhMXQd#E05v}mgpP#<}_CFC6 zvf6?z$bW(=QiOlLpF}8mTUBRnDr^Ndn$%~Lag{ZwkZYFd&WN2QWJ<(WitMt2Du`7g!Y;#}YB30Gzul%% zNC`Nr2_1MCovOgVVb!e+M%Q1?TnM)+|6A6t@n5zfhMA>_6QIhHX zY+Y{jDSA+*EL*2AhzT7f47`*neZmyXsl>md&U5N8@0biTu$(qLHxbEvnYlNNA_mX1 z=6M6p&9G{W3TbBP;1xk~uB_u^{MQxl4`2BDaKHJ|4E9qq^@yFBEdGA?Vm1sbLBGn? z;8-~#0lC7)>9p0rulFKoxk=XJfL+j`nO4NgyaU&h&Gd`cI0i*KUC?cSR1_W1f;gl9Iu6hn9Dd=R~CK-1^W^3#Zkl9>_oT;vG za{?^55Q)y=l||sKl;o+jFz`)Sss18WFhu{2L8oWEDVdrzyyNEZd$@d*@VpZ-_n zL_r6=_8%^pCeY2p^nkE3C=3Lq)=Uc`YNn5NRJNgJAb`SBLSaLMGys}X0IZC)8Gx3o zSIY}=^6`TI2{GWNu^LQipSu-YReA`2q4@TAC@(>!6Zig zyJHHQ)nOX}zNsU~$EN59Tm(bK3(``dDaNe$v$-&q));^b&<*xcapsDN_KbFC3Dy%j z>*4RyKk?6*{5eNYJzItZHVHF8TA(X%i(Dj`1xqew9+lKD3nvB&fxQA7`3Hnxf}EhN zux?X$OY3wtE@ZIPogx5M0S_x4v-0e8axqVl28l2r)bA`h)cz*L6`x@QG9&7I5iXNj zyHxpRHjRT_@?Z({=G=s-U}xPH5QdO#l)ERXR>Epd_y-W*9tXnt zDzU4I$IzEEbUFYa@}Vy-pg6Y>HA4(c|8;QVWIO&RgvHg3`g$si=oevK2sUAUaLe^mj(McLsUevLA=~*i>l_C2L zw#+RygWB~kN8O0(*TfMcWUcmwFiahp=UhGZg)D_do6iyH1nsE4vy1@%!`%kR_Ek3z zy5vxnU}(?Gy6J`2DD~DdLjV!18WunhD&?jz?M=}$sihGY1A1@DC!GbD0eko14mq@< zbUJM6#pkTQ&fEg02*-;~$z~n#OGnZ_*^1z{gi}a*^6+i-uGafP1I4h*m+ zQzPa;4~PvPfH--vEyiNGU{oSiiebUDvN9w$S-aJT@5`aO?PPl4q(_3FXQYv#?(&kQ zMui(yJ0p5U;kqf}u}4=?{v+5}#$2zo@TwFX_FEaeA$L;a%6h+lf(Ic=7FfE~$Rznd z5ysU_ev9D6^XkDEnK16klq>O9X8@=lV{Xc(t(kDky+Qps_YsdLgv^(-9VoROp!6{+ zg!DqVfd0QY(gt)7hwJI1CRNal8jIl&Gh;vo2ytqz9#ZaVME zZkuit_v}Uy5{U>qM6#8rgvEc$&@?-Lf{L;?*ixKGh0vZn>(aBw&$QzF44@wyGS$5*m!dTd~%{LEYftnBnjTYJqYT zZjVEa##e?B!}*EI3b%|WM(qHc+4NVkc>J@al@g$lPbkQJ^Sndr+ZjCCI)VuJ3&r{84R5sEtl}`h&|TAjq#zw>rP^|p!gaUIrMKJL;-aw#f&l(JAURytfc!(M z0w0e1=feq6V+ngCU=YDANr&rbUTGuu!+Qh2^xc_q-kuiKit{n$VAKmbLAjS3S@w~$UKrlS_Hu9lGb1q09PUaQgcegvmH`m#Uv0c$_*;n zP-kpIWkVKSN*}X@4yNcw2@F9$LUf;(-p{ohU6L5Zwrbjjc_c-UxJrb*FmWK>@zMQrNd;-p0+>6dT}} z8JC2#%uR7Tz8(g#+3?B2qodk10EYkt!%dN6&7EsfN=r4xqbpWZVzh^jy{sK8nx}eB zzG(M)ze4h<6-V1uJwaa1`fEJn=zd#NT*YlJ#^QF1 zK7(QkWb_P{topE1#Pru7tqL9`vpmwSO?jZDIrF-Ng=t0sSTo~k-+0V8B9wz$89vV& ztwx)JgRr{4f0nMV!~yR}#jH#df@YPEe@1(;%EB|mgvxQb;rVb}omdg5iq!y7xSDS1 z4xn~@gR~@r@3RM*aH?u(zqMy}5_=$TKyd1nANgX^9m!ThzFF95t zKh|S4zhiN#Xsr*fM_S%#9aZSmrR5#`y`6;C5bjtpnMo*fXgt#(#%C!Qc@GHV!;I?UC4MfJkm)7m<&RcUj(&+KLXuz^IP% z{+f+!oR)+X1Ru{%B8R(DkdErn8-UHHZUu)KF;^j;o6t=@$rAylg8^62#jvHRbfDoR zh@mQCB52S8eOL^)9zm8M@-gKoz@X}&_|x6Pb}=8E-PfoyS!?j+ob+@#LoiEhck5XO zC&WWKM=vENX`}2tb-W#p>E|WAdNmKn0fLUCN2TH9{K7*5Z+Q|h_kxGeF;0Z`3pRzW zXXBKMZo;fDX4kZFItofvm&VNPaB_aWIVE~VEXYH8MD^D2f05*)$dP?*dSEg~_8;et z&#Fmlkdf?ykq_&S65&?QPu}l0;QnS^nq3Uf1(#bO&gj0xtw``0?%KSla^37HirJpK znVvPmvOsQ%{J1tHxl<{>0@iN`<8_mGrhwAZ^h7snXC)S;I6RIQgfT62< zLV$Dn>w6<`<8=lUv*mE`M<9=RciU09S&d<^GU{S`2l*s_WFixSu}y%TMQJI7Lw`h! zwx#e1xUW$y_wK`L1IZTEYf(*J_?jv_L;#bEYNIHG-~_T`Qxu9aDe)%c$f(T*93yH| zG(9t%LV0f-o76 zp?CPJ%Kufwh^85Y+(}ucPCy_ftlgZ$Ib5e0zwtF8$*4x6S!>x~Jo`<9@kVOJK)?cC zJ$)NChy_GzTI;!4$67*ksi70=AD_|@oZpzNJIVm?pj<}$$O>ar8Xbk|qCZ%_X!j$d z3SH?KDLVjy+9wVd&2xc@xcK@YoN-aMAY~n!Cf~rT;3rL9Il4#PCq-^9mnPh0$c#Z8 z8PP|=&tL-igV`fU1KuB0RvkX~T@BunHDwRUt+!DI-WN&zqWCif;$vA!QddB#Heb1< z7HZ3sP=^&{Kkb`!u~6~?&|-`&`fwwk601Tyn0Zu5uetTqTw!4SJ{SL8b zPv{Bp|5<<<^};`;zav0!9PZTc?G46)nZgzUUVw!x`=R~;{*?8Gi2y?s?$6@|XhN{b zi;Zm?@ZMTcw1p@dTQ;tO)LIl!I2@I`c6M6FYIrJ`(!Cs2-3tuY1aTKKkjOt1eHp3D za^~05OPs&6g4ziLJ-5RPhI15M1DISE5es+XCH)EdvJ-;hYG-g%Tw8y*L$O9C>cu4k z7Oma{8n-gmwnPUf6CN#Cl)YZHP9BuH93q+q9Cy3Ja;Jy~eF6|ZKi!<6BIRZ{OhCo8 zSdD!cZR5bq>CQzT$o^3!3E#?{0;01<{Dl)VFtqY5rZTWtMR&;eKpYrOprOYtO|>=o za_O-XqS8s#$hPFOWidMFhJhz&RPeOvX3oMdkpl-{)H2zoc^Lg3j>{<%q0seRWdW1< z8PQ=N5Df*U(okS=5p>P$3{oS@z5bODKF8q3^e|0f~T-KYSme z>nbxcH5sxC<78wbNvFR(tRYWFt&e6zr*q$pQ6Y*FcgOTK0apYHN;rw_tkuDbSz~>o z*`rcC#jLS2M+h}=c4a=GAm#G|Jk!*XoGPSLevUACRt{UPipDMhZS`hbdI@qi6q=oi zsU_G$u7XPRhdWeaWFimT3t0d+H}AgveDdw&4}X|EBNN7KQ5r=N1&z~vjLazaVq&9s z;T|nS$N&$4Xqwe0Y*jZq&IFy+5nMD!yJJ_`Q2K&8&&u1o?Ymz;pPbL%{PN3B-~aXR zzkE8M-@W_h2dT=55p3EQ@0G7M`xW}I#mV-EJ109bQBOAL8LZ(%nU@u6&am>mp#n3$f1Ij%1&QJ)|)sQf+Bs?%1_xJcTSwfwyt-FINecf@rHN|U|rlO9}fIMt1 zb8VJVL@zgzk`Q#tu)q^an%3T|N?xLQ`cYUS!do-tEQ;=v%;516KhORw{eZ!>i0uqk zmL3e?9O+m@?X>8`${3ypoNIL7lb_;d6mvx#Ep^4(feu^^rtpg$Yn#!oYjwuUrrZh^ zRWd!SW<|K#y>3BBMLoP4V|J6}Xji)29r;!4#4oazioHRwl$LYU3%Q2wzC-q3zQ-k+NQ zQIoLBl3jtCX*X`8nn%*USXm*_nGtC)&=`^0@nQ+&YIDFV0FJs3su1}~L95`ScwFB) zh9)5f8!5wrFYO*s8&;Y?^`ATb4M*i;aou8mka8mjwy;+HO$wP>tK*?g)1nbrHuS-! zWCC`p&wU~7Te6JS)`c)mRDqcO%G&nr7#M_%(KkQ%*b_99&ciokZ7QLsV<(EPN9sd`Y&1>&Sa zq874(NF~&5PC5?GA})2&M6PiP*(gRgyAAkBbcf|G2(Kn~6vD6~d(Ft}pc=7y^{9M_ z2Wwt6NHVPN&pe>sQ(x6!Orb;UE%THsJ4XR$qLuJ_sT=9Exp}HI89<0#p(L9U6^IYJ zmnRWI&8An%C)u$MDRu}w!=Vmi>P+HRET1Cr9^S+MPuZJx$90|Og8nI3xnHR3E4wBP z{LopeP$&?H00J%mB$Y3<6q#|C+g3TI;}8sU+Itc|3I{Ri8hxLx%1AlR1u z5~Ur+iWr)S#RS`~EnSQQv;v9Z%k$qQ{-|rR&WP%F(!{f!si~1_r`lE8ZdQg7RhE(< z#=t?yU+L%MF!G9!pZ0`2lyr19k7M(po0dV_wIYs+t-0A30^9}dpuAyFve?_}duT0b zF(@&)cy8xWoaLxU4X>6$UQXT&AIAzOgIXXd1|q9&!9UTy4Vv9EDo28XbO1FsP4B3Z zDk?daptNG{ywP z?Y0nQS@%lMPT4{Zfz#Vh-ZEDIX4|-$HG{P)UhnbhKJwie6^qwJNJs2LLar*&vBB2u zj-{YrjDKQ(0XwlJ>Jb6k2g5qCie;55AR_budwksRrw^CLB;IO4Xe_4d@#z(Wak<^7 zpV@?jgAzh}0dJZqy~$vT>Mm4m*N@;5rYW3FDh~9hFzAT6_(&Q&`yDN1&blC4>c9|R zJL~SPuU;w<*Cs@kPVP+jm;dT!)We|XVyX|c?%f7(Z7)+U7&!?2E zxfPcRA;wlxK>c_q1T+%}vzY}Ib~0-}83$mHkVZ5gwAIlrD=Q=Dy=UpHkvFlsK^;xU z$^0KgN>i*KkzY>+RoHfxz+Likd)32M@Kz)e^p;ZsnWXOPk0ga*mzhoxf@--<5u30? zlY+BV3Wy!z5nB}WKz zC`4pL5-~`%g!A-q`)D9D>G*8&FQXdFbgo|noQxSbn39P? zM~zR7F>xmFEKg1UVpyHd$KV(r>hxlxDmb6OKDQEvg`zT_CnX3YFN4XR5qJf!HVIhV`x*637@1KWv+PsdNUy$+}7l^k)xmO|oU*TE=?2VFlBNQKSZ z4gCM;n6v+6rvG)r{!?*Zq5_3oI?WDhq51fNQb-1U!l7b@Dp74T zY;dBHphjLjgu!Lz^PUbgcij!^vXAiaZ4Y3eZm=*(D%CEwg~Q1@qE5oA-GDZUyKb)) zEx;R!?!nkNYdbU;%0SoHxT`XfZx##~Hr_4kb3te8m1rtG|AdSQ3t$_zQ#IbxNE`%j zr2D1L!AZEq+ZhOy4;HRz&}h2UJ@mzC*#dCE-8aNT!Va=Pae9nXS|)c~zCBrj^fXcm zrDQ%h)iM|VAbkl>f``N;$W~_rFPBT=5{+vX29vdOFn8p3ff7YRp_jKC97!spX*{{O zqfWxhS_*Rg^@9e?t|Yjw0rF)vV(PkA&{=2)*B?C4DAKbykNVz=6NG$K(y|)VnbXAL zMO_eoZHg^t0s`CP75ZIOcsVn}exBfLEsi5QxQc(XaK+;W#Ch~IKy*4L2$e=!cld$b zODe{6AQzNEp0uHshhn|$uzdxR`19on#PDDPmBlw%0IDMfLoyMxDGH3{BMDwX4$E!0%{^6 z9f`|8%?sivUR5+sjB?uRVxi;lc=zmE^o{z$Qt!ly;>4&*QON4i@JNTV3 zg~OEzyD{q?yFqFjGmJi>B=P%ew4kJzT4$jSM+eIu&$CsN00l^*!r8;YOs&=e1OqZe z!jp-AdQlo^1##|~W+sE6d-8lMs||!-QAmY2tWHW#>6+O92ibNrbU|0sr%cJ`2?Y8e zgERH2nMOI=^FZV2ukb zyKQYZARvarI);tm@uclG8iyVE$m~!oXEZ^p;ecxstb?f&f!Jj1lE|2MD!Ln%Z?iJs zhnDO+cwD~R4(h9}WiCjUt;Q&jd@2nu&xQgt9>?3KztQ^})qER3G$tWmCZx%=JC{T3Yb6JlMitGcZy&-pvq z36xQRP;S1DA>B_DVEfisgryQS5z%;wwWVlXFC~aIp`4PqC-6>@XQ+5HIlfDlg#?{U zmNh$LK>p|F@?=UFBTUHL<lbTf>I6sdXRU>Qo0zF2yyvvkIV3Pn`PJ3$X=8Li5X z@0b?O5&KHwpX@o*mme5R=#||iAzZ%WK69HzU|(M>7``Z2s13G)`Lqgvk4n>0$ORfC z8s(z2i=5h9a~mb;x<;gBlgb&EuqKx?xcLg<+UyO7Du8ef1WS%foS9|FB@0m1V(bJ} zXe&vMJBDZ8T7S6MXJAD9FIQs zb#@VRhPc-P=&STS7Ep5Km(cdbg;0XQ=-1J#jR3~*n4P)tqOq9(T?ERg+s_k?jeB%6 zFswgIC{SL!tK;It+&IRGyF@aAW&~&&(_Nev`y`~82#jo=w(8Jj^AppD4E%zGIHlDVe(n2_@mxB)iQ{HzM+&6Xnh_3e8Gu`r^hf_cNF!zUPousy~P@%E=V zh3PM5XshZs-&!$B6jX5br~ZoZv?$fl%Ea_5PmR;z|nT}sxpWztTm+3Y9Pd~T3GdAzOXfU zgQ0_LOap~UOGy0yZ4$WSJD9JRhyz4Al>eRk9Ssh4Je+2Gc4#W#2)q3Kzy{n+u(bsj zHnZd-?I+N+FCz7BM{-oMtss30x-2gi{|hx{Cx#OIBxpgKrX46A@d`7uh6isq9`ML` z9nuhYn`VRA{DR3T!zp_G1Ot=BE}%1@U(nrt|5)Tos}}*8aMd&rTb@F8g;K9Dr7h2{ zyyk6@cF|ssQt<72X#5m+R}^<4(t3TYjV!FdJ=DkIc&5*ipBbZYGw=EJ8r=`xa`%FV z@Q%sH51*QoaoUW8&tZBeLdVfKeVvZ$T(~ZYK}5^v1ETUX+^-)p_;Cg3G;9Mf6tiLq zYy+fYZq>fsG8rI2eljwE_vsw2&*Eu}W;VKGmIq2owey-46F0J2{^)!HPs^nNu0xB2 z0ujf@OUatQ!Xh1LeX0S{H7=G(I@;j8dAusfS{?9sf*o_=JEy-{v3P0Sp{v))=F{OR zV5Fm>aAsxiR#O8P>Q@e50o6d404<^o#TjVjx%?J#rCH!YV^c64Nnw$&rjvCb0%4p+ zXze%nw@JUnR>81`BYLAdcMQ&nO8|~qjw8GMG_L4!2i+VyO2Tn*aPK4NtAFh04&F+W zk*iV-%mpzb$bkeP;#Jn?416u+Fe|@|z(*iJ6$Ax7pLb|ln@CpHURm*MmMy4fFo0JU zQ*a%Qlp&~U+VX1f9=_kH%LSKN@UFz&njO%TM-O-Pv(K8^P+~+0P)#R05XsGH_7^-( z4+XnJkj4OIQ3zs>PNfHwZ;IE#s*l>#S0@67gI_rDw^vcynAOQ zs5is%6bhHBya?N4JrG~-e$i)NrS*$*`vc zA+9pQg$^^nAHUf}@JoLz+s21#J={n$X6xurccX?d4r~-eYjAQ&cE)X@fsT`{np=zy9#oFF*XqDlJ11@2OR1w@8ucLNxEh!@zW=$T)E2qEFOKBrDi6V-V3pOmI(sWive_lqE z0bf%;wsT=T8_B^XO0YV9Ft`%Xtj)o*TJ%a7KksyKcokR^(sTPWv$SohhU9md4B*H# z<+;B;)-&(ZyMZdm)jzyt+&Rx zzNZYxZX2Q}Q{JA=ZQ?|QIPwYd4+trq3?KqefX31A$gOeISc;Lq)h

    ZV8V z%4o=z*)zh^nY40y9;Z0{mCmrpt|Dq3`BeOcxai|S4x9xepz-fzs+PmifqFTf$2ANI zIc4?T%i$7UycE@I*sCfkX__64bZob&vAsORN+WUb2=Uj(%iygNabjnL*ne2=krVrX z!f2^m8HJmfr4tMU+pd>Uost3%RPvw*lYth^CO@q5oY+tkk}@=|9VGMSB%~JB=jyJUQ(S&?+#PmNSkJ^U<2W?$PbDQi zwPh1TUE4>E;|>?G?iBnWPe7jTYVln$t?v5zoVEB+X9N{g7T1tMJwA_|m+j>}M-;^v zX9i-sgJHpYWy2tncB^E}mZ%1)HcSalRj&DY5akb)>Rffkh6q@BPq5C_r_(&Q^9jMb z4^Uh#@1IIk#b`7(HTMSXEC#Vo*>Ake{%^ZTOIt3B;dD%U-bG<%IBdFOg8@Fg+&$D; z--f9+RP}QJ0h#_vdpI87?>{n$7tJk0S)^676=QHkrC?bDYPUr_Vos|I^=6kXv3by{ZI5bF=8nuze4WHz|6^C1ub+ z-cWwKS~A|{+`}$ z)q8(= z7*$tMK6j&qD)});ku?#DWL-q#^=?_0dRJt&Fdk{()%SzzH{Z0xHazgSGTD8r@*?wK;X#acfyex3Ldxb_D_h6BR1LB z)3e-&AKloD0MgIm=SXfl()N~ZzT)OWsvFcko3?BPQ62tH`zrR$@LU_prQ%iMc@g`< ztR(s(QOf2bW08{5LCcmkt^&25fW;JpHp3!|OsQ&>uEHKpGje?$J6v0euqMGyhPA7T z&VhyifC_si5c5ZAU+PT}`IgR{T37$r_1J$VxA3|bOG6K|yk#p2umy+~Lrln$^+^}8omXSBEaXQRD`GsE5X z5iLb6cLh`(+R*di0U>R2RAXN!OA8$|`l=k2eTgMtU_>)AJgt6?A6HwU6W4Zle#u@6 zfN|NH#*_GlWNlFc6Y(FmkHrih$~&H6Q`7?6%J4H2+xvcERw&68Ln5zgy)7W3n^9x= z%hR`j{7d~6o-+GtGh#mpUhQ7r2bL{_7)NHC$-t@wl1eICS}9mt4#rSWn}?lpT8}l- zt=znxGY`w72|_A)Vm&=(eJSA-$hc8PUq_Q^ZIx%IRO_g+ngKkbp@z^rqoQRADI7Me z|6LlROc0}5Qs?uS)C;%d)3e3zgs{?+*&wpymBHPN7pWc)1cuR5od=F`IwnvBo}~D~ zq80!&$b$F;VZ0mE4<4TnSGVY_=@l=k|H+ycNykwgpvB)DxjdTm+kdJPj`cB`{kx{5 ztaV+k*vy|*-w#?#d*=BqiU=i!jRps_VK~jOi>$+x<2<>h*9T5*qIVV9!+N13a-RWp zcp|Z ziPWUt5-+KV<<&J$2Y1$v+hrYZACI?JpWfUa{`4b!Yfy^b{E9Sj0MVDP-sC?t`qjxl z|MSTT3ericGemzXTZjFhbWoN78M3IPo15ErHx7_Q%$~s^t!wDZw&#JI(_eA*?hRSi z#{CV#Yl`%Zd*7Gpx83u>3H3AVjDmOzoC!IK2)n{R2pkBXC+?ELG945;0RH93i#p2@ z{xY4TEsSjD(~>0mv01P`P)Ri6W0Clm(?Z{#h*wvBN^qQxv)(qII z`V(I#lubqk=Qy3?Cuw+S&iy>jtHUhot>@Unicp1UTE@Bv5fW8WnO|5Xcy0XYBQpoZ ztn8l_wy~7lEK(JyO*5@-)gsRshnA|^xm~m&UPnC0v}8>)f?J1&qYD?~)m3#i-*vq= zRH=n_^^i%LAp0jbTT9}-BL~1JU(Td))}D>COtoEHWK!sA7^$QhHuU^|1Bh1alE69x z8DE||XRxvUs__wPr)FTtYomeB!#M(Btr;aIPBy2yop1!8t5RuF!6T^$1`s%z;sA&X zMKlsrzU=|U@Spd)B15B$U|>2ela^+52xrf zs1*Tl*5FkikVly~*gYTYzn@{-H-n*A6=k`w;`WdGmAf35{u}UQH+L2|6xVQgVo~dd z>>R2lkqpe5DFs^^tS87U7FW%Q%qDS2In2?)ZPw>n=GmPF%+{&}3HrlGy}(U71oQr* zA}s=PO-ym7@$?OPhYCicz~OXQXQ{ghcJBpj%qrz9pK6AC?6cXT;$T-NYjfLA63(m+ z6%lGU6xTbPphblWb-7&Dg#hS~iV8RVK&whke%Ka}WQ2^!WS4k=wr{jluyxHH4xf!X zmychc-+bf9a@hm`X3!d9{>a`fRMgr_=--L#!_fhdjOQ7U0EUtD$H1~~c^5A?hk?rj zwn&o?rrr>%Kr6GEgIlDw@eFM>1CO>(^>y?7g`p0huV!*XHy!c*cnoTj@D)u3e7 z6n?#c+dh;6<*4C+7R)shsBn6AP7Md(k<7Z0%<2;uQEm{vSY%Yr1%spdPk{3v zsR_%bMpDuIK3+I4{*^g{>wj&w0Rg4k5OkhDu}XD&)YEaDagh4+FpE%Yeyh z*hl`cLUiHiX>6z+(`JlY!R$Z_gosdyM4E0i3|E5@V}1t2B%PhJO!76HWw_o!Qhsd) zH3puRq7XXz$M!wbfH$vbzzdZU3sz14oHd#~S0_D(VYdC=Vklp4mW;L|xv*km$Ymo~ zyVO}fY*D!RfyLGt?UG>dt=gXQxTE}Q zZ8{F`>C@Hr=HagBJ%y!qGX_U6Ki5u!N92l);)w~OO-y#810r;_zb>@S$TkaUz_07w z;87175Rt(G-AK@z56_HbqzM7Nt_&uynq>fV2U3iqHlQyoK6#=ns|go#69&gKJGFw9 zrZTdbLN8=p3#6A65wve2J~-*aWb0^Omu9b?&@K)d?2bOC!k=h4tN-)(^%}OvZ!nX2 z`;WUPCJVbCfxtx>^7yAam?1=L(4N_J=hg9FP()BpN?mZVA9=c1Q&TL>N8SL+lq!JI zEeh6jJfPp&tT>O1itg_J+8+@uqqvIUP*A;@ z-|EgKYZ4~6cOSRkKJk4?7A9jx7&1uwc>BPx`<&kn*ko|hutlj*Fpj&rhC=)@(GOlY z$rS;;?!$U*rZ$q|e#ux``%h_v@P#Ehj2gJG)NUP+f`750r940L%M{(4Xd4w4pn{c7o<$C3xtSB|tldFK&S~8Uh-C0> zGQx{###w8CDM~G5Lq>UNI|VC}45Ex%xUh7Ml^jH-*dH%V>=&vo67OP?XI6B|jyej3 zT)t)C$yyPt%d!34;3ZHfS4A=GfWj{vM%m|TQ6ggCmOD!}L!oW3FA@qmjt}8Ih6-4u zQuCI;*q#)2FgC!!(JUTg6?+j87Qm|mkT6A`=6Ue=$*At65rhs1A2$~V3CoNNq(b(& zDR$ktfow3I|LBn5m6=*3i!&nS=!yB{AopyF)IFl;9Uy`Fw8JJlfM$NkZLq;qwl6Tm z{p;PME_=Of`04W}FKCQxjel+1CN@KH#8e!3CS+!1g0?{DCRTWYLJ!zbjnH(6*>jv& zEfZmhGF-si9pDL5*MR8k7+;*A3Iiio(Uoj`9BRVPwVNV*?FQl_)6rl8cz`rGC_(Jj zoVwD&h7NcU@#Whac1|VnWSM!NyCS*UD9moNL2%S8AQ>CRcLhlkm-~(`_o;<>b&Bc3 z$8oOHL7kEayNJmOkj+TNIFcTafdxzJLM*b>mUnsoK(9|x&{&vn2S8NIooowlq8kOg zmLoMUboWJDN{Yg>BupCLiOB(<)j;fYIIPV+B8>gbqo-n!T>-6`iorwh=m`jkQypT@ zF3lfdYlOM`7Ag~xaN~cFwpEfqB4)B!O%yr6a+=VlD~<{%Q~Ddb2pm zirI{YjhYzzua&T(LN?2jGdN`{Dw>Gxy~>1zZ=oW*d;bE4cYy5vr#FB5?*IH>fBS*K zV>o>c92r=|MlioW@h7+&SiFuW|NMVo7Jl$mnem3dE!of8<+>rT5EVoC><4<`vm2k1 zbtw9`cd%urL=lv^B%;}bfmks+mEfCzW>K$E9BGb7k&p`E2E{>idfXRWRv!hvdpHMHM8PFGjG(_YN3OqYJ2rB71j(!?QD-c zSqW98%MQXMozg6__RRAqc*S`UZlq!R--NsF`2gJd83tt#nyY)&wQiiy+(3=%;0=PC z-yy10;SNjffpUq9Ga6w+fiIOW9b&i)6NqH&AgJ*xQZeSo;`m21boNGeMK|%s{)o`* z$)k8lKcE-*#oDDO0;rmAb&0Rcvdgr0Zxwzjk!H!Fk%a*NLBDOHl;cc1?XK za6jlSRjrujI(SA0dx;U-iz_AQ7ye|;Tit1$ax)VkkDt&#p|ObuL9RyaeHH(5!wJiE z@t=D{e#ofny3BMq&givw7y!CVZy5|`mfU?~`3X>C<0PxTRXoe$%rlY$%N%9^Pjab#&{KNV8q4HXkh*C{l#le3cN3 zfUx&!_oz1&bAy8dN&>j~c`*+Bxn>uSl3r1c`Ba5uU#af8ll>*2wn!Xzm)|~apPp*6 z6^0YnMo~@L<`{gJZ?218K&h~Y2Hvgt4NXE_yv_Ke<@qQ;3577>o7YC3?wCkdHX7?z z(ZP<-P+V8+Ggnp_A3IQ!z%O9lZv36Lk8oLK^m@#Q zzlAZV1AK{oD+qx>yxRWLBZ$g+agoN3k|_*rFmxW*Swj$S=jg4*QJ{(R(J(09EPZ86 zTyNi_n)>WeApdv*33Ek`5WeRbnW ztGFq0!$scpR}zou&_L!HOyZ$?@MQ?qRR8n664UCD!E2{;?5)Q9FVS5mSUxqr0Q4a2 z)(NwAHq6YhQ*)7nre_?OopsbL0%V7vWiplgDOTfrl$%zUKgUH)f9rs*$bHmbe$N#D zUw{1JryrqqefQAi%@0c<-k4XWkp=dNVM%w#gK`H9ATVHF5Zsxsy=k z^OgO;;FXgp{>m^?aRcO&Z5ekF5ERKnEJ|$z^ZY_5SGtl8Nrf zX!jn#iherPA_V7L1DnQ<7tu^9ipkY!aHPTlDHc(Q_1hA^4F zWM$LH`WrUYxnWxknU_&uq3wXoZO|+OWUducRwr+D*&$zf95>-VI_zv$t=o*=PO}-W zPSbK=s*K%9%Y{?rou3jMq)~XPcM;Bwt9nFFf)p6Hfs|3h?cy}7FF5J#74!*TDptx9 zs?LzUJmW<`3|Yq}CebZTqLAfmT?o5Qr*>NhC2q)$7Ut->NQ&FO8-kNiZ8Z+e7ls;P zSfPK*gX?BHkaYeqIzO^mn!_0Ip0&B@zuw-xOqzuB!#d=U9fr;RN;e6%vJmy?%f1e* zul*E#$0WKY^AQ$p5;&y`6&vUEerI1&Y7D&kPY8a`Tg>Jj#Yu@a1T|`#_grBY&~L`L z-OefKWLHs%4*-+_Ub*c$_?z-u5S+$j#~}j4?#yN4{$xrBD(~Vs>Uvq7L$lqYuX{ac8~|A*3Vjl=VdiyU!7P41inbB zK=#-UBFs?0Y~}{}b4tDPx7*A91x2@SEWyy8>Ux%HZ3B@VAl&tqhI3 z>f_X?bbY?P@JYKW#ziU;uM?vVYoU zUXc!}VaEX6GAX&&M-Pa;z90v0?2G$@DE2s z*->7{MV+*bh^6`(!hm13rEMzyPNU}RHr91e2MZbuA!%YRGq0iG6eP}kBU$CE}J zxz#|0Ep+B1Y^P+_Rzt9^Em5=gY#>Kbz)uN z9FZ&o6=KZ^LalA%YwqpHF!8h6shUu=bX!kr)IhjOaNz8((ovW?OZ#nzCUCP**de;( zF0V{vx!oJSxaR~XW4%{Pwftg7XXUs~mc#lb^ge&`*bsq1L!=TI@wK}LI9lK&ye3Zw?s{$mNarUxt)lRo8cQy#WL<1F3zjG1 zx^xaRKA{Wwgv@?pCF-k2gA0p5lZ5UPp0o}4xOvr9S;eadrGzq_C}1p-o?KaoACbtI zD=!r@(DH*tz5T_ylr~x6cn~plH76uaQH8 z!nW8O>`!m_H_TCAoqT0K@nahr?HHC9fDFn)%#qbDc&!CPYf)m@2jd~<*Yq&r_WhzI`{S~iG+5qF| z^Y`B;MO%4MO)kCIG??cMyhmH7uypU!D#y5G4ofbXFT3u)^^??#os4=z^B_ zy*Bv`=f>08=ue(m;JIk=8TlmnOp?Arw9#dA?*wF`8UT&n>WUZtC@r}c7Qn)FQo0dcS?}sU?fc0d5svkQoSk>r=FN%GMX=xB zX4t?pI7*6CP1a_vf!{$XDO$Cev^P0EiTn8DVe0S4qktki>M~aslx(3k4iv(gc6I8C zp^k94zPi-w5RVu3HxKbrOx&w=XfITU*4&ZmW(N=c)+;Nw&8&DFsZz1v05(A9CP2XB zWE5lc9^joi?R3!KGLP1oeD#xl$bLYs!C-W#{D<<7Ah8iVKc1z7=4;(}Q5tzb1P|kk z2_n2=)Qs~R4tK#su!AXz#i4VXd5g7Br%7eI;%>-wrID0K%LU~#o5s`XdNIW8(UAS9 z2#gU;sjVc{OnwE)ni9B?YbXK?v)zL4C^#B%qvqVls;t=8ZkL=uS5lr2aI2qT=*6c^ zdkPDWx1%1#-OPmK1O`F=>woKaoB6Kt?h-J=Mt!+BE6(9U*v{b9>s>W~`b=EU+T@Tx z*=@WdK~!#~Z^>wblXQ&9BkP_Ij;x@wrDPp$JHg0PB%T6WQ%0MU*qkbfp)f*C|nv8fXkG)Z`>STW#Epx z31bId1|)JtqxPGi&DmqIUd&Ax9O~>;TX$iR$;eZVTbvhzyGBXPUmg!n*4t#xCqlYK zhoNqO_XV$<3?e2L`WaWH*K}oze<%yr++!*sOMCJHh82Zah_7B&buCIMlkRD+I&BJl zK%uV&EYib6D#==YuZ<1?3vb{#x_#kP7dpvef-w!{FKLG8B+aZ~prW>=XnWWtiQvJ& zTv`UD+Pe1dGxZ@wGNEErEpRS3CroA_etQI4f|K(@f{c^&v~k~j^h~l7r0LlGPYPoJ zII6dL&dgY*Z1us9w=gTS0VLeMb0cx%qjBvu>I~bpb|r?x{k7j(ngO?nIG$oZY590# zJ|QFLOZyVJ$jNYIq3lxIBl19H*QsQe`AG$GZH||voDT&<#}_UPHu3k{yW4l~;ZtW? z7MLo5^38XD{`1carhoJOPe1Z$yKmYpumy_pu4ulmS{jZ;X_%r{Pe)QGX|NLKO zFS06^Jv+u;b#CS*gj&?+Q>;;z5-an)dJv1NQe$J-bdDVdC1o#(LBQgrfzI_Zum$v? zxf8GoCm?g_)WtF#v~QRtply;HZIn!lxLmHR*30!%@no}3VI$p9`e#3hGo-*;MeG$F z((a;1aVrW35K~W!DdzeLHp4DV57Bd0iD*YM1h#~Bj?ed>V3Di#?J@EUrWy5($sik$ zi0Q9{EX~s0gm_$^tj+uFRt9%8)DC%2Pj@tElj!1&?lYj3U2h^uZ1{t{iQ?brO*Eir z$?*qc0|q*p#SIyT+9+IDS8yGnNShgh{SJu2-2@#4&UjVZgXE1wxoFUa;K#6$Q3dfZ z*}9phLe^;Qhp7R43%;(WB4u^Y2ixgq*j9>Mx=|QqOPh+0VeW#lSb7HWdu}91>0n$Z zLK2%KOg^DV6W-EOW?4vUH3k59G6fyZz=ra>YIga}qK$%@pJd2Loie{X#o^Jg{g5~1 zA9egux@BNjzB6hr*EG2{{gGS69D6e*L+8>e|G5mXav#HVTxS@h<17sZMIS;^lL?%r z<}s6w-vVu`Xr@V{(O8owEM~r408902UsSQ8&_U{AZ&#jiy}Uc5>|!`@ctG)(=RLw! zDOR9MbZqN-AX!W&Ymafd<|36TpW8spml6&IyFrlSR>Ex^S`G}z5G<C4SdJD9t}DnTM_)KJnWB+N60j++T*$4xxZqt-2A{}V;^7cmDo6g~Rmc%hp>E@HDgb+H8uoOjhQ1|K)^&hCJJiJG(T$H$I!=4dtI+_%KGxI(J zP4IlXdKrZ75&f@v@5%a$S7f=7`2FjTzbF{`*cpXBn=wJ`Z$eqc zc;)kfb>le|i9mLlVO6`vKaSBsO}9803DBF4>#$tfj!KtT@2F`zd|)$jI(hd~FNSGT z^y3MM*rDZT10JDZ1P##y+qaWRGfy*S@P3C(my@&8QX-*Alu%d;3n!?00+-lYA=`1g zwE|?Sf4Hp^E3}o#@BlYvCfZ4xe7H*bv$k*~ zh9CB(G%BmSZ;NPLUSWwLu)>z>3e$4!5{Czd*k8Hm;1TXs;$KsU7)-LoQpdq=7H;KD z3Y*#uG);PG%h8JgHnK+Ds!4_oCTbyjWDhm$u)jKKbdsi2m17r#QDRrEl{C}1)O6g} zxR-}8i@Pmi45!&aB#fudRB_zJR+tFoQhc6euT7nnQ0{fPy!~51*W#x@O<%2|(*Sr;*Zu@d3ol&9u{^ z5yb=^Rkl7b_9SWhbkG)Ba}?J@mcb?L{P-t~;~7U%>2}=&fkd2TLm5Qw z$DIkiVx{zi?T&Yd?u1~^JpiJN%RnjC9v0XFq+kR1s2vX$3K7m_aBf0hqxa8!o4WgagCj*g0O(M zefO~SD4nyKXG5XS9|<`5i#IxN0HdTx`!i!ma*YTEUojM!;b z1UDk|q7qmI&#%m~*932<%Fqpt5ymXy3e_hs(P>3*5C~UZz^#Ynbph;ePgQV524{&v z=BV+SIpB(5>k&2?w{29c_1*Bqs}ei`sRt8XvVFIzzZf>wX?46qr^DDJ%xe3G>Z}H% zc1De6!HA2#l2b1l;7q2jHy#va1yH7bK2a4|h9g4zn8RIkOH5P*wuC|HzVwc^fPWy8_^9jH0vD-BWWLxNJ{fN9l|j6nR+JkOj++KNoWOf zks@8@71(XCF{FR~b}$gt%nGh%etS=#(?P`N6H>~*BS zhz`6U|MLL(gU1PcXfk8soy5T4pox4|`K~o6&rh=3vhy{c(3CbvI%T49IY;_&EsGCy zzRKoHNoG7e0K}Pzy!LQW(|Z1as6G&XH!5UGlSxpoTqG#h1a96#p2kgskIfDRB#tK# zja%wfRT$S@!2(@s&&(WV`inmvuo_g~tdL8mJ(*zlB#t#3HCkp;hqNdtMNvPjALwwY|Dj#Ap>Ftep+V<`7mLzj zrzoTP#!DGXl)&_5QgF@sbd%mJ@BmoF3dq)1$Rs$PoURM*VtbzI4Q13=7I2eP+Wq6G zgaYG3!uoV*aQuTw4&%T8YR#Uy)~If(XBg~vW@-nO(p3>AUMe&pZ~uf!arba+ zn1X9%hpz9KJCO%d64=ZbER!jthOQrv_L87A=gu~_1u^oc)BlPq>G-+6%({GRg_VM$m0n@yO0LWq$IUc`s8tmJjip|8nFXmvxQYhoD8RS zBlg0e@WfQ-dhi{A3)l@QwE33h1(=ln^nvVJ+I{q#L*#Qe+=5Mr%u|9^_-!NxffO?- z>gBmQj8|sa2HesCAM;_3)?Zjj06T&v=$ruuG60Uo{QW>Pw^PrAYS7a2dN-V%7Elw? z!>5OdabMTQfdLihf2*}w7)d1QCjVNjK*7HI`EN>f5&761b~Gsh9m>DLM&kk6W~!N; ztxF{k)nx=tP$68a +VK;?@*4SqC7|i ztQ0E%9wl7PhC(*crb08F4%qvF+HDaOy5}mo$1hc-ff=?=fa)LH%bG1mbZ4Y{QQ0e@ z2@5Y9MY5UPtR&2N^Yt1#6m{RBnOU>&Vy?$bEo@Pw!@x0hA$kE41`FMwL4dXD3pR%; zcz;K~7>fgOYhNCuBbuh<-DZp~I3%)rQZwNCnlU@D2s$GTt&;I;CLT(sAN**@-sLD{ z(lyb{z?WULKp82HntElrrAfnVwdq;IA-p|2KW5-{KNm2NJ^Eii z9G;FU8DkOb9&~KD`26&YYP<=X6Uma}1}b^fX*c`(MJg(z!GVx6oW=_=Ev@=`Rr%lG z9Y_qq`9I&(!H`JuIbEJH)|EyKCk0~W``c<$(?Q#jk!R_f_+x*B-EHzHNMk=BC<=-{ za+xrqOVuP|l48B?9^SRSK*ze>wGwZS#}B6bFb7%ATnI~OGsYl`N*tVw432s_$IjV# zQe9j#*wGTr4|oOA=5EjlQdNSxL=7A?brnJnDuf^o>VEq#xR~MNIK0WAPOpB7^N-rM zPD8;WJ#1qtnkLxIN~4rGS`XmtR6CX_WG++mFCvX%V_;yHUEeHqxIp&R1fD>KY)ac! z-mn-QViIJenNM-Vei8&A1Ci7suY&RM1p6`BcL?86&OeWEG&lgK;WRrs5woarfs|ez zoJZ;!U1XJuWtJK5a{dazj=V-H5&a|qOu{D3D5Wr*^Zj8QO>UDforKCvp=3DCwn_d( zsITMcAnJ#3&OpUN)=ynHH`>uhho|7c-h=3$6tnTL+8r6gfsy7mY(Fy4Yf%qwl+#nsLW{rp{v{FwE2o%;Ra*KY-!C)1n zwP4K_+^P+(a!}kC3Ru|C`+?5(*Z;7|fv9tiSuT(N#hn@AXIX%vBWGKQ6{=&{S;`bi zebDru6;cpLW=8fD~)w@#R-n zSRf?I(DYEdyP*tw5x@3ewLp3sw4di!6)t3%E?BRMlH#1L-tx^BsknPuaAhHi!!LaW zWIY}}j+2@W>ZC$lmv&d_>y_k3&_%86$NQTrx`fSi0HuURli6u{d5ApM+xy$QZ{w#+ z*95vz{Q~#|Xq0N;$qs@T*yJsv1PG60u6q;^xwM#!qHL{X$^~J#JKo&f-!lj{w1de| z9LiuqwG8*_(Xj0nA}%hU+WiHfN94<;jN^CxLCsFn_rS~nueYmTGT7@BAi!8ups+#B zR)3djnRfn@VZF{(_-xM_I#cRrI}fc46%(-yD3C?!TkB!;SfU9nUJ2p1F@!+~4g&mI zX6hP}>A^xj04x0)wA3gIwEywDfBWm_wp36)i*Q20MQsP75R^8k*vvW`)`yIB{RI8h z_7U~XR(Uw$AuA>FlA1`D?t?pw3Jr$>lm@@`4c;3&vf8VRcB#01V5L)rh=r-dejh;v zeWgya24MC3l)whl3_cwenh4HQ*l-Yo4d7AOD*s5%(mWmTly;n3gjtOyqwQja{8_y7 z1Kj}tHJ(VZvzC5?-SfdW`Wg0>iq<5@I&9&qgS3wsU@KE3gLITT8;%aXKAvZ5;L1b~ zNv-LYVrnTNu%v$|ai$)sA*A^&Unx;d?g&y@aF>DPf#@R^{7pr%AfHSjA^lKWYz<-R zpSeW&%i-f#*I-a9Bgut6`3{N5{#Vfc)&Vh@reE`<94J%jSt}SBpaP9#X;Nweuu9ee zkVO1plXq#gv0BpILkSAbe(rJ@L>oqk5) z8VEqdUVdvv>}YnLT_tRx6@9FXb+MIV1E^7I#WtNJ+Ac)L9o63O;PjPr*b!*UH@7ac zAW8JVC_}^|n&{gz^DI3!_-9?(ryUqjhB<2|h(u5OE*h{;?vw_c&BeXHfZ=lxTEWto(a%>E*J?UEB>PVC z68k=qYF`s3ca-NyQ+;4)JHe;|&rw!f5JMRqtU*CJDh!j9Z?aY%U7n4|eHM zxcn4}SY<&<)&R8$GD@y>c8)EVN~tg_AqgtI(dZZa)V&v{m3=MRVa=9{U8r1aoV+^@ zi)LjP5|3>a^71l+h5toV&_B-qz5UOff?@*@%Ua)73zK2z{@6I>Q_DhWN~Y8dYni|c zGkz|Rf-o=|)m;gWNG-(#mT4;~>U!kqFbs>V%8`SDWaB8AjM>3RUfhA28k3Qc?sB!t zAlC)k@D^~?O*X-1IQLhFV~ewsw9g=7aDu@T=ZUyAkU6Jp#^Y)gln1fw2!ZR*Km7{j z@2k}xR;$y+`TFAY0)MUGyZih#noF@=EeHrD6}M#qm5@%$-nKIX{rcPAQ4Aoix!*0~ znq@x#lwB*>why^xUjiOO0~XT%9C66RPh15#96-jL@P_#|#XFVNQu?_}a2k(EIoaWH0-(htoF zeU0KlFHrDzhi@OBA4ui7G5pD#ndFP@;{lWDCU8gP%DqA$*+afDZoL{CymmCp-t9Iw znG@X5Hy?F}HU~OmQDF46M7mF717;CJ*lE!N@x0V?B=$d{3W4kQsPr-bIO>pHfC=t| zr{1K`biMJM6R5qgRrlY(R$p^ac>zHU2Tnjd4S1aVLc2`+sL|ZFe|Y;_|Jk1{HyfBw zxo-S_o6xCiA=_~lH=d*~3l=@<79Kp5SG53USAVY zD=IV{wEIjE2CRqhkEmSS8cJ*G*bF23q3Z1V3ED$r%yr0e(a7?mLd*u4uX$hn*rClU zCRNT26jcp#QL=T}gbZJG0Se-PQRGp{fcOLtyn7g%Xos}^hF+v$&5jlmAY5>Voi8u~ z=te`fnG|d)fdw?e2n|B_Jx`7JP?&g!W_-Jy=yAD5TPm^mI1h2wv>Bw66Ad6(%0CE! zXGKwGV_m8u4UH$*+69(~!Q>$@7L$bm(+*-r*wGXnCkPvEN@NC+An_~vQ9GvTWTRO! zH3vQod}+-=(c1AJK7WC6e{@@zOA?< z*K2hQh+RSJ8x~Exg}rKSB;af?uI(lQx(<=#y&o9R_Q@2!(J~yIcB-QM`>0w&eKz(7 ze-*N!5&ykMWUK|2d#MW8rBa4Er3@9P6}L#G0w7R<&ddyh9g?0=SQ;>9b|_A5Jb|JM zN5F89q@zE}v9-@fr`FA|LuJFHWJUxtO>muD{r{G`}us$OoPx=N6=`03$1JM69c7d(Df`WMj0 zNe35=Pdw^vY7k;)t2)vdaL)R5ZgX7xgV-F1Cqib1Ze6)l+>tN0lkiM~H;&>Go^!vt z$tXPHHL{yh&`mI0Cx5aVC>|;7Ju-@G!G=98KG85-!=^+Y9~r@Jj@yAc@LdG)?|=`k z0EC2mfXSopw}3}f;Q{p=GlVd>QkrG-#oVIcMA!E=#1S6#$(&@Uk(!$gA+eQHtBidZ$C zIEiyfOw3Rg4}j@0u^TsBa>4Bx@|?id;-~FXtHyx4H8D6N?x6nN$^UyY{8=B= z_JFLO>Ll8jHV)cJuw23x?Q6gQ_q*qB_UU~m!7UXP+9?7pKc}8BvCgr84mnjRhO;Dw zi8D+1R7@?($Q^6h!QeAX*lB|xV$_yf$gc5bDfmueLU$O>(UPmYhZJc*B5J|Ia}Mm1 z01u8otj>oToqYtMsCOn+rJuiWImt43X&zeW%S0Wenm7`92O1fe2ALdV7~Q`GF94@Av=23O>e z9JwitsJV=87ip9O6qS%tfUAf|E%^Y|>KTcD^e?}yN$eU-4%BPlolS{?n@!Z6#up~# z4tDE-haIl;KOCSgj2c%!fWkr>TM|wUu}UgAcIv+JHiea@o}gWIxJ3{z7(=j6ls-cE zFM_o=wPF_ikR6$zpl{agbt{39WtQb=6sCn4kPd!$}91*ijK5o=FvRONIBv1v_b*|ZtiT>~+Dp6V#lDV12-*p@X&#B`LvlD6IXrnYd>jm*8`RDV0jBv64sr0w z>%*UXnRE^$K=WqUDiXhgg^xXDO&j!{vgSk9O(tBR`SE-6?px1*ijx>;EiE-sw(bE!KqpLg)IA>&jP{p0YLH4wfrwU5Qqn=7Jh_W_A;d9SM72Gcc#0yus17kC=N`6kzK^S)oTiWDp`(w+SWTnNeOU z@3V0n_+C6zcQULk5Ok8|+DmyFtOL|$^}jyRRyOj8Ud;GWFTM%r9sNpcBT(VI1SyL8 zbdo!HZeN$+7HKbR?)o-ur)d#BU+icRuE3Q>WrWKd|8;*r`KXzJSn6O{E1gJp$ek+C z31-z;0hw6EyJ_>GM(=PqWd};hrMv_usgFq3wEL2|=g{^zJzZX?uPpR)k`WI-5=HTB zkfO!N&{c+FucHZeEmqj-A77n_y0XaCKa8=LT_!>G2$Tdd+^3|v4qMXBsNHEr77%!4 zSt1s6&I)Ygxp@qeiCSRIGaXN=6dG7$%GO*MfOdy&GY(!eqZRT+Tycg$qqEK{4SH-e z&Q<7Jy+KPRaKZJ{!f7K6jOx0cjDpv~*~=H>npLN@a?@imCD@9?j<(p5xS zSVA^GPH;$#Z{t~F?n_VlQ4Ckh3vV)m5|xKNyx;GE1>b!^5ygM|^~>ku@ePRlk3aqV z`OB|A{|M?1@{aIWG&w;i{L2%NOrJ!JFRJvR2q{Tlaoxkm0XWk^9X3}_uMy+0P&+Z# zT>Fa_D-gZ0c}5E|=VWs;p#<3L-JqucKHy2)_k#_jtX@h3S|-I%BQDY9a}$0GOsjWs z!8q60jTNDN`ndlPW`#&;3BizJeN^&k;})K_&mmxEV26q2hmqZPI!9{(oR!Lck?|e1 z5Dyca4wtqFGMTg3mB##40OHl~;7T)+>Fy4ZTQNZb35MrxB5 zOos+%JD6mr>c+pbCdAy50nK8zyr?znVl`v%xhtlt)6_zM!>%8!P8);ig#6R)OCeBS zv3?GH3!SUW;h`n%Xu9mCb*pZ@+iE}C`Ug!!5TUJPJiY%G0+9)!lxGNMm%P}GAEb5rm?GF&zBNvOf zyn!*q9>y^F#>&}m7jNYfTR@2<*|ufBHJQoYviruY%up7R69Z&TWAu!SYW1^oY!`8V zm&2;S|;;!31Y*%e9FMy`~=10w`^FgPzv49 zbTCNt==XYYTzj_q6$2uAkfF_t`uRBG^*9+i9w816ZZi*_+MM!AXG^!?$(hRV6WLP ziupLCnh-4~<2n<|!YnDHO~~S6vpHLA-Xe5yy<9Ipf4w||Tk}}eM~3=W*GEp69kbT~h1g6r)M}a3d_Vntd4Ntdrpi5RwzYeNxAJxTt(OxuVUmce5(^!R-Iq@ z76{l>hxd>8Kng-h>iZc3d1!tvFS7OtK{#9O)CyICVlKakXecB>NE%r+l%+j05U?~F z7Bsmcu{!?C{_&;4z~;GKZm^-QG6vGo=SJe|qj7!RWxMsV7XReiH35N@)4bHGRar$5 z{X!eydXfAQLE^eaD}GtKyUuExyDF)7{;<e@!b_QT4h&mcDGbZIf44%&}Mf;!Je z^z7u2c1gL#+#VLwe1gzgC;YHv;Qzz;X;<0|2?%O)C$%cdhh*iG=eDL$z^X!}Q-<83 zxfM<@G)ItUVFtb45xk&#-m`*s7%=K3UY~bpXoVo{Eix{SC!+h^@n!Lb>B%Z3C`?}N z>t?hpC@^=9ns&ru(VYaJL9Jy^QSz#rb-v5ZP(1re)MR{D&R!bB^t zkK5a4#)S$EJ;fX+56>O?kmtsVtg+gx=?~!L*ym;fJ{ce2;aN(&VDtASn=;fM0go{X zwlErU@Rdiv3CF_+T&oxVMh$MN zVODU6kXS(3=+}BJkkvGYZ6;d4LJM)Q2#6$?rg=dx*4?2rb|s$D3xL`Z_ycc5(M#g1 z!HO!#mm(m#;u6)v=FGdLx@O@Qezqq4mr0QR&#XZ=w-EeY6)zk7ZboJ>yq&}CS8Rg( z7jDb@2lJd-c6d!@UDGF9H5SaMy1;dmWUXbdG>=c7w;10K2hAxN4XF9~Ei)T3BDKnP zC}ROTMw7Z<>z*gbm>>+%sgx~47zVZkgj^~1dIL;Cr~^W;^=8&A-`%Km`R*oyZc z|G^}?0H-Pp+x`DRQ`|;?=1;4-AZP5d9yY87c!31pfBCm$8fVntU<`Qr?_ZvO`2Igx zni%0~!25esf>Gz5HW-@7MKY(-kxr^Mb)9Sw$`nLYnHpjoOw^bdlRz(X(3kk~iM4%` z87rIz<$dp<(Lv04`uyd?=kLG#^fP=K?E}}w;*F?-nBq~yv*=SCuur8*6~7tP%#WY~ z{Wfz7z?a~+jC{tXlo~Nq{1<>}`ZVH~8?J%Rxgqk?CDJHKFHR?F{Yq-R6Cm0?Ys}9O zQZqMWlzX{;x_A4BkEIGLX)yWO zp&*sx3H){P9FImu^4kZ)mHe^$w0+c#1j(sS^?@f+l5o18Gw<8%9G9$R#kC_cTq=pNV?ran`$ zQGg)iQ+!e-eO%Q_#iM#gZCHmXkdcFT1h0#AK0=jEBOk6KEyUk+B+ENq{VGw=( z7S_Zm7a-I{d)@tINcr7U+gOoLrB^-8EO2Rhm7h__CxARDJwyZKlcZ8h@`h9!5C|d2 z*^5a`EHnv;$^Oc03#0Jukgv^Sg4$S!2v02>!_6UbRC$PMAwj{^F>3Pq+Hg6-h$|PN zRub+9dL{7R2p#(P`UVd&-7)+FGi*<@h-tXvnW3Vdoq+Kks+$jAoIQX9;JniX@)%GFw zJlzn-^GfVRh}cTecQ7`PeMhsn@rKpF1>;by3W*)qc_FM;fEdrwk(N&eFuamfEdkFH z4ja#q$awasx`S(pK;~YFelQs8>=Z4`;0A>O5da_3ItF+tW(hS(Rb7~1jnYVL#&e$) zt6UlM&PJ%FpDy#JoyqwLFRoR=mEqa329-g9vj24Z@E-Om)HkWvXi#ZtmE+0svf3j&~-Y_Dh<_>R&nJ{Yx^#o_CxyjGf4?{?qR z*@Uxm>=kJogbg2Iv1UFf@y+Huq^wBr>S`mPRDqeuhhz4BJ4={tH!O_&a%T+u8V-C! zv8`8)vkgdU;s4jxjH>j-o<5wqdAdtLy^DC^ph^zkc z)tmf>hQB)b3Nfj$q^_Z~p8U^~3+k@iJ-MgZVu!p_HI$+QpP1+Iu zkd4sNG|a%X$f1o#m@Fp)t&BAJVHH=`Da}y1@gG4j#jod*af~vW(#G>XA0f^UO}z^7 z69!PoB-B_vPjpRw_YMXsGhERC$@3?2mh9yUD5ryY;bu!*yv4anfL8i$ZBFk7y5s17 z=oU<+XV0I99JO#K6)uauV?(7�T;PVi&J~cdxusl!>g;;c`c}oKs-3L!=^YS)v~Q z#cj_F1VtDO*EFjtv(z~f8)D}&25xrvI6gKR)Th9Sxh2w?57F4jOSKPF@Dm9BBZuuW z;;N`p1aFBVR27O=hRl_Ro*Et}o)uH|(kiIY$N;&Ai$rq*siQ5+9!${AdQw5KzWip{ zMh(nQGSDsgGze;ORA+ShiT)t2R}w=O7Y-2(#jH%x7*6u@$Nu#H_@5AsxFDAXLd+OP zn2jen!ntAfG! zbHH;cg9Pw0=GNLt^#I_K;CDI@>lzMgWu$)^#er7P))>S$7gC*SSV9f$cXx=gkX*ud z6j)L8g}n--0BakRpV%;Nq!+_ak6my05SyLPkL-*l0Te^y^A6g{{&0)fYB;w6GQ5$L z6rMlPDch%CLO%W^A1I)PqW*U!W&ja?Szta6uy+`WzqVPX79jOUc zS~cewUQ3NU$sK)}s-v2lVrN#3s#Z?PaE@pV%383ui5AIO1alkB0nx#dQiowl*kVo@ z#{o9}<@4Wm$UNBn^{4Ou(;`CUZn(Tf^9XnzQlsP-%o7nq1BG%hiDxLYVDcZ!lO<@a z+&j9=)-1vtICk#bqO@xI+;3EGKLqbdfk^m>0tbqZBP0x-fMmG&Ff59In>CF;H5pXJ zAoQ5?r25&R0HfgqLDGol^BAmVq8t=+AjI08844E%;LfCvhst@q3PNVObGUiuu+cT7#6h}I zk`R2cAq4vd6n5xJ-m6WQqhJLz3v10>D39E2)<-Ud(8Xe_6jbb{1+;A2X*RK>jdl2e z3+%*9IxleNc?kWGts=CIOXT?i_;#oy%zyo!S|>V?P~ofvs_xc#D3&G?0p7P)5J{k8 zNVTw-?|2k2jD=JK74Sl-Oc-6m@*QZ^#^?uKjvKQoCZT^+8y-)vZ6A*+ob+(Gg7$a> zgS3C$-9A5K{V;sqZcz!>Y3M=`CaOuM5R*!h%)&dc*@r`?6vf>1$#JV{%rtoeCNr87 z)KkwWw(tcKk^pvfjL25{@5k-q$(!NhIO^%3j$2vJk4S@J1p5xP_x4vO0oEOGH71_| z`If%|?v0Kctt>a5qt9AEiSCP8F?ah9`@#fAg9A1=oW{48v<8S2w2{|EnP@ujC@~Ec z40J6;yx#=b=fm~(wydk79g|6e^}RaX*6D~?E8`e2Rz-`$^Y_I>vSR2p0~Wl@jsd$$ zu~LC&#p9Wg0D-}{E`!MB8H#d-q%_hG3^qBLVjC-0pCs?zNnVYX>7bm z)(4xL_VjXjQV`ZacE3lLQtX%&edIBWbrBV0*fCxL)CTjyvGFjNDf^0IColOVA>fRP zT?L1>@ux?Yh`2`B#48s4Ya9aFnP7*rzZdWjpOXLB`?=a;8qLb;30AS)92vmXbdCX5 zFoH1HAYcnUa6)D;C1Duqb$&> zxS5wHr|8c;dxSSn&(Q{f0E)wG8Wf`y&naN@=^1K)gCw)3QTBPkDu6HnB%Hsz2mb== ztI%sWZiqEivm>V%91USe%i0{nsE^I=>+CL=Fbk7GM)6Xz~2}J?nD!Fu+R!u^sp$;a=y-Lgx*89hHT2xL!M-byH zQ!3jXu#w^d0U)?Es$+)OrEJpDy~+W`rOo}sblo{S`L@pQZlG15+RE@`?Ji94C^L>`!~6^hk;5O=K;shlT{q@*w0!B$(;clu-rkO$QyAJoEhV*hFmNqr&IyQGI6VD_7SZ< zUO=&Gn|yw)eLVP5H^IIVAnqkm3cjMj39hD2N7@89cr@K~TmT><9X4WQaUA&o@f73d zL7OI{dRKT{s%4>qO7-C!0Q@I`r4lMbC(UXE+`))}M$sjzI%BCyRL}5|rYLC{;zix< zRn_R^pZ@ySVp^!GS;4_t+r&aB>}L3qhGhz)3L!Zbd5oY2ERZ=J-=1vD%4^IO{5Cu_ zuwg2+c!>(OXaWNOpy@35`0GVQRTsxQi^vVF2o7BnzKiY-WJGED0-jK!-aka1BNs|S zW@`%l&@qgd?T`iH$Xdp@Z8VZ-Lnykmm5*+p5&m%ec-TJr+0^p4L?n+P z5(O$w35N1tD3qTIV;mMryOc1Q`r*uy;x_`jqT{&jtsJ@}j=X61v6Vud@-!l267<}n+qPr z0g&aJKf>-1Zqb?H01lH<29pFFLJQD37ff5(xEoAp@(cJ7VjyoG_XcZ3t8iuB5wdfb z2^{3Zv{4vv2|=A1pixVGiat!**#%{wE|{p~Xh^_83a9==>N~ak!};6uv$sg@-&~xn z*2~lL&%b9|qDqTm2LoU(q==V21h*v(k0vw#!*3y5ATjw8l3g#dp?mfk#;-FJPpObG zWB{P-)60|L$=i%fRe26(O%xxBSLYx#p|tt@2^j@@rWHU&CMy^W#5E6wb!_J76`j9; zKpOqGa58Z6q6|=7(4aB(p(#@eK<$7X?HuYX!@+Thvui`%Z$5(5)~}^IH@)#1wRb0X zTTarHBP72c==fa!LpY~~`3-cJ;hM6#A6>;?H_mZ8v#(F83?4KO%F-$@J^GTGhuw1O zG4fp={_(G$zx$=X0ugJeni8`q1S^I(xKsE{jNwF?D{-8Gum@V}-R>oipfYgbNg%_! zaKFIt%9zJqR;1dpSzH2h{qVfxJUb;lfng$QEVS5VXSPMxy(cnZ@(O~^Kvj#`S!T?RLYUU{a1nQDe$h zWs)`(`D6(SqHasjg$!6G&6f7-i_O(lNC~wE0MWiug_A4W#aeL!Bd3fA)||l^yf)j8 zg8)SnCOxLR9jb>~q$GsV81Vrsike9{S|q8^|auDe9!pQJDrZO4UkE(V0Hq6wOS1~tXa1XOn zM=Z?*DkD=*irK&p}Zx;@L@9%F5@u{Bmw5JTgjf%5yuu4N5)(D{( zG~Qiw_>1BI{u|1DT?`jK-fMR|JL^7A_nR~CYKl%eq#Re(`qYBauz{0gm&d~`?`J{d z3;w-`%k>bX@f>}()1F=q2IEU>9I8vFn2wwjehwBnQc2d7hg^(^Zp-b;@zD6D~TGP{bkO77`$*LjzD8OyXf!pru_s%85j{ zKb+7&SvOc{`AMsb1Curb5bNa1>xI}K%TpKj*F5Y%upVoIht&V|y}9O)hQhUzyioY@ zBy43YoUE2j7J-oyVn4*?tv#O_d`MiuqW)ka^sXmiYH=7kx-9wlL^L)8` zL~g4gWdh60_yA(&W*XSw)|qO$>=jpNt_H)pE!SI?luv{Y9?;f^)08JL&NEMBLA~lq zBJ79kRC)wUVVVtzD;1SN?tq?e^9+WggA*9fv;7}o215SW^TRz9kjsY$7=c-r;2LEq z;ATm|i1s492Gj{v|50f1JZ=6upNEGJf>v02^f8HeIGl$&)RTc0#H9zd>PW=oc@Q{*x8{_R>u=)tmDb;KWa%)5j7i`kajr9fh)`#-7;NH zF-kO-&WzfEMMZGA%1J5wE zcaK>7ej)%PBDt=7Ivlq{o(yIE0MJ*Srl~KgIcedf$VWDe^-z1?>cidDNKq;NTWnQx z?7Ja$mcT^FZ5)CiCz}kuhqpCHIjTs2`pm;A21pB^n5awNLdCN-wc`ir^xAN<3#I$kY}x zXX&)S;e%B|9(5O?0i_Z{79{8guJ%($L$c5*o(z9fdHy>*O-~|{owm?iVWO<-5X(>ISPw750W~$ z`yCo5Ygp7s01MPg(d8crUW2g#bd6>ia3$&5vicAeBUQ{5?Lk~0zMd?9+ATA!U?C_% zKxP}wj^+11bXl7oL)zkS`?bYBIxN5x3BQbkM?XO@N;b}&8DkjT{${bo?UQ=Z^^SbD zzy9#!XP$T!uWCX)!?0bIH6xQ5tkv<%ab_vM^6V@v$z{WE1b;Y;ORYdD^inGw`?Ah@ z&;SgP1X#P^d_HK%VL`mIYcYiP7}i3{B8~hCANzp~bQ&3!ygV26G3q}lVayVA7Fpw1KtM6lZVx4n^Hehb4#Hvq=e8UY=hoS zq<47i6sC9XsN@IeyOHv^yyUnEG^T11Aul%cl%wX6)nYL7`6n1W^qoG*%kv7oa~8JQDJ5;Cma&u z0BR({Y0PStQ{FNzVDBgKMmB`eXQQwi0sEH2^#XVgDX6MI38XL_JoSMcu zaJt>lb5~tM(LUC{nKx(vVYrTBBecP^?xW=zf@UWIq6#$YxKy(4%nYX_=62sy0m{pb zDuyK0O|AtS@*n>2$6tQ_{*T+I>p%YT-QN~}{PoKZfBof;-~a8GAAaG3Kd+X5{N<-# zfBydSAAeC^%h4YG;rk#1?on_JABuC6$78&<4pb)raQEXra*KF|HJbEBXXtXpjN;+| zOj@VQ1tT8$>#AOeh(PgHW8T>{kl(_DMS5s5w7hkp8<<6@MGE1tDn{CbhK$4}?xyI~ z)?zoEZqq@#BApd&$S6E$>9&|1Dpy~nRASyl9!yUlF1`h)t zrbEJp;A?8}@x&~rN(SMV8{9)k{Hw$9vDr!brbBtD#WFd6QfBOt_m887R=v9{bhx;# zFV1NtsNl=UMa0G4S?H^o@Mpxg(m_;}iJCkH=X@^RzzE;xI1mgH?p_dSi|w5N^@@`c z481sZE!s-=>YA%5nhcENFPR=_7QH5q3l=N*zpD5oR4sbipGo0$az$anG{ohG^=wjX z!0IIUUqN0pW5(Obo0h@iXF{-KnI9Z8)JT-ps!fEGoA4=y96}nm4eTyI)W+5@8SrsT z=E+)^-Uo|Q^mA=oOYwBp@6Ri{%0D zrvKF&?%4ojL*e%%@Ld_wjnzF!;nnpk|E{Qe{n@3Mh3v6f8LR z=)x&N#ym8o^rBe(VYNd0g1!Ys(n>Y4)(yIZz9WftI4eeuVFimpKU>fUg z7{)J}r=6VD3>u@1@~Lw~*9?H399{&9rdF)ZOa_dB!2ycN!}as^qjVA>=w;ug9gWT* zxSQSn3B@vY$n9f*yfqQc#3fl2m|4Mko!fdy$RTKT<>dz>a8UrG}W{fs1PZsSRLgZ zZ=oZr_{>1C<=mr_JWUh0S8s4BH6lX~K@vvL^_(8u2;uop8r|OHaJEf@C z5{vI>GRHO*ATP4hLAXf($&J+b?s$*N6UaUGx2BZ^%=;Sg4R-4#fr?8HuA!1W9;XCb z4X~t`N@O0?wiX`9wQAzAE>1xA>z};fyeG@WQg2Y6?Qr|)QlwHHZQ#v?vAWCYNQfVd z4WNBA%OJf`f{y#Eca>QN|EtZR58F&-ipG)w#>o_AHnQst^zY%#RSTE6XMj@B9(QX< zA`Rs6P#E5Lc(D7KiFN?IVJu(7q^&U6jTpR%G*uPMBlbBjWNyM>U9(edWfl3HLsvNH z%&-y)C~(3Akwlmn-Bn7CrvEIX>T5sJ+=J6E}ObcXjKtnHkzV?wGFMB()#Dhr{uX_ zsnl)BGN_)7z@_{`m*D2Zh|1z&l4q@}lXejH0I&n1cu06bvQah2ny?o^A3EaW*Jm4y z97M6(YfSj&E8C&LQU{Y9rk(C4!Jwzi;#%*^2s*zRt*4QeyNj>|8Ml zPW<=h3%X)rw;_?tGw^PPU1vuM)*=N+IJQ_wikPSjxqVCrdoy2LO-YW&3l#kEyp*bB z3$7hH5{`-YkE*C`#Xc5w>1L$##s0}r{WRtgCTfeD$JNQXV^uR%v%gZA5`_KCJlnRK z;npAIN`j|E^keQszo()Tt$9FU3#rM>QdGTY<$sObJ-kcjG2damhXh9PmNr3^uW3DA z_O0nGodl7i0i&kiM@otCAy;;4vDsm8U5pr9xN*x`{jyi==?QYsK}}7mZcCe)H2}Vc zv|OEc{@rHGt2PBZU&pZ{05>FsYrw_B;ahwcZjq`{a9Cha>XZKokK1*k0p&@*)2h}; zYPE~=G-FWLG4CTZ1VVD18ft~>rgg}wf_7l&(_h)exMbQXNnw2pDYPH9gBQcvuCg~K zFpnH~h0~`>5@IORB=--Mm_8kJ1jo)^R;%UtNik{9w?AK9EZKkh`~Udv=ab)?G_h0F zQ7aT||0W?kHDr%I$^Lgs^t-`1y5CRZ85SzumMCElo1l38NYIYKxUR*;uZH3lKjv~L zrYljG6s0OfM97Kj=HQL+dZeY zNd0c4E1r=G5cZ@Zpg{V|J!}93;Vyj+nOfR}i7DpdzRhaIfI-+ixV3V2o-tG;)k(Q4 z_{*4d#1$mfI(5%x5ZPRGG0>pbW>|7UPcq~laLSFy+!Q4#hmH_g@+oEFc|;C!w_Pri zY#{YGte@@E&idI5`nIz={54}fnn*Dm(D_o?kd?DcL87b3QDPX8(?=}f{eS)K`!7HL z)yO=4O{2U@`*e<8Rh{@o^|z2)weDo_mD(#*XUe+QlbW=SIccacaTa77F=GUk-aac< zg6u|hR_6qZtO#2SYla}w)fG>#SG9VvkP%0?!c9Gs9=nIxEA;>meTe}sBl`E!c zC3UserzIJgc_;QWiB>_Zj+swOeY}DBlun#*)1Skds1GRN8`7-vq9vG-@!rKnL`=ct z!H6m7V15yuvdRC6(}0YUTb!NI?XH`ry-C?Fwf9+LZ7?`_s z9X^?7w}?g{mu4%eDn^Rx@yu&xW0J%q=IX2|Ef8mLyh7=F6u|F}G3%MROy#pCM`?Ev z&Tn=Qugci9B0#9lH^MUU_tW#kW3|TlNw!46@)i47(v#yPql(~kX*R2x%HE-> zrY-=VWv%8F-Mh7`0PGneU3zx=AzMJTK=C<>7FRIJV2dTD#Y7Ub&~Fb2!fnb;`YQ&L z>=Pj~`xSMNEP`KN;iZ$gjHVGqRmZsS`b=L1?C(F(srKgU^#!^l0iJ_v4={&v3&EW- zhrE9Zqro#j2aQq%^npW=@EWtw18M?@xK1VB4-t;CIOM^67RNyB~vefDgTF$^| zWIe)2R8$Z^kq0GSm(b|xB!RH<*23Th}4^ri#-&AHZ)vgRd+8Si?#BM1Z)~r8?wIv?pT}-&vhV**t4y&3ed0d}N{h zY4?EEO;5M)j3vPtIPv2|fkKclq#21_Oe@i_^jw(X{1yWBQ;2hc5mM+W3Jc))<6EZh zn4%WEu}z$napjf7*&J_a9n5S{hgekhVu3E4*~tW8lxlS@FHIqeCteGZM`Q~ z@s`~q!x%+XUYlYs2?*OR7e%9iF5>YV?b8;@+Mmd&xR7lS_Us@J&VoWTuL4oTy-3-% z0C*aAUa}Y)W|1To9%Ur3npj8>ZpyzQ2{huk^!f;PQIc|E)__iC1n!ax zI9Z;{py5%m9|A`B!hB{ct>5FQFyQJ|z72KzRK<M(9xeu!FSv2WIiD@PeWXD&RcVVj!`zN69eshHsTUkzpJw{WG~Iq z?X>_Lm&HGMrnT2uKO`cT^e~gSS5*l13QAiABjsZ#DQ-KT+MwH++IzB$#gRc0#O(#<6b~?=v-i7}ky0M2dwa`W( z12EDGhPd)GZ@v!Xr2YhApn^9k)z_s5Y_NhU>zQT8^^snRrAdwo!-X|}3EK^d_r8BP z+|y+VDH<-?KhW6m+JBb&ug{j7^;O2UELTlz3+;eLGM5m=4d&2r!#VhNjq*EfS%h9A z0G}4bgyJyc(Fi$rP%4wY*v9*zBlgfmP)Kapx4Zen+s)$a^lWo_v01J*XQ!LbU$0l2 z;!4;q+MZ#cQ$Zp6fu2fq;~q*D^0r!3`W~FcG*Wl8ur%8ob0%cnMn04DI=9XhTiDt} zo3LIe?9wO)V}FgLfFQnm{{k=0Esg+}{r@rcCcKp#>9t`06v7V}l*aCdyK$)j<^lI! zUKZ)%CN5Q_hJg!NQoA*lTZWcydEWf^Ip=&!EE!p{xITjN%T z)rMTCq7Tm7tnWs<_PJTw`Ie&Iv2BZBLV&z~K3Jg&C|}LEEo>LGkMRcE#d(;zfIswhK+~?iS2QGhNV44V zXUz*<4H?if`wVT`XTTq;{ftfC#0d|-18YNVB2+zuXW4YC+2Nq1MI^~*XhB?VaxrN_ zFHex}g3>t<&&|>!j2v5sfpe%2Y~X0}yH1DA7|dVY8=p59YNx`b#W^7$o}`AdS%29M z7B4d^$!ghHGa;2Z3Qq<)6z<0@RLz|m@KQ1sszn2?q#f6Hhr(g1hIyM)QyUEqM6Ka8 zKHX*AhnKrglyw;e!jfsuf-7rM$-Sr(1w8e_<8c3ZiMX^Ap>8vH)RT3_$#Q%0?(nOQs1R+HmG0pLq#{#c%nWmM9S;oh&Er@5y z*Y9DLrB~hm!cM}JK|2AoXk-!F&tNjxMdNAx1RXCg@>Nr!(~a0(@uC9>HVM%!-f;#w zE=oiN(J2O<%ai{N@5trO&XG9{kdoMz{R$ZtmF0z^UgYFP*`JS|B1O;`0BSR=56M%k zN;U7^FWLZ{`ALp<`Uuol53KVjtrQDR4Ub@C19egb8U0!9wQn}TrJtpBl0$@I#EMIj z`C(=&F=V_I|F_5EkMYCn8G3NTse)A}0Ko}c^CnD|8|S6AyYs~n5t=znef6)INm@d1 zaQ8px4K0xw&CsnwA#!$Se@cg*jdGWThP4wy9O-6!44eo5eU&RV&p*nncWfd;- zKCexLVxkXC;|-62`**dMF~uGZPSkV{MIWn~_Mu)T2draor6F1$v?4)s`AS$mGT%(8(Wb+Q#pCCx+AP?BZm-a<9nGxs07ycr ztJD#kilSN6i;S*Bvw+&pNKSVb?E!phw+TdEs8d? z@&-<}Q#ccqpFZuL;65(+D9>#jz94?gS#~b2UkWH03!6vM4-8IYGQ|!eOpvTYII=%p zsZypbtTMJ$Zg4h%0ZsS4rnFE~`70CxfLlJlaWZCK1}hK@a~2Jv({;X_sk-^8getM@ z92$J=V3K_W0RFjvJUE8+PL!}Cb~a9 z+&1O-s;jmMM(v;|GBgTBI_P+JVpmMZ>x*S%2nS=(o7wxK+UI2U_<$U@*9E(V`s?_xZ19+KCF=+Yi@%ia!Bxk*R6{0&0$zn_VBIx6d$u}alq2`RQ$9_&m zwVMH$#a0Kwi~AAYD(=Q-^|+addb(L-;VEHp$2H^nZQ;9^95 z(yAPI?WeF>8!VDlEZ<-MDUD>SHJX|dKB{O7$P#`@?@btPSR966D@mROedpDK$2U$veAXsH?WGh+nY5fc>q33IGa4p^5LW}kOn&qDHD>QOb=bI5b z3=LT1^q^(UV6>c}7FHEq@TGhBV`y4PIRS}$?_ou+h}g^w`@#^UYM-P^H}RZHDxB&sD%uBfa@9pK{l%NNJvzyA9D55Ii>*W))o{J(Ny zMNA}qt3Zc1CuBKCT|&)diasr%MF2i&a5WgUFVRvb-jC>WMQEIGwmhQc;=Bi=LQp_M zK;b$lX@_S(sZ6pnLpkOv?rm$OWAlkdc}rUI@(CHiDgDmQvF|4t&pWN?Pj&NP)VB?c zOr}Hq;HeKWDn;mSAJS6sf55={x37Qr^}8=L9#Ae8vw?BO(qq-WR?;PG4MVyB5R5|6Kt ziO~Uf(a52PN0J)`M57u`wTK2+swuXPw6HHT7#Kp)_7XS}5gYwLXJPMeY#Y3TvU!{q zB)nSjtOf!WM#G^~Brd2+S)L+kaXT~+=?9bI{909CF+Y;AWU_4ZJTu;^D5qneZ6sWSlYigJI8TRMF+ERU@%6l}uF?&2`+9D9D?-7L7HVA~leK zm8#v$K)h@?Y=}v=CZ_e@v~367sO_K=UOpI%rHt;^N`h_BkjV{B+*x6pP5EnkVgV=J zAUzpw9tc>{vGT!aLDvxMlGoZyF+8ew%^jXyff2qKrWJ(RqlnNQ$|#~CN@_2ryo{!3 zc{Rj|8337*%1j4sNhVY*OIMFI6YQL3(GMd-TG={F8rUCR{RaXolfegZqK(xq9oagUVsI@8D zR&yjwogO+4=q(V;h*sci#behXkkO#&9D6$9!}E(9z8G;vcsw;zBQKlZN&2!b=w*H+ z>XGi0%)X4OT_PD5W<4-G_qo3859mNtQNVh@AfuMeOxJ%$CdrMid})-vX2M)EPNE*S zXB%Z*H0s)l>KYCSC>X6-U^Mw z$#qR9r|yE!&?OWKFL$r7o#0>lCKn)MtyQgo>NHFEvFMk=hneQb9W;dVmK3OCaAgzFnrDTA;kWU?0`U_t&&q`o?*| zv4|SE!XF5zzq~<|h`Ik*Nt7VuWaJKH1d2`uAk~*`)kK1>&p9@6`N3*5k4E$+Y{cMB z?E_z*=YEH>y*j*e5RBVfQ)0dCHbN|`!;zQa?z24|G&)d!hELJwMPTAtt|+&?_A)Il zL3{`1Hf#x`=7*IQTR z3D+C;y@3vrWmbAudDChV>HzoKz-`O+Nfm~6BifOizN3^thVl9cR=xq*y1LC4 zPj<9>ziegK^6Y+6yH5XpYX=aqW$_X1pxrp5-MH7DyzUqb@A7%~@PY!Gjv!LtD3zc>l1NzP?%+F6yy(l2ld1s8G(VPI zipe#-s~qJMCQ0BfUuKl?=@UBmC8~Hx!PWDfZ)p1rrp1ZDv2$Q+h9oc+`D*Er1bq4c z#gQ4$njG%u>gAengTn<#qBiPUy@WG%eyk>m)4OHixmW$L(&dNM#8g_^T<;;Yo=S9u zCSU}{;yu!z$D|V!rl`b17z-l;OoIUhANOcS5#jQ$9wWTWXm6#%qw^jlIuZ%L_1%ZI z!C$#NAiC{+dT&WuYwL;>p@>bt;}H6Qw^*)1+HvE~OZ2XyOocNoLcBvrCvxJB5&3Rx0X2S%MP57;zXvNUmuUxP-(H5&`6iQ&p4VpM9$q`lEDhwsxHg@_~#CYHq7T+$_+)jPaVkH)S>VA{pI0~$~PWG8w((? zuEVwHtAA)$CZ0zyIH?IUqXtL;2QHeihond$KD1a<>rC?1Td>`rEvus{9m9WDDj5vM z2FzwOiyLRmll^BSh#J{JQCk4ytyp~8IokB*V+vO4$%nb7E_o_Kh>ktxPG zsD&JTc1h8N!bBoIRNQp<^aF$4m`t&)c+T2L0vQ-z79b@OO1bd6-Az*yqaCni_pq~J z&c6d6Wz@#BsvuU?1Q=cpiZmV6?(0><4eds3WniuM(4yYm!_>)ySp-ObM9R?N&DY<4 z`_n(clZ!gdfBW(KZ@+khe}4Yur(eJM<>aNA31gdP@HcPz;)_52ufKh9@_*w#++8{$ z?Uu_7Ndi!t$-2GRa+PMx2oGaKtvHyo|HihuJlVWe_8z!cB#}hWQ7_}|qZb9LQ*^ew0jZ!*=D{)!SLWSl{SQLx-(ZDenu_K6Qrts6s>Xh_f z_NNFsL$tY9Mr&7Sgn!23+ZjACOJGuQ9YdFgNAfPv`jmp_rq}~g+yu#nz4GRsgwE&! zBu$ON>Pzl|iT{^zuPUH&1#WPo)sfj1tTQsa9C+OgYCA|% z5SO}!N$CSQQtYMecR#9IczP!1OD1ux)A*f~$5L}+(7Cr;1X?S~d*~#K)(kgguajdV z%~!~$f!%Ut$bR));d*tgNA)sfyr)>y*swiMxV~(MY}g9iktb_df2NGsEw=R4Ix;(D zolo_mtQfkztb5E3Ms0}|?o}nu+7h8(2eMAgK(#z780oQHS6opLw->{sr)xt<& zsJN*v)X*nE*>bn*jK1j*&`MY_81Z*w8%5GZk+6*0<5yqZ-CbT@U*Dk~oTD<`DTKmF zM%}7bL`(K4G)kFQU}HVE?9u#r3-dntp@n7x?g)_&vDuP79`3JhxFe`Cvy%8ct$SqG z1CXY7C2@m-$|LKTrPZ6rPZ#Km0Pf9*8;k{cU=rTI^YHDk@5UQP6C8lu29W?P1llg& zrlzz;Zx*xskn}m-@^+{;Vj*`2O=xrODa_wMjAli;*@vER) zMRWwWLxv1tWTRec#Kp&@!`%dd2-qw@S+}p@IHh46<*8&vC07cJXJ&4#dfk?S(8%A~= zl8#b1WA=2Q+~E2XG`J9rOtSKj4YiMlzd0c-Wdd(4&-f{VNgMV!nq`ot)1K(ZZ*>}P z|9}>4$B#HFSXY}sk_fLiLX1i#md95tmZhwHe?E2Xn4oVsHeC*M@9(LBx~^3a@CLS3~meiMOWma8?mD`s5EwCU?^iuE@ftXu%Nk_ zwyZ#}4{&Bi5G%E!+9PRoW#0U8@oD+EUf$lmFMlc8(?AfmMZ~}&FbWR_UKXag{+(zL zYcJbT?B3Kxt=nIbhJLsjRcfV$MwMafI^fiA9*2o6on&N8Q%zYTsB#f3FI|5 znoBw<1>{&7`$DZwiVOffw3h*b=7I7(LToPNN0czG8i1Bphj*zVs+=zJif zR3dD*Y7}v@nilvpiSI>q_hR#=IslK+=aj7!2ZoM~BDuJ;ajq!%L3^l_Cze=2;t<48 z{q>KK;TD*}(%j@K2`P${7BWIHu+ZINBZh??(2cng6IiM$um)_8)%>eOA9GhBI_UZ3 za%Y}5wAZp!6Z2XI)J?^>k&iP^wiEh*kSPX-vS$H}OY4c~N>Z#kgff)Uz^zoD*=^@) zdv|kNEU4|}0eS2u5GJ5;X>$;@Mc!_mO2R~1>djirg43BOd^5WR6-Rx4IGC%RPc~^y zIlclx z!zMIDEOodWe`o}&kyR8<iAXc?-|8nr`O_B`Vl+x z@Sn(e@`3IXTt8V|z=Q3cm);l>@(waoZL`&$#0`C@fmIG{;UNM7rs;{H3MxY|?LFH? zu@W-(waHasNu?~7;sFz*8TurA=ZY*1+*(Ts8~x+c?s?O#0yOS?kpbu-x4?7wdix05 zSI&0l1SyZPJZ@$jnkqh}5H%SY(1+<9t~~6^No}F#n`LrU8L1Jsknf@+#H3$FGfXA! zcHG`E20Ewd#!we;$xt_{#I-R4c^`GjB7vsYFbJ6YOrA7wQsGqFA_Lg+)8(0*RA~Z1 z^;>q7YM6a7ftWjp5^YK0s;$x8i19ywhYM3}!(FVF94)zv6exrF;6L&KMxcN_L^$z> zKmA|-@{Agx-+lWpmp^{<>wkRr*I$1Am&c!e{C~dtru^~n_aC0W{^ehO{_)Sh{2f#O zg)!r#1b_ejm%q?EI=JxNzyA7f={}Io0&&r51h2$`$xe{w0Rb)2Jkaqfd~U_i+fNZT zh*ss+(B zOm3lXND5bX0<-gU42=}^^oik&e!r8m!35ptmLzwOT|L9W2xEFL07|q`-Myp@^HTyx#CzhK`V1O}8jaL^svp-@B!w}YOmzmRGSRQs zN?n`U5bb)rs@JQf0^ws#4i!z}_pxpeR>0sA7bY){timB+zT1C7i;N8lR}pHrt0gN- zNv-g-3U4@_erDIVdCFmxIq=xP>Z1lUzIz{B9woeG=} ziUBce%Um3-<+an9$Q5KPmt+R6@ce@8Cd&ACD5#2PF_j*?FthdVmL81rNHxsj`>}3i z-iz9s*wOdAk3fL_dgmbQhO2a_s#6YIz-XH+3M^e?OkNJbnwC`;Mpw%O(o!dfXWT%Q ze@V8qSy&cOKHLz1syY5*%n43 z-1nFao(qANm|Q+=|6Xam`a!@d`LC#y_xcQ-Fj$ud`%pZQ3))8oykVE3>LNF(i8Oqn z8h%0VKm}U!1zu8j71CExTkWWxi9J|mgc-Wlafv8)6R#4fPOeZ{@~{cv`eWI1Cok?F z4D9PB`A0x*KslHXknn!|sVW9z7ba$Z5@we=Xq@Jm)h6>kEDV&%XyW2DLM75AzOApd z5MK|G1P4u5T)qQ2IU7FOOdj&Lg)PR|RF^|YLL3mLG=<#81>*jEnY-n=dG7C6IViBR zx!5kWxgp)K#=YU~(NaOrs--$AlKzmqU=POzx+bY>>^K;(aTqCPLi^2gzzTpyX4`;h zfa)zk6kWtYU*8{Iu^~X(dtg(5o_*7JR*?CbxdJrjDc4)t+K`KK^YRr6aW4x5ZI5!g z9G_4srBd?i%kiFsx){_OTn})V=;;7Ae6uDUFq)4VcCZ9^Zl>dl(ff$-8_aVY&Gf$l zLl;x>qWD_KOVGcN5&=YR1Xoieof1u^&Iqu-a}$?WGikS~+}yt+7stPG?IbOTLfT$z zmhEKfL_GDXt`sB_8o;W12jg){v$OOLL4CLxE{8d1JWvwt;5H>d*v}Y@YoK{=)oeNi z+$=JZhqc^#0MF_od7uO}W8nJcoQy0x#x@uW>&=vuCw;G+xRMo;0^=JY42S7lk7N)O zFF?)#4V)c{?VC){wo!@F)$;=v{W5Z#+#dM&) zn?S^^DV>dX&kZb=>v_IRIL(j17WKb z)uUR7HmO+uw$E_I21=OyL*~CL8X*a&vrudx)%F5#80NC7t>B*t2!pW!6pUsW2*@*| zn#DIr7jvA$kpXT@THr!SL+xfT+bymaOMs>Tmdf)2nfGUxzyzKHUI(U9F=5F-py zPEzpl%{S@12z^&_sN2}s^J)IbXN__FqV>>llrh6 zqoC#HpMYN>gN=~|XP@2>)=(}?dKyg7N{rNKd#QXeUOi%0MnkrIXIU09LAdROaDjN) z#?I6S&}(WQl@@*nTbh$?r*>5WE%YO|yI`y6 zqybsC%oU?0t&jyKSQ2EgGcKV)u(*FweU1!IkzgyoO1iFq)_j58BjJIuOD@&`FVR=- z3erP>;|$0WxImsLjD$;(&#Kcd@vO~evBa}#PM|WbRup!4IVkL8P{4pt2sG>Qcw$6B z1k71Pu}NRClZbE+61fOlkj&X(7|tU`0;6xCA`19zW?6J2IBOs!T`jVHJ*w4VC;VCm zPl7fwbSLj8CTC)Pz;#M-5Fqyl5Ze3LbAKXU~~y7B~pTA z!kGe#rUNjhen6Xv_Xm_K+nVeP!>#~Vh4ny1Y1zwHmHl7u^{$`)@*`RtqgwADP-6-n z(!`ufIKiOaN%_o9)}ELi_%2IA=YVI5njI9a7a%dYU3Q3R+W1h6SWd2(cs zfNkM_+eJUnGQr8X8^O@w?Jbl-KP-Eq(=K3KP`|orx>q8s2w-5I2bxc?g?6`!1_Mb% z$mkwKsmQA!!v>OlJpkA+W(Hz!2gBOlTCMWvPmxowc%g*|tcjT2lCfBG)+}>Vai_~a z5^}apV8ro{Fi+{edo}k;yJZWQ_;eahMJJ~{)uM`t7I^<+T$d`RO++ecO#ODx1D*D)B&(+Fkv zQ?tNfgsgc2<*K#mr8R$jwM5Gjzz*qjRpE*;qE*<)V6;%pP&r3tu8l>KaW~8g#GkMv z!a~KWKiAr>#cvF~4R-H*kT!kn8Q5S9m`KA4DC6QM9>@zCGQC5)UteaCiS4DrC~0qa zeE7(6dcQX#PIqQr^A@xt+1^>Pmd7-|#Etc!_R>kFvA}>6A$JP+gCa@zTc0cR7T)HkuZ{MaD5>m5aJd-264X6gTByYi52t5L?ey)iHKSfKM34KCDT{r{eS== zNT5aFW+=|N#$unKGv@UO5k{j|@OkbsLSjKT2~*&6Y-hoLU6L~aifS90pFYz>%@Otk zfti-Z15?%)Y_@wm;=_)A{`%)%5Vily@!RkI{5?xosVur1Vb9V70J%NN+SV64PP(kd zddV6`ar1D`O?e;5jsbrq!CvRvm~0`g^?~c>i_0+Cau+;Kg*uZJyRgkjnWd3ZY7?Jf zdNy{~PDMk5x<^UL28bfCdbT+MQE2tViDi*9l<6juKu6NVEV@Sojx)FO)rMD9&q0*a}wM11(6DwB7e?Fl0K4EX2TVIuU zhJi1>J+`zPwKqwM_C3qkRzCHdq0}~j;;;Mz4Uho3XdmZXk&);{h|bFVAnC1TJM3LP z(4qdKyRop466=PFN_WN28{6EC4}fWIrh!xJORM`1Al6|{s`210;?Sd4?jQYpHs=8;uPs>=q5Eu%DlV+2So*eMmIPSJzz&2L{I zoTmIo$6$3|)j-jt(Z-GhpJ4EhAbUYg80*OI<67J#&bmo(Z-I>seBzq{J0ZTh8Id0b zL&o6CrcLo&_m35^ua}O>RHJp%4R8rI?BxJ4-vA4ul-s;qz{<<^oC2hjod-m{SEotj zK=6i_1G@S_t+;T?Fu_WUMNq62$bu6o9c$wm@%Wi}u`hnMRn9>euIoI6mfY;Bpd})w0yI58Ofd7PDs_L{voPd~ zB%kBe)8T*#gr&4?#9s%~c&$zR+-Pj-%oDV!1xN%}1ZDCO!7Fvs`+BUV9@v*9^8U|46}TEc|fvy(&f?MfGQ8C z@hd9^gBrd%K5#emz|d!cnSuZqcO!TL2=}`Vi!iigB{C1UY8w#98MTRu(?=kM}I49*4l(+tlcsdw6>7|sP0QIwxF&2cagG+=t4Hq-WCwFu*-w2>9O7`Hq( zsx5N4SfM&K_0kXA3o}T;H!QnE)rYh*upE+5s7$-XaT>!i%aB(T1MomL5{lxtCG%}} z4_RcfHi6O&rI{!~dShyTDYzYdi4qy5A=9!=Or_0c8f!9{VhAUK1}mdd(OR|!il%fs=DEn8acPyy7mR3X`KJ!Bw4n8vdajsad^x^|_uoG}`=Kx}xWy{p0q zj24J#02`c&JSO;?)YDndmm7wAPQR*)!&+wvn{&4MDa&&I1-43>)nHkOET<2{aAgxN zA@}$ztQacBIx8g0YL8X`5{Zf-gQxoZ^6*G(m|iWY(2nttol41U-Z6EYCrQnoX^eFu z$Jug&lGGI^Py>VM&7qM5pfE}=O4NI-zLoi5aXx_w;z4jc07hzGChX;wCHYw`6t3sg zMfpcH@KoyN+!TYapa7`}Z-o5>M&3wK9=|2eJzm}cJmyAXdxzuPVh+S6s5n5UT#I(v zfGv%?U|c{Rwr&q7JZzBkXhs6BscPAJCcdmIRkaiWs%kaY7h9QeyQs#D=mzi_z4d+p zbxr&Dv;hQ|@LTtuXys#VokeTnI84Z0%GPpfEvX|qqq^2E7$pU1n$h&Y)HIA(Wk=}Z zBta#y3?*0I9j_jq_J`Zc2OG5SNeP6aSYiAK;O#{ZBMFEeo*4+3=!XsEC@5RWiTK48 z8csxNn%g+p!O3@tHVCqoDo4{=f|i@xvodIa-L(<5Z*L#a*g(E*7qn?+QC^6C@M)`x zhFMRcCfuh8O&(py4Xp?QN`X8+U@b698*v4|L#6DA>ngM3B9Zv{<5N=%mV7BKH5xPo z;q}PGRlz1R8F>r>y&xtLB<7SA!ws?m>ZGg=M0@>oI9lyJRT888s0gJ(a@Yq6Wj=zU z6>ALrG$^XdV}`C5ePFx>G94Q^91t-(Nube47G{lW0@R9hO129E)mEje1M7zqVikku z=>4%e0TSf}iW(0OhV?1}&#Lh&#*DMW;_B-5^39*W|L%uxS?iWvOHt;Q&1_Nn@E6pz zwQImw%{loW|KmjGhe+3Q0n&&O8Uqz+VU>DcUA40(wB8-=DEl6t@yDYuxU^fHl}CyRF({PEKgdH z__f@tL=qPpuAHjcOK$7T_+UThX4*y?=U*%vw=K)BHph^(^b&3=+z9$?V(%j)VM+&} z8y;0cwiS1rn2G}&cL&wUm4)zhQalPKiT5#CyvX)oV zWmR8nd2Dk7Qq;oPACkYU8A?P8co>-9xqXkQL9YM?~N0u-ND8+Vbkqqg`tu#FK+8EIggYv ze^xh3uc;(LlaX;1y$AvrY<=o~3(#GDPzPLpJf2SN^RrX!9TrQq*p%9l#Pu}iiEVy- za-owwX)AeqI%tHTA~9;G)$NVqRSL0y<&1Ssgbm5R3#mY4CG{m|wH8A9NInQMA2nAg zDV6g!WZk}?mKa03gbdt`nHjcb*ZJIT3Cu!X`u>Q*5^OOTU9ZtB=XkRCgu}af{Np)V zUsF8m1GHTOUgw&V%ti!LwWj#4$sAjislkg?o(>jdg)YKnVtH^5xpr*a{${wGbgSDZ zu$cSP?jG9!OsR<+0OY#jNjQMOnMi`7<};n7_qX)$9V(#n1OaR_17kX>`}Dm2z)m3o zzA`G+_bfxPq(ZA)_3?;`(x9rhH!m+RiL!v*_MS{}wJz}kE(r9JX$L%&Y%0rR?6+4x z3{qlSI+{^`L#bLY4Q@7P(T6AVw|5bR*y;u$8|_1b9dKuX4w^~v(Y9Ah585{Slp1V` zWws4Q)@TxLCv8#7*S@gjU z8$`!~DER8rSRyB^`X<(-(z!@9zDg4U8{Rc|Xba(^j`dC)^;FQNthm z*65NbfntRqZx1kS!KolI_IUEckAM9)`@ujO|B!4&1rowoO=VBm-qJnDC^0M-V)*O9 zeF~s^qhyqgm1kc3M(a3(9n7u`qgX!Q%Sup0)B+JK!F0rEnyK0obCDDjvpi*j&AJ@bri>%5r z`2a=JK3?Ch#Fxi+S<{L8QTmK!K!WHQwh5^g?2Q%Vt}0LDAFEN}bUf+!`0hiP4SQO} zT5KQ4TngFY6)`Tk>F83cSyne{n@+WtM(W+`)etfY$x7}rh@2>A)3a^iJj zM>RM=?%_1U@LK4}wYhY8K}WSr@*Yvv0X;_fUP(NWT&t_ zKwk$%=x8J*^b46yvyXtcf`dHc46^A3bIu^v02oisYcdYdJg+u37^vcCP*WZp6`VY} z>s5l*8J-jHq8bV2bhdwL;9(lIPo7ayJ_={}Tlw{Bx z-5xM#@u`o`e>!TY9$@zk%B@pvF8KG5e^D$X1S=- zC<{M)q%rYNrYTn?z~uG^bfYrm9&Z2h2I*ctfmUN{QzWl3(guyR5esl^5`Ii7VR}d9 zP^(AmmLpocqs;l z?HWbbFm|V+x#)@s7%W>ni9?6NTU8J;Ajo5w^1#2N|jBjTmL9vj+ zB}Ip8wFWtO_#?k{G&uO;;WYd1ATDkyAXc@ZL|kwR3c1V@kpP)bhFoUcqt_KY@u^`I zQepw4{U&rIuzx?vk_y79q zFW()HZ{Gap*Wdj6ci;Zg$=5%q7w((o7jEE_Ad;>JKrFY=D1^&kEuz(|GYo9*VHKPx zQW;BHoT4fkr6f3)ffxFj+tOVh9ArPkHX-&XD5|W*PbiR$VOS;_DbQDc^K1z_*=t?O ze&BY^^QW{d`{}T`XB>c~+v4f>iZUi6&3!;+KPMCYWy6U^KcX(g3sHQSFLAnKhIk`9 zOG_9;Wo$e82*U074sI?-Bln-#g)YMbYE8PuH+$VuJRkI>&|vs0l5q9maY?=Ufu4kQ z;}-Vpng9g+2V?;1;HI5AOkRZ$+H4qtx_ltmPd`j3iEXHL0XYHf0ydQv0%!CLa!5wc zTEx^;7?CYDjvpB3QF;;q_MEjDE7CU|w380if`NHrh7jo$xf|hI@Myah$Lu7(xx-(L$#R>yM(Q*HmQ)un42qXZMv3lLja^HL_z zLdf%!hUQ`iTJ_j4#6koDBp#0&g_g3=admPmbCglPui>pwDBR@=@Ng7QFN{`6=1EZD5OOItEvaLUPA?|ls%PnXXS4F@yNxM;-V7IZAvm$tKIA@KyeTX#V$?_HA0=Eya zt!DX?@Dz-u#M%3|^5mmdeo0W?C|b#}J18AObq5dh2!bXOk>mFwkrjnr)kC`D_S4C@6cIQjOrZG48K1HorJ zk1wbkvaA`K!c=}PuMVErj@y$+8EDEzBVR`MZ)IiX;U5S8&_9>+p`iXmv(9gA!I$iege;3okogG$^XiCMp&Z-U4c+|9E#gE zf{S!;LfODbMIIKA)~!W2{ImkOjQ}fx&~U#?4_{!^WL%%jt8&%xN1jP@b#NB#xSfIT zz%pbI-vQXs2@`|FNC*H%ExddU8}pu@tlzp!Rcf+4eS&mPj1otnYMOgg^g7f6kn5~& zN9T!KGD@(nYzC`&>g8&Pr8vJTm-lK>lW9=V)4QkCGng-4nl9g2`r0#F?@FNm4iFX+A8 zi6fsjFYJROKFn%tKgow#IcB{DWfE0RUD;8;u@6oB_jEGac^-s&1R1cTbvR|n6- zwr2+<;zZ!{?;}m`g8UwiTYUhuNxmp-!Dc!{A*p!FWT1bAM+_p7Bi~vF5dskV0j*J3 z6NNVp+ZUO7ui4eOE4|T-#hwrE&V4r#NbMb`2Igu|Hz*FrBCc0&U$eBBprFd+MQJfY zSLli;dsK;oaoOu>KYo;f+~?-SSUl|G?T`!u&epx|3nLAS?TByYf--4=H6m!(F@bY9 zRNmIztFJchyIf}`H%x{Z@+B)&+KqyHi3(>kQ9(VZ5zh^hi9athNGMiZB7+3a3=WH? z7CU$kpi>cq;AeexutT=n{XNt#So^L+0COjz!DTZ+%f}Wv{-?aPxN_}eB2=xAg+SJ^ zc)WcD^AHO`@APLD7r29*dGdy%gMlgSH5x+lRJyd5_IxB9Wj&=_v0_2Obi;5GRW@;Y z)SH4*sG1och*xtnxm6NG_K%>}C=T=Z%BA4nB{V{i>%sK^o5`s5oxTs^9$g>MTZM*g ztt_2wi9c=iP;(ty>5Bx~o6Ar1EA0^k0B+KNdOZ{i8%@yKDxpLYYIPD8OrCr{+VDb* zODj)f4=i4gMR&dB)F_2ehfOZhz9;9A)g#DdpiH*ZXPD=`;^O{24fTn3yotNARjCfI zRx&jSy6Cw)Yb0l~1@odU&oVf`sJV*ymx4RoKx&{cd%*3LrJ5b%`cX%>BDEI)>72@`@OxPd@Js0c9_8HWxk9s;^>?j%bLa#B9 zQ!RAy+n%CM#t^`!gx>MXqan6E+ERq{z^Ad0r*zEs;RjAdeBE zn;m#0juQT>6cJtG`~ddul4!_?WyBUc;UNGhXt=}66)3e_Nr2=pwuQt@1&MPqhwuXw8FqOc)33V$nlHI&hbz4Id8jRRO)0CDeNFGeH%wQTSenuq${WoYr zkJ7R`SQggF6o#V%q#w`Y{(Mn;@zAej&b&d3rFEu3w8rc6H9rC%gGwuL(@9t- z*dho2!Zu(ab4{Sx@schFj!g!2iorAt^C6Pu7EDG4pFf>rpWYA^IY#uu^)d>>Cbi7W z)5;{1SO>`C<;(4JF03CItZOpGmZi%~AEFGTjh^`6&FBY*VgX`bWO(UghCy4$rFPF~ zIW*-`dlm#Yh_%)Q_#1^UR9X!Yuys|n@by5%yw5TfZP{uxE7#&JnxS#XDI2E-AX7A+ zKB4}52Z6wWo-3YeEAiz(kccdD(MDWTet$FD*rup*0m?#-yNWC=-md4)EVPGWep6GH z4+OyW_X#Ql#h?h(KUk#>7YnxFh~P7t%cLyl*iW*f#BRV)clh3`vP1J#nFl64M)zY@ z&gGkLkUq*cFITUO4L{^(dW>B`B!}G4o zJ<~Jf@fqts@Jk=jZ}9LX|MJp$sJ358H(eP5!-RkiVJWk5Q*-f-%|d9!N30YiM#7aS zT|5b1uw?d5n-JILd8Hn-}EK7?hd zE}f5HT)=nj)x8N(U~=6ywGuue37ayC#4t3D)DkAEnK9qK<&UVOQQRfidOGQ zCs`0uvO4}#LS5ZFTxMz?s%N-3$fY)U&tVmF+1?WSy+ISo`w&u$@neOw`z+7YPjP%b zj$|^b)dZh}*WhNqM}1tgdS*D=h!vtjN$h3mMFp8;a4}v!9n#yY&4xl9JLz_gZcsPS zK81)wkIe0v+FGjKsKsxpfFO9&yY%San6K$;@TQY~DL2Z`gBl~20ZMq@Mnc&*V^_m2 zPw|t&0LcR7dqm|hy1}#L;M%ML*-5X!xwpu`{j!!xW~LbAR z4Iw6(>rVQX#BjAZqsW5YHkb-?Ff$QidB%XE=5IOa_IiKV&8Q!r7d)A#%^-2K6uAiN zJDxVS&DBJbS){bsA1tDM41gH90GVFeID+5oS6L@`Rb0MGA=uO=jk^*U6P-sBenw9APM< zT1$vNsMnC*Sa7K*sZw8Tg`VaH3v==Os7&w-qRvgoG24Mut%csLw_od}6;RWCXVebeQqcx1;U}YTh|D;{4{#mzXhBJg z;vtDqm5$vgH@WW9Q?zPOd36d>QUs`eEbwYHLwi(^+m9Zs`0x+ry)tuC_OpW61LHuuL%K8@^$C{1zS>tJJ_g zkg3M96%ow)r1rZlI~4>dY!p^8CG=2V*n*11hIgHK_I11}V6@K3X0|3H)5u z8WxT(Vm|?EjkQ@{a<^A+Sfn{v$OeQbNMd)W9lBekd@&dsAmL~h7u=}x>(zTV`c&kp zlD5jYoo@h86T3@ZogucgQdj5ZY+hlYXm4pTK(3H|>>nWkXNI*xTb*&AXoD{Y7b5rN14EFXjlWmL3J|)oScRDoq&cP)6zFvG? zs{OYwj3D4URDuqG8lT*h;z_oZr)~EP+g!uW6o$N`LJ%oOIlby`P`24OQ=44G(AjZ= zX5xD8T*D}h2q_pak+eywT%Wx4STZuS`KYtS@_=th8h7=6R~Tt?b+G&GxNZCtIpFDp zFY4jxN{JR}0Q&qy`~Ey$;smo3o?n~9(BZhz~o4=s=dY6$)P^-k{ZhJ!6+Jw?nObncASut)DuC&^mkt8-p$hY5vm9mG<3l*LAMR^^=_h~C$*pIki`Hf#=buO zz9(68>|7e=L5oOn-}OU5GDBtbJ^b~rKPwMeo5_2^8s(}&_rz}09!ef@37z!v=8#)t z!gf8PZSiP~vq%MjhQwq{_Q5mB8QoA*JY`{hk6GI-)^Uq+CqO3MnlTyZDO-1AYt=}@ zAP$^V2r-lSiC8dxkHlARRMijCv)2CNqK9hi6zjsOArJ>p^i~g7BZ#kpp&w|_rFCfTD2FSndSS!iYv7l z_*}*Ao+_-AaqL{Su|&w~T91omsAzz4o1|m}!D#oeMPNMYgIunbM|kiNwTz+)ID`7T z-Sq)=>1XhjPCQlT$KwS9CDz3-#&yA{Q(_7YoO*cUs=Wgc4JS&YTC1iv z)R~Vk;3a$gHV>{dm{S2=jD}aA` ze8bCZ(Br$WCRVn^PQQpCd3`7b6u%C}Kt7qAd+DJI9_LmIpX`SuY2#w`wP} zAyV5uZeL#>u3?d}0~Z_%bHVo@P!>L8cmOuD*<1wty5JmMP7pCe;((gmNt6+VKfK}{ z{SMj$Te7-oA{Ykb4e>%i4GB8#8`MVk2F-fRDVtwv6wFl>XweSD!8&_Kc`I;oD@dm2 z#V(r3s5I&ULFs~+l0Z&}1~hUoiSL8JWGS=jh0MTh#{C+p6GU36NG^1Ch9BT16$q3^ zAsio{Rb|y%K%)gn9hd>_*bI7z>2s$`pt;-O>SG(vuyZRU5Rk?~yiE*HO-E%Cvj(X@ zeE0Rwzg#q-d^m*KsY8@O*8{T`(l$UUVv^PN_T%=eXGC4g6B5!CZCpl=eYKPjU<6KD z>>4e3uJuqMVXR+i&h8S>HysyL1fGx)bqA3Ad@ML5l#GW5kTNsTpoKe+HAZd6{LtL< z)rGo#)C@q)4}#%L2Lcso8AL=xnx8X&pC2uooe0M{lm-&(ro}G{l2W_`iSi&58po!^ zHlq#-MNb?)o?}juJ30tuSm>@6cuPDm@z}7z+=_kAhy{B_Xd5At-XNM4CS)W@fvOKMhB-mo@a+^L2ipC$ZgFci-@CtzJ+pucuv$H zMk0XYh-~V{?RZ*l*yV4=*Xg*dAiaaU@au3>?F5gh9V|O;+u;$c+K(x?Apo>?;^u*R zAD`+?70IzP_bK*1Mz-oyU>s1q1`4&=hnY=YmO+)G&|lauunJ2ruqLt)yB5brDXq6n) z0uD=s-5AUO1u)XGu{wow2uMM0s0PiZ$+Sg%u^Q|fSe8dO1X+JVlirY=+$UJuFg0xS z%?jk5!?dAYcgxAFKpJ zo_D}O27&NhHFNrZUgYl0j1M+&Zl>)aP7c8WAJxIGa=k$aBaiv8McN1axcS;7zuknv zduONGi#a@1q4BxM{SkgzB_WR(I$-OaF=P}G5JB6?C#4OpW6Ru0C>nKe{u&L9KKf3x zSPI5e9qn1I0VHWUEoevdu2ka04V62p#ai)@KseX#JetEo#AIVfW#_{#ECi;hRxKje z3Kt53f}X6kRRZ}EVZiLJx8$V1t&avI57d&gQ{FnzUAEPw($WhziEn!PgpCKiwN~2( zmQbmT6sSvb?`JZ=18<%g2xRGpwb4TRCV84gp9BJ>>Nrvz^u3bz6}K6mFmPs4_62?*di03e%j6lFlqx(@@k( zgEl}hDfKMnyObM>ZDf5Rhn(-UWiUF$henJbh(YckC~*dEL`o_R9ZE>$Pi(z`c$Z=5 zwDb}@42R`s360FI7q?=oZ4M>~Liu&}{iQFdM4G{8qWU)FBiaM#*ulR^QLAeMB3h5~ zt#+=G!bpMYJTyW_JurCMWQsj9DYds?^YFb2nrCJh=p>p*COM!yis_dt>+x-|fxx2D z{WLJSJhmNnkW>{g>r5>JpQ>;KdE7461sa1kwijE%*o>ucC%x4HWBatbMadrl#!`s_ zo^Y7PW~2unrN**p+40-&{`~!4zxx)tQuHwkgwRuk+>T2$lpJKWGNH(8yBt0|Fa-gx z-3Rkm97^O-kpMocr>ZdO)XJ>nDc2Pss^SoYjCv>tZFBrSL7+_W-<{^YUJEj4Xbdr7 z9KVT<8UXFYl5M3eSxI8&^2tcdub^GF6o7;f0g$Zdi5?Azl<@&@&&@0_p5lfhJhsUK zRXa$Zoo8@)Jl<}RsCXBiVuotD;eiGeiXt+sBNM?tGQ`12r#O6;_RI}fwto-7z1pO?DYief zamn6#%ThXn;{3B#r z0N^otsZ{aL^)&`Ti~Uea0w=Uz5ycW4XEDmM7@`wLX6`hX1LsEf2ow2m1&M@$7$BE5QZ1y_SnJ91L4)?Nn`M&hQ)YOFC7ClGq5>4|Jw&F*fu_wN-o*?1w#<@hE(%e|L2 zsPB=z6a`tzn$DoYZp7Xt68pMB z8JUv|*2F{__tC5al;Wgy9v7C;ijO84FSC)5dmDN=LTV8K$Bcl`CRh|aS&>eXMBuYVeai##DYi2d zIWKX1^LY%-3>_?wTXlQUlg5sB@tenXHA9+w{{b5L^LM{I{`CEiKYjnpf4%$Z$6x>R zdo;B=q7>42NZxQO%fz23H;7_sj~}a&!aKb`2WmL%?$a6y53!!b4GT%o^2GcaLE%}U z#D-ryd80oR*+>g9|F}GRg&QP| zgibF(Fb)r9iRg@}VJA-M7Jee90b<2hTYOD6@g@WB;^vZ34~7z>UAAr+!Uc9L1o_=! z74}cr8^H&|(9sXH0{!I1DpFA&(7w}n5N+j+w)uz+`$)7uIbTPAql`9_K~`SZ4H2!TA%INm8}BDY@}+Td9J9 zO+nq1mdfyntclEjezinaFIrYrnt}0+Lfv2>K5{e+Se<5DUw|CqX}}g~F~k|-6EEkp z`a$g&st~fQU=%96hVhWv(UwIGB=d+zP9+|!EJlGalvnHa2c> zX;SaG4j)xSrULI?UOVVM*s+s!V1is8>R)jdxDge9EJdSwyCX(BZf~!MbkJ%HsLKteZxx`$0QTTdI^y{6JgQzB&gk1!DAbb*#jR&?}^rmKF#) zaU!b)`Q|X@5ZmYlyDqU_ib-AwuZE#GxZOD&enX{QkYbP*iT3stgVr=nPZbW}Uj7WyM5T4Rg zsB7|ZvVxLI}(0-U1y+$o<<>IYn1ELl3 z;smZ$?$qI9&AwCtx92OT^jl5KbLesa`Sc!byxR|C{DA4)Bl&;+%a1?(a?%(Nf^vHu zJE{-A6M$w4ging^j}s<$ue;6yYiNam4P*c2H|Abd|J5katn{IL{BXyR@L~F`Ti$?2 zfcM4!T;R(45L!)fO=%YKpGG?*@OVf@D$diPf&4v~#QpS6e8@atrvFAH64)SsK>beY z5?EjPi_iuKq3m2*jD9$}ME~o4*bdHvnn@vQ)wJSq*iS!$=eoZC%nUoBYH&^oaOGWr zg3sYDr8Gkj%Xhk?vsMHqWA@5c#2~OI#VOHQkS|!KR2$h?;DWdgM(pt|b$>WU$x_-> z`D(ItNPoAGJ)O>#EGLxLWqcaZHr>e587rY;I%w~eYAEXC3}Q{z5DK}`r6@V!U5gQC z3E5T374rsRRH6iK|NK++H%v?*ZP-rfGJUR2Jzz`eaesB(J)mT`$)OCRE66QcQz9M} z+E57*vr;DVUFXMw290M>0zDqLPj|=lin2c0>cMd$nR`br7FVR5HOv*SyKKSmym&Iw zH-Gv1CxIsVcWA%xo7+P9Op%ocror(_=$E`gh%%vup*0l=K72F^azqx)oALGd($T2U zmRrC*hVqP0%09P@ADJDBhmI!bp}|(N@NUww$;g0|P3Q2Tbx@JAkP&0bHZCx%1hk;) z#4V4_2V$AyVV?5%{BQ2r1$cfeo;#kw=kg1PmYzElB%!}t(F3cj5+W{TeEtJ?3dV2t zG+Mtl7qtCt5dnR~adm|@yxAT~Kvy_+b#n{C3)wg|^68+hr8pkIdnb+uyB1Z6LqMba zCpZS4WK$xOpWq6Gbdhu{ja9J2t7lIY1FQZ}$GT3eQ~r|Sj>;bF-dlaf+!Xs5AB9jA zdb0ww@!yC|#V=h&ja#)_hp)wa5;Hr0gcryHKZf@OIhpKhueBFIPsNo_|52Qhq#USRc9Dus(K z75i-P7HL5ryI7&6{M+V4nACVj3gp~KfbL*i(5pp8aHO?C!Fj2c!R$~xb1=c43ETbc z;r<=?{@mF^ibg$-n|Dl+BV}5zD2l&%^TxXmBc)hZL02&F#UKCI-)zsq^TiZDl5!WL z(i(OS;mxHekJ+m|5%bDyk3a_mD;xQs*jAAbhDLsTdSR4A4RucGEZ9FL z*z=9)Lqe}Z=$b@f!27~#@Ko;mz7#h4$`}7`G0b#b=V9Q8#rAV_D%kSN%qu?&wFJBD z8q0^LQ1zpzF{)A@u3om6|IDvp$Q=P6H#masukm*q4>uXKeITSePcea{2MdLpMD#*_ zzL*<2h+XoJ(GD~d0xGAQ;M24VV$@lu`g*QT^|f`cY)dZm_v+({@RIrTbE(1Y1lyX5 z;B{qayAhjC=1UIm0%jsWWgWd(tbqaK0y)Md)l@-&fBcZ!TZ1 z8^#$~Nj^3p*xa*z7C*)$uFgUik{`dnAF};S`mWuk(vVgG*svv`217C%&6@fVk3B9U zI3kqV{&G38?&VAPez6~T0b#`iB}J$>JYXF?)F8wI*`bWvkr8vZJWGr4iblSWvLmd* zWEQ?9>p?V>GTJX1vB8Xa}%9uhuNt5{kRv=cQ^ z3(+)#wYS10=SpEbsaQ0%xo*_ZC!lGSE!K*cVTmGhFcnye@OJ+tM44NZ1*?~!4i^oL z2|Uoq425au5D09)Xv5RzgJS0nR58kM%>{;T2erqwlTjw-@oD>vPnk$ZaIxYUt_Y+} z=P4ZX6`!tq!k6;Aa}x%iIy=?AIF%7uA$3BCSY*09l`K<PUHC+=|A*f z!0P(j`Jey%XIw+J-*J%5Igw#1c$kbCG&u1)P2#sC@#BGqI`;A@OlECx_IOT>-*xTU z6{2#aNj}_P!FfU>{qk&@zm97`J-XR?FuNRVQMC2x$TkO{>YiSR!4tIYOXd^N)J{h) ziSpIim^_9U$?Ho5!`**H*!%2otZ8rZ8IG7n$hhW_3Zay` za*DglkB`-p+W~uEurPN97lT;qE}EUzd{mn;Ra~Fc7rLXWJqHQ$)W7*Ap8UdFF}P;Z zq*LTI`-c8VDN#ILJsl3{?pW+UpP6NkA+DJqqUPNxlYsly)L6EaLQFwQsF79_S>|de z70*?4SyC3$DG-HkQLB(f3J-4j@lk&WKHLwqY-YFY#*J+9w2ion-c@Qd#G1{;BAthm z`#n649-fCQxV4b=f5d47U=n0mG3*L~Zb{@vg9G9>oJJT@*i@9KN%s*dln1vod_)k= zN1hgfZ~!Ngw-}tu|MO~b_oMQ4Fm1*BRlC^_^t;)O*HJPAs;AewZ~}qGmgZvxmP(w5 z8eS|vxoko4J@Co%7-gh0p|1p{)Wj3gH{)>dE0VLN8D^9WQjfjb6?{I7lhJm(jP@QXYHpPVgIgBrb{kGKo6YeNesXp|{C#!7qEMPv1ZE#UR` zk@fPa1m~Vyx%4bD0ySlt+#J<;?C)P*qC?m}D zbgblSE3&8*bQ8q);$Jitk|)<$CJ5gI)hTZUrOGlvyVom4z4CW(Cn-Nv-bwA~*{vtx z>86^W}xP<&v@`s}cP1*@K&q}MCl z_VCxQ|MiFOZl1n8@%Y=kkVGVWKzB%$wZ>~mo+sWjm;m;rJv>B*OOL}ttcav~^NWY! zVFjT+qvIP6g}JZ^T3P7}R<-o6ZlZFw=gOp)&^LkO<1CFjIlLGJCm3?V8F+= zRQAxQ?%X+s!Gnas9MyJ$ntGY~ed}vU(3%#$-*cq017h1@P*vp^_o$G|y-4R)P174+5;3`~n+V zoKN)`G~;rl98UmuR83n?ecs-p6T3qBtq5w+bYm#scRYarEY|0!&Oq^GdL?28^^9m& zs6-cq4wh8gFqVF1hJ9EquwBE^*!jR|EU-4nT9pvU3`enjxw>LGS?-aO(5)`SNcy#( zzJ$~)d}x-}O|kU}8zFa6_o@KZ3DaZ~88prI&4UAoq1glQ=8z3*p9Vsy{t-CT3IC@+zbCVi_)FInNXaGiW#`R+k=JS4wR)^oWSSo zKu>R)0|N+oTLy1-j)6-sPru#jr+8vI`{2MYR+m$Ah}yFUb_&6Wx%0}KF3-WtKu$K8 zATCDCpm$#q>e$+k0M|B4w<;gpaUc_nupWCX(oImRC6O%UUi8ng3btm|Ak#n^jfLD| zD?#$PoVw8 zqRKcGyVQ)^K@q;HRWTw$O9KRhJi8^^iMqnVl?1{l0V;tdkk|h!m(qk)3%H9Xc{� z7Yl7>2nrnxD78h@YKJn8ym8tnr<>4LLjkT2psb%^&_!a`r@d|bsD@*)o zx+wjP2z;-SGS_-G$7l9w@Fab=@%k?TgP=LbOZ?$YB?(`X%W>qBK^?c`jN(?=J!1o> zZk4wrr`^=;Ok_PU#3AXyPEwc!b4Lt#$EdFl3^Kq`EPj11+|FQR-N3E=i|w}qON>oa2t z8c*fWV2y)Gw$3oDnq*n`O6g=v%4x6yzjOK0p+4)q1Fk0-tl}!7$e^K!C!Vb}+syG} z!wX1d@iM%d_-MpPit2GQl@I=a2g7Ms_E0aHC091=QY}N51>TwKrjIuz+r?eo+KTEf+@ z7G4raVYtm8F~WdT1fh$M82E^iN~GF90`5Zb?JwKpK)ezc_VKlDx-lHLTj}6|7-Ae6A=uA#4JmHhx4zNzRtK#`&b;#4L%ed(olFD9s+)G6&RGRz=2hR zYBZdLZq=~IT|c}K<(40qm*NlWSnKW?(K}NvyrsD-WuE0l#0aHRY+GOJWS(k>PCEAr ze#91|rGgxTM;M)!iGre+=nsQCr4TU*9ZfAGt=?@F7V|!zd$`=MxwAk!z2WfR zF1BM;jsTaaFzhHID{^1gC=v%7k%6Wq;euHkk`_HZRl+-IOEtoysdtL&wT&v@iwr)7 z=wnDwkcU+CBwP*?!zua5Q5>@!pOS1gc}!hkUdA< z`@7R^=df>ZRG`WlBM45kNz{S75~Qo@Rr+luf%(c=N{4@%%gvZYH4F76CQXk-|0X#C z+t#X+-ttaCHTP0U1RV_*dS;1N3eqh5lL9I=!*I++AZHP$NDFDd+N+mRg*5>K?F2jA z7z;6rx3M_L*#e~T*7YorQ!fW@+nB_q_<&LHatriIq_?*{KVGsD?-8}7Oq8h85;I0y z@;(;?URG15D`YkROa2)rFuWY7HW?JO5HcGYlN4++GN4}5Iee&x?V@?FWpqEIGa0I) z4q1QW2K3K&Zw@!d16zxGtCzIPNPK52XBWR0*XqO~?3ZY##WK!Drp9CCsgt|4e*uuh8PPj1riPO5Nw7JeEA=SD9yYZ5=!inD+qMbF# zG~keEbBwrgRbe*_-oTJd+E`HV4QAi8iaP0O<4ajD-Px!?Q}2=^MVc>J^przceLvPL>^<22%_gv772)^bvL0%Xa2R z(YEHWW@P>OBg|LAczAY$T8+#2JNf15TTc36ySss=u{2Uq8!8iawLkU90C=Z!40?fb zNt*)nXu55-mOgfdVV#*fxuF7C9?P2LnuvnL@=KZ&^*h%&7#pl~G^@e1D4(>2H1${t zh5C`Vql4#<=h+*GCv5bQab%V4pkND1pN0g{B(O!mG_dg&H6-jqP&N>W+Y))2@nCAR zvl7&bNAk-0T0T+lPG~zvnO;Dfo3IvH?h)w8a*sj(tw0jPCe~3J1VyW1BQ)%~_9cGE z2r&pi6nH1YS{HO-D>R%%M(bm9K&rxTioEX8KmfYshm$ws>#;YJQN5c%?l=2qi*c_4 z+!wDF7=nU8;(ykuY6a%jNr!M}nW1`w@Nde&Kr@I14%oBVzh%FW_TZi{XxH-Qpm z05q-OgIi60&x(K$50YV6O`;qmzf3K>?0RR706u2-tj<5Gva67~$n!oN^^O%Y%T z->?t2!RDJpF1A7A_QQ{M`5#|@lemdcb7?0DQwkA5y>fgEgFkuQ!0J4)Qe03#hZRvrBxEOk( zkrggDq0D6|MxJjueboTpI<5W`B8ueWLCX5D2ybeNHL?!y%rvu*MI-UR5RGAYpP-Xh1~5~`cVs zgsL3&QD;11)QphZxHM^`=!`F2j6UX)$}P7!gBeOtTJDL1r>g@rR5<`MoRkEW-%zUq zZkTp0Yr9;h5_XR8q}$egL9}M|)jFd=r`CkVMo`d#Kvasst5To!xT}iBgQ}@ypX=T` zZeT(ADupFe>2G&mJ#L?$Z68-21hs%^Lo4w<5IgjeZmg=K!NCy^r`c%-Tb~wq=|;FR z6@E1uiTN~$4V(#N)!`9;=ydIuuFO7Evj&EHtoFj?d zSmkM(V!6keMO>!{X7*Bju{9y=mep7tP=TY4*wxw{SJZ}jf_>H$mQ!xgA}3}iz=b%6 z6%wqIZ5Rw3#T`twW)6n1e$JJ)+RK%u14m;5xO}2Xv~MY5n3}HW)oyFZK0>QNbTuR3 zCnoRdU>sA8 zhfXBr&%o*FoB^Sjf1tjh=MD+|FHcw7n-Z{6u}#Gp8xGl|!COB&-N=+FgKAZ*(3G^B zq#Ux^tms{gFQ}Sz)lpE%D7Ht1>dp#68r3GJzjAS^EOhn|hZj47wCam3RoT`z%ojX^ zC1wJ3Ud!2_4o@9VAVKc;u5iyZdrCyN7APis-a)K-fe(jgdV&-kZi*R45MB%82!A5^ zu-M+y7DWKGD2GsGMneYgc4VMe)VFKfOz|zQ_DY%eXH+p1S+r&}WRE`{KVIFuVRg{$ z^IdV2zxjv1|M~lWpvYSUsZJDX2L|xi-)pd+pru$L{RrrhH5j$yAsTeyrBrw+`i_R|CZhFB1SaH48=`l z>Z$=qZV;U5!jtG0BvDwkNW)-6Awk5B55^tG5nY?Q54N}<6rbhovnre%j?W%=LlZk- zFy$njUr|92{E{UEQNp0=*{Zd8lb}ZkhR+R?0@yy;A(~OIo-9LV9R%o zx>|Ug0xQo4_gb0L!eg74dA@K;Mir|1Vvh^eSiuAT1k1Wgh9+ZnW(xVBEwE;3ERw4Z zN>%7iY_gf`E%O?Z>hN-q^U^rGi85^+h(JX$m3}!}BI%*e`-OIq8&*pQ_)1JGX{XB;Fw(+z!^f)GhBg#Z}B!GH`XT` zKf3|)z7keZ)HS|`#H}HKSD=ItE)<{RlQ@U66FyPga1Ks(KR%%t`S^M~X*#NhxxUhu&|9i`3Orm(AFrr9g9)Q&I?Sbua@{u1xT7m(k((E?8#_*ft0c9zoTQ^p zt^ky%+Hj{K$t5Fg1_e=Z*>DQ2Tc--NViQ}#b<1i`$NR%a*7k`a@Bgs+pQrzG@efli z{b2srV%jhbBJP8+b&2e7GiM{;!{3 zzW?UmW!PfnkZ1HlKwJs7@MQHW3$mke3c4^XJB3&$J3uK6umkL+%sm#^ee%XUN#4QN z3yRnLP-t30{DYCHbJvRSDb^X|7cx@5hiLq^zX$X5Rymvx4zb3dM{svb} zl<*^!-mLzAAm#~UpP6N6fw+f;kHAcZ*o*_6{v{4#dHCbm<(2DdUO$U|_p0S{3Lz+- z#XzI}b6{L!N_(}mnHjb$C#OB%3W|D~0hJ8oii4TjF&sh$lk7FMfv0JV+S-PipU{xyfb;iv1-^Gn)|u0x7DK8P+Gz&9L;$pnTxkv%u`<7)*_44%VXFcDbEZhW3?Z!Ho@t+|Wf=Kl30 zu$J1^Y>Lr6a(n#6QamY7*rEK+WWyoF2Cd)`P?Ou6hf+V=@!|jtKnFrt$ZNKTR8_R~ zuI?`{0W-0&al8e6MEJ2@2!@0GRS}dhM)9lmUA>seSPKWNE_vL&14K6|P;p}Qu-#b6 z$Y$rVPNLDytsmBYReP$hp(et+0s0I@%_I96Zllj|{wa-qM(T@0v2jm3uRA{7$yO zf=DJkrZ~1nv0L*UEf)v_&;wwtseMOOCV*%L{oCV5{()eZ?e5_N(qraElE6;y)WH(E zJJN83?@B(I4jO<^E9)$&K_f;(b`v!1BV<5`)&Vz^NrZPb z@&!Yw4$tLri}(53PrJN=F_E9L0l1GSsnwkGQz04St~EVs9#n9*lsXer^NiDoCXI#UShf-POfFmFbWklk{!@4UC!tDK)Gk z5yjD5?V!221v&L_xpyv?Lu zW#msPumVy~**hwj#LR?P?(DP%fJi546P{Q}d4j+P>0?mCpI>+PsHwsjQ;03<9vf$@ z-_X+57wgN*WT8!e*D#XTJzraJs;8T2JamJo!AOkGYX%c>34HC{VHXCOu^fMM9|2E{ zmapN0wh9&r0Y0CmrwQ#r3IDw#1`qLg zaWVRqyVN7LnFM4CmDT|*Vb*kO$jy+1sauD+*y%1R<`#v2GFF~keGzPSMas9w1B774 z;N#OIAS=(w;u94e{`2@pRKtAV92^ZdjQd4X)RqoZ1F=>0f#Oq0*lh}S1c9Zcpa?5~$Q?<9f*B)p0$0>ZU4dqj7BQRJPpE_& zoF*9Wa-A(!MGR&J;NVv_7;+$*nWc|0)uk#3 z!-|Q)`AZF@PTg2*Ix7uva>CR~?Ro8SD@ZPa@|XnO}@ zz9?mq&tzkS@9Qk6+i*6ksqNMB`%Lh4XK(gN-RdzopFvG2kbOsYXM2Guts0*ERGX} z@72!Ha~Yc;9*XvOKxxFrsHayF*^@ME$YR7&7ACJjuBsZR;G<3#OOlk3Yj=(7D5Q|) zVkd^wW3`nCzO2<=(K_6LBxc2JhE8koEn?x-SMFXV+4g@f@3#vlD z7<`S&d%PEsGsp{N>lf#fYAeOcH?Z`QhQgBngr7k^*oKb(n02a|k>HcnUm!?D+z<*- zm`Px9QCu|CIPF1DWjdb!`1HU!U&%^F!}jq`Nn%^w7k>a0fPKC)n@s}f?aj-}?EwbH z%bV>z#ADmDeU}OuNlFx16ZNF+45ZA?|+aoJQ2e(k!5etIu;0*5zN=P-5RUH$!Yhoyt9xJ1Q z$vSZl_m>B>{6PVyJny+FzFnD$lyL=ZH07=|^YeiYKl|}UzihH9KnMLa3}npI!l;94 zx&4qWliQXRER$v~_TovdrSb?7wCpu`(0A2NunDa^*y8ZxsJ!FFwplVQ%F|L$oIH2(Bnq%MJy}s5nGJS z)iv)Q=n^?d6B`I_!fnimV6kK5WZFl7ygFs2e@$fnZG$WfL2sC;ue2^ds7P3O2l}adg0zpYb(KmU*pP4f_ z?9a`%a}UA`#gRY>{SwW88R?WHU}lEFCSM@>ARa(IhS0dMSH<}xl9XsLU-p@Xr(Mvi9L267}n{%#+-SAV%| zS3{QH-EZq&=hb=fICe*l+pR)|C~Sn^ZTq;vsNF_X(DDRIpAdVJUp^fgeE49JeRuBo zH9TA3LJ)OryV*zd7TwH{b`ktNB4L-MNd88Bk5d;ybS>*x>Z6mbXSBq^y3F*-S*NTq%7m=!uyWOexzF-+un1KN-!B!*07Du?8!d+Sd^l@kng|1>*6Dv$eX zW(&EtusUzWCf-W|8DUta(c{F#^DGj%q^^Tc!?ai{&dZCD=G?8>450XUH^xWCKQc@(r2;7F0+=ia=cjmaW&g_^Lt%`JSS(5fD*D^~ z_#(F=?62{+!&4Rh2R=E9{_Ul+>+ztE>4oD{g13 zG}mpSgoq*u5g`>tNmPW8A|z3!jFE(h5JKqvuf2ck+;evKK6u~fd7tU}e4gjq|L%Rx z*?aA^*Is+Awb#}stu*iI2Cuw_=(8sV62(WN1Inr@$qlhQ)pGj4$$VGKD?*aHQq;Mi zFY&TQ{;gZqlQZ%TLH(v+YxrLBS!Lb&n>GK`v3B|zBB_+RPVynhdmhoO*vnLp@;YI4 zb1R!_z5^(ceY$q(V!Pj0&+F?x6mCkadwtdRs*a=jo-Q(#hPhVW=r~!)*R`v;lD%=w z9FQuRM{S~v-B#C?POjI;Z!_0ZA6@H`u8hQGz{{v#Jyy+@Omc%N6A_t{P@4@B z_M2;;^kC(G+btbKDv6{Xk`L~F-sYnU5m{#ADYn(x}^m6?&HGFm}P^sb)1-26hxVb&g+qc&7h z>rG*rvV2xBKWHUg%6lTzBkcBr>Y$o-dEq_-uX&$2OjjTqJw#nPx^pS4qE zdfn2Iw37J+d6~yywx?PJvHdKRoFfxqtI@w|{lrA^=I~6p&8FKkQQ?po?Nu&Q(;d;e zsNRRCPG0pUz`P5HyZ}&@@=}hg6jiD>3^{USF8@A*&2c9AFVd-!-)GIevgRvPwHN7e zm5$6T)uEZGiWg~EQVm@)f=SirKt985p?QL-f^=Zl{z-N4lYO)E-G& zgj7`I8N9p+kW?g3*)sK!brifh|EuPT!D%uOKEFy|q9n5#Tk~7ROvU8$IIH&{o(+~E zwuu_G?Ws4piA;K}iq)pBh9Q{G%q118x_C67vQjPX=E1aP(KO$F+huGlG`VkVe022q zkxB2swf$KN`T^bLEeqxyQ}*CK**zpRtjSl+qnweIEdycg<%L|lJ)VFnFOth5^M0n_ z>zHIt^@)6k0Faz|jA6d(nCYpm^@$VSx>wNEqeNe&`F0U28+6Zc$;C_`9eER{*}y0T zqs;uB+U!fIAgfx>MX2$qYU&0nf4JL7e`vtv_V|46fY%iW_+l;Hfv$-jwe;S-Wtal* z#qHcjdaUK&nNl3-8>0eN&}U%2tC>5THmdqF@e@k=Arm3Fst}?gQKzVsdM*qIa zeH(+b`%7uNiB$>@B;{bV}+8To4G}L5@87}8} zP8nR@H>;04#Gt!#(vv5oN{muU`D1Examoks20rHXg)-Yl_s;4cQkv@f0sC|u)(Sc$ z3tUZ~j(Kly?A1m3vQ-CKUVppUYweSJH8lfk{(sj$box^B7xM1dbvdo(zBP}V-{{`A zv&;;|I4qC4Ld$Ab_}H@oy*DZ^`>$$zvT#-wFdd7!q5NDCurdopIKEz5V7-?mP4W zq!yCXRpkhZq{L)mQgA1~m?y6{LK(^$RnNpqA-N2+!EO@&A9crrfTh+)?ODyqkhuK+UQh-#@6l6k zO{Dx(<+nNIrA(rqxX(>$le4Xo&-M0itgKFmlxL~cNMT-MLkpH<&XmeeqHO=$eIM2h zIB%3U$2}*`HZ@q!x^+x`Ksv9F*!*Zd`BFNGtw9CWcp^1jU0$tMx>8j4zOFiQE0lEH z^I6KVV{QQR0?=PQ-`!t|SoYbvVp^jyJ+rB4K zpr~7Gb%v=*v-x|zyy+XQCTDAOxtjb~rnBYR>&~amIb}Vwc++PmjnZl7%(u-meIVzuJ`DCU1*0S=DDpF+lt649!rhra-_34Z9`R069vcCBAwisFIpiS0XX5|HGj2n>Mr)SQ1H36TQYBGO} zS%cE^+{^5I`P8-iPNpL6sU}BOlP+Xrsu7=NRW14alR`D=DOi1E_0WR9v8H)!bv4PV zEttJe1w5nPU;eI(nbSXIewq5Q)NWKcc@l5aNlX-Gj+4mfGf;{j*_)&X^`)kqCH0QX zUh)Qm%#5^4q{%d+vpG(pYb*J87e^PjisXSum4Iemx9$w{Nk5M#sETgM1gh&ln$-6% zXN&6lx2KHNU}J8uE};L`D%R1pF0wLntDa0ko}5e1kj>#L>y&vVtc)RT<1*8wY9jB_ zJ>}UG>rZ-#^|i@&5WTZAt%-iE7y77TKpm|7%K+83CM8MYlr#^h-1Jg0P_>7Y6HYBx z@1tkAYFSI!X{+!vZ^65E733&59p+n5WIx?bz1L=2v^!1C5&i4D0Vcht^yW$v2@O+@ z8Rk1G)Z7jFUM8=Y%QP!xi84KJL0N~Tu2g(41yh>Ce zuTrM!9zF9EmEH^MK3p=xWzk{}bwbafgl2zVrBGtIO9W{3~`AQ>Ge#!)t=J*?V|5UFmH4n9%ay6!HTCN{Nn9?SBy@49>E^5_&eYkYo~}qr3fmGZ2~NLutH0A_ zM?b6Cejt<9W%bvWQ8_r~W%Ts(BC(GJoDeE`Q)gr;U`1;`bL5QZCQU?Y$dpu(t#|6` zqD7rg>fzc%$zkR=b>-1*!p!|9&D#Z!q-RK%d1tOOL!wwzZ_LemlWstE+ngxT8X@5d zs(JQlx>Mzf^adrRw|$Y7;2hNCnRWEs*N9ILjf2~I|)vrnE)7yFlUZTmbz;&!7Q3DV;?1>$h_qIt_Pu2i)`;&5s zs$pX3LYU1}_(s{ZlPf`Sr) zcgyMgW7YtArOy7U>uoBTPCIMJTzt+WNW(Va#hQHUDZQmpX?E9EEiHLhD2N z%Auci&P!fWg{sL??krSUL~o9DV<4~F$ZIcgIMU7gGPM?Wfr*(U zRz|z=+Px*>eGX|G%R4Lb*vfT;LO|ou3fEG<;2!mJr(-f)B(0HvcAfG z=w0$4!C>xG)a{bq4s&WotL(C!HnNg+r$H}TBI7xjKv;g517?lfp}aRgWM5`&lsbWQ z`l(_pdB9)F-}6?_yHn_64GnU6lXFaB=aWaG>djVFBgv0>8}2dN-Z*2_kYM#pp?U9{ zH~StlFi3sQw!qBoQpl+Kq*=JBeU!eM0e!l4lQswICQy$e(NBwuNtYOPP?DBddnwbt zWy(OtoSaZ@?&Q(oskyP7oaW7^$3kPI&SCD#X%k6U19B6yfXQ_&zbR(bL`x}!syfz* zLN#yjxYZ1%iw?#yoVM87eQ7<*dqspT!>IGwe40a@GwPv0J)S-P26lOQl4@YL>b_2= zF59JyQm+wm%3Z&%{U*JjBvIW{uMw4lVP(L)Nh)Lqvod7FO@WJaS(eWV<{tLXwAwPw zgjX*P%+BgNpij0;s&HDbk!nWlX|-8;%oYk&G15=?LOR7q*Ix_NGWbG2Q5;NOd6&JIT zq?%Rw_mp>)B?e3sxQ5OJ1$yO6_J;3B=P`LCevbycxyy~CnQmTIHvo&31==?hc0^t9K1QX92Bih9~=W*Q}3 zm6O|x^&u>1v9$$q3YuA5Wm&VYg#&-u-xI6bi(b{cs$R8~*8r!_Qge0;{ZL|337qe8 z>-(+JCf(^zj8;t@=_@Cac~jguuZ7a~Skq|>Bp1s#*R)w_`G1h&T@t~3GD9^dCT*&| zjwY5_o0Syx&h<@2S z*+$J-*JnX~pE7sKx{kO~ z#sK@|4KF?QEk|PXByZtTZ-vw+hcx^5Os(C}QLL@3f&iqH; z<<0VR+UPrTk=_zHNl#nB+z>hn)VZw(DJ3^Fn>D9IBd2P`m!#CCW_~kaSQBDNjxKN| z^JnvNs`;+pM7B)yx_cew(~Z_~amnC1U8|Ssh9fO$xPaBjUr^nK-Lv&X$Owo67U=ZK zXK`Y?6ED{7o87s;YFp)$N^HB|k)Z}WSw~;B3&_0vnR>2Xt8-dki=`FM{%P~MRO>21 z-Y{q0a;VX3i93CDCfJr*89C|n@7tw^`AkS656NXbF(j&QdN-L3d$4*SRehJ4y;aHH zQ=X+a^C54J)}@{5p-TFFbKjDeS-(ytAn8ggWv-N0UY=e{dAp%hX%Z*2nkGF_n@Ra= zJ{6hNsgy}73;{d3Z`D!Y{Q z7(WNXoN!eJFFJiZz353iAeh6%yXJsCY0r__g004Vv&zHgC6CA@#Y%i$dZP**b(3q$ z-cmKm$r%-zk~4aIWbD*vEN6UdMsD-|1G3dSrtE*0+Y_}!*WB&X$T{V)&Xkq{sU+*s zislTCiL1D@zsU=%)EMf#F&yfS-F!2sZ3|OwF04{ljm}Ht_Pl{RQngGB3zC-`szFFz za}1J4Cd$YwM7Xgu`?Pc|x`3rtR@4hqC-%@)odT9xALU|T@6VN%O*q*F5A<<<@*w_?h`knGGsNuN7?VR9-sg1YE6@ARBz(QD;hRe?9VGZF=iJV&Dw zHot2_;#q0+Y=+r*(lb4)m&)I#H$3KjkG;iG3bDk5MATK7xmL;}t7*h6FzvN!+fr*M z6Sc(CCKl!u6868_>WH?*sgFHN(IM|e>n+U+!vfc_QbX4ml3Eq5 zlS#f#+Sl@#e%Pmmb?I+4?=Z|s`I6s{nRKAe_~t&75> zimf`Id~^KbEv>|I8u^VRjr6tWb;m5^|o6R{nC`B z_tCbJnXc+Gl|HCj-sY+2baGr?J&m90{nIC^e&pk{n^5y?&7Phe8<{k6$LUiyq3W~x zjFp{CNnxvctsgZ}x*Fj*?8Eq<{UiV#2!hwarZA%v1v=<#NKkFHdnNy<#r4 z$6Ze-o7@ebdPPX-qE}xnbIz$}!>kz^)x7ymk2@pr9FbXHO&rb)-I3w4vO%J+u&?A5 zT`5a?gd}AuSSf;2>T&vA;W8K@|G(>t&uL4|L!ERMSSLfKOxCD!qR)KhAhFHnn|e}n zkh&bDE;qlClhXdKxx`7S#>uI}r{;LJ$}02hN;+iH5b5sX=t@SB3?MiBNtMb(SCuQ^ zQ6-L~N0)ZeRF^w0Ha0Chb@bS1yELyO&?3#(#@$A0G7hKT;d4oeH902F%uI>3NE;@P zSG0|d3&*0-ShTgTb$D`Ud}LJG z@+b4ZO7j0|x&BYZ=}!5-P%IQ4Z4_xH|18mE!sOi8jMlvzEsZnEmF!izNR{H%ic~LB zvskSnwTsj#Qoo4)be_q7DsFsxDsPEl6f?4tLy6C`#XW3sPor|mUwRtVBrcWsThGM5 z)IW+Dy>09FwyodWwtio8dEOuU=Ka(BzJ9j2zbzhMiwD`_A-4DnTYS{~eUKM*8MgRhTRh7a zUuuglv&C1~;wx?OJX?H?EnZ-Yud~J1+u|E+@r}0lW?OuVEnaGiZ@0yF*y6iv@!hs~ zr7gb47O%F&_u1mLw)g>C{Gcs<$QEz3#hY#Mqqg`lTl|DAe$o~{ZHu3=#XD^AbGG<- zTl}Iee#sWUY>Qv9#d~e>Yqt0eTl|(Se%ltmYm48v#RqKhN4EH5Tl|SF{?rzKZi~M* zYNq_9h;eR8T-2DJ5*IU4b4+pLP|ETWM)l+igmH$^EhR2#%t(n#8S7Kx(#GMGxQuaT z(c~W}YxGTt%Nf_C#N~}gQsN56p_I6yQMp+1_f;}FrNotu@hNc?l^!1 z;s!?XGm^hA&2Xi}4UG{g@ma?8DRCoXYf9YMIFb@KF>01f{{E&$*Oa)Kk(&}XH&&*^ zEsWPv;r!Hm@lHzYHOiJr{yv}4Atm-36H?-Uu_7f78n36s?Tiv-lfSRM;ZKRrF=8ok2jixc z_*`RqO5D*nmJ)X|>Xl3W{&b^fO5EAFBqi=*tWAkCjJH$bOyi95$=}!2I433UW=u+n zyC<(K^}DZZ@i(?OrErk-Q%-J)Q%**SQcfm`Q%(knQ!=l_DVa~=l*}S=%E6X6J1=ns+x}Iw#g%MvYHhigQN^~rsx7W&i>uq>8n!s~YEaCmWm{g`7T2-G zb#3vPwz!@xu5XJQ*y1!>+|U-EWs4ix;>NbPi7jqwi<{Zv=C-(nEk4^8x3tBrY;kK_ z+{PBSwZ#rwtZv}){wrp<@&fr+F~e<(J+|0ui+#4(Z;J!AIB1L8+2Z!L_#9i@!4{|1 zHi{V?ZOc2^;&fZw*%o)P#Tm9Z(-wEN#ocUicVms5vZ~ZkXJQqjr?D|5?rprtDXmJH z%0^$qm|{LckuxPUF6wf&i5QJ@jmA-9`Z!M;M;o`-?TSX+;czhE^!UAjc+eMcc%439 z$Qg76qtVR9@dGR`q^>{ONFK3|(~IN)|WgW*WnDcctD z#+|N+M{TJ$?h1vx)=pVJH!>2D&$xVTVli(xE=d=SJEQT4I~IyL^G!$iRcgPWmdV{f$D-iSh{4&p--xml6Wbb9(5oa`Dt{v6i z9e2yYk9b_(s3#DQ$~r!e{8}^;@`ODBIh@wo;}WX}gHBgC6o|-<$3l*{9Kx6@9Eo^h zL8sdhj99BrnQCpg9CX=6uP^S4$VR(kPAesY4yRkrhmhY~J2sjP_c$UEXFMtkLvjRM zZl^~MxI-Skl_Ty9$(xtdZpNm`32J@2WNc4Nj#oSsm2)X5r;5|-4Ml=}hd&zf$Uq;p z{>aGKG1ls`a?BC)IHmPXiU?Al61)Sl4oVekz-{UiXVYG|w35S9aw>#qUM`flLuRrGUgk;ZsL1)zKk!fG7 zgddm7cL#m)1;L=~LBQpWxg=}4C6~Jcp^(!V^|-CQ9Y1YcBzN*yYilBrkT2?q`XUZ@ z+$RYub8bb0PM>T@NdDxv^4i42k@82vL9Z(sb_FBxh*OFJ$p|r@!>{tV-)DXQ#BtVF z`=VZNG!l198+_Ce@%f#>m>hn|557=HiYx1|PL$S0Yjt-t?umJ#LCFYi$?;BSFcOi? z2+Eu!e)*iW`s7@Wt53=u`CrH-g-XyL33#AM%nad`!bI!;q!lOq$@8n@FM zl^huLxg&u{P$sQ(If82X9G8?BVYkQ1Hj)kUlE&kV#r+YVQ*wU58FcvKF^Avj4@MlG zuv2nR-292e_V^?fTp^cF$^|J+LQbzg5{Ns4QeH;l{%Dw$b*}UVr3{i1G3t_YH0p4> zgCR+8pFbFvBNec=e(DsCY9t&9%G+B4F}K$#IX57sogB@OWJsUK5e!**V0x5vj0Zh( zISI(xZikf7K9}SmpHo)z$k`i>Sf!#qpkB#}4qqe`j743Zs9&;zBOGwb^U6{*grrs2 z-0Vat;Ppz5^7v(I+>#nWpWE&Ax#iG?-7&u_9Op#U-z^sghc_U<=ntq8T?(K`BoJ`> z9qK4a#xTD-nkWT)(MU{+?LZ{%3Q8uBvNG(DPe)|SVv^UaG>Ilkfj}rGGak8uVV`6b zZ!G2s2P8kpm&k=J;^n;7rGU>T=WtwpJmL%bJW`y7{6Tfab-TlEN62RtNJ*tY-0${C zY3ua3JRT{#WF!1Bxo$e8ncU}z#;r8WD+PivkIcXnjhUA``TB5BGPz`cpd;vY_?*_k zpAxo;0V}shot~Iqibz-3=L$MKA+KA~C*%vdeZi1yq;)w-lmad}Bm9!}Trr0`AcdvZ z@0IF-L$Xj*O2Uw}{fSb*5th>{>XS1rB0Danq9jp34ujw04a-HvT06BAke_$?JaW!C zRzn z;&Q5nf?=r)I3mudm2DD*OeiX6QB(>!sYL~)o)L2gC7%bSNbtDBz9=;kvydTWl0yTI3AV~+a<~9a7R@sABhFylKcHqj>;4ZdBv;O`h=8C zQtrx0BL_O>_Qd3PIprr^VTVUbEkBj9`SByJtC+=$;=Tds<(urn5R`vN|>tUBFMuavHGsSik*<{|UvM)avA zwegt4<#mVsQe_N;<(!X8p7%;cGb9yUGN`Oh?s3P%vhU82M^166V#lNlP>N8Gq-I#M zXxO@Z%j#quzp7k0JRz54_E0bwm!Yg~ztg9x+hMoUVI8Ezbte>17rua;$I+0(5%kEW zM8i^z@OUGV`i)FaiN#HBRxNIjMdta%4SZQSh)w2Av% za-o;YTHGTwbGZ`+Jz8t?`BpM9GrHF|b)`RX@<45Q6Pw5Jc zbs0M$GG^e!Xec*k|Et-OQ&gIFREv&MwHy_d<{LAXW*5~mQ&dzfEK1ck7AjUP1xj_tUR0W)vXs*N)JG{lIz%an7*a|z(@3Q>FO65q=W>fXS(jH|a!`QEEY#0ZXO$_5pWmCiWK`G5c zrFj^|R8irRX%_AL^-Q{>G<)HOf zo@W>rD0|3pQuZ{ANy=V^af!0GVO*t@gS$xC*D!8VN+w^W%r=bm%Knl+l>-c8yK{bpkj5n2o4dX+lWR4@sp|U^9^9|!C|-kM#*Ystn;TF zdNbDfR1TFH%ONn;`Bjq4j8#jK`hM9(Q`vM=*(B2(jNurZgwt_0&c*q-2$$e8T!CwF zJ#IoXU(0rFBi@O-aUbr-5AYBk!DILXp2Q+5-^llu#PVpK2lDsY#0{_swnX#1koEk; z9k2^#p?RLjdIO1vVF*W}dEUr+Q;28c9J~t6^GMcPOnfWeiL1~&uVlRq#E;-s+>YjX zChP4XejVSz579jDWW6tmzr_>y8=B{#tXEp)U$G)q$GT{qm$JMWacgvA5Y6*c*2^UB zf&FkWn&+*o7a<;v6L2b;=drAJIq}uF5N|^Byq5Kr6W@#L@L@F1b6M{x;%9LezKZ5~ zFYCQWd=NjwukbkjjHj>!|f{l6-GYhpcYge|Zwda*sGV>j%D{c$MfU<}9LB%F@3 zaW2lsMYsf);R;-X>v0o4j@xi2?#6w%A3wlDcm$8(52*UNRk{_C3V?b3V|lEKwXp#< z!ItPmKX$+_n1y|CAP&P2j>K^|1!v+Myb7O;tBi>i^+vbrE6)dh}E$!HpFJw8r>Mgj+lu(upbV_3owGCaRN@oOYm~M8W-YC zcpEN9H6B6j*E)O{x8PIwEbhWr@lAXW58`L|6&}Z*@f4Pj3a$G6vRD~wVm)kxEwC+m zu|1|^H|&M|aVX|s49DOkoQ|_`F3!hAxCEEs3S5KhaT7j{+i)lD#(lUSKfpt%#&f9j zI)*>sNi0&*T3!;%V^yq;4X_EeL?`;O19rhI?1KYw7=~~pj>9Q96X)Pncr7l*Tk%d@ zh4Y=&~{ zWiHqCc-14V(zy%Dt&17=L-W2#x z@Bdf1f4PPIy%X=jb+{4reakb%FW@WqCLY2s@UNzePWQie`utzFLvHcSeAodqum{R5 zvbkK}UydLiiQ{o9&ceC402kv@T#lvhZCFaD{{VGL_7)AI8;>*==;QZ#0&9eT!t%A-yc3i zyak`eo%k~9`@(mL?fK-dmS6VI+sm0hT3(Oi@MqVvmsfx9^TysTGK1}#gY$49-i*3E zWF_(a_z>#-D@QDc+C1LUlxW%zo1@;Z!t>Bdpa1mp@F3^o=V-QzN+$V{Shvd*ryZdz zR>9hshPpkb4Y3!`!7g|n>UNkR#5owpahQv`{pAYc`FI1~ig%%IcX@z#6Fz}v`>O2k zi^RIUh_hhiJch0j@T7-yUGCKVHn2II1zPw z%B93t;dOWu-j2E*Qd+&?Hui{(y0e*tIo%cK9pHU4}SM`mOSOInWu6oWzEpLQpqZ0$D z+jYAV_rd`<48y3~b0-o{$4k-dmyzGSj##(j-cGy%@52rFDC+jx=ZJUXYxoX+gu31K zYvLd9S1iW)SO#@_Z7t#k*c4l%2X#AbXW}gEi-Yk()a|oliKpPjcsX8!x?T1b;ydvk zT!$M`x5qw1`~tp$Z{qu?+hM;T{uY12Q+NjLhPwT=8gX4b3tONAb-U}i#F^L=`{Vhj z+gnEwPrzw78?Qv&&Uz#9ZFo1X!3R;dud3&uRQ`V!U&4L(HtKfOPl><6@9`w6K5F%S zx;?cLaZRj`O|TW}cGPyn>DV3n;2_lPr%~cDI2mW+WvJUtuP0uDci<{qi@Lq^G2(6b zJnq3aP`8tQOnd~t!4vp9>h{rcwC`2LI@l1Kqiz@V5qH20?19;++e1eXkHqmf6=$Jr zmU-O%Q?A1+*q=41@2@uz>voTSbbsxC>-~0NJ>NQ?3hVh+I&9$j`6xbx&!O%oeU11X z{0Kk8uTl4p{z_bo>rWZ1jI~hri#8>0jUH@|ol*CP_9Y&S7h(*@qV5O1nD}zM1{dKi zsQW+fAzp_Y@o{_xb-(8;#BbvJcnH;V%q z?sptdJQZi*TwH*6(^{fV83yJ2q}h{I9$BVI&231{FOoQJyq@Mhv=xDxNjhfq$B!up{c&)uZM zKGgj%9}pkHBlr!Tz~8Y1?Gxp&D%Qb<*c{uU4?AE6_P}f$iX%{u+Z#_j6=&gGT!4DK z-csV_xEj~vX4K>Kb`bBvy|^C_pdO!hl=wLQf<2x_B10KnMEqT+GCt*dNcw5RSqLI1Ojxm3S@Qh_~V0xCS3YJ+Aag;%D(C z+=p+Y9#8ry@mKggp2VW$ztUIxZ7hn{};AEVMm*LfTJubmJ za22jaJx=s7;%)dm?!h-uj}QHr_y~T3C(s-pF6Czl>g(mOD%Qb<*c{uU4?AE6_P}h^ z<3L9ck3==Ukh&gE#aTEP7vN%Cipy~|>T#c&iMQeo+=Y8lkM}%4d>D`7ar^~~P){#~ z6|n}^!^YSWT^Php*bRH59@nYnM^fn#!HaMb&cHc14;SLixC~dK9>@6*@fLghYIn6FV`0 z9kDCwahC&#hhZ2;<3!ZsEiWa$3a`VP@OISWEbk-UfREx+_#Eo-m9G)MgCF5%_%-Tr zmA?`fqrP4SD`PFx<0+dGw?+@P$Iht7QT8Psj2B`I$D$rTc`@XG~(HKC0>g+q8RGfu#aRDyIrMMhd<9ghT zTX6^O!o9d358z=uipTL6EFv8+YX3@MMXZ7Kurano7Y4BtcEjE{5Qk#~FTzPU1Lxp8 zT!=U0GF*xG<3qRwpT?c|GQN)Q;z9fz)x3EsJ$}UBu(%8`Q-3duRj@XuVKZ!lUOWf8 z;Ca{&hhPrIaUABNnx{`~#}zmqZ@^peF1!~Xz)koBZpRn#ReTFSz)$c?{0@IcHRYY! zu98>*tK*s22+u|*2CyS`#a=i7hoMwItmA?I?&Ek?upeu1J#NA$a67(;ui{&%+l4+M z{t~~#pV8nxvLsf(>UbtL!n4td0qlrfu@?@&VHn2II1#7grFa!yhd1HvxB~CP4frTN zh0oz`d=1~hkMJ}68h^lFu^9K0Ww0{V!UotBTcZctV`t35zBm{!#2AjnDR?nnj@RHK zyan&XdvG0Y#K-X&d;wpcQ+Pw^}K9#3LX?k7uQC9H|{u?e<9 zH@3rc?2dhK5MF>$9D|c_CSHbD+pB>lnHs$Vz_$hvc z-=lv1z9{8SX{>}bu|77zR_Mldn2z1C4-Uc$Fp6VvGS0-y@M^psm*5?^3fJPp_!w@( z=W!3df$!nRcm%(}6Zkupp!_L^Rk02>#OBx*eb@mrum@)2P#l3HaXe1NSvVIL;9^{g z%W*ZX$IZAEci=AEi~I2a9>$}19Dl(gls~1gBG$lq*ce-)3xn7RyJ2q}h{G|07vUtF zfpc&kF2tL08Lq_p@gdxTPvcH}8DGbD@gRPV$M8q|4U1ELmBlJp8`H2Ewm~nRgI(}E z?1w`z2je&nbMX?q0_Womcq`t8_u>P%37^32_#(cFZ{Y{{34V#+;m@chSTXDOSOKf! znb-)=MkfZaBX-4JH~@!X7)RqooQ{{`Rd^lVgty}gybm|vqo|)te~x%JzJ~AMN2s4i z|C;y*{1uDQ&Q}I2V=ZieO|dn4uswFhEbNPe@j{H@Se$|vGQCADdt+ z)X#^vBTmQe*arupel9#pJO(G@OuP*B^WfJLFTp!-6|P179Qb3z+wghZgKyw__%R;A zZ}0^EjwNX4D~DCF4mQN**cN@*0W+`%X5&yCfg^D|PDSBwR6py16NB>prBY(CY{zv0y?Bf!CB^`@V-z$9D|c_CSHbD4?o5u_zj-G-?0Ss&T?24>tI7{j&0G09WVoXU^WiL5jYaZ<5Zl5b8!JK#-+F% zSL1rzj9YOB?!vve9}nPRJc`Hh7c4@3vlLdu8dwh-V@q^l5IbQv?2Q9)I7aXyoP;xQ z4$i}ccrz};m3Tisgj?`w+=(ya>-a7n#Lw{<{)oR}aq638u?p74G;D@#(2M6_7d#L9 z;SkKhIF7?yyacbn`FI1~ig)3?_yBIgCvZEyh_B*X_yK-`U*dQ8GaA%4OJW7Aj%Q*c zJR6-Dz>e4zd*J{ahG86y6LC6TidW%vcoW`^EAT$l^Ef?9{1iTiyHUM&Ox4r${7oMb ze}-S<5BMt}V&uCD;D2WxYI-ZG*@N9Hq06St=?1ckx7>02)PQ>YWDPD!w;Z1luuE6_n13rpR z;d8hfU&D9sBm4}%#vkxkEJi(}3|7Wk*Z`a2UwyvqpH)8ovwk;+axjKta1u_(**F*H z<04#wdS0Xz#B0z#Zs3pZi(lh&@8C!H8Gem=oPOc`uytK8ydSpGq40j#N{7PxVJjU9 z?}x2)D7+uG(xLEv*h+{0_WR-1+!uSWJ$A+{?2Ci(LX6>9oPrmlp0D^C;zf81-ii01 zo~L*t@#FXmzJRaboA^E+!Y}Y!{0R%|nO464v-kgBP|y4pf5KCE2KTq+u^QIJv#_NbDizs{FciEE?o7u4hQbi0(3<%RjvIxh9er%kXGy0IOm zV|VO>gYW{3;uxHaGx0LK8n4GCcn7Y+wfHbThTHIY+=Fl6d-yRP!Ef*c{*EPRe<_Dm zu?{xG=GYc}*a0)J2WI0?9DyToJWj<~I2RY-VqA*LaW$^T&A1hJ;4a*Y`|$uC#-n%~ zf59TOHG1FNyz)P* zp8L=G-G9}7g+J==Exg~e&aZ#e{a#^x+DhNT`m~h}h4pDG9bTvY|1KWH&+!=kh`(Xs z{6ALu{j1IY^PhU%y^r>%4frTNh0mdGUoGs%xAJGF`&_wg0DmY_82*UAVR4=lDT`IGHl|@SY=d4r2fN^T*bj$b4#sgD=HexI10yJ9aKfWt71 zqj4fm$4l`lybksI&2J}Of%oACd=#I;=WsW^hVS4<_!)kUKj5!ejOVw@U}da@4X`P; zMh~{f&X|RLaWGzpF&v9i@M63iufaul3*L$M;5yuhkK;4=0=|N8;`?|Azrb(tCp?8` zFn?uvtcG>*ENp=e^y9ghi9NADo{u3Mg%fZZ&c-Y8TD%c&!@F?}K8TOtllUyYg!}Mq z{189Iukd?3iABp=*R|4E32S0~Y=W)OjqNZUyJH_5gco2G$KYg~iI?Hkcs(w`J8%`Q z#fR}R+=kEN9()7e!;kR@euF3QcPzpDmF2K1*1?9@9NVG~J75O(z-%0fBXA^+$Ehfn z+rsAs{{hbn7BoJq4)5xEPn>a$Jq;aWihk z9k>hk;(k1Uhw&&L$6v4r_fw^?BG$lq*ce-)3xn7RyJ2q}h{G|07vUtFfpc&kF2tL0 z8Lq_p@gdxTPvcH}8DGbD@gRPV$M8q|4U2PMRTisYZA`;v*ap3L4tBxwupbV=9E{^Q z%*9La3Y?EO;H`KU-ir_5CVT?70 zyJ9aKfWt71qj4fm$4l`lybf=|+i?Znha2!wdcQ+Pw^}K9#3LX?yE{;C9H|{u?e<9H@3rc?2dhK5MF>$9D|c_CSHbD4?o5u_zj-G-?0SuRpqcM*1?9@9NVG~J75O(z-%0fBXA^+ z$Ei3A=i&lfj7xDjuEzDa8MopN+=Y8_KOVrtcodK0FIa^8sZv-GYhXQWj4jcHLF|Ox zus06G;TXY-a1zeIIXDj&;?1}WSK|Hn5N^SzaVNfvuj9LT5I@Ib_#^&?#ksF4i&d~T zreQN|gI+ubyWn})4~Jk5#&I0x;w5+m&c_?@R=f-E#RqT`K7rfuMSKUbtL!n4td0qlrfu@?@&VHn2II1#7grFa!yhd1HvxB~CP4frTNh0oz` zd=1~hkMJ}68h^lFu^9JzWw0{V!UotBTcZctV`t35zBm{!#2AjnDR?nnj@RHKyan&X zdvG0Y#K-X&d;wpn29~HKc0^v9EB5b z8qUTm@mjnQZ^OHB4L*pE;FI_)zJ&YmZTt{F#jo&tJc&gs(7uC}uqM{WCfEwy*bdXN zJNCgrcmYOn3{J+Gco|-e*W(hr16Sc%d>9|YZTLLy!8hPhZfEm~WvvDYnz>zo}r{XM}iwkfuF2&`z8rS1y+=@GJ7w*OVcmNOMQ9O>n zV3A5z`joo{5F?Xj$p=kD5noFzreg zVhqRP6ucNO$7^sA-hy}HJ-7}x;^X)XzJRaboA^E+!Y}Y!{0UFt8MOP9$7)y?&%zey zKtG;~nb;HixZ7hn{};AEVMm*LfTJubmJa22k_hw(AohR@?3d;{OZ zkMRh8gD3EJEJ3?ZIjo9xupu_bw&=qSn1MYo8;9Zu9Esy`D$c^WxBwU9Qe2L!aXoIv zt+)es;a=R22kgfnms&clUx zGcLoGct1XbTkvVzi7(^p_%0sA&+!=kh`(WR+I`Am6|9YE*bLjC7tg^icpmn{A((@4 z9EZ7h30{Ho@dmsV@4|cW0o;U7;C6fwU&XiZ1N;QP#P9HDG-&rJi50Lqo{5d{Y;HhGTIGUW}LHHMj_G!8`FDT!$O+aeM|}z*q21d>;?t z7x*pygs1Qf+I`AnHLQzgVGDGiAJ4^1l*?w}{o>!aU)1jjJw!Sk!DFcB`?Sx$T+n!m zzdGNI9#_$Y`^_xugL*z@J)U3B%TzeN!phf$<14ImC>&p5r9g+ z;%#_0uE7WK5quJ##g}j&zKtK^r}!0qk0-Gx?V_cz64u1}*aTal8{1(zcE>(A2rs}W zj={+|6EDN7@p@c>ci<{qix1;txDB7jJ@^K`hack+{02|p?^uF%(Q;T7>tI7{j&0G0 z9WVoXU^WiL5jYaZ<5Zl5b8!JK#-+F%SL1rzj9YOB?!vve9}nPRJc`Hh7c4?MZz-&Z zHLxBw#+K;9Aa=rT*c%7paE#zZI0@n&3xEAf7O2)E$VxD#K-*YRCEh@ayz z{1Jb{;*1w8i&d~TreQN|gI+ubyWn})4~Jk5#&I0x;w5+m&c_?@R=f-E#RqT`K7rfu zMSK_!_>0AK_>CHU5CVVll=Gmchzc3maflY>gglkDW0K`{H1{5MwwN zr{KkSIbMT{@D{ui@4 zn29~HKc0^v9EB5b8qUTm@mjnQZ^OHB4L*pE;FI_)zJ&YmZTt{F#jo&tJc&gsTlLz~ zSP5%leQbiQ(2ead9lK*69E2BO6vyCXoQap=)p$KF!8>pjuEmG(G2DjF;~sni-@}jb z2!4Yn@OLax#Y(4gSQYDFLu`(1(T5!{1AAaL4#g2T6363IoP~370WQX+xExpGdfbd# zaR=_gy|^C_;9)$9$MF{|B7K``-kwre5o=&QY>X|@g+c6u-LN+f#Nimhi*ORoz&SV% z7vjyh3|Hd)_z-Tvr*S8~jIZOnco09wWB4QfhQ+H{=~Nc0U~NppX4nS3cn)^K^ROQd z!5oa^ILyUM@CuxdH{h*!7v75x;3j+mx8sZWD!zpu;3xPceuqD!QQbsLo>9|Er}9`0>*8710v+hbb1@TpVt+gzLpTa2;53|#SK_sJBi@F0 z;~IPrAHgT_S$qli;oJBjeu`h=_jnSE*0R#4G*-fzSRb2UD|BN!Ovmon2M6H=7{xI- z8E4{Ucr{*+OYja{g=_I)dPde6=&gGT!4#lDK5v=xE?p-R@{NRa4+u119%vZ;&J>1i`22wrxaGi z8dwh-V@q^l5IbQv?2Q9)I7aXyoP;xQ4$i}ccrz};m3Tisgj?`w+=(ya>-a7n#Lw{< z{)oR}@w!$zmBlJp8`H2Ewm~nRgI(}E?1w`z2je&nbMX?q0_Womcq`t8_u>P%37!W^;T`OWYw!?Jnj(R-bAmR%!ieqpx&cw^`YP=qo z;2pRM*W$zY7;eMoaSy(M@8QRI1i!%(_&b*1xvz3q73*L_Y>sWwhaE5jdtf#W#Su6X z$KzC-g>!KMF2<#}99QFd+>Bds2kyeXxE~MTVLXb*@fR$@b6=&fBG$lq*ce-)3xn7R zyJ2q}h{G|07vUtFfpc&kF2tL08Lq_p@gdxTPvcH}8DGbD@gRPV$M8q|4U6;KQ(3Hn zwJ{BwVH@<~IoJiy!+tmfb1;tMFc&YuD{wyEfVbjZcrQMHoA3$TjxXY?_!fSEpWv7H z9sZ04{pBUG0#?T}u@Rn)P7Gj2?25f`01m@2j>d^N9WTYJ@H)H+Z^spQA8x=$@hN-` zcjIgL4t|86;n(;B{))xuFE4|Yu@*MKrq~)i*d9A$7WT!#cp=7cEKb3T@p8Nd7vU{< zC*FhWa3emB&)^IA3ciW&<01S4zr~;M6rMr9d3mgcb@420fe!TJxtNJPu|J-VAsmGh za2n3WEAd*q5pTo0aSc9*kKmK|EWU*M@NN7MKgF-`dpwCn>Axzf5#H^W0%9K zSO*(ob8L$~?0^~A1G8}`j=+&P9;f0goQn%^F)qdBxEj~vX55N9a2M{y{dfQm<54`0 zzhDviuS;P?tbz5gF}6e(2C)-%!`?U$hhqdU!bvy-=ioeCh&SUhT#5JNL%0Q>#+~>w zzK-wWLHrz#;g9$m7N;M(ELOqVn1;=;4SMk$?1JZEKOBNN7{_s#iylUjtK*s22+u|*2CyS`#a=i7hhZ2;<3yZ} zm*Q1;9o~eu;|jbFH{hfA6h4Q$@ilx0Kf=%OYy1I!#bWehm%++d3maflY>gglkDW0K z`{H1{5MwwNr{KkSIbMT{@D{ui@4JXXWH zcow!m2m0|`%*3A9AJ4}Sj=~8z4QJz(crD(Dx8dEm1|P&n@JW0YU&4L(Hhzeo;#c@R zp2VW`Uzf&8SQG1G6KsWUY=`OC9sA%Qya1y(1}Ec8ybQ0#>v0L*fva#WK8%myHhdoU z;2ZcJevC)(8$5x(V+s1P%VAZlgAK7cwnZOyzzpnx**FwO;7A;gQ*jo~#Ra$+m*R3< zjq7nUZp9tA3-{uFJb;JsC?3aOun7IvrLZE_zm z4#6CZ<2cO4OYjPuk2m10co*J_58x(z0=MIf_$t1IAK)kWC4PrLqe1_5Nvwd?@l0%l zXQLAX*b%#8FC2iwFpQ&dB2LFk@hZFyZ^GMg1>T1n@KJmUpTphw8oq-c;b-_Y{(!$? zG5WE~U}da@4X`P;Mh~_}X-+6?PnR^^{da0l*Ym9Xe?KojoObF6UWAiy2F}5GxDao~ zWw;XW$A@qWK8-u^Wqcjq#e?`c9>X8;H!My&a#<{#cg;F4eRH{s*B4R_+d zdpi7oZ~y=I@BORhn}7G~e?jx*jN|&MpQG3P?7ALs70a(h{a&J5iS_gJy1!l52R5+$ z5!{N~@dYgGcek$N|1JIQ|1Rapzsq+1+4{u_u77{^dij4X_kQJfi*de}!OB<*8(>px zjUH@|oiPjlUh{ODd41d6a@_7a76V>rdY=njB zUzq;txzc}j`&B{ZLt*~6^5?(nb+)klv5wy#wci%ze=D8-S?%YA>HnXZ{^hCXR7Lx| z?S=Ip>$v|>{x3|Y!gNyg#6Q~~S(u-!{PKUj?iZeSh3DPh^St}twj=z}byPnm-LkM< z!5mk(lXjUuDhL1S@hB`0{#<$RN9n55Q64KXkC$B9Ol2Ag(}vg_b^E`MxC3Tj56nhA z-eUyuNF0w-aTeeSJdC{PS_nE#uyxe!*L8w!fBX-3voHF!FBjDZo;jY zg?sS;9>L@I4W7dvF%OG!9RU5kxqAOplK)%z7=>@YB$t))`FG#{laGb_{_a|o)8Ku- zSHxK;ahQmsaUv$;Y+QiLa5d`lI@a_1M$E*waSwishw&Jm z!n2r*`hAmst_S1a9{#x=jDI=&f4Lsae|taDivOGc_W2G|$(@Pwa1pM+HK_Ls`gzd* z^f>zjxqtWl)xUdO)Ub$u`)Y#Cu{G-Rzw~va{?qqDCh&Lv*XOzF&%Yd@KgaMSp2iEP zuQR1T2UC>i4IT`^vRDbLV;yXWci`RF4m)9Yd>CVJ2oA?FI0>g=3NFOuxCYnZ%eV=* zVixYj19$|F<2QH?f5bd2%JYS5ur%I?Rq+k2JfFH2L$M-O!`c{uO|S*F#YpUiy)hc& zFcC-NL`=rnxB!>oYJ3jY<3`NHw{Z`CjEC_Up2D-3i@)Pl^1z^8SMp#fEQeL_W~_&| z;+@zE+vENCAojt5I24m`98Sh3a4s&wG<+Igz*liIZpU}=13ZXF@oW4J&*RVd2VTwf zl!CDgR=}IE7B;}!u{pNE`>-oMg#B?a4#QD60jJ_Dd=i)9Q}`^tgd6ZJ+=cJsNB9|j zi6`+4Uc%q7ND2RT6oeso16IZwSQi^%Q*4R%VrT4uQ8)k}!4WtXAH(T52UBqcuEpo^ z6?_A?;XAkwKf%xOEBqFJz@P9k7UOzKCGmPJk6~C7!|^t3hOMz9cEMiQ4+miadht=5 zf-`YGF2Pm!3})c#xCM9OZrqQD@C(er(|8eoMaSdcj!NKlSQaZ`b*zI8@eaHj+hHf{ zjt^rD4#D9#1}EV(Ou>b?9M|AFd>J?4R?Nb^cmR*!ar_3);g6VyMY*2RHCP&N#Hx4; z*2l(p7v6&%@B!?JeK8i}aU_n%$8iSE!^OA~)A2=o4d28a_#S?UpJFzi!0+(_{(^sE zae3iHJ-%Iwp;!^CVQq}SCfEYoVkCCM-WZK>n24isA|~T(T!71PH9m*yaU*8p+qefm z#>03FPvKe2#ozHNuBYU|QdkbF;LTVMZ^b*Y6}HFw@j>i^192!O;W(U(PvBf!glYIR zzJRadX55bN;s&uHH*g!igZuCk{2af+Z}A8G2`^)@5dU^m z60gVd7=|@59B;#B*cv-x7wm=oa1bV-7azqbI1}gN5?qDPUYxC~e0bGRNiVkW+gd+=jCjK}a4p2b}J9o06Z1`n3Pa##g##(H=w z-ifWSJ>HKGVjmocLoo@*;beRQ=i(wv!>92Dd=)q2c6=8 za3;>jCAbQo!3=yIx8P3Pjr;Kset|i78ZY9n=*Sz}YJXG$ufwuf39DlrY>0Q@-PjI0 zVRw8OV{ix#$1ykwr(p^%#O1gK*Wt^!3AbVv?!^Om1dro4cn*KWJS@uhC0&E1@kXqQ zw_ts2jCbKZ*a08Fp4b;-F&;N1;_rAB-i^192!O;W(U(PvBf!glYIR zzJRadX55bN;ssX4KJ1DQVSgNq z!*CQ%z^OP3pTwp36h4bD;RbvQcj5c^5q^eW;z>M%m+&_%Qi;cZ48a?)GS6a)`(*N7qVM}@5xboE^RX4K$*Lxv4Xlq%ot=$M$K*wOB>(Mi$62FEgOf6-s>Nr)bhBpIqF z{*w41!_<_0nKCy{_<+QOgxF#9)_?z?r04`GYSpzG$dw}S50SdQsSuLvRnde z>U-SeC4l_aSZ=AsdO?Q1GRWKCzM*hkGr3>ascSo$>e{&K`djMM_M!9CHet%^?y8Hi z)J3`K23YC_y6V(z({$rqb+MK@ud8m5rEY?&Zm^{;*;N;3sY`Ly4YAavy6PUW)TO!V zhFa>s!O!gWx49qzlEuP`&@M+EOiH6bxD@GY**b# zOI?nuPHj7;{+)5vjk46`y6Q$->hfH5V=Q&WWdET5FUMNyf?ajvEOnu-y787eb=?&` z-A65THC%NQEOqMpD0SXMOP#vToUWT>scYt{d(2YT##J}jQWxo}d)!jj-BmZmQm3x- zt>gHPNGF^4^EOl9~y7`v6eXhDEEp-Q7bqg$Y*{-^Umbx5Q zU8<$-jH_;ur7qW1x7bpb=c-#`sZ-aR*Z&_&Ep_VqUUl6vOI@g|Zn>pSoxiK|R#@t4 zxa!g@b@g0zD=l@6U3IH0ba%vH$E`N5y49AtNLSq&OPx9|SudBhmbxfc-P4x3 zfv&oAOI^IH?ip8|Iv$cu$x+8ks(Gc(-Sn?F$(^cBPPg^7!gXq#YBgn0^Y@?X)bUo~ z>6ViFbW`g?wR$qhsZdT!8B`0c5R||CBIS2gr>56d26tYvbo@$rt)$MKSIL#vS;pzS zX!7)Yq)MLdlZ?v0tsdLebahkTOZ=(+MbzWea}@VDvR-^TJqt?IkTRh~Xxz0&ei z-xGAF4iimzQF0<~OBt{7;$$%OPrZ*@Nyh7D%1e{HFey@b`grw9{ZsGzR?}gkDKAs< zYLOQ&n)EqQa^>2JtnO`-6X3Cpzm#mL#{CQrfHTAE7EaV8;u5>fyrL~p) z3)`PQUcFNP`qh#DNA+SP&6L+hzF2sy?2FX=j+MdGzwPouR*Zsrv5FHotgR!ziy_yEcpP$0}`V0=E`8oYcD&W@xeOH zynharFYZ?7rmDR8mb_iEu`iIvVBJjpOOY@B4lCo&TVToSE(g&a1L$AIR@pz(zf?=! zDmiG|62SV%-W%kcXMO17RrPPMO$OgQu?_cH>p0uh!3NDVzxzIsJlU74<)@w(nbt=+ znLtZ#Nnewtrdz>9or1GAX!IT$$*nd1BCBA0LOS|6V zd)}h**2!SXdsFfz2C}`B@#ODcUa;idp6!2p@pVpqnEDr0&g1Ks>R*N>Z?ojh(IJ++ zVmEr6^HQhs)?4!0e(5-yWxQ@Wy72Sy-13qqMJn$V8BELXvgECn@w%Dvaw-&T&#y_b zDR1Sm!1}kLVnP2lSn_%t5A6AUx_nSAOmfuxZj!;&zY)g+%gb`*spW0Tn-gGOjw?^C zD^uR{k~c-h>t!bE}f#rqPD#+Vs$?NjHoNJ+1 zs?_P5e_6k^3-Ug+p- zmN&3|LEb@2Ud3~P<)wrdF3Wm zmb{~~@VZu!0-C9Rxy|MIWOaZ4WEW@bUwyd{xH@k`H&b3-e^37LzU<=i^Y+Va{WmIO zx#ZO>u5S689=BJ1RfpLv{dYUwXpirG2(|oVmz1A(RBo%kiI73Ho$|$TbFvEp)i^b% zd2-iv&MV=29b4}9HC-p0$G`P&oz&@URjAgRYVvRMZ~aS_{)NeXs(h&6g3J3x!DxxR|h>8*hrHG=UA|`eq zVxZW8V*fwqWxe%*}10!5gGpck)ej+Kg?h#+tY$sY7>({ z4Z~;}Ws+V2oaG#K=&Zw4I$WbeHyy6kp{EYLbm*hQ4LbDI;btBB>Cj(?+jY1@hk-iW zrNa;%?$+TR9q!ZNejP^WFiM99b$D2ZF*=OXVS)~i>F~G?Pv|gNho^OzqQi4KOx0nU z4ln8OiVm;o@VX9f>hQJ>@9OZr4l{I^slzNCKGESb9X{7#jt*bx@Qn`N>F|RNKk4wZ z4)b*QO@}{p_)CX82zVR_9V$9B>d;Jw<~p?0VL=@h)}gfyi|Me04om5aem7tLU(r4r}PJmJaLau$~SZ=&+Fvo9M8a4qNE3l@8nJu$>O=bZD=`PC9hZVOJe? z*I`c`_SRuv9rg!&cO=EZaKzH!<6x*!=&GSskq6$T3iLMb)nIBRL+z=4U8u=1`@E}m z4$3hfhj)o&JHp=2;Y+roLvHp72Rs7P-vx6#3sXy>x?jm)*|d{pm^96(v;Qv;iaKrf zLW5Ma)cyv8B%}W@4Zuv6R}509OMHv~+Jct=in@KVW>7vRkwNsC1~X6!-zE&wOiis} z2B2-S5C)}j8yILX8hmvHF2;xZgn{hQvS^ctt2V!ritDs(WGJjP!d%cmOG*+3JFUt% zqoJ?COo3I8{i>nB_eg0ltH&mYlz{v2TsZ@Xxx(YyVw;^}AmyWrFsOBgfS?TM4u|u( zX_*$Q7>tvs3EEbQy1O>|wcy6W(xC3)aawSZr)p65GSyIs<7$mo;UqMqp@^9pJQk?+ z@+{4uhSmj9*czxM5aSdIkZPHXeGE`idt&jN>2pu!CmQRiUVwTq9KmNxnTx`q|gVy+&$wo2s zfD>AU{=(%m{+U9D(=BfmLlvz#0y0=PoDZih|Ab%pq%>%){&=mmRWoR<^D#5))zwvl z)+Qgbw7wjHduW@F6}G}jA1h^@d<$0W^0Bhk6;~tL4*6I`L-AhA zj0Q8(x~Fn1=g`Mm4{mZ-E)9m2o{ULbN3ss-j?jAZtpF9)p>5#5Up~4@7z*)#xeHm- zJytLjr>_Qs4{4(+$v-_inwxAm86!~}lOKX&UAGtvjjL|rnOSo@+Ia36Y3oMlK(<+^ zIk@~!H*T)91yX{Fx~)+RX4;~uz^rXm*!hc_>?0~0b{6YCV8)&wc7X}fFjYHpPBGx| z&NXN;x^%HI^9@?8E?jKPVuKc!kC<7{=?pvZ-JmUOEv-GUAZQ8sSixdr#!ohAN86h` z7Pd}W3f{4Ny;9bJJ)w7sJ4M)*wLaAccYJ0%-fLl7(Rz3@@XoF?VO6Y`W+U#@gC?wo zwXGjI{lY~PR;SpQMdJ(37ei6B$|`h261V7U$xnWL`z#EexvK8 zZKi6o{TZH~s|YjkU#aNm!OSAF@!rxzB=(MIaeQL*W<80aXIq_8!!shWr2Mhl+fg>4lZzX*gdMjr!RZPJWp25qcZY%CxSakTt$RDDcf}C zX>PK3WWwFZrp`Td?i7<}X&Xp3*;QeDs!3hihLBAw5BQ#@JUzEmh zwdt}CmKT}Y+`r53BapvDP1B39#88N5IYvx&${7mrbKti|$IHLdhL~RU3Ot`^vjsoH z3Pq;i?iUO8m+yJTAB|E5i?;QR1~ONeRFU{iHw|43p~YyMEBvxoB!1KW4D=uq-5b|J zJj!CxFnJe-O54Yy8-59%(1aNJTg}4z@ULb?TxwB2u@KNeMW|}Qq875CO~FCN zBGjJ>8@FPeFo-G!3yXFZ63<2I&4Nh7N*H9pRu-)-x)sIUG&L|VDkKY9fWmYFL8}3w zve?y{6@m?ep+J*=mD*djvBZ;OI;&a~1&1k?x?Pp2Rwc>8;*YU{R{tvFp%Qf~$}S35 zg6sOQU8Ly$$Xfp=+XCHJw5TbtKbDGtYnC57bBmj}>K|^2;)d!7q-1fbZkg``t20Ma zx|#`;`Bz-!0Bm$u2$+qP{nwR=TTYM4gp}8B$Anb)PY+|4ow2n_dJn-`eh*dtuge6? z+cw3Ms`4MLy(Lqt)}!{8`HzERE<)C1XWU|syQrrA+i3#N5=rQvJ7=`Ue})*X>F!Jz z1sD@+ow4^YU(Z9&^oJ!4Tb<>m?G6OFU5p_QL$pf){QJiU8TvA)hkuIUBlX?MEfxj? z*MI-=W&1Ixfq!He_@7|Ef2GjK7wpfVnn`fze}dRg<^OTC%oTst*bOCqgFfF03mj@F;QeT zl~pS?n~NK0k2vv{Z%HmFua>Ef4Yh1gLAR(|ctK`rwW6CT{P8O8$>g`wNW(JTfU-&-r6Ku>aER! zvmm~sEuyvF@)Ovhn`m1_TfOBk+Uc!rqP^bQE;uh@-2l-+Z|xBFdTXcXsJ8+|C%qLU zI_s@nrc8u&gGCpe79x=Hn(T#&ZhC9C=&rZIL=U~SNA%QNdqpq3wNLccTj8RQ-r6tv z>a7E!pWccP{q-~TNEVS9B%$qQDocU zs*TSo4CrzrRI70-F?b7&%xB8Nqg3J9ii;$p)iyWd|JC{*3(|IsTJr{qRmvFsmhQ!I>fI6XS81;hb3pTLKr&}z) z@NblW47)EXxc)uM)SkqWH^#9{8B1@l?$OU7d{MVzSdsD^>2c(O0DueWDRT3fkI zEm?l!DV7XGWl}XHzGH5=uk5|_CiWYHnNBtA+{bq2^T?hHlwo>QOSWHc4%5rO;yATu+-?)h?I6LHzqDt|n@6+F z1`1PN=df1zZf+m1$5-t%U#DXo$Nk1}^Q>(VAs8on?BTPpnlM z#TV7^axL4B%wp+JH*`W zrPy-fH1^fHA9bSVX3Sk~H@nSVo{wX$LpWa}mzmn*S$DYUy|7)wGD)x5PRtYbJ9|7+ z+Jvz8h-FNK2_!t~hntXrWIUq@n(kLRAw7CaqTrbH@R*!?$C zvQt^NYh&)otYoI&dBBvrILlEDbCIiHyki@n@HH`IUthlR5JN@474NH%*pG@q|| zXV^mHbk-eKnzf#PV96arn0wjuj{BL$xmtwp!g?#q&&DaXy08>KnJ$;D|*vOftP|4yHGvO?S5ZyE@a)uH~!OM~-T6 zWwzgZ6}Qj7;%nG*wlLS!Ct;x+bAv#p*DS>mR#?y6&P&-sNsX@)kFm`0wJdYEGkfWl z#?gKZ;eLy#$8;}W);iaLFPye4q%+mx^C9`LoJ4_{Niv*lVp+3x|Jot(`cB4-ZL8J zo8IV`2JW9UoI_%*G>s+aAPwA(cMSXB%1 zHE%J;oP-_AA4)<1)2EnniJs+IYrrM!X*q+bUa&d5*4V;xcP;-Tu;otAdZ0Vk(xVUH zdr&LvtyE9Ch%`-IM+-Yb(2GiLg`_uyw*c@V^9kUsrD`V!iT^+K^04&w@y+Hzy8S-7zo}e3akm@X}VVt{cwg(T!3B*6|Mr_ zSz2WQ@8@V9=Nae8`8`ah(ux-lxkh#dbmbe8Z#imFcr2`VieIQ#s_R7cDIj`@j#G8+l3T=Ep7#AjZKS5^ zR^fdHk7Bnt7J#RJPt?W~Y+Ntf?}dlWvWf#9*>+iBA{2sT&U3x9tA+C<-2CfT7-*f` z4>#C8#1)QuMDejzpSaYKzg~0cw%f4W3 zqc5Dm1yV!?jP0Z7_YjGqWiz30n0~p#MjW-;0LkO@j9;YF^b*@yHH|*C1@SVCc7?(< zS{nxJ236%X=r*~Gfckx^b_UoBnzH~RZ^*q2uw1e(0p3?~Sq02Rn0JN;H_^L1h~DD* zQ-Fy?t{ z0JbaNxnCYDCH4b*q13zv?2B@{G{8?~9yTMYi~3{_c}8y;}yn zEVTqDfG^dsX#nrlhde!fQCt2EksqpgHvBmmhv95rU1KbXLwME0IC2w=Z8AD#f*53U znE>KJW5I<`NHoqr04&uQUk}Fa8NcB?RDEjfjyFjCWUT21y>G@+?;x^PyA%kK&007% z{pxnjGZ^=`N88l`3j4JUOQCQ?tJM-%l4gfAMLnbW*90+5yO9jNYnp)*zWdsqsSwH3 z&X)$3qeX^51MG{Ixd_-#P31Jv#jHAK%I;>%!a>|%X50+0*=)-`c=tCOx*fb7 zW^SJmV3?UjQ>aIpP3O5J#%!V)B#)aldCftXIr8do+pO_X=w+BGLBO7v?KlVCTQemF zAkVB8FW^oE{^5zqyFj85m|uY&nUD-C@MImpfdXeZkBl#Hb{oKn0#y@0JX^rg12)qO z)Vu`mcMJ5X1NBD*s^`J`>jHJ0Ao8(*?O??DtH8kOz*d=`Ee>@r^QHk%*kFEz^U=-b z_9vm>XKpA5$?fJ1zr#wPdG1yacbPA$3(4K)D|yw~XTFt}>;2}#S3)n^yn;OxV$J99 zDwJgY0!0e-lzFX@@Nm}r$R%Ls&0jnLcF}zPP)J@iPhJj?Za!oNjNLN7m;vmbx!+Ml z_P~509+~>c+?p4Ur{?W&o}y-(hxmf`(%kttcyG-!S|PIc=5=v8q2`)5<{9d%`B$u> z>UZ;PuR;86-rfkJi^W`iMjjS3cu(PNF<}p|jTS9jC60ghP&%!5d>MOsy0=PW{&LgbP~T6HK~x0uAQTBb$lIuM^)gtmjf91H7m zi1V$*U;J!8SvZdcFVDjMB|>txv`z)l%`&#`>;~p*`FAsj_*=%$05QknADZTWL2c=s%mZo*22 zWs&z#e`J}1vrqMj<;$k9nQhsoEr>amdq%vJ)$p-U z*lZ=PLUOCsZ(iBATUEw+u)5P~2WOqTtg2f81Y3Qo4@V(ZbtVIZSuJISy;dXK5KFk# zN*@@Dw7Q=O^(dZeRn8&|ZR!+6VYZ() zVgMsyPXuBhH%2<|#$4XYwuvY&S<}|f!N(Imdlt+bAomGN&fSyze#aeYYt$zfA$H?+5 z3|^vcII~2Nsz0`Gru#C#W{=1Uf2xkyYaI+hmQeec7ewmtp|`PaX}sN{9)BritpC;q zX={Uf{Si^aV9o;?Ir7ro_zBL+)h6Tdg^=2GeE@tm`!Nla=40*9w!8Tq_Ph8tgnS>D znUERYmzRM_caGzBXLregg+*puJX&FcLk@K{Mb+W%pblxc313RM2;xrqm<}_0I}Mt{9yOWJc~r(EGL;MsQLC9h`kU$EQMVHf1uH`)sQZb>s?YrtwNNIFu7zd>@M zE1N)brq%^O^rLxiK-@~jE5VFEb?yz`F4~~LP%s5?P98#L$mY}t+VvX>k@O)DuA-gu0B)ucqn`!C*Ggt(NuoQYs5@Wr(8vE-oCks>pToLi&M5BZV_@P9Qlb? zb%E^`pJ&5+n5Y+r;P;4+I{{+Ek0=<66}`Dq6ephd0hS_WarNu0xWF07Wl?S|)NhI0 zD1Zl|bt?2Sge#}vSzVkEk==RX2$i2ZAlzu#hL?|nawN}sC#7W+)RU!x(w%7_HJkhbsx4hG zTbuA|g)Jb^x@%ROpYih0 zx8G^B`&F8YcK-&K&>k@AAY=wMcygI&X5q=8&+42A z`fN)sam@J}pF5~?A92xmUabJM=Wjg#`2{t2%ee4;666=T@;2$O#9NSAT%rK3OQP!_ zlD}*6hH&X7Y}M6&j1?id%u#{l^7zlNvm#D`$CX__!j2;)|ALwmsa$Gurq#IsE)+8s z#8p(q0phEvGMA**(0Q(ty3*xh0B)2y90uK~E~htZ=>Wlr2er!r@T3eLu(_TZ@V#%KSQijCQZ?Qf`ci5rc$;WWH7IPR?Zv^{LQPxZj{GS1 zBSf~+J}!0m(?PDqZKL`{;b=SU6>t>HnB75oU6=3^ldO3)M)2pp8y`Lsu z2k`*4t_)%Xm1zXYNb=(nQxvt~MKYQ~d?0y{y2Sw;qJK((c$iY0fE}UE#~>L)rMRja zOMYuWjH5v(L5!!zoWUkg`dSc=(#9(Q$H==bf={Hq%YhxIH?fdRqVp=$Pta#a5Kq$d zqaY?zsRAILqJ}(*PgDC7AfBPtRv@O(iTmh@voz))i04QUi0A3@GDxP2z%zh}WqhSITbCT`qCl zB&$&9-6Btvhp-uLfiorb4lUq(#L-Z|n%-HyRKM@STD<9r{579f19$wp_;i zMa5%5{7q^r5FLeVihFPp9r^$`i%UFpxriSVA-PJ}MM8452xtJwHDcg&5M9N<`RD>S zv9KwK?xNOqe*Z*`7)W}E6U`ywDMsMSYgB7`a+%Ugyf^@&w>T1j0DVL=u4=6plX-UD zAd-C`xlt^)#yjRKs)T^JNyNN`vCU!=pPX$Gix0ztpZLl%!&c!p8tVR{m_H=9iHs*8 zZWjr>wF(gN0U+)W8b7g}q7Tn#fug}icnA`M?tr*UIL$-w!6M5Sk|ARL0}w;Sf>Ds% zE$W^@fMMc1AC&A78r$3}>a)##Vkl2p;ljuh#C|c)2gCznK5xPzM2De}j1(RDiA4$9 zb5M^K#rTOG6rWascu4%b0ODcMvjOhth)666M=>Jr1c>1|MEm8Cj2AZi#1h2G zTkv;O*gArEOjPG2G*QI!7(6Z}EUm5p;sK(vW+6G1dR&P!V}e?I$bzF`a6Ej}6Hxn-t14757VnOkd* zUyyGzmNS*MQ=OsJu0Tgfwm-`gqh0bZ$aFZy)2Y3~JVs5;K|9^z(JXi88jjoT7x%mIcdoA>u3-4^+$V{KcABh@4+wFh!ji6kF?+kc+{O! zkQrT!--j_t1tB?h%ulq(74Crc_^Dh_oDjq(3lo>c<2q>%XGoKqG>5M#w|+xr>IW~h zr(KSQ)^uz1uR3GC9q2Q2x&Atb_vD)VoYK~ioO_Z_n&!=C-TAeSA*uyiS$E-t z$&g(1oF)J2#EHS;b>rZ1$$U;(|DMj7;L>w^BK*&U$m|Y!B7dbj1R?Yp4d-R9wl{8QN~-+Xf2mwDugZ zwY1Fxm^ zi+%yyL`M?fXfy4Kg4-?BfX9y?1=oT4RvPyblK#{x0*|8<1na)&~UCph0@N#h;ug?xmplLp7^{93+SS9 z@V=K^Zout6s=pEH;naU1)c4cJvQR%jZjYc4L9@8t5=rS?hK!;e9ib3SkCK5MBp)YW zhv-UssG}0g8|NeRS2EnjP@gq$6iYrUp&mzdT0$Y7hU2UP3+Vl5NFJrqwV{5D=Dz@z zNDc?!_Bf@@g?bWQsRH#Aw6-?XPtu_*NG6lm1@%)@WC9dU(@|R}oT2PfP)MOZLxG*8 z7JRaBj!G56O`oTsSK&65W_v;X0u}2C^)zzjI`l<)&b6&e6v_kcGCA{Vb%nC}!|hdS zx)lo7=uil3rqd$cykDo`F9B|lFOSxnw9gKDsHAj*Vj-uWWU{1mrr#o0cC*W5hSU`IQ0<02d zbHH0Is^AwmSU|7g!)Yv_7N@{-6Yf{x$X#?R1mao|S`L6|t$@uje7SN+Sr3Q!!E8u8{n8;&kr})mZe4uc20WV0L8VsAe z#Abh32^N3xX;_F@k_lp{*k#M_pLofGJxsK31>zoY>nbeG_ziR`B^eNlM*0`HQz%^Q)+Vo+P~u862&xRtBoYGwGlCS)=|y71;a z;kvNr7w?7`%SYiiMeCm6-4bJCpm1A+a7J-QtosMxuE^ma?uqlCAaY-{@&ooj#MXv- zhA`d*@u6th9mGu0b~S={B)oV*%o26WLH)6?^o8UT@k|4FDz?^z!ZY#J8#z*Fcw z7yWs{eIZJC0_2F_1@MqPm&1{#JbMp%>*O+2o>VWna1Ru`<)f1z z`p7r6@o?75(VSFlkOMeJ+9>C9k;zxSm<|t{WSK7@ZkAn(Lt%^b-~x`H4CUJ5R{7%_ zB>m;}sUU8X`*wr4UB2hSYJhCg7T$MAPaXw3WvlXV6ew5oCb+ zF+@({FCIeWK~5oe%T_4>VKSmFg4iSb@+jCV+v0OSb)R(QQ4lT<^PXY9w0!|%2V}*? za1oWA5sO31_`pucz~nwS`UC@vVBj0L>bW%;JB>7UqmFybqr3((%;a@C*{Q`gp@4H zL<5|X3H|`5Hyr3nWg#tlX*K3 z*)6H=hUwd~w>Pjma^*h&cV!xbd$Rddfcx?*KaK~o1+Tst(z6_h59Rc`(94wR3?9k8 zrQk11rl8QHK9(K%xZ;V-;e6_;%wP}Ck*|O?h5TDC7oTI*wFSBsdIdUN1`%C#G z3E-7Xae%+q(t-1rH!_yNTe)Tfi0|ZhcVO@34F(_N@ZkU-W%IKL>61M98>VyR{YrR@ zpJmQ#U|*yIpD5xDmL6(4|~vZ9KRe#u!3e#t{ALR_VOlwwNjreYipDTd>ZGf4C0-Kn<7;Jcg3SHjIC7$ ze}FL$rTjerPh}5-b;^e_cn)5Q=PpQkE3jDD6=lRyQj41ps^% zZw8x`xm?NItc>A`>lS6;GhlwolIs9ll`{RDTxe%75fx`5G8aoK&aB9A_Cm4Brph5`W6J(qeL*+tN2ZU zhkeRZK2!}?hCc_`uQbEjqaILZp8$wZqB!G>R0{K8h*Dg714Jud7#vj0c+ohdxNv3f zuwuz++7Ts=$5M>4o)?=~C67UzlEgV!yfTzi{RCxFFGO}!Io%rIm{PF@K%z2>!Eq(J z6hM;VqXL{zJ~KF}%>D?yWMvNL1*epp;Rxxp(%AvvjPj*1K#H=6*Xgs$he`nF6j=o8 zC$@HKxo#^#s5G3XvdRs4e{P%B3D+jpJ@lfcZG;tK>wJ+DbBEcraJ^5JvJv$Ia^p8H zgVOe*=O0pOUgk6D?Ruy`qPa`;onyrd-q5kN<|kj}Dif;!@@_wy=dz9wobq&< zyaQ&s*x~ydwdb{}#(aq~3A|IOQjHg#nq}I+Mx861iPg9K0=Y)v{8eVtD}zC4F{?DJ zwBCLMlI_Y}z_r8QS75zU?HIIs4)Fwc;LKal8o8g>`-x4vgFDk~D(G_#6ar;_>}OnK zjRjLciZj;dbT!`ig-b1`jUL|sQjH}Jt(EkkSGscr zXFrYH2oOOw1K~81iV}nm(gm)Q9HO)Qal>K4qQRZE@F_e$qMQRDKBfrn$tP5tSCnTo z8^4Z2Qrnwv?*--Yfo%?5*a6euso4b(e~>3vbvKGtyoUIS?gZXuk)8msMbzaLWvd9_ zZ?62sz%azJUEJf@BS5sP53o~Q;M^}zEa6pum#AY6kzkSg0@x9eIuE=U@vAYgII)kD zn|NWB3!Ay3ayodQg~wN5dE!$%^u7vPF0XwTiX(VG#6B)N{t_Q+0sAd%d28b&KXV#{ z<-Q!iYMII>Lu=$12i%yOJUkJ=UDoDPcn>*+zg+f|&)i|tOFFFp(ObGN0JdH(DCK2Ps@f}V>u%e z_z?e`d=v*O=jFjiAU=}q$^*-iQT#2{6FG`cji1UC-sfh^C7e1wm-qRYD@QKp@$ypk z<*n%(xyu#ctz4f5g%5HXSHM5YNM2t)%Yf(LeUW7*!NXVilq+!G5Cg@sVGg+T|0B#j8v0Aeo?6NQ3%OHF!RV$J8YL0`9oFVhJ22scXu^ z!wGdoaS+d|#`&<4s#aeF;zhM2S7olMZ7#yfH8tx6{H3c?pF!`o8q9~|cht#;z`LtH z!;GZfM=cH51GQ?#pZV=x7%bFo%`;3Lg`Y>8y%izS)|b=J_Px6xAiK-8V5viCo}ug; zZbA4RH(Eln(?48Y?R<=#J3MX=dY8fy@?C?z!RGKeyel2?V=CICCh%d%=;?fzJ|=_T zl(8@3a2=OW2Y$!jbp?GwxgTgxd@5jPWs9buIFhFql%46i6)d^Xz!b1n(Xe|UuBJd9 zvumj68DOq7XBtG@C^#Bc-RWd=1h$s$WL(kK4Z#Fr*40k(-UHGpvP=d^G?t>OdA2x{R1y+~SA4bLWu zjF})t(-q#vAEYg}0S?hm9_xo`z#B*&p%~sC$57x`IEtmsTsDZK>A?W;2E=Flvcb?b(ZM_qKou>1=FkK%%`xqTK>iRjx}at7dtoWfO(7`eVXc(HPAH^dSrZ}tZ)o6cY#QfywAzk3F*P<%}H7PDnPQ#D+O>$KG+Cjr)4=_ ze9y?u9*|6tQ{6y3EB79R&2uuCpUQc8a}!Lb$_=>);(~P856Lu{%Y)&fELI4{E=f@z zRxZooTxYl9<2*LH_E4QRiIi+pw)Qqk`4l*{k-zqt_B(TumUojO(orT?K( zFg&1FDB6S8bBSs2$mOs(#GjL>q27-mIqdx;aEE*QAfOSBQ(<9b{mt+`s#6QtAMHN@ z?J<*iDjC~y8?NKZS;4~iGaS`~Dg$wyxVtU1=KJtxhYL=fgtbN8c>(%sbUN%WK4=7G z$vxic{QYS>=u2a}LH?hze2%be1DExeH<xS>`&DGY*~V(p_*>mI^qlvTuC#F>fE%qI2N&*ST@1XnRNyPjdr;bP08h&63Xyfx zl@CX~=-e@gcvI_DQ1GF1Q$So#8U7&dpdZyBxsz6K$`eGBHi5T`F7V+_Fbx|5UI=}U z12LB7tpkXoa(AGYKt7yM9;GWyp?8dG@s1>s-i-iuoU-}xBvJe#^u!5D`VO}z>GEZ$ zCsS?ycP3md1^2n#8h(o4eSEV7!40; z)SlP3i!_$&=$EKzdGIdNfJ%_OLM2srxJu_g0lP*`t^=gg=|1pqoxFcS{RVAo4dP8Y zycf55i;i!oNA-up!+m`09c~%>H`O!T+ewT(wo4_Q!$m#U7m@(oCRcy9y_7;Tr?{I zD=)-wo-T4k0|UTI(aZ_PUWwp+5P2+s6<9_6E?q#V)xHbmTH`xEfsF6TOf=Px6<_`FRn34!OK8{Oy#Fd3p+zF6}@JlDBxV z*d?6>K(H*x&mlyPnhh*e#!P|25ouiqSd82`8>ZvrB3=XH<<#}?kRXT8f|aAP1gEvf z@=i3h`3bae%@rd7saG-^dPJaC$3i*+Jx; zG|Pm-d%29K!w<4!QGkzf0$1QZ$+nzsFFBfFP^Vm zmGv`0bW`5&g5|4R=5667<<>vY+oG)FxyMftoRDr+%JVAYuLNO6 z5_K8Gead|9>u_Zb7x4BglkP(=PAPT~BJs-j3Gi@KDI9{kJ*E_{1`mnKeI9(rm2N8` zazWWN8CaU~YdjoXQmVZKxU6)!0p1nG=>cqBRi1JVnV~e~Z($xP-dXVQNEyyUKTGlD zg!{45h&NMDl%Lk{@Im>V#QmaFEe4RQ{LSy?XC-1F^u8#^crM6OLO2Wms-*t}_@)R> zProabR>PQ^I^ZG{+||?Pq3)p$bp-HKH#Pxpow~NspI!40u0Yf-$tzhMw;eFky3<%l zwkf(5ly*m7LA-tF0a&u@%Cl^Tf_>q`{wfd9j_YoM-f6HkBnQXkpgm+E=j_Ak@l-L~ ziqFGF+~UVMveIYBkBa1sX>{ZqT*nxyLUQcR{;)YN*bnXTzvh8H!E7nyCx-FFKIyIm zce2Y;L^Wky1+=Hm;&o=)Os@P)@A3dPXGHMuoSDMWF8$^U%0C`kKw0j40d`irC<@BT z7++{PQgc3ib0YVe06ugi6DsRzU=FNrq!6yW`O?B%Sl&dP=YY7G2JokoTc~mg06*H! zlmAv~!vlH`Is6U#d+{kEjD=Gu7ku~A@gQIaXc~Vc89^4cAsIrF((hX!i)`FEV<1@KV`pS|I4Xzw*gppWoLY-*@yS(EBCu_M!iA-i#0U z#v8+dQx<_TsB<6444x2%_K=&6!5wPZ1oFe4^LBAs7JnK$y$*kjJF^Wcw(2b78hD)j zk!u%o`izC-T>CV%=e6w!-T9f-;C;c$lAtd%9sqsO2u?8n8pzw6#ZCECW=TjAxPOOU zg8b5@9-#kIXf7m|9rng`dCQi#uIOn4nU(Fi*6m1Jd69FX>OY|2Oa*zda-l8M zDsnUIM_%BIpOxDX_f$+sP}3}2GNS|0K2GKbKGeN4dAILlyZuLxSN)8 z6(o$N@t)-fHRU8MhGKa2j-$312Pn6+JPnZq+HV2uDD~tD>M?4;FUEO#TnJWD=~{UN zkw)gcVZKOj-a+9Kol{}+0X^dHM>6Q_VqlrHwgkW<8gUZpS@d}-^d3|8S`eSmAf7p& z(l)L!J)?=dBh04pwcz17&8-XK3tG?5CWjUcgrk>KtvraY$c#VudQF-9yS_IxAQ|4@ zl94~}en+30!{&S1!Ii=f>ee14K=JpLU2z z>@QF#kKrLmq*MmBOO#pxo57-S3Wy=17f(r{;w^tqxmyIRMG#@4W*7L|BRW?Eaj*ED z1BHF!ummq$RPzPcFP@%344ZMHR|2dc0j-XZ5=41EmpUqjTEfFM(JC7X>Ebr8 zL^p&3Z(nYT`7t2g5-oUNcw4;V%;k=F&;PN&UE#;IhI^tc?^N!KgmJis2cj+SM>9l) zh2T9DNZL5R%ix*ik?8RXAWMAV{PnT0nhB97B6vNpr(zCIFwex0dT^U977T{ub5V}V zzb`~xo?L&5G8JL$mrxo5ILawp(|3}Qfe>+)hdHlzk%wMEa+O@h_qSRuF@v!+vXc#f zt2E=%zMK5#BqZHsb`HQ=S%MdF54n;T3{Uxuk0sa1HXRU>m$d4GAiU)o?w4@s-V0#A zyqyKf2-$!S*dt}?X<$(@i35z5-+5v=C_OnFf32;JQ;%&%D=};Y5 zvP{OBjAGAOUL;S;2rf9Dk&CJ!h!lB}SDUjkVj76&Wcx!Po|pFg(Zy4FdoGC2WT$1o zp34>N_JzFi8#Z&~VQ1KUDKExD;gwwQ4#d||@D}rp?70@+-%7_J(0eBf@&ByyUQWz} z$OpNSKd$>Idme(ZPtwN@BDu1`0w{czgYav56iN>DfR#M?i@(kLDvuY0`Zu|mGl=i< zF`u;jko)*O`YG3Ohy0Q|{Gsq$ZeM|r9F>CnYdt3=XcHuzmHxXybWz+nhuf*tb%Vb^ zxYqw-#wU)QgSu{i&o0thvY%UY7D?3Wz8JKa#*R@ z3_%=GteZk4Mp?KPAXb^s3|8Wli#OmQUMa$Rs05|V7l5P6j)?%rlxw_hBr0hMuyR9* z;AHrwGHM>wZ!3k}A#z74%Kw$cUF8JVhVLmJ4G_zHWiVH*A1Lc5!gPibTMOzBmAGX9 znM(K00FRW!XsBl?Po6^Wv2upj`6r5NFch9DLob8)Ov&YS>}E$V6f0iP za+ECoD&(a?rvSbvD=UGQrxaj?Z_4TE@bFz}z&G|o89g7^PvzA{0CzRzGQe6jgg+VZ zR67@k+jVMv9#URvMJ|?mt7(=1+tq*~;0375!h!8nFTRFCpz1UQ9)i@uj_|ijE!P_$ zN^L#}lF@3N7LYuo-Wdy#!|Hi{osOudc}&Epvnl|@syjJPO;hc-d~i{{9S?9>{Tcwh zE2=whaIfOz8N_Sq!)EZ8t~TNt#&z|y8HhL3=Ug+nsXFn_@0Plb9o<$fs{`Co?H)t@ zuDZz?BKOpXoq*j}efSHw2dWbv-(;xG$Dt!1sM`=o{_+^)e$uy`CKjA4I(epv78d+s8@OAdZ~6^3!AUh;jXaqT5S~# zw{O&?TmpHkYFB{0Q*ZO?^j_V`Ww8&c-)1O$RL8Uj?~}TQ7lvH*E?49}s}CB$<`=cF z9e8=_$^E#auj=>Tz`m(l;{d*^S8Brh57p^8to&4cxpMYPJsb+j-)hcK1n6k={07X) zSo}4NIUC1yfCm?2!Qu#FmGMG#V5^PxGvIHHabX@LHyQUlf!=0g>IU%qj5YbIo~_1) z49|Z59 zvF0^^L&kwz>^f|uvG8}q`1m&@V~hi%;pmLfZ8Yv7#dw)F+vkkmc@uQr*mwp&s&NN@ zuX(}v!w8UO?993JMdL&dC|ok8b4LEam?2>$!&oOBL1Y^H76S2+u`n-nS;i4f!Fz1{ zX$NCZj729y@2PQF5O~jw!94W88Tal0@w;&--`G!MIo_TBGS=nu_20%Ld<^NREo+K< zaMFGVh&XFQ_~RZIZBp;(4OEJ}(wNYM( z%tH%23b&rx-d0dpr@0IP(M!9-RVQ!lJ1?z1T8U5Kt=HPM2ev^Q$-APBTKq#u`fBZO zBcx5518?azYax7NTeK#ey!&ZI4uiK<^ZyE-zxLr7h}$$juAFVxdhdjKfYz%66n1Dc z>qBy)il0_iBTE0rqJX_d_9EtN8)m_iGj2LF9n8st?p7v}hlQL~1j$K#bD-X9J7YRulj@ zs9jnI;vsF=SeQPn%~=LVN3@KEz+$xY_Ta^8Gv~lcoOXQ-`XydF&7<{<_Lz4XXSD&{ zpm0tr!P((??Z__ZrD`>KhQ6uI83B>onr9#I?r4?m!Mm$1cn#t`?FBDA+1hd5AidD; zc1J8ZS`Yro)=SN+GyJ{Mo*oA;PwR9Z#BW-{N7($Xh4Q%mp}D>U_EYQ48{=rR<2c|m zC@^np#@}_-w&xmjompJPZM%i@-FDmg%-1f23sD`iu0qD%sW!MBgZTWe(;NOizw>xL zbaL2o5R@(t`#`H}yK4w?*uY8yMPa&$IY$^ zneh{Np_p)+ODGdB@_Rcej~BzqPxx(EmdFL!~ zV)sG9|7?vcjJ`*Mm_)buuo`=CK0QpPJH_DP6#aS&;%WMeKbE>e)%QU1Dy?(}NT)k@ zVDmav)V(zUZt_(X!wb#kfpTj+hJQ+)LKg(AvB1V8T@i^#r`nK>lC z(LG*o6C+$h&Lf#)lWv%g)k^l^Y-dCnD* zq4L{cfZekGLYNMd;Ul21N0uH9;$GQ})1iIxqazf;X^yf6= zn9N86NR&PsA#z-H{|JRN>GmGjMLDiMc$ejfo!oVDGVd;~N;h7~u1UMH=(=<%FMxMl z9(e-Z4f%}^53*!K{*ljPd6-AtQ(3t!{5_LN+&$ScuORfEOV8&3x$+hV@mbdO2FR1Y zc|?AdAErU_oAlxG_IKF`D@6WOHmo{yYP-CGP@Ugp;i*+#U9?*t;c236@iGu^HfLJc;+W;-47}pwS3?T$9CUkMF>HxQVVjB}|Ip zGaA(}-VKcM;X4sq+ZwW86zqbKp&ZlhHoI4^5 z?RgKM;5z^JYfu)HvxDTqsQ*XPb;o6KJbh<(?;aqDi8V%JqS07lNsPwWOYFV(USf~Y zSYnr=B3KZS-aANBs&tjAh#-n0ND&o9v0z70-|yu2dH;FlnVIj*?A>#>J3G5~&sx}S z8SosQ%_+YKW){@!5K#LmU=Fwg^c`0QEXkeEHwra}APl0N7HHy0TFP4rLufP49z&@k z&)C9fS}+`k(~xMiGlIDmcIPb0qy7^KsQC1!EehKb?nA00RErqKA-;{bz`0 zEt0vSa7e5zhrwa-FCWnn@!Ji=JBmkiptBJNvb4icx&YCyARc07(|>xge1u zA~?NH6=VH@JS(;+=+233PZ*pR&H92%6VIl@b-JiF1|&la;cYINVmlX5v&6)cFwYj% zp96A1Oy`G=E{a>u(B+7nd(d4HYac?GD_V0&;IdfG=Q>Z&*Kn3ET1A6g5!1PnTOcfY z!{DlD#m9F|^jQZlg`$}2$=8M8yY`0gEd#PhOrHVdO|g@gnCe79UvTe4H!cj-i}pNO z`XJtJ2KP}E-vjw1YKFniR30^lYcpA-ftbriJQP~UsJA~zlbcT^t!8P06v7k6QBOupp2 z(^lT*Yw)=2%X_x%qyu*)C*;w?2xKqM^+a17q!<6vjWv?&C{ zReEuS%}uW2nvA<#%!9Ot{Ouzkp0Wwgi&Etje#G>wtmMhyd3oS2bZPR)VuVeXQ+Nd@ zLniS=DpU64!a|m8_6%OK^Qa6t~e4&+5?{Q=H$WYaT1UXnj10Fo=`&WE$hGOs^e z=gBYnz#w1#>JQx&>D>ti1@hwr7+jU}@_@W1-FYv2p&ZCL-F12LAH=&M+a^F*B>O&v z@TUBmmqd!?Hm(em$Q4}vyd|Hepq-`i0(akKvd##?av5v|y9!xt3vyd-n-9B6*^-mn zJ8}be(0Ao}e{lEYJg&%_s)3wjnJM4p5L&2@M&S0Tz@}*Yelav5G~$RFxDy6PRo@aIZIthy@N!HoUk;(II?6fd zakY}4a}aeSHEzk5TNGl zL8}ARc3T((sfn8a305C>LwHgtPTWG&>)9{}Rkh>6g(+X|62ettISe9{vjJXCsn0*6 zEvHozzN8}6Hw%D_QX!v%L@SXA^BC2iH!{SkDK{XDQ;P=SoSjkCTwcO9o>FiL%8Gyf zL^Ycy-brd-5|GJi0nZ6jR9R1uRMmMh49==uZs5+Tx)3z?yn2!hyEOHIZ?$w4o&YXG z_2%hxrn-F%Bui~-jp*5`OCSs`sCuq9uO43q@`|$kfR+`gmwf83D$|j0c1>NL24SHZoCnBtwUqn88!Dv(xFR*3_wwCT z(Qo0USdID&x)Nnp1%q4a4+1Gw>pB5hrbb+W&vMn1fAL{sODS+tyWc^A?!m{egqL7sdvvItWlj!VenXu z;3Ccwb>I&eJXIZEf_tVO^QBR%_Vdn_=c?(qi10$yP6zi=O;-3(U#Zyxz`a%xd{@0u zW8TByt(x&OxH|PqM+ADOwrq#+z4Ge;^Lq6u6OH(w9@c_MY0n$_(RR*M) zHsKb8=2{`Ae->J+m58uUE9Guxzg9a3!UNhc&I>HHj=VqcpjHzMVx>Kc2gF*FL2!0R z3tfg=obU2>o45?yrOB`O?Ar7a&UybId7W}Vtv$4Z25!aY;Lv7}3>n)D--oVug1bM4 zasg##GAGxwhVp*9IsJLKoO_E?>Um*Z(SZ5ovw>N#j{C!f9c_SFbT|`H7GJbOE0=We z2WDwJ5C6*!{{qSK74P8x&jWt2TCs^ABwHC;4g9L>yP#ctaUQ;}spT@<+LoN-tjl>0 zpMTxtEr9Era^?2#p#Jc-LG{AP-{?3L9{zdDBlMBJe3v$QT7!gKU?7RY(3#-b-uHj4~6OQ-&C5j}$rbVQ&`3Y!eqS!Bl5uWV|< zQ=<#CV;yuC344k-W$V=pkV|ya5nL`E{TD}anR;;IkVjj&wx3U}+k(47%k$v6fC{;` zah1|K0C|lX?g6BbcDVs^ot{U6yFoTTLRUn_^&mINq7Pgb)60DbR6^B1A^I(v!E1S? zl+QEAG8*>(JheMwBjU4C2bu6pLfXC2+r=(O8u2pIYf9nd;0>AZ0P>bre?o*hvfK{gJL*#lFYjqh5QIU( zsRg)Taq&+eLqs4~jzYzlBjCcshAcE9T)Zy?GD7^l0_2pi;Ck$7G5su#C{iql0f`dR z7a?r4u-*VjjIiZ7U#uwSSwNg1zCq83)nCJPym-NzLKDP?(|{xjuWYnBNnC3QFUexu zK5!`_;R_JtjhsK674D(%c}^JdwRB$i6v0`VNV0>obg?-Ex(uOlB_&hT@rle5KD>Q1 zTbS^I=>@Si3G&*1Eec*`~3 z0x^u=(REdP=>c+0TpJGaLh-ygB3u{ec+!1C+~?V7ktp4Z2sg#9m2g%p1lKZ3MD=S3 zZ;9{@;7Uae*U-wu5Z*RkE^Y)tSRuN$28T^(i{PwMM6E%S?ue;pK<97QWT%-#Znq1|JIw0L-7!UdG z(q}bXd&n|AXP(lD_sx3A0mbm?Eh~9|^pWGkA@r3U8o-&KZ2J#d<}bhH8$Ccq@%mDr zyx$whAldB?*agcD<6v-7cHxU5MD|Ys36-OtK^P`iHAf@DWi#&VBV>~o@N!Bn8UXXt za^)VhB~oVaI(wA-b|^@+^q2y>7&({M*<)ps05l>_PUbxIj2y}rU%adcgL#7dwKGVf zto;sE7K6-d*6VRuzt<{5&iI++1trk0F>xrJJbJO=4wg)xNt)u``*JfIp6 zfzDD*%z&4J%9rmBE46$*gx2cfa0m~n->V@!tXeOI-4T_{H{MaT)E*EUWzI$KV=9$r zhPGi?no>0lWerB(NIk$IEJOFKNVrPpK_f!- zTMgkU(T~4I1-bst|k(f-YZ=fP=2TKf!0; z!Q3bJ%L+vB0j`tqIj{$pb_UJ#g4=PeyW(?vB$r<%CV4myOwkxhhR$u zFM%%4{|mN%I)wwd;^&)a?#g5L@O{-$9)?!0JPG6)@1^jt_7_eM))|^Y``4T`uv(va z3YfpYx(>_+V;!FxtN14WM`WRen+iGc-#mlxxy7UtY_~>Efd797#^C$5@Pn}3K8Fk2 zJAQotZ#yfpAm5cb6ZqZ!)%e_F&r_qlTe!MnN~4d%7_YtKF3p@4@L7u=oznzI+A`3#ECvdfjCp} zF%TC@wg7RZff9k-XfiM3x>ML5a2_KaCU|knt;Sp z_|J%tK=FK8CDMZRIG;(>Uqd^SY1>5zQ>b4n*rie(UsY%62(LGuqY|FeoF@yObEnaD zAL!EQC2y+8ppSfGWzu}!Op`_T`AWzpdoJ0RQHvrpshqlVN$@tU|P zXb{%X2Trcu(G@N_zNhir-Ql4+XPAGWm?R)S($AbHexgBLfHV~op8#SeZqJ0!T$J(r z!$K_MduyMt;U0LusO1vm39;yVaQ0#ouSGhF0p4)tBvyriIE&JCFmMqYz5~)#{IUSV zO++k%&|TcYt@Xc7W$YHTqs#k-5O?+B;q|vb>{{1)4}1-LpR+r0uZ z7hK$$x;7Y+X}_G}7VK)wEpWdF+nJ~Dz}qZ66PVfFT-}({g)0JEHh+!pTSxSScH5LT z&~8uU-+jm8f%v|2E6*`@86AaW_mpXn?0Lz%MECj}fPXxe`Vx#8^}K`@nNv0I0q65X`GE8p*Ls1 z9i@)E>}*3h=0F~!BkSSCmfCaoew@A=44obAYy{y6>c<65d;0Yzj^BX>4}-ZQ)pMui zL~Xf<=uA73VCO>jd4HuV#pgojM*cs;+?`_D!p?(!Z2_bwP3Pgqi&F0c;!Sh8ZsbF= zN5Q8rZReiTk3L-j=TCO;VIDxfOCb!zd%a+QcWy3)FqroKmxoa^USSR)`*YBR(ocT@ z8Ai+Yz&xD1Ia`gOfDwS4q6<7wNls17sBa(-XpID&cBE40UV;VJzKV17~p* z#?jBv?Q}rm$uSN-i^={Cj<1BqdIDKWn@2%cMiY7IrJN?;gIxvX@ruuF`j+crmDFP$ z4*CwYJPv1fX^AJ0_vmNd&r(HgxRQCF4skO7fE;=6Wi>tIZt5WgeuW5+D4VBkH8edR z=8vfxm&cya^dOL@^mrlco>328#;c|94&a`X?-)dQLHjzR5ijXF?Ws zyrHICL3>MUctTl6AwwZ_5g~hlbQSfS$-0Y9{4|D#IKb5lPciWm47|kdWI(*ds}OKL zVg+BgzGBf65I>{P%#T_^}p{Nby}6bWvjJbC_fL#iLt{NcsYrmm+^Fgs(($F9=@? zCr+x~2&1{+-UC2_o2sxWi)+t#w5zbD_Ut&Na zr3;_do3cd%kYZ`W3xBudgDeCpm8$!Msuip8~lf zf8~cO?#i}&cJIkF-gsCg-~Nq*zAsO{hTQ|1$bD9|+%^`Cz>6CMxJS~3mpp4^iyk=I z$8xV1oIR1)7jReqOuBalsg=i!L7vOk)OZ*_aO6Wey(-UF$Nsi=GZmN3z31X&J zi~})Oxtz>fsH{EvEAp6zkKF}RdXU4*drRudGY&NWX*)oUemPO6R@;jAXi0q3GD7Ql30YOR~S3$}a#9P&Zmb7pP`%aVbc3=SSj$)gM;y zc~X7gK`KP8PXQOI#&PKPrD~TE+1Gu1K}44#yCs@;-yJ zXl0TB5~K2XUr4O#aRFSM8qG^iXH*~$k?{)uS;;e|lU$-oRIN*(OH$*xSeL90wLl|M zR0faesp>V8v&w?|-E+#5$J_Ht9Dy)RneYa?bXAW@6$HHdq@67?7Fkh!I%&jMGf5`Pj6{+Il0 zSps>N?|Gu%)q%&sekNR=9ngc900#R1hA@NPhd?s;$4Sr*Svnq{L%WAUGJP!1^=9~& z!sV=%*MOh>mZ!UOe%}ts+)7?;nin|)nE8)+Q^0~*e*m-4g!l0*YJD2o#cxgFZOQzX zuv+?rlcHr!IaOWWBpvuaFLSwgMO6SiY?+o0%+}QQz;A2B+XS||bCr5WOI~r>*=iml z?(*UhYWLV*;bG6n97y(#Y6s*&vi=H=tY|AIqQ}W?1YFrs48I1;jV$e{)QY2>qE$Q`o+elBz7uHlAvjK?KesaVMMM>Fx5?-FZqAq(V? zu;vc!gjmgGEPJs#9BuIspWlWTPZ2Z-Iy^4RmtC-E!u8Hbky;NgQQ~7AkV)bvTj-L- z-R%gJDVBc+VV1Dx1UFyUZGx98qSY&qVsY*RASJ?N+y9sS?;HZE%Wl5HyOwkVreA#^ zT=&nmhh(4`C#!>obb-;}bWX&F1df37p-tKMA2EfH4=dn$^YCt5x*xIF3Jn>VJqq$s zqj>Yl=tH^Cj;Z7HX>8Pae2!c6E0E*2a?&)x(HHoM89ck6^h+ZAPj2Uc&nXk$06BHE z3$)Wd+X`>f=eGoAMiCDYGxLT3Gb^nEnAtQA?U|G3hVOGXq`~LBWg~%{zkr`%S&&-? z`N9EQ0a(^_Xe_v zo-_h?lYZ_Ba-X{J*?mCzCU6gF<3o6PMER2;tf7(HAbd>ei@-ghpZO}Nr;)EfKF|=Z z!G0p!QV>&dhSOOy@zZuR$z1g5kLVWSybp+jC@ltY6xZIs&RIOZ0M13M@B-3R#Jz#J zn~0l+uH4e-}oU1~sJ;hVrb>}6L)`577N4)t3uK@c5J71x37w;!_lmh85T5-Hc zk-HfrN;vWT5hF5x=QA%3@+pWDy`13sj2M}UcBUmUw1x1XjN5y ze2*o_fJl%e`I-+pS+2W`=qb{!1EQzOd0hWHCr71#oR_wCFi(><%@8(SCe4MsN4Ub z@m6Z@5wy%&)$x6MNUi?^=7-e~9-)saJHB&lR4AXGV`_ggyx6L9oNn2vMO<1up|*49 zXsd(O6I4&=T%34Rm_P`pjx&GBuMrC0A#RoFh|%BWyF(=P}T8!v@A?5Uj;~n z%A1Gir<6w(kf)Wa6Sznlh8nqaot z$g5o!lr7gHFRHbi8(&h@9%xIhawvkA%WB0R5az4aJU(1e@A+N813*9}{61!#omv;X<41z9QZ$R5MsR2Iw`gVh)-+e9u57@O3{s*?< zabQpp*M0`~;lmz(vmB8ocs7J&(p|2AP44K422GiL6)~rJpsM^o?|%I5o-VVx0?~ES zS$zI>gv(LA-!z4nJ`qn~Gom|BtVbT>&JaOXbCu?e<)o-Vt*H$erUjDc&`0G~~O7D@gWC zeFe{ZPw*telv23MFr$$#5ZRnoKLoKLn+`zlBM%qo_EUFncs@WrL&4#X4ETso&`BF` z4%EmENJrZ6H|(6qm~TvHdhr`L7s?$8ohupfyw;6c27_~_z+a&AppKKkc~UI@zFri- zqop^!<%aptH7n?R>3JY@esrEMKz~}uCp~}~Mt}>Xbv&*HQPF$E!^;ME_7Y2jIG>86 zYvDk~)5x_DCQu_z)e>pYa_Evs-bbsGDK;CB6mt6mB$Z|iMf9`Oc{hBXqd&tz&QlHV z)kvcuEn$~VO?Yi9gChAR%B0YhFu?!x9EUKQ@U~#wFSNxN1{W!-353{1!nLDI6z~Md znEG;1R%F4k5kH0dRhb5Wi;+50J`)FGm9@8+cM?Il* z9=)E@2`)#Pi-Nb%S%_7cAp6BsF8&-4D?W$0r7+?y_@Fpz3(iW+=bq78h z?y#sffE*FSd6EC9IDZ`xY(%$%2zyL;Uk1`vgx-evaq+`>Kda+0Uj-KO+YAWz$?tYUxL+2nL%aiW zd0P-m*`_6g2c;nm=2r4K&u6UV%3mNnB;UFt&|&%hFv1>@rF?}Pm7Dltu#w##0CG%D z|8ESGxvv318pl7eo%HcS*b~y3+hQ-{cpmH^U*ADIM;XQWqLbXv3B+0U;Z)5Zguc@KFgQQil4mgf z((D1a0NI8!p+MQFBS?^((hQ9cmKTem!#m-*=pG_p@P_D6x$AFm@zP@yNP;|`1!R&O zzZTAtWqbi5q{#bRh{}pw z1y7=H%jFkvw3V_S&qQ9y`i~I4mV-ON%UkKw3S6Buy9>K_@-UC;@8v2}w5(pH^oH<* zY|pvKM_D);!cWpP7@Vof;I7(Cb>hSZ&vI`?bPM%Ekaw}+)^vS& z8uoqqmqOBa_hAI@pTbGifH1xQ2iow$z@X(BINM_{n4-Po-tkyH;Sp~pm>54EVJ2nQ z0yFtE=M(djxfZ`b>jC*9$G*TXrtSE?q}x$=Te@i|FzfT~LH_r39x67DkB0W2Eyj>+ zDrkpOwz&}Dv=$_gMt*>oAhIoiK``BjhQUd)ngA}AqPc&L zqcM4a#M9SyFi0Rrp4TOk=@)2A67A(xfNati!QcW#j)N|TGPwkCi7s7&c`h|Bl$Il@Doiw522}e&bOVJX#NAdm^xgXjmES;d+FV-Ib z@_-OuKxZjRdD4AQ_*>(Mti+B{5L%1vyxwz2T;!Deu!!W;`iS_OH=P_6;yQ?{xHT9; zH&OQu%&}?|3Oi3>^9f_-Dh;PuOMv=`Swx)`0+F_#Nhf zBCZ&^An}AR?qD&HFXxlubQSDE#CM!Nhl-pC2*X4bZ>0GY9cfMXy2#&kEOVfSeN*oVlGB<9Py^CiI&SrVGKXE)+Yt z+q^DT@K&HA@s1ayZi;aN=EY(!59B4{$Hvgz5?%Rmgi=x58oDxZaSL?i;!mFISBMgn zSN?aq9&ZLgmsQgN>YCdHkp{K+4q*p3cf{w=^TVM1qy0;G8fL>k@9-e5HH@g>j&J0q z#%Rl^?OduG-NOo>V>a{ap~h2ibqI4b=7k|r>oF&bMLA$hKC-BQE;}CJV4QHT#rtqrEiWw5$R}Lt_ z_f`KI@VUB>FZLZ9xvaUS<-epHh@^s&(q+`noN5j$RT>ckC)(2h{wR$5&G;F z$Wbyb2hxUO-+>&XG0)K`TZ$S0-Ek`8)Ygvv<+An(>bnBOo(diztOFVI+K?lKzW{Nf z8C@WBrh7lYz=d*ngmt9~9>CmaSa0}rr@aAycu)?f=$_Ps$0IM=%8%A~)1sarK2+Zc z&Gn^iWjKadyt)&1ar8U)8}YP(S1%Ii%MaiZ>Ea2vPNGvhQA?(_`w%vTHVuGXD)lmh zm$S5(=&sRh zE^QUkOrC6Brxx79+@Q`|K#FKSKT&X#Mz@B~VtRN3TnSaI1@ac*GUZOT+YU6MjLugB zQcfY9e^!v$E0`nST?a@d4IBjS4)weT$a8uf3@@=N#XH3xDe5fcfy5=I8WGxiB(2$9WGXKbs<8m(NSppHL=tWJ`2SR-p+7c{7?G7-Y_&vNnIa|Egoe-s09Tl~&F zZ>5<06@+(0+FY356~BA~*Z0I`M;KIz>U5aj7unMRc_7*^gI%?#;yT$wadiba{4G-@ zkTv3n7o0s7nk%>`qHH-JPen49Dy(H*a|jQ~wqbB~M4kwQ@TmNYvveD&xQca5u5^aZ zR<`0B2!Dz&1kUWFg*(Uzd6o+h_Odzz5C^#`6JZ@?drpU(WnX}B|(t(S7*bc5; z<=&YPy2&b@wYtj=aq#IO7xFptl%~n>;w8VW1LrMgHU{yLUv)$ye5J)GnET1shhg9^ z|F8iUAnPZC3zS26a*!ZPcylWL4PiTU$+EXUBBaQMJhZ3EvYp`0%7(8%&dF|Efjut= za(|pAORmC8x(wo!F+=`14PG*3cV3DrmUnp|DUs9;Ei0A9iLfh^=s)m_$tWXm74i4m%H-pOCaybvE6{Il81TA>3ta%jstrjS2hLmz4Y4xVZ9v0 z$>vAdv=MZlr1eQSGgUs^51Og(_JEkHxNsO)s4sotY@fKIhl&>MLGK_E2g3<9Mp(VQ7+;hC8Ki8F@mSv-ziE3j<=#tf|2Cz#}FZm)$Rd?J#&Z>q9Fh8gIE4V(dPM$+s z($qJ`(50*Oc@So($;$xARBbrB$x?%V0LfOqqv5(loympkTWUGa`^!{gF3y*$Ej+oa zP@`O6ep>~%$$qhxRTK5j?ewOdOGyHl#O*w^q>x=04iJRv^BbEl;TYv|G>M z%wMbS1};F`#UnzX_KtV?1ZlpUS0-vZdGeE_)%=VIDOw({_oQm$xDI$$JDvq+=QMlX ztbJaaatj7&S~ISHrE67OYtPWq)}Tq5S_zM1S(-7|d#-85EfBU)i`WC*4eghuFfYQ2 zA$;D{+VhFTtATiWb6+bkfK+SI|A0Ky{^m`AkF#d_i+O` zu0QSwh=<|)2>#vg_^wAH^fzVes=6$$+dihkij?pVQU60irxb%8PpSl_( zUSGE#&Jy(b+#x0E=I$^^(jW2MD_QRo1YwHq)&xFN^`l%BJFBpERu(*uwU-TotlnfmTifMn@j?O~9uZzw>#3%WBeAzsuo+Jno{o%p~m>CwDy zo2yrI-SM)%guCWqy~ZAp620Ovyp-zCcpg%wn;w9$TtC7^iwfP9*G6mfC0zG>tgq!B z^QrzfPb8k{zwiZCs~cq^-gDiBJAse-S}vA<(!cQn(#)`)&zZR)avq3<;m@8R`wZ6n z)T+H9h)a47h8JmQl9Qonf9RYIwo75>V(4)eu3ZgHry#7G;X3awa5vltMw2`Y<*lIe zH1y|A&dbod9XM}88LwUV7&?Ch=WEFM3kH6M;<+&JH}vHGCBR^L2D(6l%P52mGHm3m zHrO!49uZC&YWVm<3=M|>5^Ct`0$rHlN37c8wK_exCrUJQSpkw{c>NqC#n6;z&8Y_4 z&1l3~gK;K==M1xXes_o?$Qg-(So6X^<>m$Tht`DGK-%w|N{|8Ql|YSmlrn_zDB5ITtGoaH%TwH{ua#iRM)TtqPEM6ROS ze|wq4sJ;-oiz8gX^bo{LBA#N}H4rcH$2uH}wmaQC#f`5hfDIP;r;X+c5F!In2YwfbV#6*BqJ*Ic2GQd34IpDg2i|fYD;(~FixYbSV0T6wTMK9Lg0?}I zAU4m2L86FEhO;D*!hJ`wSaSvjDI)kw7~s#+%Yi&AI`OLCIT2e7?!0j1`zTG!?*W5! z;m=#Bu8WbpJK%vPj2D6%3r?c@isu(T!lfP%zp_I zB%>O_S+HEjGmVonxE7EQIfpy{P-(>bMZ;v5FCYw;m7J_+$_w2g%#wkF0l6R_zd?kH zve5ttbL3CMKrTtAo*=pM*i4Yiaz|4@^5mDCE9OftE;(P3wf{g@ApLlK?5Z^4@$Z_< zi$tJ88Po@7;=1fo0^JRH$PU6H`GM2=n=*(uQWnepyse{JdhpQtQ2xWE;u?APJLn$E zuo(#SL^g4O?x|ed7V)0RHou~|wX((+kmqu0Jh&G!%N2GnWzhk+ekC_G19>egO=0jx z{>WG4TRDLH)_rOdcgFiw6xTH^Rnc#N98{?e2xO%`PX%YKB!~4@?~1_rsBkL~Kc%?V z<*(Y>g9}hdcX8&G&#yq9R3o}0UWhvSJA|R?_YL5})Q8=O5Uz%IgD^t*@)&+fE#NFR zNWzf6IAz=m!ZWHbHzHo`wg5>|U4O;VCaVEGV4k8Dok1f~ z)%SfN%u&;M+`XiZy#=|fR`rDIJhkdIyyPpzbMz~!H$QGsp#r(;a9h2rf|on0A|J@R zs{0B=xTiYsx^^!^)vnIaJy4~*g{@jOT?xB7WswTYrDbx zqk6*|qCTnJ)9^c(YTdaKZKl;UgwR}D%s;V()}{iwecJb2J+RkquY|dSwtEl4I%)0x z+fSvPe+#6G*8LsqT(w@j3gM=;P6y|%mGpqlL$ha}o|+3^ie8!nS3bQpD^m!4v_;(S z#%M)cLypyA`O-L}B_!a8;x$)(&MiU9YXxMYwzM6DNt*Q!fFx@j=RlaE&9McSsyzt? zIjddcZswf!PZlDa$LmDlGfn&HYY5Y|^|dg_&_eiU%+yYL0aB=~<$X2RwIH706lte< zLF1-&nscyXEu$xdCE6TLtZ!+tzBmP?+UpA-W!gqwMtY)Qj)tpTOXeASt#+EL70)$i zBXBRYg5mJ;QagDV!dKe(t1x)2HLixwH<}?6khj{?6@b)fI~)M9)_*DkO0yZx{W@EE9S@aPgg)}b>hYD1P8sUXpQOqV97ebh)*C&AF>$mKoyQ0rIJ3Y}?~`vE?) z3>nVgE*SRmngial$%#^q;beaZFB!al#u4QjcCA66%Z59=fj!UA{5XX9hPe(fxMDaF z1+Kv0ZV7{{24B8L-|4pL-jeHvbF8rD04D>kg)v9ZM9 zJQdDv8NzE}_rmaO2ip14kk2cCuMPQKA$((4o(%4-;k$`AqB_H6UQ>BzaEb!=-msoC zfqFw{E_Qq{xOYK>kA~6w2*)QwuOC57jXtKri{jOr?YEHFB14lh@YuJ!@BX7ubC!d4m;Gy>#~QT2X6?iqc}t6)_|yXzplZ&a5C zpAU?Dwje^aQ4HswZ;d_%Kv-w=)e9KBH+q=^VZBk~a}a(o8sH7`(J0{(bf1iNat>x{ zywe(i%#0Jyf;(n>%nAo>Yy9^j*x4CB;NSg(@n17wXKx(DiIRiyaQ>wojRSrM=VZK> zXUWdS8#oUMF)pu%F4XuE|F?R$an&ynMi{^5PVSWP54V9lZS2XjxJcvO|3VmLoNNga zZCvpUoW&TA<%BiX_!^hgSwmNCyq0r~N5rDO;_v z8&_jK7>_iC!AIlYxX5K{^05<;W+vO%N~MB<%vY1e00o5Fybd>;mkvP0~Z)<${Sl7pHSfmhiQE$;7lC zB-g~b7^K+bHjgqTCY^ZAt<>Z$m&(dau6zV3H~I1}nAeyL9}V4OlT!YTo|<@`L!f6S zrdwcMYhs3iFuKA9TDv-)S9F=~f>2$r@>w1|lKb*84S8$qxQg$f9belGo+h-+1%6_{ zKlq&V!(VVS*^&1YOsUL-c4{K;D44d3^V8{v@8SCl$EWZxa|~}Pm{pVk>5eE%zWEv7mmW34=du;Apk2O^ z@3lYEcss<3Yg{Q?DXbu0_1h4%fAy!Kkng9qi3oOpmV68DAmwKxkQKG*4}>*kT?YIR z*$xGFn1;6p@(7vQ19=qx-Up-&CBFtaM*DbO!j@e5xQ^5GI5fzPdh(cZf`)KkZBPAT zK^#b2g-=KNsRf)lQDYBq&eWv|3|y$IH8@xD=JJIb)$Ro6PGff>f(QL(iAH!*@C|TY zRCpJhH(f~q#D~sx0P)4UcHz^H)^Qo$pGLn%I|JypH<0Jaj8_TMs4t(S4C>DHqD(q6 z9Clfhz*l%S`K6*Pcv`P&r6FEp>DcU;H2LrZvl=o485L1!vjFw$If z#3gN?j9I;iPg=~ zh-eY;J(?6F7W4s$6Ki;gKOe?ICqu9`uyUDuDEoZyLgj zw@hCO&PUGX-o{s+<)6w=3ZBsW%LQDb50Li~K?3DtE{O!m5uShq%eJe~mXk8CFLWWY z@fvXP@-lB#O^{)n{3ppF+Yvoknx9966nShGAgOZ1TX1LPsxolrWE(F)uFJW@(ZCz> znlZSW(v(Z5#nOyZpAzZt0%32-iOs>4;_qzXx=i-D07$v~jw=8aawN~JQAuP%@_M) zIg{spPvqk75%#HU$I19JIkg6)R!(z-!E^a61&8uNS{A_IrF8xr$XD{6fZc0((jK}u zGJOzqZ{Ay0Py3`910@ZP@DF&&&oOJ}Nft+T>sn58NJfnPguT6p)#+OE-S`vpw zB&n*uV3(|JGyq9adtDJIRbBrQkh3b32b6OvhbuqlRc~8xX=*nAVpo(Ouc8&G_%;w; zQ`a~(C{($;+3LD7<1GA!^5r3`NX2rw>!!*qL0gJd7w!`9@aq8RZmBNUVP2}{@_gi> z+O!zlBQ=Nz%*V=N5V$9*3;zyJRnb@oEwxEJSv;tj^Ybg#S_>YF4{3{zf*jU-QUE!k zZR(G<9MvK;_{3UkINEYdEBG8jTkR!3J8)bJJOrH`URs31JfZzP6`Z}6&wZAIW@!$j zqqdauODAoxGt8Z}Y<{`0i+1`Lj?Yyy?hOMs&5t_>ckOFa5D%@j9qc@{23&ve(yspt zb8qciPDy;Ux=48O)%J{m&QHr)3oriK;5cvrT5BE=0=2dO02!oh7y>WB+9{rnp46W6 zj-3##{9hbjsFph(%?;B`2qavSzXB40#1GslEo}=Rr?uaC_8h6LZU8S)+8P^h(OSV{ zM32$-@Ld(FIq(1-r!Con=x4Og&|(x;?tTVgf@bFiE>YWd0LUcm!6~#XS!*y4$P{fL zuT`aLotHy*R{NT}u5;S$v(TN_tSmv&v<^JRUDXC`hqG&%`&E$Z+C477-q0HGT1S!g zdlc+$YLQ%xDAxKFq4AHj9;V=Gw0@D`o@m$j0(+{B&4=)rR?{0CDm7Pew9mC8jp5~m z_Av(Jr8fIKT))y)Bj1JEV6A1oE(cpORGqx$hmK-~3ZrXU{r3b(2y0?Cdr?QI}^^+4XY<)_~%g}J}($C*HY z-u7zfT)3%hI#X!7f`b9&5R7f7X^#slpg zeFSHDclC_kXwp4>L_>tF(!cx!FZcCuu2ekGZ?=Q3T94uNlRCX74(9Ll`jrsY>nFK5 z`$2!;2!oIMXF7zR^sm#wnHu^&0%vAuI30n^4gc_}kA>m*NO1cMyO9w5Zzd7Rb%HMA zIrHi2@D)M~%?}0gkGTi&IlR*~NJbniL?cJ;eul=4`rIF0N1Oi%H)A%R#OGMszkwWw z4hwUR+zMbOto$1CiDA5NbkZ~~P){Dh3G$TTTo;=2y66SWj6Tu8&m3(F z`K%xM!_Vx6B*pzAW`AzAsOI2&+E}cfi|r%s^X8@F@VTYSerUI@dXMk_{*i$1 z+lDB_-9D%lYuTO(JOvq<>_R^Q{)T1+l>jpWX=C$-~4cnmY~LX%eU4I+D7C!Dkd*;MrXo{maQhI-P9^E|WI% zqDmG$`4-4*YRQebK*P8&eUYjS5a!Sf1>q&yya6PaT6Kc6%hc8xc6l_G2ZnrleG$Sd zbZ|d}1$2ZLF0ay)b})EI;apgGM9-6OvL2I-HOLcc%QN|>)a3-4`;45^VNgpEym|IH z-A{t>1^Fi;`b%=!4Babw-5n0M_Uex zDSVSyiRa6~9TGh_|2!;OECo3t8gr)_DDt?<9V804UVKtC+zMofXww4DLd6lDq=ktA z+)spyems+p5IJ2C;gl%gwawEa=@-~Vip#^GixQK0Pf4^m!v(w;A^tu8xQ_caX9RfgcYyMC5zX8!8}F$9s^{mSkG0Hv*O+&kaHsB z8|co9dY){hi4_hoPZ!VH!F7fxy8|v$yyEgjsnC9hd70RA1jq_ez_t0?VreLZm10~u zT75@s@&tER{LEE>dqVO$WR)0E3Eh41ffpnmh(){@Q!P5iK=@FYHHYw#n7$Q_uMu)J zgpbAO4~Vc&mT{~1%TaI92us;}Ex3d70U@iaRO(=)tg)bpIEL&dzGh1t-Z!s;T-Ps{Z;U>+&Mc|eJh|3}kx2jsN>|NHrz^XwuD0_v-NQscL{a&x{{oQ}g`@Hw(oada+=RH2p^W1+7 zELN$&==X#&)dM1N$`7V3Cl!+mjKwQ;SyN0q@hQ!0;C+Y$WC>^O?gwR>Ihm$yfZV z@Xjlb9|Am6W-)nvu6($ULA+DGHiqfaVt~C6+jP-wN8-ysNCxT5kFP& z$H(q}nmgI;`&h8OEa2-RB^3L>>EHs(55XXNSUWG>gAN&H8Z9MzA_JwWXbbt#Vfq}mq#G1`#DxU_ z%fu!=@xCH}RXaaXaS==|7bEz|`is4ZFccs+s&hdHQNJ6#Fb7U9u-^uUEz{k z;3!&@G6RYcMZ68igSDiXSwZLwP3XSsOO3gYXBaI5sZl*;=g?W z9*L5Ju#zVxa$$We?lR?lBI+E6UcNB4f|aKtl;!1TqOBKr&&5c_9WTTJ4;U*D=a~<^ z6xUfOD->@Sw5*j8Q=zv`8t;M^Du=CxqYbjh35bNrtNg;-Mmhd35I4!j+>EnX*6{|m zMb<3<*eb36g!gT-l<&}XStlDJJ7kn2h&yFY8xX_gOLk{>$!9Hq?UogG!_gk;!dtgj zX5Ij{Pj21_EJB{V>u`vR0B99gPLMUhvls_h(t-x;qZsz z6+8V$W#eEtIwsS)Lo!;vc?iiESvC}s$7L&)&0=Lo6BJI!CtN_{WLw6XC#6qqfOz>E zgYyLG(+F-)$yR^kCMU|PU7>ecmP~=j85zO9nN5;i&Hzi62Us^rk=A3OcSo+|By(4u znFICva^)-Va^=b0P=6rf8KgdxmbnmlBt1`om?tf{=suPYSQ>jG*W3cgm+$hR_f)q0 z8H0Z&AKirBbGgt9;DsFc3-k))v5ml9%3t!KP$+wEghG*=!<6EcJj>6oSbCO1^0jPS z4u5ZC-!|ZtNY^9ay_KW*QN5ErilP2qI*kEVDmQ-t?}Loz(^Dq*a1&O!%sm6}Q9g-= zzfV%T5JUPb$8t~V7g;(F#ILfuFTgih-U-C-@|F|){gBxf@b0a&{u?%Z6yKWATc%uN z=g3!y+Ybdl2QFAEl#RRvE0w_vwgQzuLNSO{%Bwu^f|T0a zS+H7BMt~Ts9MwZTL~*?b;u__H8${MBtym+*Kcd})mG#Q#AUFzD8vh1`4a#460Ab3N z4DdE8`&rQ2q}1OAE1Q+NjMcU%OIbDDs*D{Co7bsR<)AQQz7p6YMd2pF zJf$16;>Sv#ELcH4!S^p;*}WOWr;6=os6SJ7^8X^AD;wWH;e|3`DR>1+aR{uuRI0}V z6e{K1&0VDIW%&3?nV*P36f0T={I8WzX76v5)~PUEqWtZR3wx{Fo(E&^l!xu$_Pw%i zIzXv1osD1oZ`@P3EmLa6!&td8E*9z^m9P{T`=nHQ0^Vn3{YNN#Q5<>CzT)45Ao)%4 zX$70#l{B^wekjMkLDE}|ya|6k>a}|?y-a;s3q)Vlk;$l^`iV8a7o)mW>LBLwL24~-yx6DiWKtZVK47C^zxsnY!2$J9Meq)) z8Hj`sdi^>K>W9@w43nbNYJ5JAsKrho9#xmrg2FNN5nJxj>SboPG3r{*!pGJAOmAY< z)jQ$uggR$2jK!&$p&*`AQ@KAlUL9KwM+s^e`{Jk6>Ionws;kE0icYKhIJ3V{-2#9W zsNN`Ap(t7X5{wn8<2g0IQV)9q6st?v7kRBZr9=IVS{aoG{IyKrP7vR!8>@r(PL0n7 z@x7|!B3!B-X#`^*)RH?GOPSh}&qTSZ4#prpszyHgpVTAV!}VF+SO-?Vs2dqFeO2vQ zDfy-zX2Shl4Y&#KKh%i%(DT+5y?~^Trl1mt%QRap;m=pok@wn9v*-rgF4sKfN9C{S z$wpCtrYq;c6`GeH0aj{;aET1mv^2uQDvhZrYzAopo`Sbp6U6FSu;%G#U?CbiHh0%( zJb!`YTFq>J#OpNKe0tVv79E45P>uZ~@HS}5Vljv?jUDSr8#PB(18malBpad+oc)CNnp38(NqxkXqL0s zuvgQH7rjq2xd;jong;d&k(z(L!sdR>=rmvlG-qc*Azm{C#Y0p$?Y4lJsM*dw=xL1$ zpO-V54FjQ&qzUGuk*ul0&#yoe#EsN1HG{d$xk%$(1=uT1dL!_PHRd3Af2~P>j>~+b z+0q`o5>4SufVUcJBShY5?!|!jUXxk@pj6{!3E~IMF@DvhOjA4q#Bz-%i!L8E19}2{ z(i{x~@w2AoJ&1hKh;P8YYHS#1ebXc!z;M57EV=;v(A514m*TB$&TrBBXmd?4y-XX< zUpw{H{>9FVpLS9>tSr|yXU65Pjp2F}p!INt`U$D-Pq_5WwWRwu9J+R9wAqO@;%LJ$9f9SjdgwMk63j%hdXMTpj(sRLs%+J~$Q9oM$8 z120y4D+KB%w7+xhh|})o)|`{ty6a&&UaLkyGC_NTNzW;5)IIW$*7UUW2 zXa-nG+MyF5lB``^0p3%zHyO{TYJD`2Ow+dFHwx0VZP?g9tKAm_a87%a%h`Et6K?Hz zu07@i@Iw2*3Lai+Gq^q!YRjsESft&_S^t&R=`gOZSQ}vv?6o#75#Wt>31^fNZPa^= z<*oKQm%4Xa!6)mzc3TpxlxiPwKKq~z&|nZ{+I`$nS+0E<4e(Jr-V!!HX?Jra`K*1K z1(7e>McnN0Ra?wn%QvkpC*$whN;LLETa6K*w=R~mu#Yad5MY_kpE;1PZs{AS`{_b1 zLvp!p0dIl7t}^@d0lGWo5LuyXun9Kz>r_sT2XycLfZId5aIOJ|b)N)?QM#ncuyRD# z@i}-$by-CK$8?9e1uR;(tpczZU0w;S9M^ep1&Y;G`3Aicy3P3@#_4KI0ywF=%b7i1 z*VYdpL07#ZY@X81V6v5{t1=kG)4DUKpm#<$jEP^8?z$WFl6B5}|59`@{Qy#RMLQsp zrpsp{madz%3?gTBllK5Sr)$G^^SthMD_m`c&d6u?f^OD6h+Nc-=RA?A+a3n2Q1??M zcqr0&2n?cFH-iPl*SfQL@bE@AW(h<}bcL@$e5>o$0H)vR+82WNUYF+$E2X;i8vs7& zy2e1gOxJS(B+GT58GwA$)vgEiPrAP=0DRW9D+c(YJLnF{ue!SKz`p6GvxN6u_k|6@ zAG$+~uf6sA{={&7^u~BdF4I@(2h3Ohh1jMTS8AoIy}ur7!;rSdhNgKv;>_yRdYWpx?FvyhQyR&a|iXe~rU6oY8NO1W3|X z>I`18{-0(5Df*^U;UQJ8*g`!`Z~q9ybbShcg5|6}bs~7@^bI<}`+5D-MZDMgS95?} z(9fF%$&30h0h^h6g`w>w{UYYtS^8S-050p7GSj%Czt0+Kwthn|NapC9Ft@*|AIN0u zntn?Zz;%7$bEx0YpE(D~oBC9i!*A(-UkLTv`jjdl-qHUU32;|`kzw{d{kbi;u>1PT ze0_8EefeNM&>I;3Jk+0HW&M#pf~#nrKHLQGSikfb)Su|1S;@=SJNy9gsouUVB%kS> z-og8G{h(kNd!dgw30{F7Ulhk&pm#X{M}_+44S*Hty*TPu`bunw6zikeVSlZEzXT$0 z^c6P3bcud6r?t2GxlAKg8!n~5bg-cT>-cL8)tSVsGo)Ssw%%~B3$Rc_jiqqA(eUaO zh?@*e(}8U^+}#V_7K4_plWI>czX?( zTmkkO<{SbzYlzLkrJOTZ^#GP(7-a#43x=|a02dAS&w-a|NM~2{l3`+N_{%bEY7OkN zq2(3$yJD!!y%gDoP-fmahB*wkt{OV98hOo7%?;qXVM=9y8-}SYJl-@UaCy092zU?d zwqe$GV0R4L1+cq@1&3hlo}uj+DBL%+<<`GkL&x&~4-7?45P4{5!qVm=!(3KQ@(hn% zq5jy=BNxOch7xX!%{MgOhCw_vn3?rFGyHxXBF_!}!BBr;_|C+=z%cM7z)M5kXy_Fh zhTDNxWN4xT_R8ST@Tb_YjkkP*(e)2Vh8eR$F|tj@V|RgVHuf0|g)PQy)8JvN@gi5C zZAL3z?RMk*H4xchtW_6ar?E>{3_jerwk~Y$GR9b8WV?;8a-qJ*m>Un?USka3xP3;a z(cncGuighS(wN7WdA~7Ph0O!TljR^DG+wlT$RVREzZ-MdxS$e5qKsXNpnk--kQvHR zW1T*5d(2q1J%$@?Z2dD7VvJ4`!8>k@2!yd%>(@Zxs&O|Hv1`W9dqqY$0pN%!xRQ+PiYyrKm z#xG$I`DT1*0`N99(LvJ3)Ri@)Wv1$HA?a)C%toZ2sg(o3a#PKwkn}e#zXHjXriOm7 z8E8^irdVZi9}FzWR5AwGYEx=0CMiZ^ZLUgQK*zy44-Womg0#51Nw zoRE@CH@g5Nn_>h+QcPR9hNqgcS@=vd74YLoH|_O>vGb;kju=aZ$(Oq)E||L5iXG0e&{^Zn)#2vKs;-%Uk1r@<^!b|#Cdb_H8{#JKRpCT7tH0&fL%2A;zX8duEI#* zl6gWLuq<=-Ss1%)zH~Ya24ygISgzT9E3gOVx(*oG zLvvDBV2{jsXMp9IuWy9S$L1vdV8Rpg;`hMv&HLs;@2Pp-SV%rIj~Wk0&&_&HIxozj zb)Z*Zp7IusUYZ-RHd<&N5e9`Ka}NfBugp`n0xLEzvVpPJ<~2-7-me5ESlzHEO8c9dF>}HoGw8! z-lBFs93@yJF9tYeQTi6f5-r+nhU6KG9sJ&Cl0_>sj3rx4WI;d0qM#Mjb1fYBHa@WE zya%T9Eb8&&e{3=23W!fEE*=HQw@6`b_|)PMt7*?H;q;uBC8W zWZ@ME?3Kk27Kn;1ZvO&>*A^4MK>dwH`)0u2S(LZ|dvDQ(4bW1HGYP;xSd6j9HI!L2 zWk6SMai<)TA1!7k!`NqwDgGdSv9Mq+^VMST9VmRWsENANzZ*{ihd`={@;Au;%w_?t z%cE?Jtg9mf-0o{%<05-}s|bTVn{|RA%dINtR^JYQ-fPDS9IdOd2+(^sH&FFiWDh$w zk~^sT*0Be-U)QIg^l!>&bod`laAN2X%xfyOj-d9i- zu3#;2(KoLBi`OTEzNFu7_+5IxA-LY6zdsc4H&={P&~T$WUvWDVn4fTEd1tveH3>w2 zVa3EgK*X{mv0V&g!2{(Hmf*t01n&IbCERmC+%1wh7w!>5IGODg=MF+-pRn!(5FzX@ zU?7p=cV<5O#pN7a`~hKsgbHo63A=zD603It92UPV1Bepo9{`SsHk^izidO5OcT9Bc z1%J_^e|s2<5s`e)j*BTAQmnXD3D^md?hS=F@$+JsJ}Kt1S067%*@BoLRQ}BIDRG=R zZlbu|1iaH?Vh!k>5j%2VI!Vmo#FH$>Fu6?;eVoBd6`tDw(!@4L5YvSxKe@BQG#!%X zgzX-H^TNs&ya&RH?bV0Ez$73~%<2W~vG}nHgMT7sZ-s|^QAq{%R8(Z+|CvZsVC*^m z{sLBB2wgYa!2&VQ1ni}7mIj@RgXD2)$yln-kV+k^Tepogo5eTeq08XilC!G=yDbIx8s3pNa{=y3l~3|Lndk~D_hqYFkj$0& z{hhMq00VrT+s$4st&L) zWz173Y*cR6hR8l8whD+5ip6AH`+mhE9Xx!)oyFaQif;;dhm^tWsvK6b!huC8eOS^w zqWsPW^OWMoRW?xxVGHAo(uDn8{8cX7H_3`Uqp}obbSTtsDIQ!LZYz6P-npyTu&Q)V zabV%%zLKbdn5!IL3-Cbs$_V(O;=Tr^A1NLTtMZfxR#_h_N2y!vsFjo9c7@uZ3)EMt&A9m_P<_K|U!}HXEjmaoV3&Bc zdf+~I!D=P`O<;(6V*=c+QU7FTeXZKU4I=B*i^)(}ulD786sn%D1Z;zPfi-jdX#7|> zI;>u31MG+z>IU9XwTnNj98+6y4UAULaSvaNdWu^Oj;kM7;*V8tvT%PwRpOx#r^d2T za8lLP0x@1K`2>Xob-)LxpHkY1o+s0!j~wMQb<�fP14~jHRHSm>rqSj{En6JK@4)9dndjh;?YHPlD&($0*r!Q2iFW?oZLq6kvzElS& zFjlDE=C^3QHGeb9^wD^afTS;e)C!V*8rNeWF4qj)jmz}c+`9*b0L{5zD6G)5VC8S6 z=Jj9@12yw|V}PqPA+G^~G))*ctk&es0SMO2U}7JFuUx{|8qHmP9BVb(x&m9LiDM$O zUbE&cK&WOKH`;B`lpX;Vrl}VK$&H$y`4J!1xNsqk!oF@?*ilV8hEB&cQ@Q0cTBBZr zqZp0PH-O`spPE1z0X&Q*PHK7$@`i@3*1n-_^;wOOn*f9x_Tut#rs6Wuy zABE&Y%^WTKJ<^0%1D2=B;w$r5vo{mopJ*nsR+O(PW7z*x^C$+yXPW8E?4D~H{{@8? z8pk$JDA1Jh^?j-7HU(}AH8=J_q)1cM0;7I~ZH=%}tjXb>SguWI4xYbuF)L#$v=^AO ztkjH(mpH&2+|(;9X3~MqY8ipYumBSi`K_RD6G-0s{>+A^AsoEEeWYe^oZUE`p zdQG5kR(q}yz&Y(6<`Cz#Q8gi%p}ouy{et#yhVK`(UUz_HYLk8fc2`?=5~lBIXGR0d z)&AfU`9RyZJudp8cJUPO9%(zWs+*^s5De_G_KPz_o@k4LF_wI7VRJ}6)v7Zg@?JZQ z(SNCS6yyCeZRlr!a&4S9uIQsSX&*#BX}@oVqtDuM*1o@Jx3K>ERr_oth~KmxJ0SU8 z`(iHi0(H?zz*gygIt6UCZgVP32kW-|4iKUn$Ew*H-BMeK?AO&E3haPx%{A~2=~^;4 zIjkF(ijhU>ygR|e5#9dj@P{wRvxIs~SIr%I(Yj+*VLC=o`qTi2MCu^e3wMhVw+ zb}UX@*EP}u+|Zrehq2t${o@P0Te{g#Fr?eMLY6e|=nhwd!d>0_is0ST1+#m1UzfcK z3c0#P?|_x+R&oo}2VDT;ta4pEBkGU3c7uR@((UI9^jYW0$oz}$3Abr})omC9-Zx!k zFL?j18@~%z^g}n6ftj~{3|BQD{r2zhw@iPxD}b;5N(6wPe!mvRmg^TOu;Q;@#vmg= zKj#)quh5@k;JH;_5&-pW`bbtfcIcnYfXGgL^;Gb}_1S&E+ok{60;Arougj9d9(~kM zc;Bn9!1m%keXVp5BlL!+kc`xi=0dw)f3FLSrRYO%K{8bz&fGX%pWhKy&gvI2SU;y< zbqCmay$3_8488F;s9(^xjD_AseFHv3nff*(VeFFr4{mM9((4-Ho?X^wvtMvUKYkUw zXX^{tA;{4eyal+bzw``}*YrWQP`|GC34!_z{pptQ_durJFT??#GU!VK2i}a4O zVeFOum=E-d^>?peNU!x5bD;M|?=}Iv68+#BF!okI?l#ol=?zywe6R1sRIgP3dKwgh z4e7O^5MuD+{Ib?y-UWqqhE+|0tv9UX+aGFhWG=J85Oo>~VTN6-$ZRxtPXgFvcs3q3 zHyg(MjX`WNv}3JktKnJ}h}#UkZ$NLm;W?Ay9fnHG(@}@72`k}-ffM0jmm#4kc)JZP zZottV!(Kapy@vCw*zYq0xBx^LMm>R}NW<}$u({vh`49?8hN<;HOg5-70I3Gc4$w<8 z)Z?s}Za|F)AC;lmIjEmA*q37v=M7!L!OJk5;c|AtVA~W57Yzd$8D|>mEd_STa8HGY zEW-@G#g`4GE+AepjNv4nZRj}{HggQySi&hZRQdrcMTQ>_ffXD6=34yPu;v8x-r!Dv zS7P|RC3tTQyI%r(XIRQW@V()W$*@vt*zyUy4~E^Y@LpzEei~T0!RsJ+9}O{lT0a?l ztf27Okj04li=lAgJ;O#YT<)5Lty74Lpf5W(l&4-)D)+GS9j9Xa7zissK0CvZCY9JilHF~qJ zc+dEPpXPmI-{!c6T;o{=3lEH2PC?J> zs~@n>#?LJQz8FWcIPul^IsiB8o6*1q=69pR9vJ&!?9Xkf-lhtyr~8Cc zU(*XNyMCtEond9U=`ue!f76EFFqQz5&nAEsCRYtihnkMEksM|^&QENksfcsrCQ~BU z&CRB0HyGPza^XuEY4YTcBJDR7a;x0|(;hza2TeQcftO%%Zwg+b=@w(t)20^OGj+z) z&mA_COxE2YnQZdrtxGXAehP(D)1FQ6o@T1m2qNhw0|TD3rb^!6oimklK09w3JPyR0 zriKeZylslP1mYdjlR*G?P16Ga?wM*X1-NfIKNtRTP44qCvInM0vj85Nx?Tc!WctW1 zah}PsCX78ctsaYOe`2~b62uZyAnO|MOdXldy*K&411L4UuMf!&Cg(&*mYLeL1XgbP z%xLYSDV9AQfAjKijCzH6dluZTG{0g2FwmUG^l_EBS8wPAnLAg6vDN17t)LKWu2u

    mq>_YQL-W<)#9W8PqZ;pUV^kJP(Np$;)?;EH*eny?1K5%+Ze<}b5jPTndSrQFwRTnnWtbn%WS2zC>Zl2er66g0efzq#zx2sa|Ve8=2zRG z@Y4K|?_Z($CbxwbnME~#SLU!-cqleEYL3f%ZSL0w3UAC0&qKY$Jn|w$-kPt@0r8y~ zu{!Rj#rdm0{qz&3(mKmt;Mk-IZ}UIRzCor-#cCL5*Hf(YcE5NKhI>r50ljAwdm5J0 z4uOuw9<+KTGR3!UeH`ZwT{3V!cp@Vcr=LzjX2@&SpNFoF0cBVS1CZgrB!e=d23sA@ zkDJ4$OB{Dyx&C$?@*`{0+Ncf-KymZl1j^{kjN{y&UV!eH?irwub!Koo?ju_#9x2TD z#;*&-2q#2vnVp!a0p0TlcdB`9VWKo?WhuN*?o$^QrnuFI%+#rTa;N>q>euv5Y*fuy z%(QprSME8U70sDwc6c##=M;8>%-nE4$js|84afNbeQ{hct}g5>^ooS!qEI%l7CXGg zwJfQd39Y5++|TDNZu^1lBPMf~`ZCe|Pbm5dyK}(&MDSjK<)VEv$oq@otS1LxmpZJj z5HVa@R*IWmzyig<9>7+K04vzU|8z3z-Ylvvg6XXyCKSYNB9`m^b`ensutU6My=tde zv>JNh;=vq%UE=E!c;79$j>jnXi0_X;+$&1>kn9twfl!DL^|N6!QVeCLv|p6=g2Dk& z=LY;86mC>MB$~g3$YF7Z!A_LO8;(&Q5zzrqI4a^TA#zOE20|fPByuK=5e=Hd^l|Z? zQE{yBWej;jRNxJc6KmX{o-R5wSUoGMvvhi1*m5Pz5Lz49ydbKu7=BZ9;{TT160aPf zcSk%f1M#ky6A0`c{-p(0UWh5QQXuxRf?6m>_lK1tQJv}2D{+}2?jbq2!^I)=5d@#;C-@gEZU2d`$*Y(&P80gl4 zZK&?m`r_E5J6m8qe=mlle&6nRn-1Acft&K$bH9y|73;BF|Q@A-{~%= zxgj0f!@|(M2mZS^85g11(su){tkuzx&~Ke|0_Sal?&8?C#W;9sXR#8;_HMHv*+IvB zbsc9iGwRyq0IYZ0R#pE0wPrJE>)zW0i5}rwpwn|#E{>LeE(67CEDN!{9W_d|4C+-w!0a3+08M5VqeU=J)ja((SbMknheUv z*Q7KKUaSKRUi%Xy9eaf1=rleP+~IB3L4L$)wicYD*m!ceve5jj~Bzj z-Y@aQY5G*<&$8H5<_{h8O=ml=-+gA{{q@grZrk!0&g~{W<|lO|3zPw8m%!Sb0N`s=6m>Plj{Ip+bi2~-Y%NO)AsXoLFv$P6f`?- z@y6A3de5Rx=i_{0x(vDqO4oy&H+q&|haJnUHve6#4PVIS!THc{VO$McEw}T(C0dp8 zx?7+43VNHn;gD$?e-)IDMz&QtRp#!9&Yy~D{Wf35uDv+Fc00KkBj_H-+Deb5lOWmi zx9+@WF6(e^HKhQOz4mfmurA*P$=(Bxfzl_Edo*kcSpDj&Fyrah>o;)we@y|`HsJ!! z?ULAfv3I+P5e{h44Cf9{>1*)YKXL9j`73mt4ssnEa$`O$3@zagjtm>X&|-KeR_aGQ z;7(*`@1x+lM2>*2Ys_O%M%Ji}^HE)UVGM4CeQ-Y7a1M0$HQXdQX7K^o8M|}>w8o8P zH1A;?4Vm$KxRqzZ3MSSQuY`c^8Ov12s~zM2NvAY8pKRlc^C<&Zpq%R69@jE0gt_eW zJ!R0EF^l(nX6rO~nRUX1^VwZGgF9#0Y3R<49* z6PHP*g~#HK1xI3n!M!}L+%&Z_h=k&px|TAZv4D>0&( z3095^=N`afMMw&GCq&gM0C8dy^MI2Axfd!!qF@RfC5So$Vda$2S;KUqu;r6|TJ+;C zlr!SE0g_3=J_Z%q|1C{}*cWWtmZkY-eL6s<`ERTmwP@EJl$KrI!(!Xo`Jl8r9RjoM zoB!`Mw`CyNv1JA5cB&c%dS~NE9J@5$56P}?7;<#`dng=tzkUfaJxtu})-&-B94)P{ z;b`?o3<^8{8$lsm7OUt{)fc zdEFnu^892o?#qI)J{af1+1zZuC=3s>W^o%=$SgUw2U<%j{Ec&O5yZ&WM{Kf!)n%eK z3x&R72lvkTi4&tCxLmkL;hy>nO;un4;{HsCtP0yanlca>qV8-z(Vo(VSr&`;Z1;z!ovk%lL%P>_06Kzg#T9Kik6_aZuA)B zHiccG*!C=I!R=-&faUgW_}^QIviYwrw#vmjJLG_-m_~wj+T9xcv(6B0-at>I5SyWC*!>L zsRq#RGrK*;XHzQxl)ep^ulKvnO*Q?Wa~Fo~9M*X3e6N6Rzl}4)fQFIudRvPRcF+jU za1M!QU}3O26*7(^w&EI`HnH?EB;X_L4E#eZgZQVY1=8@ptS4GsH%NsPFWq+dcju5u}lQI1`2rX=EmMg_qxozdh~q<$)558 zyjuQL1d3G?K9;>E{R&CzWlZ^dFXF7;$A#Iv%{s2IeG_WIOF!$$p!5&*#L+g4RcgDl z=U~D9I&wD6fa<(E0|ODO{QGjQ?F5UBI|bp`#JmQ_<~5r9cTKWBv>T6T1dS#-PQuMo zrvA64j~E8~jc0M~Ytm*hEH@9H^q-#72iR%9dp`6!ERDgKIyQcVW2Zx-A=lZTzPea3 zp6OZ%)0C#$fHY9L`}V{&^w`CHG(Gnn!?|T^COB3>EUWd}I}a4=CyWjI=B|W%zwhk( z^k2*=!}f(Y&h37;z~lSBDMz=J`WoIRD}S!}y;3|OVLf*Rqj&{6O{2m4MCaEu@rVD9_F{uJgwN<^0H@iIq63eP$s)ogv^w8 z{?M9Qvofqrn|TA=>7om`Gu~x@K6A-S&}TJYh2!j%Y?jQa*#kD`Zei_g-qk0NnV-dy z=YnmFz!xqYh~uI-#1a4A*@h|b+ABX27Of2i9D8G$!`-aJZF)A2%ozIK#B8JKH;p-T z|7Uy;ZL8XYZrAXC@l_OK+W|X=!_GhtzR!cAZE)^TI}ehB7sr9?Sf8_=Q{Yq3hlCx3 zouRXM7lw6d1o`0=n?q*AH^%VJ)}6q0*}oCzt||O=u#t&eibn0{ww5uKegk)GL&llo z@{&REaNmWojbFxw(u9F=ket}?{(tdr5Wh9x5dAlF20sXaMMpy+G@Z^J#`%y(EEW#! z!bEr2%tDUCk>SmV+O*<4a51*j>(^k|R{o8u1@b7VW)f-*7t1-PCyzGK{8+nLZ$n#37oa^FL+Fl9EQ zoT*X0L7$c}81(5s>@kKJU0B1Jxzq@mS%3Zt`s^CdV0q4v0XWXp{{gLeFSdg_ztS1# zE^y_ivanS+B$pZ((R+)N2f^?W9hburmM$jXzTyBXjQ>6ZLA7|FUM_~v;B@BPj)e_j z)hX>5&WF_HsE5Ya!f{wa2I#{_aEv1wF-mu~m+A|25?s%mT{wEJode3G zMoZv*vg>46o3eq~?bPr~ke_Cw17&&(&a^Y!8C}l|cEL5yO7Di_?6x&QpJUey=X1j= z!ppp>?IAz^sTRit#`B;oY<(T)OS>{}^A_{Yg5)FaU;f{H%KrhPuZYu#|G!UREOj`X z;hQ`7Rzv7H+H!_=D&u#Mhs^mK+@azb#x=}|QQPn~tTl{qW*FcctwPczaW*Kft(oJF zOk&yk8Aq=JynmDGzlHqd1;0UN%4dAOnyK5oaX#%sKgdj7?V5YPCbZ^_WeahB<8e4&kkT34h53izaj91(DBdD94TgL~DWA+`Vof%P zzM|KF|K7WFeohYk859p5R2P06%bS4Wl*s^oNX-whH`JvuJP#X@3Ci$AtSF3#@q$n1 zl7B#PiG78!xHi>6W~4K#v!m>o3%RAG;C!^{J0#sJvQa$dg9CKOwp{|sIMW@-cswtG z{qcdk;tA<9aGcm`HYlDe=V1(9^M1zpqyrjgO}^9-lqpLG<9zB2Kb%k7`UL0Gt*2lN zGkUS3F|!}HK+Sqi?(7^(=+42BfIj-mge5{K9&QDLjK-Qtd@6a1u&Yfb8o!7&jQ!Rhc zhgb~4`OqAGy2GmRNgn=a9mX{xjggddXGTdb86n`h-c5z>$RA9sM!ja9>Q;#(8olNt zWZWY!LVirpOwh-62!O9~eX>FKnCpr2@uwKyP3Xv;!o(^3SUsCB1I6noBj8B|(V$Nb zO2ELU7$TrG_0tc~r?ur%GJQDj;*3q}AU`vn6}nl)f5XD;MjD*YNn;{1cWXH0=MDG+ zS2VxkXGku%`WLthpEF5XYH5T`Z{f5QYCghv02<4L^$B3UBJ<&YF?E+oAat=|8q>8Y z=jd+N`NKcmch1Ink8M7%)HAFRj+Q-HW4CI)GmXJjo1#Y zzH8V^>*sk39{azX1G;VZjTocdtj>_PFSv;F0oOUV4&Jc{l8yzefjZ^#=^WC6@7K^Z z12LXqH5rc&uU8lJ5o#nT&W+g-aEZl-tZ{9gjPsFRe0E0-WGl%nmNmQ4FNfpk{#$== z$8=x>Ja%&)Mlx;mlsUvW1dH5;3T2%IQc;M_WO2Q2pP$tSu` zFzdlKx0-;`w+ZL-e%`#c{vLd0Y+EtUw>!51_U+x6K@C_`8}xyC&hUd)E(hJISs3q* z^LBU~x{udCER`9?@SW_8j#$Ke#QDy3&|N&Z2i3K~8k~>xiiEXM39RY4{nQUOM?c{T z=6;>I(wK^k{)^V_kAT|seHG|*YtKr5_n;u?^msCvSGbcc080yQRz0v=HohI8j!#y&3ATs&O+r-3rE9XA?|`p^gz zw-l~7qh0so=-!L1{xLb2cmJIa>Nf|q>#H&F*KIeWrtXDI`+KNd@p_iC;9)t0v$|E} z0$yQIDC}BCr{UN;hw*qH+dCMUjfT~|z5^yftDoerg!M1l4=vmJb>YwM{cO_LRAZ)Mi_gDTc!IvWX7Si<{7~?^+nF(@9>LBS zP0vX)LAR{Ma*@^dF`)Mv#~PuvrxwTFhjT#hQ=Pk5ZPs>#Zr`uv(CSx{#f<(Qu8_BN z;~lXZ*AwUVcUTS?U{w|J1CMZP;vicSj!rWU;5ejV1h_*NFnbzSbv(|8|ICK?h(R{6 z;JjcbjxL^^c!g~r;e2GPX3!dSj8BJKWGmPo9a91F?gwkY{unpBcmH0Y6*IT4CYETr zoqvV%?)Ge)_E^mtTF)Hj7nY?p;Lqv?GoM}=%s#C%RoLp?iTfV#$-d2o zL91WhT^#%Wu@Tm6J8;92-M~sX+An+n%7E%kc!ec8$P9AiYUt$ozj&lg3s8o(=leFS z0@v{2RuAE8g#9R-JO8vCT$j4_c!l|l(??ci>@e!eQXJhr-2;7eG4opYXHziRF+1^j z{CkCaZ^ELrM=wb9ZpeJ0&mdOGZBF@v(zg~{&%X5h}F;12q1iz{)s=K=Y_)qerqF^;Q>Q(PV>L-xBse(2}~jC+_Hdosg^zveYG z;q^O5GpBUfbO?0UWX6Cay_u(va^Pz1R{uDTqx-S(KhCN?WIWz)h3@#y{NvaOYuL$~ zIQ0~cp1atm^jcX4nMp?G5|bmDYfPETy4BPOdvK>EGNPP5E)tX(V~Zd;v!w+jXQj0P zef9z7taEmb$EfE1!t7?=YWM%5#=u(Pr z)BC4&u-nJ(2`t)t_=5AkUYU^Tmz57n{|r`>Z0|%t($41_&h3x0t1#gF0Z0z~lbOn( zgkqdK__9GbxFgGkA{Sr|VV8 z9_N#8Vjt1JPtBivhPxFYM$~k_&9$dT24~KmO={w3Ik^|~t@0M|j)Zc1nYBYT==Xjd zhGU<`T)k|5X@O(k#jJYtOFaa7|4)fH+IHiUV&_>GNBg4&a0mS6kK@3MYB&z66o{k4 zF=jP`BXeMR=rPX2!=_r`eE9kjcpvdAOP|gU-a*o(z!r4ZnNL9(>5>A;QIq&&xqTmp zF^`V#35t6>^U*P#Ii9f(Ia`l2j{wD^E7!B}4H6+gp$7Is{CnlE+5bB!j!c9|yl7t& z+yrriiNh%|b}g(Xiu(M)?$cr(o7!i@L)N#G#LeZvlEtQWP)HF2xZbCVET&j#0zZDj zuJo!*5YLM7W)RPbir=AdUbM7^%?x49$L@kS&zjXmF^wO3rr0$BB9}zzN?=(c$ABSS z7H4ll@{0KG4v;Ov*(b>nm0rWvLCCL$h#ST16cpzu))st4XDQHv$u&tg2|{4b(_5Bpc)>ksfvOh;i7-TJ~>(EA~J z*uaCgbYV@_M-DSWWSO*0g)v`wCI^_G9JLeJa#^ni6#Qi$X2=0DoF$GG@)dL5m9iVB zu0YxFA6Qu>+iB8tG;QY^~JUz{5JZnBTx(FFUav z6e@kHLt%p)+Xo_H^5JfPjdC5s@=fx%8+e=Lt&x!2A}1aOZ>xM(4TIPwTPFf+m-$TZ zcF3vg0d~rmPVf*eWBCJTyX4~(i0qd0LSbx=)b9bdSC04-R`$s?;{hV%grxwH(yk9g z_RHtYh!052?PyKOJO{WvBo{dXJ1kosgy|@Go(b_0Ir1`yM`dq4z%jWo8^mb&b5jf= zMrQMAJuc6(pc^Y6u-bb>Y61u4PNP zo%{>d+dr8GJDsZ_(fgl1etIwpg2}Qb%Wx@jG*{hJX=EapCiis%mM*{l0dQ7UYyrs( zX;~ZKf?W0w++39FmOwI7#{LSCOY$~%t7OUjd|@t2&sHE_k%3j=_^NEgX6-dum?fwRqrc^org>q15E`ep9J93%Bl;633bKwo;vo;2p)Artc~9BVgseQtu$t zbCnR5ydNqLKST17V#^dZPf6fB`&h}^1Y*AOr5E&`Dk&_iKT|IB0P%%#lP#eFWi$Ix zFO`hD;1wzL-Qehz67efQvC^U;M)q1MXYlbx`7i;FN|c8TTHY#FGhp+b;&=kQQsoGj zj1S6L2J2;t*BOlLqaxz~J}I+UNByk)VuHdKMP($1-|Gti_@*TCq5ZCm@q%}6)yp3W zKI$BP(#zD&jB|X|Lk$4@)G0wQ?XPyN4PJn1%{t2p^(5c@K(#;utWp(E@PgEJ*mL;5 zm#=;T|9YU|!ys@Qsq7mx{)r1nlL2cW_>a3a3^%RLsioP==QwYEeIu;4C~FOF%d_m- zw;FpD$JV>ZZBzCX7TS*5j$^xTF`%^fNC&q=R&Cs+j)8Eg>16vI=bevoTIgbX8Av8OA94^DCRE^SJaI>VP&_*`L-hKd z1Inc7Ga)%SWF)kvd^!ccQ{P13IIYGYc$w~f0^Awh^Kd@%{d~yGT8bitW_H@2urTK{ z#q>;7p9-V3lPEYp}j&_vuLqWB>V!sKvD1>##V_S zeseKMJX#0hYTsjD!5;2^^H;WEuAhJcQ<`c11c(O3PO)9@Te+v&g#E7X--zjo0f*39y zL_=?vD9eYH-Qwqk;O!Apo&nn{s?fte@e5PH2yrI>rX$6G70|;!6REmlErCJgZaT3;mY(mNi-V_ z$z-wUABd!g#;hQwiha#MOcMdjHqyn2(*S2hF6$fTMA;Vb&I^Sd(+p9GN&f|r`UN5v zg^}&wOcC+`BA3J;Oi;5#=Ri2RECLq-yCM#=Ym_Y(FmA{ZIg4=7SH5=eX4aq!FomG^_Vj|PxCnCQCuzZovc=)NPnF7gYqTnj9=i&yx!uvvG zHwCdk*gl2Lmtw63SfO~z9KA?H&4-m&;^EK0UW;od;qQ%ziNpX)#5Yb;Z$)SmVDH2y zruhh`gFq}5eiHyb2-^+-Wn$bz*en;@`3Qd$HLqbvpTvB=7N5md3y6FX>zUnu75PPw z{3de#0QfFER4DuqJA9$wEkhFle58F-=q;1EeC2)Rsv@}clg%Q)TP|arpx`fyxd9|V z?qjukh3q;EV5PL20fj)hnG3=yIh8+L7$jHshWFL-GUwM|>3AGh8zODfpuR@VU!Cc!Az)Jqrlh(`JTPGFsWyed81r?7gjdOv`rYxW?5+yOmC5wIbm*< zwc9{)o2>N!*mfDpWNe4*XamzbWpq5SaM_5@%PyIA5n#6r=ajQY-Uxw}y)ucD{yv$v z0v;k{=wf(}l=oVIw_m390`Guaz7OD_e7qjukaTBn{IE=Bp(IM~zXotb?q`5>RDSsj zddKAXUBIH{0A5&(ta={`$K`o$Op28sK7)5cj>`fTC;h|0J1Ng*0>sPNn*kDJczq1w zlnm!unkWVL6`Ym__?v-eq(AfgBsrN2bF%yp4u2`K9Vf?BdGIr?FHJV!k58q`KWf3z zS*f*zm2>h`1z0&RYcLPWkeyk1y&!M1(Roq2{|#cM)U&01N%j~DkR?NBK>e~zO9ggC zhQEb}Y?;G2B1e8}4&GH+dKbiNau=t{>#}z|h&SY6K5jSVo=OpQmd(t}}#_r1-<*X(;T2ou9yWY zaz3_pm=cgU7>iFLt&-ThUI}krNS=& zs}y_Y4M9p^Ct$0Uc)rZRN-US$5G9{Aku^$ZHs)b z!np>7DVx6GiZ&{>Lm;wADPlytS?PWXcVdfjl7APnRT;$CW}EV~DTv#Z`%Kh!C_^6t z+o?Pm28D1XY%sj zLdLEDmaM$us8f^&%r{b%wU@w4Q>LW=OIN|$`7t?$1y?1`^_mnMndy@b7ef@e_dpq+?*_mgaJ~QaVis}uiDLh_1L>lr$ z^>a;ld$PK)0-y3!b;}JHkf*DE^8^Y!Q~l4iP~h3>6$b$1x$1{$Cwsnn9{0VzQ2nP} z0P@*R-)Qgu6hbuU*x!${Cqs`q{yiLX{aa}yF@s~&s;U-WwQ?|z2FH>$rR?|8F% zFAAe?RUf?-65p=ggyP6M)#qP;ymzY?Jc>@dSN-Py;q8O!Yq*2-!|Dn0_m8U2rLpki z>TM|ve^PC%fybxS^K%%G&#J$p8t{2_OCE1uRNq9w?91v0_Qi*NRlSU>K7sL$LjSL;_*}UI5nE3!NN5F`A@L>Zh-6+ zyqctP@8GI5@9Yztzd1UwZ}1{o6ZQ)pJPU982UpBPLk9$NtD^XU!DlZ(mktX4p6u-4 z;A51}4hgPbL}iBs-zO0`JlI3){n^yp2-Ae}gd#wVV*Vg;wJegW(&IcT(_=^l6+N z40Fg&34TDHdTMah!%_8V!I$nvxzmHwIjLs^-=o@pX7Jz(@i;5^#P5-KcJMs9NY4pw z#vwR2_}gbt{Jh{1+adA%;Kg*GUl5#2`Ru~r@;=mgQSkNi(d;F`b12$h8qAPuUlu&? z9DMEN!4{gft_beFK0f8jUuMfUU zl7NF!Xx6wf*fs-?n}R<)fyd3kv#S7dOYkoVl)E*!7L6mf1%JN*ivKV8AR~Hi4?grq zfZP$>nlpB1FmK>f?g}=4hqt?f({@9FdxDJ=v+oTayDjRxFL(~4yzUQPeF^d&2tM;` zJRS^w8$c}&1-D)b$cKZMQoMU4IIj+Gj|P{<@pvqFAsNGp;2+)x z&6k5$5N%!w4u6RPuLcJuP}yt2r>Voe9=w-w$Q!{??zwq0*i6^V5w6CMW{8(f0KgN>W6KtB&Lj(Z=8hZ;}4i%uM7 zJT1MD0h^xS}Wd;Hh$ZN;>Q>@G#DRi{BS#pA7`xl z0m>b3{NLHAbGb2}hQ0q9)Aj@82}Z{|cstSf@l$}DWZXK2s!ulVIs)ZRG2SD(ooXCV zgU4ycO_WVfH;&?>Ji~a3tK&@L;rXcZEaM~wg`92ddKBKyF={r%@SJOW)Qz|EjAsjg zJm2{Fuh7B;#;H%D>I;n(XW?;?v2O&47aLnO0P+&!3OYqDHJ+tU_A=u)2Lj}BW9<*| zxWbrD1?Ng5zYHK(89$@3>1yMuMM%8HIPO(|Tx+aFEd-mu-a+2=#=l?0;|8Pp5On@V z;~(GSag*`8TkyEqSeKErw-`gzLT)uyn~6@`X59Nd9{)4)=i_m^@zSm6#2v<}^uOL| zR1IO`?lJ-|Iyh|@p#<0?sYt#FplMdebRV(DIlLRvNR|?ZLE1Y z@}4nPT#ja+HJ+ha;yI&xGbBE5d{4gef^qBiX!b?pekxQi8DH*%F1>6VK}Yl}M&VBY zdDYnKSrmWGcx_|6y>2{r9BO&PIOtB)@}|*z3=-cmcA$>;wy_~?0`D02=TP8Xb;q856ogOswfzh!gKt42n>_Xy4#;z3FJ~n3Vf#RPSt5Ej-)cE89Kz?R?NB;LY zB6-o5FO2tzOJCw}Srq@uxcewPzBV3P4#;ne;`*rNTjSXj>io`l_Gv(VZ#4v|nf^ z%2NA>{>OE6Kxpe7kau9{)<@8#gF<(lgW?B=7Bu1QkkBc&Bk$1A%ajfe3+=fV${il6 z-w2N*Ld92+cVuYuU!m8_Lc`DF?WoYIf5GGE(7ojS$AlK1fxKfwPaceBj|-hfUHkaZ zT8E+P<)P-s0rKBaJDL6op-pL7Ju&nmjoBxKF0I4c$)N}TiM&%nttX+}siDNysO+@R zj%%WWr-ydF5W{*#=!hI3&kTM43qYO~>N^yWXNT5350K}CcB;eMxuI<-sh$_QkrQ`* z=w{B!1)&ykz;NiF9G;6p_1~f|7l&rNipM3PooLCuG;|szvdcnYF5fFcC)|z}t_=O{ z@2KUf&`)H8SBI9+e0@#m>#b1k+R&SuqVv~hm`p{bB{WpXjI~j>LhKg&Vz)hh8 zuEXQzQ2KD>-4a@E;Bjl{HO7J67OFoKkN<_5pF%^ohqei$p*upSUIUOjL-l7P@vczY z?x^hU&_}(1yeITBW4!JSy}Ab8?hCC#q4fUH^nar-4}=a`3y%jwGoQp@Jrp|kFyuWP zTB8YxkA#-dviNA|(zo&USZJ5$0J0*q@!!$HQczY^z290V@ zhp;6A3omrv?nrz#)N?4xJs0|hE~w{2A8?9a2tD6`T3!sD!{K=;w2W)|<EbaH$yWRF!5Gs?L~OJ9SV_fz7uNa@_jed{T9l-7uxi9 zNPIuE&aPPt>#OkZiZ>!MVuR}*(f^y%4&fvoPHgr0DvEPLTW+Cr~&{3D7z>lHn zbPWC!`p350>K;mKMjf+vs;pUx;;yA**;|@HIG*6?` zWSP0|t9Tq`uGfRd(dKv0;c<+)IkmH6&8s<8$C=xli^`5Sx4Q_HEjJfXvih(2#kl}E z!Mu_tp%cx`7#Mbvxz0TRIoZ62qjZY-bIQr5nyb^WewsOO3?8SOC*Fa_8RmX0ccy7A z1ms!fpGeuyF_+QGc&_=?!ALyM-2Ytk`h0VrXYjbdoV_)^{X%myE{luIE)wdC&1Ww~ zxl7CzvYt!L-+Y6|W#*U9<8it968Y^F=63s`p)1X8C_G{$ zYp(qf60b9FrF-~#vz}(H8_a=uNW9UEEk$KFnQL8uyqnEgtKu_nHO<)oxy{`09#s85 z^CvpdZ#Uc$ACS+Rw=@Fe1@n6nmKV*RhS0)G z=IOMlzHA=OAc$AYzpsMtd)55QF-UyP?4#-Zb@L8t-fx(D{)BRGn!j0qytm9(4?}^s z&ENcpw|C7u{ttQYnfKG;^1iv(_jr6@Zbs+Thvu^e-aaxn=|n9bn~yz#E`4HN@FH6O z)I4h&6#vY;<0^oBZeICk6!^m2@?b!IY5whe)cKY9!}G}d+B}`M`)|zWH^314(6 zKn@LW)r`c$!rzd&9Uh*uB?jw=@cMbQcVzgiT>!EyypUr3QQ-@z#~mGhl6L50!ri;0 z&SS%C{~V9w!VUKT|aZ~u2w~%*B_=y%gZVi7=tJrPf&p*T4|HA)$7X@w)e>xkL z-4PDa3U_Dt-U;;cuJAO{*1N-7Zirg$2^Sf$cW?NHc0BG2-MMAABAh%L zk0-+)U5)}zg}?j_AfFB|Sb-Lv3BP(j>U=i*^b1IQE*zvR{CxNqXX5RJ@YiDic`Y4W@EbJHejQ%)IUe7H`?*%W4L?g!<-73c z5)}VFywRO_{1AS989;svua(B*r|=DjqZ3P~hyRDl{xkjba{;o~^e4#G_MUzc`RzW_ z|Mfib_MLvvJml>+z4sYZz5nzb=35uf7LJ;}Dp}Ui(+_XL+~T@e4p6KmBcbaF$R1^iY8OcluYHu@k1hu^$>bar%3|QQ;gX2j`uG z4>%>b6@7B22K$MXrv)eIemgz5_%c*+X7Jf(@Hi{jHye+$gW)6aI48K;`Y3R2@ZAI| zJTLeGgBs5dzQU$23|h6wyC`@X*~rDgJCiE*Bzz8u)tbkTA^Eo(^#Z2mH~sh>PmiNm z{r=QHo7SWAdGnKB!{06cu^xJpUQP>cZk!g9S?iDj&+f?qa?Y8dP?d0tKNkpKzCy1A zE~H@eMj*}+`#f;|nrPwcz}BQSKLz%o8D!sStL9Z_*4k?iR5;^*R9iNFkrOs^PihwN zRo6jtiRq-I$x(8Tx+6*9>mQ~f)^Ov7fNb1=UhAgEssX>%$eVykH9Upt)Av)O$~;K+ zlKYWXistpM#NU30vg*V@cYNWL(n~A-BGfS zKkj@MT3l}}gqJWibXs8U=lIMI1Jxhl?YU`xfp8Z(vU*Drr(>)C)NE9RPOM&cKH592 z`rWtH1aH#12no?H&Ry)K4bWV2PX@R&B=19dy8Z45ny9-RUYS%)^a0;rGZm)q}-p zN?2ncT5w!H(JzJjEK0@t2_{KEoC3Q&g!uG5@D;_+zq`#=M0`5|z? zyMX*L(8B)z6gYclJeE$&+=a^iGwo8gzSp$dc0qUdowh%{QwL33JBqJ9b=r(m@OI9$ z3(mvi(rJJFC(2zt?d@^&dd0NoNeMBdQO+37t5ydLK^V@zq`Ar^~B<|8EpOwfd{O0C{%xAI}5G_0^kRj=blpe`>?y z`RWiuHeahg?Vl+3di6C=;_a>Klekbnsa}g@^3&?xt?>=tSMNl{d|B}IYf$d!;J>+g zj}2}}x%;@_R@5h#2e-Wg?VS<4?MZ-~8@!PM%|*clEAY59cpWuXa9=uTy(8}!W8x}w_gEuzBHBCGIAeQ&TxbNS{$65S*nzjpjLsf3 zbd~Y+eW>#q<5(IcZZOuY#<$;WyhkO7vl#%n%h+oM67MlamZ6phjIo>1 z(8I=lXQ1L2~B9d)6Yb;8*Z_sT3pjoJo$V5d+B%jv-Kt_+VIIAQ0qo#Hlel| zYkz>>Ghg2cxzX88C>h@`f-WZ?qzGMq&~5nJczYfGZq|4Z(*OG4&#UE1|JN122J{hu z{m-ec3M~uVNFns(z$u>uDiZ?u{5FPrA@tU?-60E1NfxdNJV;UF`oMQZ^z^2{Pdu;T z*1#sPO$!S2%l1E_%{33$9A7Z&SlYzrKlK5=Z>Qa!z)m!Q=XA zZ*7QP-!SdP9r1SKv`^PWshg&?ll0v@Z5xt_`=(t-b@BdbL+^8#r*$#V<@4Z42cjzn z8Dm|5yxusMbom}*PkJ}+H3GEA-DfPJ>~ueP0YDxwUZKtCL8EyE>U;?56%rpYE@ce& zqlTG9-ebl-wA!pNj$whvjpd&K@(JS;nuDJ-9{VNoo-)p+Pxfh}Y7IP|G1fTve(P_`^U}iLEZ-6ELVBc7cD@IKYM|4&@a<% z=;N=Bu0eHc+;up9|N4&?;P-D2C1YQE;av3VcilYrs_8He_of>XkvAK?88DmQF;6la zW1aoU|Nk`oWR$6Whx-0TYhD8AP4?&{T-R>s#b56`A1PbRI1{<)*i}fMZLY0)RJ(8{ z-e-RD3&p3`S?>`*t#`vo==Vmky_vVwj_65r=TlHqQ`aBxd$R(=&NpAW0=a*k%MhO} zKK-AnFLyebZ1cr5>up{0Jj!%l@Bqs6w$8%HZa+e|$KO|{8a{s~djA%@ygGi5=17cp zeV-%w&%-l-|JTWX!tVnD!<4TN3C#Euu*(9co`GtQ3%o+kcVb|N5ddBs_(ctBzC5tq zdw{$;a5Yio`hYPTdA9`i-2r)b1U4~|cyHkIhmiPC;KW~`vK4{UO-Ot?upKGDGYE7? zoi7GXo{rCXDKL#bx7P!=z~&()b=sUiBVo1uD08k^buWD2_+De1FX(|RtouHyEynn1 zU7s9?+IqTaZkTfeX-;qRSxBFIC5L6(je|(v?wBXg{`TkJiIl&!Ge~8J@5oH7KYWao z{`Dz1E%;$Az$`pvDSnTxLqq1+cN9FwKUjnsmaJgh(LWY)#r!95%pe~72Ttey&qD)i zy@n!32CiC;$1#Cj*umoib7*8gA@JsnsOaRt8%yvwHLz+3O`jIHb$xvC>4EKf@i;SZ z8%52t0;h2P&kpBvd4@?M3faqh_m~+vDYY?eaiU!8$6yi-u*8ipD{Kc2gqwi%{)~7 zy0OMPc)V+D_BKF1HL9ped}X}P6A`{P5|n3C zjBsYJKvih9UtzTvtN(Pa!qLWqsTXX%9nH&seVx2z%YRUH%51PMexDV%2@1EE-{v3h z0$}@sTaej%AtlcpKHm`y%-?e-r0+ccV9v;;9MpXRLLN9e@cTEAe{tYiiZ9m$eqwmp zt%3cfOQvD8M;=0vx#zEm+~Id7Q0>Hl|3QI&;1X|Q`=hiupA&fIeKd7$;14gO=JNvA z->OQ#F|B)DWV|^oOAi0-v{kM}HG5UxYa;QmYGYGWaZ2^;58>^+>Z54IyrTMvRy=O3 z-i*GhJFB<643CGZub_1MRQ1QVAOf=9$K^t9+!o_r#bk>(1rA)-5q-S5%l`8(Bh*|%L}0^7ofmZ$k%l;_+Q5NhR?6&}uKEz>lF57*YRI=v#`AOU;vkm@uWTe=*(; zGiTSLg;UL6Y=QR9GI#hJ5-&18T@8<`&A|Zjt~F009$jaC{TMoNy}2V}gl;hZnnw%w zm<#qm-o55Sz#~}ee)cHd9x(rY8{Qr?w_AY6L*{mWMtcvNhtZ<*h`BA*p-0W`1CjWc z`2$V2E6inEpz6oXN6F5fG>>33%2Vc^9G9ofG?lz(%umih@n_BY8&TjnbBBjf_4DR8 z48ecFoc;~+UNrwg zc)V#|a5S2I%RH2Zq_@p2NzmUhAEo~Mt~o7%PP}Jcy$foA(e7##_`p255$%0wTC`4l zWZrB6%cpMUL4cU;?a?XqkW4a3kHV<#v(PlHP+W|-#5N! zU}*cX@d?0e-!L<}{rJepQ2&m7gTveRjV|K+ld4t;z4)+gD z%pcf(QD6U#gTn*=k6RhreeD0Y7KR4rZNIR;UwonSJ@qpaGh_Ozs{F^Zf6>?=MzwFz z{CI4Wv5|?<{{P3{?e0ha$f89f!)j&+hsOs-M<*7KyCIyJcc;3`xzio!O%{(107Lo* z#>PfQD}d}c&^Lcz)Dj3(0mL&p3O(GQ<2w$H&R^U&I==fRnf799ag$bwLT(sWr~Inh z1Rt{)C^%fm3^D-&y{$L68av6hu0NiqQddTY>4Gr7BQT2iWR52=w zqkGoyz^-W3S~4&?HaIdYTer~s*u-MI0K$zKNP!?VzPJyam%qoxM+b)&?jc_m0f|t% z{n9_7D@Ccn5u~po`Z5n>1~2TGSV;JdZKv}0PO-*5`QAS=I$-rLiY^|S;3vTeC#Kfb zRXfQw2zDCQw=C7iC(MX_^VN^}I%Pg6m&}_#HX(luh>-^Lz!FT6Ok3DL#EC`Xj*I&6 zy}NOe@HQA%0E@;|%J7m!{o|wjHe@f>l`meT5=KTjHpm(sSM81sjH2%{5$!pJHNd#| z3KW?bQ*G=zUsWQiha@Av3qRy{@rV2_{u1AXAM(5SLwy&1s_(+Dv3c^t&=I`J@4^rH zUHIXA7hdpPQ3;4&G5c3s{o>aUN`5SU$&bYk`LU|3d{M>ai>g7sBxE)6CFy>tQ!n;# zq3ZE|1wY=eV8{Cv+<1SzEHK{RpnvEO!04(T;FoG%4m9eN1I>O5BvpvHI^M}sQ56=G z)i*pgD1XX@B!Bmh3@;d5h~HR0g98Ht3%Hg*FZw|Wh%=Hd^o#|HGv_~4>}C4EFdWbtKe@c>bcfF-Ys$9E)gbG4^` zqB;GED2JbtEFrmX2t(=elOh_$rvRziDtI%ep+UBI@7CSqv{K#0Op(@{5=(6&uSVPY0%4Fi!oX%LJCN?n? z*?jXztf96l-_mXsa;dD9ZtKj#WY6=15(GXm?I)hiDbg_Reh1;-7e=hF$W_ z64l~+{QxjZwN;kY+SwjiGBVT$5pST%T3k2g|AE<5Mz&M->Bw<6QB=OmOePVx zI=b5<@hW_w_zpa~a_v@zGZ2YI#lNa-E@Rb4>Z*#lLZ-9bYK>TC9$qcG0}3HBz%tO<~uS}Gv)ez5TEa@Aqjns(WwKbMC0hYITeAF7R zt$<*0Y`v}Ua0z<7RiaAb6FeD{{ok%`6Nel@+QiNLk^Rn?VIA1)?4 z)7jn86{}aM5(TOh03c96E`WR?C-AUfWm|h<^^uy%^YyG%gp$M9j&aNvS~*rxtF5OE zzvE(w#pcVb5!;av0+|soA;iyn0|kAtw?QNYEO`D(mRVbr3U3a3CIy z)wyP8o_%ziy5mW)!Jdj)x5%b#q-kskZJMRw?NKhAEF>%cr7=v_<-bL5xKbZDK}n6!PZej27Mh#ULYBy#DP+V*;hD~*x{9saVv+@#c+ghkiW+J)rjvol@m2I( zeP?G+p-IkCgQE1AS*m~C#R67MovK(CE6tQuT2@Z}J-_Vr^}+ z)wsoCDT^y0*V~1z$6}FKtj%sR)zOh_vvS#%A|B1Dwzk%e7QW^pHM-%7AewIY0t%YZ zGB9rS4Gk_F<{F&=ep(YL%(vzZj*rzum=dW$+QyMsgs7kvLwAvAmriwqA>)r;-8KH% zK184bpdYeN6)Ovr$K4iIW{*Hsz|$ zbaZj%(z&iVIZ(A8A#jkiWQ*O3rhqc1TgVb5x`{8;Rgo1Q9(BkKW>%SnD~oh7IN z8ZPu=tyC?Z7>E68)S4KkHa;-FX1Cf1(_9HNB9LfDCdR>Pq|iool4&boma+|=bu5U7 z&XKK(wJB#)F40_PlP<$$Tau-^sy+j3rLo;A83bR+6hyR6;YS@tS9LTCrj{!}yiA~> z?ntarFw0D5M{{cn5D+}E&R&6HuG~33i?19;t~;WvKs1U4nf9zG3M^@m=uws}kfWGx zPjwYqdo>4gra#@8O(ej9f%+72W=Co?qb<$RM9L>$w4u1a?vih8G%O}ONla)l{C2o zL4`K?Tp`z~#Q|$k-)_6kA9EO@5@CpswqzWOCT;GZ7*b|dy1O{Hp5GsdC98y@CGo(s zYSU@pmC$9_T^q|mjJZJL2c-Ha$2iMC1TRNMpNO>E&8N z9x0bJ3e|wvV54cVxsWJ2m4_=q($kcg9n;lF6n8BGp%9KxS>{AH@fN^hPR ze=!7tOC&5;!}dTZW=WQkj5RteMKXF4g%l_PwOGzsyb-;!<#DSxw02?VUP+KLC1Wgj(iBjNmik&I^^8pZp zKxHsfpS0Q`s#=j)ZsSB_BAfN5k4q zJJ4EI8=Xl^nd~1Lu8$=XS-s@qN%xYA#UpX9Mv54n-4Gn`&^1!mylxA}G} zl~1QAEn5_}IYo71iAa%o7Rm@#S32F)o*R=yd|Uh$plozjvD2;M_yb6!RTwYiHHj($ zQ`Dp=?ok0qOiU$S7>lWOMWW^6TFtF(_#kLX_L?fslwGr|?rh3xO}BPo#uIT(~IZ{_PS~6|3Av(?$(jkO6PM;KuDfSQ@V4`bHms4Ru zfgK^Gvm;lW)d`D$jR-)0TZB=$9wc=LS{jtQO$*75dh`@=l#*ew2#wXQT8(chgsff> zswS-wfVriz39SN?&5D&_uRtY$fZ!%TD=;Jug^ohpqk2{7&6g?6fCp2{0bVI|pv)FW zvyj_H%yd$Jh-)>rbduG{C@`DCPcY!--aC{KD;T{8UzVwfejE>dR zM&^%1{t@|CWY-;GgRF^yX9~qX9-k%p0TX3?Bpz>bwDnoDfnY*!m%n^f4_mh{h4!Zp z9l*2}NCgsSVvP!EB%y?1SBpyGC#q0ZBsqg|!2!v%$U(%6P$YDes;H0!w52U-bLkS9 z0F7#xxYht{QVju(GisAfw>1i)93)F0Ym`vtbTKWvc4YINpvtfwXxi+^FK!*Tz6n@C ztu>y+3?%ESSg1Kw>}n)uiqvcj^RbhX>IFfBR$p7y+n#Fyb4R6-R8OI?%%YU)+HKLm z!oCC;MMBt=CWDIC)vp9ptSP=SQ1QlxRTjO1m)AerjqNiQ^^NTe4WUN9)AYckR>5l`5%L@d(KCN$t?A=SbCo9dV?KA=vEiEZgrX12h($b!D1v4N`gE{Jxm z*_fj@H8&@#Km&4Me?@3&nCV$Llxgi~?W%$EuQsA=9I==~Qo$Ew%gRX8p2>CEWSlF= zmF_bgr4Vv1K$$afkV*O6T6t)Y)Z+Zi!BUM6z`~);!?Ngk9~!V0&9e|zL+enD7XCEu z!A+s9M;iS-1}U$0>}=uBoPP#=IH*Gv?12n6Y5S!Wlcx7_5!-Mb=+`9{%^^|9t!(!2bpK zzYza-#Q#D0foU0tAfgyZ-8(w*H&P=$-ZxKwmoJ3)IH4_}t7$lXl}p*4ET24|`SOF3 zQ>G0ca`6@0a=CmSWSxH6&JH-Wa>b&HV7l3d7-3;UFBCcpF6L7ih|3yeVHT~5aFaoo z?Cgfx3uBAa4ra+-z@INw;iRD9c0&ap!?0B2WR9$!_~FJ)xp07H^u>T`L^+D7cC?$u zPoX)G4{U=4MNXl~JpGYU@rgD@8g=1>gSfHgW*NS~db2Qg*_7Y*KF6ig+QwKPb(h?V z48@17+H>re=`+c`U~-n7<)LVIDx1ZWDNg0+MU8Pfn=s%)tw3-?sb0Y(N3pOhiU7^< z(smbeR&#qwjaa-+>P1-y;V`~fAcom^%p!T9fi2a60ELJc(!7`t=)xW8HZ>W=-N(8H z`{BH*QNOG%M0KE#5&fP{6?2fE_)!>IL_g$wz9rt66g&v-w*tJWw6(6koAPFPIFhE8 zk~ISh5^-2AVC^Gr)Jq*ARtFap4DygyI@(3-1)oBev1YZl%u-8)@j{Gqk!akLm+r8H z+x}=Hb6dW>V=hA-Z0iHDP^pBaQ0xnsMll_mQ!|l>Qz)$ICPmvoyw+|P{~IK9w{?hJ zK!nbm0^=kElIxYu;2x|#{E_LHoMJq&G8W>29@5M&BnLV!qJ!jVhrk`=^~y2O-H zNnL_6boVyfI1)6J2f2tdl=cLR1aXlwTj)ge5(y!E$YS+i5`w6lT!*ABjjolg#0eoc=Q_pc)5;ENC4-uvv&u-GIIxb9 z5WoVRMFX#PNBZVT3~>ZnmZg=u#G)aH4KcK{JugS9yO*XGP&#dirF;trB@v5fj+RS$ zCnHe%|sTr5bPr>r0_g4C5L?@IL5x=769>xv0y7Z-6!7?SNA_Q{f8D2;#7 zdRuy|r|_uxgVvWYeGC$4gl!C#heTZ@k;Es%A2TaOc^^(fn5kULJfE2@!dt{2uQ9z6 zl)y^i=AdhWv>0QK@rJ*_8={BlP|k0%XMt@Ewwpr|D27`KJAOwe2t61*Bs0igFqmmt zF*%%|dccYb(4wnkjv(@2!h*=pvYA?Gh7Ut417VG7p=owKr;x@^mx_o}Za;!)TqCs3d$dTRP zrj=v~0CEi|!+Q#=rzgi~*!JeaHk8zr9PLilLsXV2#t5kbJ^3N+8BJVDQe~r3k7}uG zccN+)tDDsHBtRJGRykZwfJ`1PCqNi<2j#36-lk%=kdCpUEo*dOmx)2_ys!p#!^Wwx z!6gGVGiS~uA!M)$Ldl0mu)SlT#?BV$W4hdYgsJwVAWXFsEeja#;p8F)i=Nw zJ#NyO;DNJIQQMelS{CRiA`^9Vg^S7v0nW1X6}dZAlTTEIy+o z37;e6G|HyU@L7Y4UL}OU4!^@Q<>* zis&L2l{`NNqlO#b#rN@#Fa&FrT1yC(Ar(r?Xo<959ci&V#5xcXp8Mk@VNNF!MeS5a zfK%z0m2qy82MU-{jfs`Bc8sIeC1?ZhY(@+|1oR?AAYFM$TuvNj<%(H)4f_C;qgSRG zaomt+L5N-C#DiZh5II}QV3TXl6^K@IrKP4uJT~6K8dx+ix^Q5)e|Jn%s(n_9u{z?Z zO({r%DTQQFBaEP^l3qew7o-&0cPrIR$Yi~m(v)Y&4gpD(kR~EOtbBfsnm{@&l?*Vo zc{3(-f^zewOxMF&YPA!3s&KYR8(G2|Fp9888Ap*W%kaihl;uN&!uY@r2L~dx?ns$E zwn59oimsG)t-(VKJnqp#FE%3BDvVkrr4X_>r2afq#aOhyROXk-bt*X`V>V?-W-MAa zLnf54 z*S6fHDWMb)pC!Lpp;t2Dhh53ItxmeJE0TVIMULb^r4l2zG zz6O|p(^3tOQ{_^$$JUObagTbKN%!b=sX)b!Uz`rUeBE>GT>%XiJq z=b{=16s`84mK)4HZKV>TkQJ4L13RWdCl6I}#7Xf>)P{abCK#_1@qIAqb%GMA_&!gf zmnWs%c_gMb$8MLwZ1CPta)f!&?JQCeR8fx>PuW!paBze}6Ncf?QG>;FN-Cbw8#!d$ ztftuhnR4lf^`zqtGZ|?)Zqn2mT2s4YS;l(r%$Ku1A0V|XvA|u?g^F4we5n;eL*9a=2c{5c8$$+KG27NTg0j9S2)eB!T@w#81JBq%EPC$F|IlW}7ejXZx_` z3V_5*4?^V-8pJP)u|o=5Jt7;zFKvu1%_zm%l6)bECUL$2#pYDI_=OO1saDE2gxmNi zK^RvR*Sw&c*w3+W>CyQ)?Mlw17-L}vE28a-@T_O(8}S4RGV0I9OLifTHAD7{{**Ci z(MJHJt*GFejJiY1EOHZ60J=xCfK1?_c+Y9i^0br+N_9gWix25$kj zi&|FS*dk#i=V~Y-(u`)7e>r$!V;xM_uJvWlzdK(qTN$Da(#buU>aQYinz(V)nd=1- zWKDlUOpK1>WD|pO1 zO*ZWZQSxE-pkZ61SRUA;Q|>PCM4_UH)s}-h6f&LL4P>V|ejo^ez!3fwi-A94TowG0 z&_9w8+<<`2hGg+e3OhDAs0iUh5h}y-<4aouDd5g@vTCx z?ze9Z0vog&j$DHFe}v#*is6T2lw3m+yYMON*uqX%Cf91uOG&!VY?MOCInYZhE=>{E zT*S)>{DY4}j!L9&7=#I@YZGv#=4od{$mBa^#sv;rVDac2j|`sNJ{w8Ao}wskux za?1?xm)uV5J0)=t(Ij$;Ec#mt-Y{Cmnv~?qo{&(|7L#p-V68SCN#_N8Lh$?wdn@Dg zK%Ft!cYSc?lt0zqd()^Eg}0sHf?@X09Qt0HMZBgg=7QyLXzx z?w{)sn-Fk*0*KUaYY6=m z%{Z}8ie_nHR#L>WY*C0P>g>brfgE7#@a|+eVAg_RoZ>O&;)jWqknfW*%J;%QBgrOY zD(nVns>?QeL*i*ar~kkmj^?mlr5<@WuS<)_2+nKEH?gEG1}1IU#*#{_djoT(%XPd}LHki7QCv>9zz4 zmOzNcLAIQnQu2!!d5;|=_WagIl1aT^FB;W; zM+k)UJ3`tfii^1{&PnAZVT68b%j?ZD)D)_?yFqUJ!VZms=uC7saU_DGHhBh4;s6Wm z^VOzmsLRf_JYi`QM&+z0mZ1`eTw6-{sV{T>(-2tic>X=6n)-xRez;D!-$EmmEu1<_ z1Y0h+3&ma{QLi!8UL~dJB@3k_TPPYrf@a(k2aR#8YS?IcCwI~oWU z<;oUb-#EB~J5be?p>e}ETcC$mM&Y>@Hp4E@^5NM&K&;obSquXx;|PR%2z?b4)0V|c zvgPcmWf7polx2=&Z3C<9VX=PN`<`| zKPBP8aU;+L==YA<7^K&5hB6q}kP1f4r1B!P$#ySS&eOBy10m+hX;cu6@<_f)06z0p z5me5e8)4cz>D=cZwUY)P$b6eWclCA`6pD(?b3$cK$6}hc=ooP2d??HCu9&id+Srwd z+Qu#rD#V1#MGSAaUQa%&7@@?1oi12BGG>iyj$-e7f&myjNX%-ZXLWV;YUjGMJi!sF zoGrp_3_+X)(u!Ro4%@{>AoqzBK0S&yir7(2-g;QJ&<#a4o0hv{{U~cUOo8R}7U92~ z5{zGYp1>Gl5+#<_u36Yyma&(1NxBbyltM_fpim?Yu8tK8IXIh3<5OH7_8_HnB~c}m zanKh=^b|-c0 z8LU)=5YDv9?O+1W>?w7J84<@+Z|J!qAa|6iqsy=(*GhHKXl(Vg7F$)kDg^)bTssZ2 zL_+K~a-3jP3OsqLvl-xPreKK}Ti_*Q2sr#PT7+!2fgto&w??VBiAa|95Lk@`BE~#e z;Urf;3KxLH3Eydgb<00pBdl+(zy)E#wu40Fc7CnsNwcKZ2dH@!Gl)8@!CxoD6Tek> zr)5FXgiw;k5g2e@w5GVFJDG^b#Bd>CU960HtPI$*Fpl+t`)Zj$Q*>JCDU_l$>bdb) zLnIFI2ZI>3vR$p(yaqg@C|7Lj>`G;~whD;pghJ&0PEVHKKxhCl4lF4oL>`>d3jW{tjiTD}^rLkV1tLrNg@rESjOJ~`*h&Wb_9pj~^K;Who8QXDWbUcDH z(B${mVe@%iteoamSRL4k%GE)fQ_=V~OTcCHv-BAG0Ei)SK16$z9LE>-7pwz2AGcUzk+tcqYQ%m!&ivJu7@u_>~*7sy`8x5Q16N!xGf z=U9>uGP0<`rb!8sUl|y=KW>tG8mH_`qdFCA(n_Z8Ok-ny%Fe`aP7=)(lQad8DZd*q zQ}>5JQ+6of_?Ror6+rS+b(%0}tF~$a2Y?DkITsHx2Qj&kgp3GpYK$~B=lyif1q6FmnEkV zhH)4T`B$6AvoMcqIS?@xaOU96;qK*GJ`?H#Bq!4qFAR%s77P_fWh7~VQNttb!Geo& zHlYF@vjB$wMoMRnxcS!R4{o%gqf5EiE0unQB7j%Y8t2LFt8khH${&nP{ZV(MWFgA z#uza5$*CKwPfp!dn6wa3a6?6fl$bSb`*{B=xa+6*xR8535_5dRC5yO*R#> z(@iVEFek@mSx@)W<6Pf8akA5>!$j8fWS{(d9m}$2s7mn5opRu z6F@6d)ylxEOlwo4NFv@e_4hZe#27WL%ou@QtxRhx)$NrSqp7hr5uX}s0W&4mCZ`ti z>XTDrY<+S{j0MP)vq6|ChlfB@&IW*1rlOUoXG%1yPfm?ygjtFHtOS~&rj@B^B|dCw zB&$!Z3`Lt#wP~!2O^F?ib&08ArpDE&b*M(JoANWani;nRXK|#abf=Qe(L#7>zFR?e zOk!fM7+;GtpTesxt#g$CbmjhFq6uL-OedmtG+{ha&y%GfnMy01V-oO$Mj)O7CoSV( z=w1l+!p@?iB3v1|dEadJ2f8AxC)v*K2PK7h^?fBVme{PL11Di@(3Z*)kA%x=SOLOO z`Gi}Kf9N{S!jjn9)rxJ(j)G;CXX-J~%9#x!zMtw6cg*HteJVSLjE1wM*fge8AslGv z7g-%cXtg>}+3l`FveuB~)e?@sH>IswVqXq5U1gTEckQEhuu4Pf)cc|JM#=QtuAB_x zven&5p?s92$>BLJ^xqGUkL);BW9`N*T=T|u?OPnH=UW90Q)uwmMCzkr<`@QIS!25| z(zguN;P;HdMT>`K;AS4&EPxge5ocL7>&-+C(jx0c)@OcXy&3$8#K?MEZG~SFUYJvH zyhgXr5vDLYaH_RdSGG?7>7xdO zRhT|-5sWDstci8EQM<1IB32fTcEZk196gA0Lm52BD3F=SXe{2;n5>5lJJ}d(hz)F< ztZNn+r3OvdkxH?MKCs59xWvGAhR6f`(g+}Fhl*Xg`^M!TBY+(JQVLH4HLSP3$(m}E zW{OV}HgO#z4bqo$e9q-rJ~QM4BxgvQynLfDJ;#5Exok|}m~3v4u(7e7M{uZ!9(SS~ z0ImzkQ$*CBJNU<)EP;jPE)|dKSQS;r({>Z?qpFe(rF=#m>K{wi+txVZ zfZyT$fN{b;(q%gbloz`+y~K%Kk}aoH{q|5dD<9%k8&yC;JSU z#ARJhOp^@7DBHwa=q+}+U5+l=>g?kcKytF1JF)+VZjuPj!Lh@IrQI55O9_5}Ng(FB z*QbrWPSiKmm+F2c|t(PKXZ3AqR^v5(j}|JhdYhN05?? z&@E5bq^YcwpiNBGys5LNlr{O}%F%HRh+ua;SsY7@3M26bTX1u3j)8Yp%WAGf-Ldj4 z(KC()4XZi?otxgh(gNswA{i4We2QC8)CMjRa14w%z*nqhy?e{a&`pFBCdIlQGyR4#L|8{a0Zlfd|pi^-t*@seyoA`-+1@zSLz$L~)jC|W3f z7lkM;gp4`{6v9(10}44oDLF$F(M8;)Mwsm)LlcWgoagS(ep6;b@Y0*!chDjvt$zlo_Tq3qF%4wqdZlfA_ zjg#twT;6;(0dztlD{*@*^}+Q~##Bi1hQMdaT7dPtf~E{gbl*Zz93hrzkLKHOzZ+u3 zavcaT$Y6JZ&q+vd3*g(Fvz25JnU{v(762LXR*y+iAxd#5lu%!Ka8x#NTc(z5r$>At zPxfK>0pxauHlZnJbE_OQ5Z_#{H9I#~wUOe^DRrDdd%A#q9YUwgm7HjRizH{_%nx1_ zi_;!Qae*POnZ>yvj>M9R*1N9?fG1IHt}IRWN-U+tP@#yQNaNr#9WeoWRTY*VPW_$i ztS@Fyan=_>ra0?MfXK7Hh_d-q7j{wPTY9*WTuJDjRJk_DBqjY3hd3gF#=Y~*ksPqe zQ*5qKVaU#oZQ%|sSZ!(kP*cOWKP_zY%pKmL=Gf%KLM?5u&)OeU0Ydi776hbrSK$;C zSEdj1_p3wH4yvMvt``N8v(-%S8W%-Dl3JLAb}59(q`h&aNvfIcnc2|@&OMOLiQPrw z4~9W)mLw*KLsNxfSkK)na>fN!x5gF@-~zZ&96NCCnf%#r;{@XE=Mz)~}a)!EVe;=FDb0_%%r$wXJ?qFDz{ zHegvTy}cHLRa-lnu^*9(mp3Z3cJOuu;DKxT%Co_F>W}2Hio-a`dX&q`h!0mWrDZp9 z+7c2s?+?veFox?;M6+@*q`i>6jbPWR)a1dP+xD(bw*HJeCmFMB7@XEr)hQo1NoCwNCL;*^}E3a&tH&-b03>q#5i+T|=a<9-;xHfdz|3hKB}s9;jKc zXdJsRXDk>T9UI4G&G;?+CFA3xgYzcF2jDfq;$JW_ic9WcW5;h**s^9sRN08TFtixp z2137uR)NinIHnUDpH(QF)XCvn(c*z5WwCnK{yQGjLiAFkU5K_luBk1{(`Y_CFfbo? zlYo-T*5u&_;_L(Bl(JN~)pQ%BsHa1O5uJ8jj|-cF+;k$(3DVa!BdpzJlxKfDIX?8h z9ER&f+UB$k7^;KXua2M~sZIN4=_N=MF9#6okj*jh6#rfNsGU}!gQ|oWPEF12)c`i9 z74LH9rQBW8HzZC5#?2zwDI#wc!N*j}(cj{e@BR?E69FcnT)}&aJ`<#JFY+pXm6O&?>?_HDRKd?Yg~O+uP)RVB2HiD}Ki8yLY`Fi+Wr9 z6iYGNJ3_9WQ#3uRTI{dS8(#2 zv|(ecw%thb(sa4RaX)>b?BsP zz;(xLRls#FC0*B9*ZJD=Xd9ST; z0a8wh<2pRu4cn$}lZLV!pLJ9YBUh0d*==hafU&<_BD_nIY35-Z?c%S*Eb?3Ue#PiF z7w5EQaoV8pO;pIxi_b5c-O*c4k{0iFpETw)Ib}RltUkFik$F>B#Nf>-TSL6u%D_xr z5uR#h}(S7rSGtg$&9w%1fkmUl=jW>gFd9%1VPQ?mLUsrzQ<0 zl$WB#2_&T#wdp|L-UG%#nWi*VV?lYI=$vJZFR}*5=8uhI*(eFoWgsWj6*UNgXtR7$ zD%c{`<~e=J0+yEIrILYQS&`!qd*!*%JbZjoms30=Tuy@Ms#EB3kf3`5qLOo9+3pd7 zsNBKL{*;Ju^d{h+=#$;3IFHGup15mG9u9~b9VyAidcB7ccM z1Qou1@Vr<&p%u$IdgbfHrN+EnLe?m^b%CRGrf|lYxWB<$ZD&_LDXVlZPj1>1fn;jW zqh9V#x}HwoQes9Wbpw)uHbAm;9qauM*TLR1T)%PL6+{a7m;`Bo$mcyZw=9n{s>MI$ z7ldZOGi@3d&Q*ZtCTT z9rKKaDajPgcvqik_kvFxNS#r~z041eEuM*vkcLB-e#w z)4QP_@;(4@=rKiTb;ey+OB;Agsbw-L&zLUv@(CNcYrl@m%@-416lV{++cuBe1h|h~ z_8YDW@aU+eR5r=LC8KslMA@BkEP9CT;blY~laAx`(vJDIVmhI2{=g+5QTemXStdGO zGB_Ayy|4s_whHc(N9182T>VOw7WXeHLaS!BG*@Df$9mjDzAsAo8ka@3DCXL*sSgXc z_it*SMJnzb6+j295)rWBQ-6q7y$YSU!JQ}*_wK~Y;S3pDo+KG|)Py;5DM_nFGy$?n ze^4RUoacQG_$}+k*?jzwi`qg+d6th9;seCbwoituFhS@Z@2r*wsM{|*I$c>`yoZ;> zc%`08ZqXJP_8rHxY*Vr_CxaA|BCJGeOv|yyEkOyY&p-CC_~!bIj6a+l9M!biHa6Ev z;S!3@79Hj&NF-RUP*V6u(+>)koIQosxn|l3#Z)T(NdTYK9v3Ks>k6Gdxy&lKW4kK@ z^OB7}lR&v}sVve+op2#Vdu2_um%puQAi|c4y^@b(aSwf=DkG5}#~w?YgI1c{_xg~^ z$}zC{tm|r89XeEg$E>3?C~5?)p$-?rp+EMLPs!`}?CCDe@R{i{5IM=Pq)23r%V2L_ z4G#fIA06vXEOBkR3pi?m-bOEP{cvW>W1e=jEJ4CW2e8|X@Vsy^9j7>>!FBSUgV{iB7eNzMllhciWv>w54I$U7x^B^yGNh5*bsnPjuKAx+ACGFY zs>i&6WbIw!plF)bo>!4%-phI9dfi6fq`}J=llE|MCpaR{Y>~kJge=5FX#-#Z&n{g= zE!YH2?9kAZLbk+d!JSBO!t>%Ub**2yGC>&^tw}cJvM)RSLRRNB4dJj4>5}0Asdk@d zVL~8OAP~X{1Cg}M7ertgwnMkUh)12x_Za#5WLu(J?^yGNfO0t%2#l8k#3gdcZ))Xk z@ho))o7&l3ErswQdzE4NZHmMr?gX-_r5>CV977?)f8%jqV_H1s@5_zZ#x%7#VS@XP zi*lm^e~YC_0~oG6gi`%vkG)Cwp_=%9>toU^X(XN&RngA6!jMWjS7 z?kArVD**z;oDv3@IVBA2uz&$22hXQLULdBj%qzmGEn(uD=_r~qu*Cx;X`~!DWjhdq z?8K|u!V7E%jAU0L4hciK^k^@OyrhV1%X7mriKQNRM$p7y3IaFvP1Z_nd8VKr3a30r zcxj7CBahCZZx{$DZ3@W->;(}A0eRZTX%{>Kw!8-_qf#G)#;0_298onFw|B{h9jhkr zw!y($nnrAdveX0-#)!T9j3+t-Dx2uoOf%86m5Vj`e0rWRlV?4gq(I4_H%LvvU+X5oX~b!I~1- zIX=BEhmjq&EJVrcyFYnFq5AF88q(Q0(h!WpFvi9PM#phO*Wyu{^p}iqYZjDFWp#jz z?LF*S$lN+reyC%hP$$B<)QGY?_t>OjNy?-NDU)iUAJ#7|HRD)4d&&`XrKMJPVyW2b z%5$XVvQW8M?oc@qnS}reSTwUm&qWN99>8x}(djL3x`mx0?${~RWu-1fOICX?B*k8%|EOhv-or6zTzvBo*rh0;w<~;?72C7L``9LWbniUV>_m1GFI6 zWa1=jen*}(^Kx-1@QC38@#WRFq;oD)RoOy`&U(h#B0!27nr-;>49_A*t8tRdtJ(L%nDto9l>8MDT3Q(dMoN20JFR(GuakK;L zuq|?A5Ptb#_}wAWXg6TeS8|CZd?gnoyX9oM&lwm>Ll5Nw(mgv(E)fc)oO{7wdfPg+ z>!w~9eWdj_)ix&ur;Z)}qLvVCKP3R-6BQ~s(K>0IFsHn0IC>05$vh9CYZFi9Cx(Hz zLWX7uKG||WY24lq!&m0;}4MCSm0A zjNTD#�}b$5tX%22n_QeM;Gl-i%i1)`42Alr-tiWfYJ!HuI)g&q2AM#St9xWV4Wj zJS>-n7YK8Tg?JK6Scp3htOyeF9Bf}N;IBQo?nIx7^<>LQwXA&2;?a?D%y2|Lr8isX z15tIt`jm%_DTA5UfU5-xSUPO66FwHAu*-hXSaM@9cVgM*Be{eTSqg^)u+ru5(iDi+ zPvJ0vR4j3Y-Un&gDvi;Hfy5sDXp;`8Mx010>&!q|M#(Ic=F4pu!f1hu2a>i?ob*u~ z1L2k9&A|3hmE}ol4J=XX)nXi#BI_lo5|kp5?~N?b ziY*I=?pX`^#>N}1aqgU_QwmYwBo#`l#qFyd(m&$5Jkvf278sBz;DmR(zj{fPK*+8L zfdIkVV8!CEJ%XhfKEqcA;!rOe4fDdfpaupu4&|G28(!;q7M-+3sgMK62U6ya0b45N zXy#Lz&rWc=2e(y0eZ?=J9rv_gSB2aJ!AmKa3pe;=d-?>(q}fW5#TBz=?Bib#Yjt6%W1ZCAp#C#+46Ix{Q+7J`yw%{4Se-Ip8 z83Xrb(%!L~<*q1U{Akbg;vn>Tc`RSaW#%^Sl5dyf`Jk^Kl*C{qpET;e+T4utNkfy( z$vpB&gDu{X?n-`JCr*&03TI=!t?$M%VzY{-lc|{GQoLEtrjg!6FMagn0pq1z$&u_3 zrGR^X>@lg3?=voyAl2A7x55Z!fteEfNfY9vVNGi^`?g~ez{TOeg&3wGv_gh%mg|g_ zfh5%`k1)Z-Fp?=X(ijWVl{jP)=OW-xHNNUwnKE!FIYVBrS(Av=x4j~%SZPM-(3j*h zTCAm`8-jEJ_J?f{oV%!R#-c$+?7EVf7(uEecIsdak}n`Pk7EY~N$`TTN;C_Z^8CaK zqz3uIMy5wk9l7I(02$Bd7gE@QX|-hwywT3V6Xi$Z{CFVzFr^}_#1$#96}u4p+YT0H zSrfyf1AYBF@}8m^4$i!Z!J+ZNVQ;#y@xh?RE8>YWP+f&*#DY|A?}b9_pT+-Z2uu?L zl1)uU?wK1?fm{_vPl8N3zyf6Q8`mYs)U`~`dPFVts70C2^SE8mHXA`oCVSQXO<=P+ zaiI(6d$lq!Mkth%!pLPL)E;PiIoy;wE25pT(MFnNVDb=tLZwhPO4F+?{gXF&w+zad z7j%VcgUXi8D01q5%m+^S@V)WTIp#x~6mS_ErBiCBKxr4t5lnGTL5HIiFe1DTl&FEr zSzY5`k5qRacLKXaq>3=|15hE2k4-Q=3n~lgIEAR|Sd%>M-yW1guf8fK>JIwP&5=vc ziq*pdLE5Eu1GqE9_khg!Wpg!E*{ALZm8dv7H%F{UdspM`V_k#&J1dPzWQ$@tq)7Ih z``%!wlwn2XRMd89Vb&q8C=tOSAfC|_o73fVOU#q%SiA~x4B5yGEcYVL+f8+Kwu2q3 zGue5dv{qqwOA15^ME5ycb?bDnmo!mnBX*)W%AhG_W6i+e%bn7g=C~)^2wFc&hb&j>>+3nTBr_|YZm8rG((H-Q25A!!<+OQ zmxTSocBPchvJ5YONtfoA2PTx>0ItkqxFc6yO63ZaEESMTEMleE0&6tJt5Z>M#S)QS z&79XHs|Z94WQn%Mc)UImkHcW^YfDOC3@YX572uOE`ou*lwg=L=It%v{xRhH2wP*zi z<^n{+OXo8p#$}&z(w+}dOTfylztkfM$hB=Zs9ZQsd$`Hn(X?%gFMg-tOA^0=V>V#&2;)^O6BX91JhSoX50yDr!}0st6NB7d*m(P~)!QfpH643C3!M zme5%`V^QDO&NS4?S14+KjX;1x8c3m%J4D316Y7Fyv}Msx_el6N8vAlBU8|B^(aS=Y zoGTo-pin>VIG{LRDnhS8`vUcNSvXoqAKM`{Sx=64N^yn*Vld<%wKkpAOVhBPGdG}E z5vppb9i?Ej5fw!v4N1X%6hnkd%$Dw4Bp=B>_)!8N@q$as?W*K47&=y)!4JBF0y(Ac zygje+*+0{vutJ|yRI8CkRyYMzo5H6jnG5VA#aVgm26;5t~x@)Z&ajEd>Odk@lblU`A4u@yXI8${&DSn!2BT+!5HR zu=Ci<$2m<3Cm!*{G>voW5C-Xj?RLzJ74m&1t`el2L8a4S`AOme)|<-AuDI))bD}}y zOnFd^Geq>Mi!dC=xmvQd1C|L;c-x_&FPD@VvL=+Z7ehO3kP6z9sv3phppaN>{;d;S zPu?k9o~|c{dc8MSEEcP8{`?Z6O-U6O4x}>Nk_TzAL7Fqu(MDS|0qug98IvlEUFbN^ zlqj7~;W>+o`4o!C)|GWQNcLM#t%z(LtD8!N0LY})E78zO)H0SqivSB= zL1kY|Ws6u*`?@xJN+MOQt%S1(*%XmR8g-I5|RpiMQV^YF8u+@_WQL$e9vgD$1<|);d!Xcd5 z)LcCSG35mH0}&1Sn0}xw=jH0aiAtn8_{{ zdyh*;_vA;n;keaOyEKFJ1&U~j_bzWPlnmGFG(Fl&TWP~*or55;v<-`7nvK*iOm&3@e z6Q$&^3tUUJiH(ds2=kL-lYgFO&3>f?k_gmuxj{5`DS}m&Eeau$MBk6s1w&>Ix9ZZh zkHe}NuQ5D4F*L*kPcVm!$Z=Tx-!b+cO6pX|V@UqX6O;N#oqJq#*Gn8B3@&*;E4RN04oH`o?50v2wb}EL*O?2!d`bFn(=&b5R+>)GH#-G{C z)IZy2tyTc!=y(MWb%GQxGUU4fzZ3ZXbZ_Ax}0Yl zdf9+&BA4BC0m>z(Y(w$59b)KG>ftC-(uvS$-L_rrpN`bm2?pBG6lstM;MuyJXRlj3 zVv02S=ebE^IRsToEo*Xzmo)F%C{mu~gC0IW5;Zs-)K$gmp~S5x!?E%pNSwEcYq}(< zJ2q0P(&T=%xUUGdJYRR4IsjhqqG$SrgN7OG7I1%T`buEtI5vNO*MiD}E z!@b^V zKq~Mjr987~?V(lAta`R#*mEryp5_#KTKUq#E4xUBO2;jnN#zW+fYzm$#>VxzBzDvXv}`9; z6QI%(T%&HrAhA_&c<5y%$GmdPEa2+3Fy{hO(FuJ11%zZz(+co`2r} zYrah#KzEf_6x74LXC8bEQx0ol3(Um?S4_f*vnS1P9lnOpGQ~G>M6q!Wsm^|C=Zyw==<#jw_#~Do=1vh2B|s*Rh!P--h;oHl#1m4>!_GJ>Hhb2# z+D4Nq%P6HPB?VEZ@JUD$bIFmlrCD;BV8AdaqJXwbh*Q^~95I^QYgfWv2*|?vtfg|q zaiNPAKEz7uE~KR`0bxmEJBU3yFxfP*Be;6n$r8Omj<%HAW$X;ucQ$8>V;(n$PXfr#9|<` zC58j06r0nBi8-g`R5)$S1>hrx2vYA;ml$mjbm70^&$7fIAT?~Uh1G--GvSd!R%R#4@G(92E)-Ba`0T# zoqJXh0mT_vdeOOrn?+QPB;#G#<@K0 zMTDN>P7YzdZpS16@2M9l@h(a6ZbttMY`T&n9`-*_#G4W6M@*ztLO55H4u2c#ZX|h>i%1X&LdxyMS5dB(;``!C{$&hJkRf(qfaHvnb_P|BResP z$t|%f4{?t|&CiI-X-E0y$AhI#V&o2K+5I83dlM@7$o|t$U*4;xe0c@mKk8P~?K69? z|8?BxlfB?N)GxZBwtk z1&wzlohek-v)SO~)n;4q_``L4;fIi!5F`ryp5^I+Jl=}b`!~cgT(oNj2V&j4emw-7 z85mXjYn=Q(Pks--ZjZySfX!a6SEt9P>!VcJny9jMbQ#sGc&Svd!ie%qg27|A`oIH8 zSou&75f_t`j-C69|H8dWv*Tl^$5f)PR!9v-2Lb_!0z3u@2Xy=DWW9QU26DTVc9M|g z?Zz@(0;vGv7If$EDFTZWaam|~T1G3V*1~DUj3FbSDxMYW5Z~uSp2t|Az0;gjkh_df zsMx5rb6rU_a+2Jg?xiK@oa09WUy(vYe6G1(PXxp6^y2v$QXXV}=ek>B<*2MqIA(N_ z=`ouMuPI?pJZq1@oZ=LHMX`4l%{&8GwF59-c+9s)4D&+saZ59lU{GLTT7yB=WR@}C zp;HMy{bhgmkI%O;NhVtnyaj@F`@gTZ8A^~|=?uMR zzNDgskG($w&u?Z7gxJ6s1bn15-;aMhxc}qVn{QF(n^8di{uBliF-@rMPf*j_&!6t@ z2fuy(_~sspJ%0J{4$>PWbqivk+6|VuMVLRqej-N{-yJp6ju*rin(|cHClowt3)Ij= zzT+eZWx?zL`b1GIi$2ldwwZXkb4ZghwQ@3l)M+yTuDt9~vmYe8><_Z<_6rNGA{>K^ zIt7(;6e%XZmuwycr|B($KZ&a^#sTnv6n`WdahpNt?@kaLB5772 zxuPkdhZVIL8=XWe$-0jZs)S2kTu3VHsN!S*S^^ZFY@5%4+WbL!IT3s3maLnwoe1_9 z;IHd_W4sa18}5PZX`YZEkUE^JoKRVGf1b`%p7Eec#$=`C{_3?=>Vc1#3$CgJ&{>zN zVQQA|?qqQU1P7Qq&MlXg!kLd7Erb}mY+2pMLP>;ep)!g7aNn;uSo0A*(#{dQmcY>| z5)NF~5aN=Sx)@sd!TZ}?6<>H8@BC1{^kgV41^JWey@)!dSsGS8{RFtGOWZ* z?r(!zBv5!~q(a)=IZD&;ytGFV$-!@RAjbqi4pRV)R{;s7fL2)OpzKGpQ3b? zyXTHs7(e6O@U!evjYG@G1WdQl+xPk8CtVCB85)AZH-v`73eE4js3 zY;|0>vPj*h3ra4NiBqFhWFYOUz24GmA8%IcVYS;~hIl5uSg3fdNW^FyN# zsw`)_laX#bz&u0w?aBUpeXdcVV+4pG(oEbo(-3Q>*{))ORm+r_L3JYI3$ze5rCVysMeDKJGTW z7Q5ygM9f8&;U|Hm;54-T0h)u+fp@o~+zC_y8Phe+}P<7&YCle1|ILuO-Zuf)`)bn2%l07vx^b*_cj>l zckyM^G7z%GE#-HAA=Pc{Ud!v_oFm$kRwgMOL2q|O&g5pp!fj=C>dd*~JeS+T8 za$`aUeo)s%v_ubZmet+{8B(Pqy6Y5=-yyN!$upkZnPBv8EM%z#clTRRw=Fi6(mFwT zZcWdqzHiCTsmzV%c5fd4NG`Ec@cuk=Xrd&a)U6E7URR0X(^r;u^r+cG*Qofp2Kx`c z{QBkghHc!89j$Wof>zPPLD_2ri0vT{-hO;@clRxWZ%+p|U+-^jKgCXxyb6Mp$Q&!! z^OyfQkWUQs7FTj@@VCKVifO{o^F$xmtI3LiER~{J(syz6Bh4hr+|G5~0a~VrvX1xP zuP+macz(H}Y7`SlC^bLB7~22DX=Q~Cb?=TQF`Zw+R)hNmwVcd4s-`Za{kFxbqNFG? z8}N#idk6um;+u(e)Fn)ChhVCxlEDQrR6+3N8FS#sDAx~J+^7&5nf@r_^7Xm%0S{xK zGpGfUFf(Bi-=?{!CTOM9(L5=t%n_%8zr5sLwA>; zY*TUQ4p+`V&qThf7U&bRi4t4=wT)%G(lJVo(t-yhMeK-s7B7;--{E`zY zcLxV=KK}mZk2_Q&V%a;ib7H^d4{rzhH@*Y`9W{%~ppGzQhi)Ddx|yp#-ErAKW!LmP z1Rq&~!a;Ghtp6#oOhIzwLryA{3Gzj%^#wM&I-x$TY__GBVX}vZffXwdjM%^bc=Pqm z?d{;dhX4J9CIjICE9BKM>zr|>b~HhUV1CT>!QeK*-oJEwINgA100_IlKe2RhalJwc z;hd*}x(U$XGcjaYRLJCLXR>hoXo)x#NK& z`VlxRMm-LTF&q}|0x~x%0T(K;6mwBRy%%ieg1ig%dSn~X{k>c1;{8!3H42lOhaF#3;ecsKyQ@hf!6!TcW zl9XPBD$Wr%5jOa0yAfrwU)Tn2*s4 zFZl7V^B^*-{QB2bg4v2ErxCI z=E5U_crCmGCiDklDyT7@Nj$F&N!yJASa>TV8HRucA*krC48(Qqeohv4|NFbUC$OdA zB}A_c2y5~v+NHc%pur?mh00{)N(w310$2w759duG1qbp2RbW5e{Qey*`kPPp_%WV; z$4qgH`T!Y({(KVv6l4X#gaKTj^O{zVyk9ayp7H(7?d|8=18vA1{)jbUkhU$4Y+4(b zsn@hF2k;GSZ2)Y@_3QoRviu;qEu}e+YA9_8+1?bjANH*k9g5{3aLLzNO{I%tRO+Ex zDz8AhK(;5wfT+y4>CCN#vHl{!C0!p%C@NvYs(>Kp3VY>@L%?A^r`$MVyT%R02{Z`g z_)){a4?2zTKZv#JWq+a0pu7^!zR~pDOWNQ@y7G%*axGu$^7hUlc)fFQ(Tg%jlm7g1WF`Z*=yf#vzR8c5*|p4 zX)>)_Op~bx7nHBIGq!F~?=W0;;xDFOJFGma9;Ad1!DP$d87TIk90&Zt=@Wu%dzCmL zlxp>=u0_y`$b+I`u@Z8$`^4B`3>Beg9$AMTy^@ooysgoC8o`7FW6KZhA=GW$+7m_# zxrfse^#~NZ*2qn8#+e#1e%y&Ph8KyoX!WJL-x3d;0VzRH)WvG&ZKXYP)zM1PA;iZu zgAtGtUVVnHHSEosuOIGvpjshCxQo!jkRJ;2p6&!a7y8|q&-8Sc#+kBBF(n5x^yx&4 zN;A}98wH_R8?4C8>NGwaC`Iejk1`O3#yR75H{D)0t~R3G4HCuP-hTde(5p5!Kxnv* zv$(hbUqqbbM8IKr#c!%rf%2()sYM{P8M8gf9y5=FbrFfc>sKy;5aL2UGRIlH7FZ*@?wW9<9O7y#;%2_Br(t;C&549 zoko@$EGGT@Cfl>m$P*i;oY#R4DWyNiA*;!@yN+fpF0%qyu&Xee%JO}S%UaG6@ zbL+2g7I$lH9`w~LpK8sHHuOwLtC!?)(}DC2bFZ`9 zE{SF67sWjt&eKqcjP(9$wS{KXsU}=!x%tV%H1<>TyfnkPdED$?H zNeIp1ZgvJ%gfhTv5SMs$OxMXhH=g%>vO!%G=q(yzYIu0fRyjn0B@K?AoxWJZ(mMtA zABo$GEmLVMWMv6#?BIpyKK^kxjGe(`9pjvGg*~5%EFZ7KZkjlk@+QgWYqRv7qL?qL zb5XhL={ zGp=3JsEp_;X#edsl={AeRcWm~Ur)Sm7W|G-VbbE{i!1BV{U;`kT29i%Qi4OJq}P%J zG**pUt_ooa2M?rdnhyL;O#7s#~$VQcquLsJPvtD&jAh|1?NvNpofJ4 z&7@3GP!vo+Bpf=?po2)rX7VCQ)I_?ITR*v4swrWuK^fAsy5Yk$G|5lpCo-GxyTLvSNE5I~enMse4l2pU;9GlAVkB z%!L?CM(FOZ-rXxZ#DWSu4LMre8{wFV!EemSpbxbfvZQ6ORuu;@)1CpB9p$jxtWbTf zx5hd~@`Rr2BUGp`_ZI$eFWTCS5#IsrS2uvr1&}FD7Ghqg#5Zy@O z1tVHLoW~dd&RLaffQ}FZaIO)mY{+zO{TA)VE)#OkBuG9>NjKX?xV8l`3kFfYUfp|s zO4iI6ghFT}aSHXc$gCL7Ic8091gzGtFX<`?HEe`^S%rF8Ax{E!A1R$4nR$`C1{}@u z4ccN$XN?eUA!B!)wODKQaS+i(_D3xV2{1f8AzWkLDrWm3sQ|14=WGI>&x&xemm73I z(N1k@qM|r;$l4kWCI~7pUn)s85ZK z+fb{HEy>7sIQmXkmnTX+pcI@N(^8!%Mgqzq!R0)~6sPWhQfPQp3#f>h4VX!BVl?og z+}x+=4Roo8Bc@2OgaCTrV$0>QcwC6)g`|TK5U{9)sSC=AL{}$6hjUPG zBXLZugSJEJm|+yaI45Ku)C=^{OO=E2VmxF~sj6}4(4P9BzJK8FTozUh40e2HM5rw9 zz}lTd%7CW|kIa-HiT$FcArGcC8U-IVYy{azK$ue7Q9tgC4~F`ol)q9}0Em}U0M5gM zl>?RM>P)f6l0w?6G|rkhV~GlLX$6*KEG*+xx(%dq&k2CEqk^$H+A?MQPeS`h&99KB zO`ZGb>NGF(nh)qM?KPblh1!ul8OtJY&rtjztW0;PvNn}Tx;lI+@Do7c;in(F>Z%ZP z0htFzIBJA5F{21jVUy|E#exB*W2)QGYuficsw!gPPr*@u9#~?osvV5IqoTkm(k;&E zuGw6i{m3*}A(j=3Pz1xaIX?DM)A0B6cu(Ybdkn9E1b~PKas#=Ms6Ph_P!<5a1fjj7 z;tw-+-F@LzZE!Un8&A|aU%jA1-FUF;ygVAk3@)=)iJYQ~Bnn;?TLJjILr=6AurE@q z#o*t0WbbQ;x_joc0#YFrdB@zjt~ur@ib0N_+As5d|aJi6~*3 zJX3eD^KfyBz2Y=tT?Ea7`m!yoI@&&P;pbhJU6Z$6tj>|!Qofl|c)8Lr5k2GK#P^I> zj(y)ueodARBZ!LKIdb6SH$Mi+=gS#-BwSy1LktyS;bH-8o*eNP6MJN`7SwG@bluTz;G}=6JIpj zct*y89J9>16<`oO0fC_g1o&v_5+xEnu`DU}=MxE+grq?9^e(MS^K`e9Nw6^cJl&X+ zQ2k>60toCxJ4y~4M|3NB(L|lDFZEFf<-;d;Uw-=h>;0SCpMzU1kp!Ww1)6RIRj5Vr zKlf}Dm2=#+;Xa072LOnTIswtfuTRitRRaqt$Vhw-dmFrqEt0CRwK^&3o6TbBB}fS= zO|&9}af?b034|JN^5zq>4Ti(aw>C(+Gol1jItG%qpj>&q;7`S{W+bZ^W3`NM05kw% zuZyQ*U6=9|TEyDybyaUM-|GXtFjr!xa>rfBt&564CJ|B1fNU#Q0Q1kujwrQ-0}7|Q zte_Q0b;8m>VM6&Z&zt@yaBz@&U_K!Gh$#r~| zerIy4K*HuT=c&<3n}+@JQfiWeo4SRo<9dH*8{y`C)D_&~S;ms zmeLbiWnDsgbX|+Zur4^)!=`G(1HP9qpHjW6nt=IaF8VM z`5!%Nq|lCG=!Cq)jtL}aNK>wdD8Y`VLWlw_PEf4}$=y!kdKzW@k)anw`e>E11EZS# zdh<|cGZL0g(OspLLNTzz7h6|2O_z>u+no>Bc$RTluddnB7%Tj=;X311T_Irh7BfA|7Mjnx^L z5Ug~BCeuCJY@=|Og+0zVV=!mLGRTH1I!9#^mx&-gw6SCvS61ZS4-TFUznh$F_1~vY z-UCd1b3fR#Cdl1h|1u|CfI1lemjIda|3tQn*`wf%ZUv3pbI-#ob1IMjz#V1J6qDud zGB#*~3i!R}84F_Y)#(wq7x3N}S1{otCZ?OH6AXnvVJAQuu3*((UIR@Ydc?Stm)v=B zPIu3uyqj~a^0Jbio}Cm8F=*jTPs4GN51S}<-9fz-^7U977~Rjl`S^{WyjU#=eL>g) z8tz0C1;&|1CMw>-YQTCQ11@{&2HtD68-4+besr3l`gCp=|6|a1pZM;=n^7g5O*&u8;Qe4=~@A7nzo|>$CiVBjTcw&S&Ti zbLNGJ4fW6cj|d?LZcrPn9H{CvzA`Nl=X`Xfh0~39P*PhCGpq!QndnXfZJ7nkLWKi* z%K9rD=%~MQc?a2sqBJT~D(M*z#c5)ZE_9pjV6YkHQp-ifM9|6@Q%76oepc}QliFR!Au?4m{WO(OJPEj$yPrRx$V2B$_H$k|RF z&j-PrNL2}vBF`CjlF271vr&a~~4&VUnLWmtAy z2_Dtulc1tgJAE|vInkG$8u38{Vtlb$IY1!Qvwha7EXGYpQ(69Z!rLR&WixcmaZWuR zxQg1XMfHn8c^mQ?R@sn$7_UBJc}Y0)5iwBv#XB;MhJzM3&2Yv>`jDiHOghM+$zP*lqWqgkXXV%B1R)ad7{9n$ot&*-f^M18k*qwIB$KdkJUlq; zhpcy!e6JWPK&K$qD=zR0Y*R=%><;Q$q-x>oE1}oxB?6yeulgobHmrB5?pn%=S$zQ7 zDr%8jueE-ptU5Td&r-)uS}G>4{ulZq`X|b2h#rV?!SvCj4@U2dYtxKJxjpmX99^p@ zESjc+yb37rh`#FcjRSnF3E+8;%VW3zjf+s-Nx#jjLzOuOe2&L4=d94TzpnOfLXyr4 zQLG?uJOUdXBEI5T(62j9)|;f0(`QggW1T$9DJ0Tf+qbRY@{10U8$@;x zEJQ*E#E%cA>4`xaZXId3)iYDX524oz2Y50)^UZSYA29NLLfk8cUs8y}-FZI^douqrfeBS!b*2{QepdD1KtmM7cdBD2b;;%$abC zt}o%P;+Mdjp^qkQ^%(`Fl zI|*0e;s|acw?&st+Mmbt{{|Wn#M15k=YQ@|Am-i^A78Du=p}&k0ZQfvavy(xi;w>D zlS;NgGy?Zh;m%ZJFUO`7sAOa6Nk;Th?S$tp6l%AqKL80yQ)=!KO&xWw^Ea}NT=nSA z(H)jVGgc$(_u`CK%@n4#cyR)DDLRSVG`E8Xk1Z`K@mXh1F%IZ)a7HDHxSUp^{BT?o ziP<|xZ^75GHAOnB8~}l!Vw(|$Bpj906rD=oQuGNsOBFW{AF)0y(&Ob~Nbo2a3y?mU zrIT9YLaCS6N*AlFt_yJ>6N7H_#CQaYQ#Guq8@&0TD#%fMeE-kWm&z zW$QXSb|dK4=C>X@P*?J$$)d5*G0iGSgb>qcRUpW$&DHs9Fm}95#GPqD-{EYyT+XKO zxITIN>zDog=lxGNC_RSWmUq9u`Bi>OYdGtRUnbua>_D-PV30GRs|wev5Kie?^riI zQD%Z@47>?uku-nPhD*M4%unTWiCsmFfhIoMkO1)boRRAOG1sX(GvraiRZ<%7d3_1q z=w;0k(^D-B@uA7D$Cs%?4Q~_#r3r@sI2DbH{{$(?FAZ1wIVb{ERMwPxl0vE5dr9{! zG!LEHdcTOSK}Zk;$n{RBxCnv|8%9}B8h>IHMPfD7V7m<6T*d>e(Sc8ytTW1DRLR4% z60y+L34@jgYb}(3SRBu~!_|(_wHvun7N@hm^h<54c6@ZSOw%v8R1WZ#G5t1P_88~U z)mf-pJ*MYl zjz%Ke5yg*(n5p-O5x%@UTfJB%0A|qSnb_xcmdB)-*R)fIec&xIHesv zKBbX`^4*u79?R!AdKOhP&4tJUq%;Cvu=o0b6TG=-6_6Q3tIc3)4N-|o?H<@W%ya;j z18I#@3PG?w(XA*91CuMRSJ+%RBQiS6(1t()o6FK(rpHG_AmO9t(35zzBE4#zDJUv} zihwS|76a8fvV@VZH><(So54wc2(i6Mi3Z z_9=3Jg)y2Ep#UsY=!67dk9{KbZe0qPIQo0~rYODfrk>XV(E*1h378csv1cCj@)ANH zKY#ieMdR-CugyfBoekPWpb<1a`1IxO=G~xEzfP}P0lViH*DFbiU7e1+PzN1BHr z9t4+}scp@n7yO7C8}sNR+pc7D2X{;?e$nraNtFn$zE!$`%QBWj77e32=-bW}EvLx7 z`#CeH583f%gM%LJcI#b{PtbjGrSEc)H#-q{(DF+8x_VMr-ptXiWKpXAxAPPnI3GZ9 zcgtRmu(i@1!zv}%ashU12b(Zr7FKedfs2wnbv~d^TgrMwbnaA ztg=5w*&oaFFS`6)Dp;EZK}4C2XmN6Z+DN=Yks!i{NN+ zvN;Q>@wPaTSfEE{^W@=#N^SqK2us0hQ9GhOoamllEScgAN8bd%&=8VA(Jr0~)^-=Q zSM3?ApPqz}QfNYYS*a`W!At#bR2tC)IxDx>9haAI0?74if!?9OWp@SoJcG$%#*9qY`DuedW8L2Rk?i?;7bYs5F6 z3fSt);47o_FPF)oGdPlrRpy7dGC^)CxVXc^L34=QUZ@>B|E=pVVr4nLt7lZ#JgG1t z2})jOV!J-uTkHXJv8JO)}80B0l1TComf_dhu7U(`EE_l`ilmd2DG zBQN?5e1p_LPx`}QhVlzg7Jpd}18e9GRd_>X5+A`Jyf}wS=*GLRR;V6rUJg=bdRY%1 zueyQ}+%h7-IwuY{>K-%gMGleL9ny_}!sCj}(P~ADQy7lBeKdjy6EhQE>i1z*Nh%$J zu7K842u;hKwA5fpJ98~AIQ+VRB8lxMsV~K3%35c!IW9Znve)tU72Iym577SXoB#Ou z%>ch--)*uvb^V~@!fJ;=GXh?Sczk&ajO6|wZ{Ge>u)>GK!TivyGLcK2aIrjDs2{CW zp>m!Zc!@VAkQJrWm*@{99*oyXC8Tx2Hs@StZ6RU;3Z}fN4>RFxc^6H2AlVZsT%VxC3580GcqF2NO2x`FB(W#Yb_NRHa5=8dRxubE zh?AIH3zjAQSm+gvTyRV-C=uHpE(p&ggG#|)M*323zpTBcFlLPqtuTHQ%2-M=JM9(( z(^5O9LF-tUG%+fmODDeEB#A?{eTc3Q2xze6W(aixcZf_l8|&h?fL98(gLzF5J?>m` zepn7DWlHD8W-!yF65lZM3p~7v0t6+lq&O$o5+JO$s|5}b6WwKLS?fHL%t7A7Kz|~) zm6%N36IMXwiU0FPXtXz#(uQpog}?z*HNfKrQn95LP|yMWDtQ?NvKWqj(s)%`g7)Gz zL>X*ZBvBq&%YuhwcsfOPfUW4Dha!6v=Xe)dE}zjsa&o=`$*+)|3IB%jJ*s@CXmq|m zL31caA+%D{VVt25(rft%L-rmG3z`1(Nhs6&9~J6H8_+201ZQx0tsVd@J$rk2&=WwB?J&Atf_moN_3!U8ooa!VDfWq(m6Mmz=1`-{>_{ zBuJVBjRM-_+h7Wk>XC7p80Q?eR480&h2tBw{(}n@27;f;BwfUU>cVtlhs&BG84vg& zc1;ojRxD%pVsVBFFUp_H`Oq1ZqZ^1$qUBa<$>}YDbOnEqBAycN}i1&3%aIU~asYoSb)sjc3+jn}lVSY*TU2_PdteqcDCd1jhX(u<& zX2+UgHJhL(L+}qL2LBGc|7w$E3Z|-|sb@ARVfZ+7H2b02c5VN3Oh00eDi+N8>SS|- z$4yZtL&H|NCK}Y%%r=rX1flsJu%oYlR#(6dC0v?@mCMo1-mBbDN;uo1#}y8Uz5LIN z{eZrxO%i%C=Niw#etmw4@^ZKgpL7Tmh*nB-V#6em7H<4R;~$|scQ_mHbLd*fCgfMI zt(KP#OrrB246d>&%}Q|Jiq}&A{M_C1L1?`F$D7;m6tNrv>P?=bP3-U-VPAj7+NkR$ zeRE`4s5p4S_Djvoh9^4>uO_4NiNqb`+rB@$Jl|dKGy_EAycm+DMn;X>zEKxR2IZC^ zALeqqNYI1m_VJ{SSo!tM?LA<1?{40s5zaf{<`+!rL1!%v@FyY?JkQAl!NwF*9H6~u zlkk@#5n6ZyGaV`H{}}s^I=MSk$n1~r$?$_*MP{r*CR?|heAHqgJ}CO3Jc)vYpP~lw zA9yHxhnxax6J+6?Rpp*Yf|BT^=owYk;DdB7%0r2B%QeBCQT?d1c9iGKlze>HY5;Wx zawgyTVA#lJ=7Q4TdA^%O=%xq3)SRwswQHZHzE#-H9zG7_V50K6ZHMi^0e;dGPP&H! zZ}{!tFVOUEpMJOB-@QlMqWtfs_`Aj5_{cZk$dB0F#(sPJ!oP@dM0&^tAgX$wf8o#i z|7&NQ290viD#vo?cf@n>2I=3JlKtJ{li?GRZJ&HX{!Tt2fAbT53ls+!@CNwIztEPa z{u@`oR^j1^-O<6nd3$J)>^8@}u$Do-C`r>GStd;P8e+n>`qa-<#u^E!BnHS7CkmBK za)wdRG#sl7WMBC|Pv6Z$Ld&t0OqWddcvGSx$4tLTxw@+AI?M~C+ zpM70rl0I^}2jo1^+Q|sRD)x+5*0FDm`%QhapYi`vX0qA`-|S zD;y-FhS|w-J5%^5>YZ|zoyD>xb{73KnWRdiwBm#3dNo)Ru+fOsE$hdD8D3)(H5*7f&ZnIsm)$8U}&neMT2SHGJu#a!>i2} z^}D|p>PFhI-UKOsSRzogxp1Q{+^&YwLnXKt#_q-wX@$s;m-;COa?)C~#dwOHXeUjt zlXCc|soGAU_CO0xR1HSIXamBx3;0<7SkN{qpOy{O<(aDY8NR#93XSH&3D!7x^$j>0 z&UQIaq2|sMrDjNOXE^6%i=yG=7nQwGBSvIde8I64UQmtGig~qZ@}?2MxmO$~qHA6N ztvaS(UB-*2``FAkO)b*vzTVwW>1yNU$QKQW5cAXg%&9)^2d*_6eu;^GtXoMjPY;26bu0 z+yowVy8`@;))E*RDxsr@ISks05M+>s1)Lml<~l3rWK6?SP!xg1 zIW(LJ&Xm`vH#HW}y*>Zu8>MW_0@`9B`wZEmC~{ZFsF5Xo;_Rb_L#WLN9|g9Y*{(oX zpZhl^A4^B&BVsUmZrMam31q^L70`1YOzExqRk@dJnP8WP5xYFoUsb^}s1!WAaHF8Z z&ueLABZ90p8;-yW##@a+IsGe2-2qPuAxM|5M3E9eN>LP2L>gioLW9G6ddElOv1 zR$Ja%7s%&O-8j~c--Gfmt^zT1Mk-{`or9-g@VtVoiGlg*Xy4rne2(x_d;?Dm@`FT~ z#7}Bwc>R~~SnGMKkEQ3Wn861Z`hg$9WH*1~>P}Mzk8d%u3k~E6PcyNx+#HRdi}7)w zAWp|9=Gr^t4^x>S4^ztJ@%|!GEn^wFj?kkSyVoC)<*RhAOp$vh63eMZ@-`E5uWt&M z1gzwbyQ>dx|B2f5XQxX%7*MPu4gi{)xmiL>4sQ+Ouig6@(xDUVqZS^{gD=2oBdPEHY1gWXV+Wj!J4UWQHz-Ts$1 zcmI4k`0D^k_{k@QtuUDBfm0rX5L;kn5wZgaFHGE{u;8J8Slt}vONwrnMKG8xx+dqR z6BMru`d+wVpH9(}qUWJO6`Z0z4i7{VGN$s5X%nEN^*~Vd7rB0Py2TsAmddb7>{)^a zMzG=#J<>92+K&D;`?Ov@YbINaSf2Xo;O*1FTe{_up{@jy_wI^E-}K+=Bzdod;T4so zUA>6$T=a4*-re#G#_gjf@)$H#rknG-)fppr2CR_Mh6aKtb^z=H|C#U~G}%K^5nZdP8JQdeAaz>0k9v|zka8m5QIW8a&R~nW0d+9b6#6o?zA{u%o zlA2>+-dF>ZLejRM&gjx%Wm?z~hpMY!Mo$#>6DN&|4t$JW2qhs&mk=1a2nIG~R&@hf zRNoDt6!6+kr` zp^s8<6d?yW*%TI1vJmAIyrjnrH9eltP%6QzsKx4ejP2fVW7-vR? z@@~MNTy98Btb!WSQuvf<=o}jRCIc4nWEV{y1&c?|c6H1N7D}5Zbp#QJu$q;;ML7}- zUqOmoUz{GFA~>}-aNe>*t4=Mfu0^E-QMq|F+Ih|pNs?236M)k?Mz%?(B zIQ+`wNy=C|fc^r>Z7ZXC+sc9;!ILZtKC-q=i)Mbp7GqMOwLzAbWEOx)0ZgQl()u8V z1q+?C6sV~iDd39ane3}mB#BqSrTIi7Ovy2Y`iz2o6o&iL-pVQZ%5u8JsnszS^x!Xt zO{j`-7!EzoJoP$Q2A4mgHXGy=nkY@j2k-9gzrDMK?r^|gpAP;uIN+a&p)Zd?$yT$A zdMe&fWx4+anSk z9tf`(?21F%MVx2#C3y}VN3k50?m; zA1$`1W<`Rnk2#riXDF3~qZbs0DKxCecC?r@D|Z8^dyOWH#OYpb6}mCHHjQi1NJ6=! znS+&@ZZ2sS0z4^W>@1jHkG@Ye{EkCd8J9=)3Ie|V;?v1(Nvc?M^EQKt2l>UZF8TG` zpu{>r&`)Hz_?^#Q@CV+YtF6Ybyvh@{rcdb}^qpD6Bt+722#km@8EH{h`cKd6+S7gD$uxy;R2ps+jiTpE|O zP592~WqscL0;Tspygj%I`E36hT!l1zxI}(?^YMSexe?#1tDu?UaQL|1a0s;_hOr_# z9IJczY&t>|D|Ouz++EwQTGg&%%xO5W%d;6m!g>Ukq)#OFE)5_9H9+Z<9+vo;)4uHk ze}7CpnI@$<(8d+pN9h-9wa2XpV-?0(o5lAK6UNx1wo1Lcd_|_|JTqMMRp|^dIHJ1H z7#w1Ox-CBhp&0d`k+$uoeb~vhtY_(JjvI)r_8HlO(z&5dGgh_h8}XMY$K`gl?=hY` z{L0imbGQJs>TDdEBUd(RXo_2evl{H;Xt~*-Vz)OOKnh*DIDPCZ?@8f)ohmQgcz#e#2Z zy5Z`C#E)VLnZ_8d`cij3;m5~P^1v36tQdJB4pusnGgGS&qmkXbSf%sQa*EzS9d%hx z9@KKx4Hb7X{y~PDEfk%9g4NmVP}&?hCqV-MzZAd1c!K=OWba7Dm-iWOahq$-99B9jP-?IqTgyys4MD0QgjjIQdVH6spfqkC2b~PkAT=Cy*Ej ze~JnP1AL7mpoJ?9^-tUIrKt)p1L}qi5@rjKkNeE z1?^>VtgH^ka+Iw_G1jvh9!G@ly4cNv+zQn(n6zda0`dOBIa z*!VGYPP1u?ClLR$B(n+aFWQhDEwD;d&qF~ob8XQh1Z<<5p54@Vmesj;4kzhHlZ;iSK|82?Qof!?P?ydsJBuO?k9;@_i9cj4$z@s4jb@1W z?gukPS4g$Pj`j?*d1bspzfJo8?JT(7@qMlY5zS{~rnN<<&Fh(S3H2S(84x^!t<=lb znuG2bOs`=zXjJ{5f$Thb!FnY#MJT%!ELOKL>oofy=pIqnHA8e?4c%~?rh^9wUcQJ4 z>G$&CAtEX30_|Z^fMJBL5 zg0_K2^o76g6>YPS#x1Y8@Jj3UD*qeB0_Wdp4yHog!NnH6BB^4AHW4c08p9PL)Q<7!nih zH)MN89iA&*Qn*B+a2=HVIF32(RA>03piiT8_|%$`9w2Zh5v8%$8_ES3XwJ)bLtgF z(X14)Q}QCON-gP3#Eb{;hRSbnV~;^w$}{=27B?%bnv2U<=eECesPpd5TeRYhD?O%J zR3<1Z6r#}HvJ6eh+3^-lV${RDZjn?N2o3M!!;#!-rS4=++CDD%jYhRN_~>vq@uS#{_wQ-jrduwjLYK4`x7`vl?omRtI>j> z&W<)L46%aKiwB`REi_`#Jr}1XInj^-VP1Nor9NbmKZ!k2aSZ{Pu(>0`c=3!lci_9q z{K#DvM?d5Bc-~RM; za4x?LeyWL3`b+_i31>DSC8LzJDV4M9%(XjLjFUX!l8t6 zej4?y^>v%;$>kQ9E`5&jc&Sf3y{wbn-`3Hc#ore7=G@;FvDE7Sz$tP6N0L?09jj2H zc~H_j$dg68V$tmiVi<Dyk)5l) zjpdkbAFjSat^qQ=GEmGKGR3){k|;ngB*4VLaZU|i&tgTNOo+k+Sy4=hyRAei{&hY% zIG6lTg@pnwXEdG-0S8gffWKvBSYDL!X?3p(3n;+PpPY3@upiXiP z)G0Nab_XbW^5&P1ABckrzlvtc$S8H?F=M2G^Yg%GBb8&;ox?TP$eRj*<>`W<8=*@z zy40{kiIU?4<{5m1p0r=LC!3lQFlB9Pxf#mTLNC0A|Fo4Zi6-{e4SR|<2wT3%}X3eNmWf(~`WDZxPJmODAN-hB zlEb>dWG(2NFRr(=;vy^!@<0Q$+wuIn{V!iW-ai#*sAWNOG0kT-{K^7j=J-v@_>9wX zkxYzTz7Se%b9J442YoSX+PaL(3MO(=qKFO5UG~RNw#gU^!3Y%fmP##B-+f{_OU!Vd z02D=Un^_vsMI8auLU%d9yTKYvjsTqLJO`4yhQ#BF17kr4Mui1K4-bUL`meCJP{f%3 z;vA}J_Kflnt(M{rLt3E+7ccHy7b)z0lYzZ|LP)VulcL^s*BSnwWJi_C`Za z=dmYWniC2yz8_C&eNbhSZ=vM3o4Es26Dk&hXJAe6a2g>w{O(ZxTOUr3j-!oeUnudH zqXqoDb@iY!&AuNw6ewT`FWCS@LLq|^I!NDI6IXvEDHbcla5N*?VDqkP7y-kjF`BCb zF&+xjCUJJx8x1T2SB$tDoLE2{y+pf^7waGSRDK@G*t9=EeVQE0u6jW9FxR*;qA!?$ zakc0>&QnmIx`XnMU92fRhnxl@x8yOy4v!K41~gTg zMgqb@MOLI|B!M1HoR(}jH``d+&QA~$oQljR9-(n`YG!9>96-dX)r8QdRw%{O%n}Cp z{^RF2_iAXfc_H${K<`=50LKH#VLTqhx@ZSm3-?KS7`NR2yL>ik&dy$#05mNPoMo{E zavG{fP-s-_bthExn%=3TB|g+Ol6kD5>vSxyB4o5Wv0V@EW8oIQ(0shc3zd72PV?r4r928aY!XL<@N@b0xS>ouCBzSjP(4$^P z52UU_&w#7_o#A*egFSi-%w%%PY*CE|aZnG6koR(!fz1z52vsRuH46O~yuv7NK@4bg z+>bk05R2=KBOIhJtTRSZCz-sO4v05DT=9CSXlRX3HIo!Mk8&@THC4!PePzf(xW@i^ z&;`ZN2SrjcuQKx;@-SsFC~NH^0~>D1?Yq_d+zpRW$d-W#XPycve{0Me_>(#KJTx^o zGZR`yNdekHtoEcj485iPeLQng9Mts|J-e8(e|Ps8)!#q8`*?#>_4AiEx9^b5_tPH~ zsP4%5^yxDjwjX4p`t}eKa7tz!ZlpnCg6EVzXLvTN*6V&3u?MA>75{-{77mzhs`he7 zCsdAD?^HcxB%fxC<^tonK|a)NDs>sr^dbloGX*`64wcBrT%!S$meC$Y8G=Z~?Pyr? zX(QvdJq-FzA01;3EF|(^r1MQeK1I~7Sdf@9!?+qiY{^sS1Ny|4QJ~bNY0M-h(PcEH zAREd~^L#MwHTL`_$tg)a+^}2)8NdafkI{#r+xtBlj+{%xj--^M;{Va+nq5m-mQMK% z?QZ;*)fTB8cgcV%IXxoSIPW~3)(Fb$_AEq;_U=&Bqy2Y@!q^|kWvQMjb&+~7J3nkt zV!EG^1yMC%cqnSXP!#7w2Y@YyEH$MLNo;diS!1?Qf#-5fv)Bj%yGQG@g}OVnD?%*) z8v5Juj-~?Bm9ea*Sam1pR+{y9Hbw2vU`HN^1^Dbgk>CIOcj)GL^XdM({m1F}4YLhA z?X%)Fp;QICrbILgJ?xt4UN6#U&`)uOzzUBSHqXpJLHd6pFoBgxtb;DxMmQ}M*y^4{9Qb!ud>SI>187b#qG{InQk;jW^E8~nK3eJ-J<9A z7cp5RhX!rC19-jM@cl){l#-?R3s$sLO4|=YJIo9t8(cB+o&-hlQ0egrI^6!~>bbf= zJ!&ge#2sjwdPpMsf$gmN1)_dQU+-@1nvxL}A5?*Yl_2>uVJ|3(d}QVS!d#LWLUL|E zSYdxUG;6PD;ZwMQpAgy&=|9FFZ5$Kcn-xKLznD-U1OY+Jcz|^mE zUoBgy+6?(95$>zWU^WZ6sBG_%m!A|8&6Nv&swP6wOJ7)_-DNbE=CpHGZy4O!R}tXQ1b?zpTwA&MjbI@^%mpd{#E8Wx_)-0rGr>@JTOS=b+Yo-8#T z?h_VJjJIoy;O?Z{Wbq0=if`}(GjyjVh)rrkF;d=joJEvD(Cq#Lfsx7&=gYNbD=EZN zj>BR&50-(bs48%VA!ld%8i6e_B9_Bx>bIB z4?=S8>PJI3c|n%)K%5#*G&HTcI67SbzXQ8WC?SefCJZe3`7L}3Js`~y1WEXW@6OT1 z7Bxb+k`uY58LQm6LK;DbMVm5l&v&iXM!nJ~Xibib{aMIc625bOy!}BAyqNZhkQAno zDXI~{Pc_6{uq@vr@Xg?k=!{f2e|HW^JaZ7i!! zzdTa6pttUwgeH4z;KBlC$tSqqfG2z8wlbnbQl1RsE=Zy$C|A4*4^7dVKww@VI4j!Q z;O*y6clR!*+ImT|wqDWbgF6bg#otLCuJ35&kCLAmeqUSUpZ!N8FY5Vf4g;xvm(MsT>P!_NF1 zz~0GMrtY>6@$&z@5%jy()cp9WZ)|snjGWz8t~@c~4{|?t-Af=g$2wIrBqM z4c5aE9ODYqau>XE@1mLtJ;_=zPgRy!GVjd2o?(R$yx623NL!eET+fjZ?fSKFu%oLJ z6#I8fL9`uWgJ6scEjpj?i=+hp7QjM%Gb{Y=v75^yfd7f;_nMl8fvz-T zwb-7b=aa5;tIgH|-WQ8x#_rfWJfpn(u{iVPOFO_pp(K@)iOb$xjL~DRDrvW?vODTn zK_Lk}T9F`f+Jow4VJl!6-9gzU6YZ11XqM1;kj+w5O8IR(0okZ-cEXHhl%}E~&g8vk zE(Bt;aW=a~IR+G|iWcuAm?yDhdXrwv^;x*A;|H{im#n?y8e6^4$nxC=QCER+a=?pf zrU@=P%l7vMSVLs<32MU!+N}*%$hA6+>#q;(i1XteO^V&>1v7FY5ae>P+kqWRFky=J z?32SM#1BdXN)}#)0ge(J>!U#j8}#Pmho8~+YQH}iYuVTJ3#wJX-9*2S1+SDDmfT2v z*$Z$ZG68q-u9xC&mxh?cJ)WJufcIPkEzE}|>Rre14Y4!9-hT-T7gRGJ2~#S|_rPRb zM3*#VQ7Gzjwq9Re!X=?4=F|dr{0*a0-Lrt?<&)Y0;`K*PP@=<->etYRJl>(jEIri5 z5j`zw#uKv)U_|<7YD4;=D7oPK5S??kI@SI zQ%{;Tg;kgwj{6qM6xJKg9B02svzO=^=oRfj1qNp^#M2E>EVM~KqtDu3pANrL1oFSU zgx082TGoPBqtT<%e+1+Yce3y#J871iJS@3+~G~H zFMXe}zyNhNhD9&8o7H-^+n_HjY?g#DTj`P4K4@fC>A<0TIsZcCo3%mrie~(kda2eNl@lYs1%gdoR$gn z7w!Ch`^VmZ`8~C2@5>pf!7U)UprZ|k3$fJHvj#?1Xad+i7;>O0|zgXoH}~-;y^rX@p@pYF@;1EZ-t|`=-i2_)_$a7@e1{I+D@`605$)?$|1!O*^>hsZYEcyU!Q+)(%r%7U0}2#uhKR=}U2ENcUC@MbD^P|j z3S%!mmg^5==U|x?)Q(ZySSEs?(Y^c>X?~}>Q$(3jC>8B0k*35^<2*=IWYU1BEleJ< zgw;rhi7i$Qgfw&ayJk;;%l;H83piiB0Bb|pyM&6XaMR?s#KJ0eU2fY#?{nhC_PdPCZgvxn>i;G4CcaJKU14E=1NeEZ#XmPo6(#nTCIpalIT1w;Xxg?FhO zmIuLR8FK~FtE9{@65#>89>h5^d&0@?=;GY@cI3=1+j(5}lPwt%IIMjr_~(=H^M}*Y zG2@ZMb<99%NA~stm1$RvR64rXsM9Ce$=^_Aat>*YNJIdjj? z#mw1ZwmQuWEgfWHqBD+7p!O9jm`kyO)RsrFg!7|49#=_vtkJeH#6J$ciZWnM)~Gf$ zAAIwVe|!_7D5_irM}U#NBU1^oPBj;!;$$@{qq-W{>s8x8W$oK+jsoHPP@guLGJSl? z?C~jcOi`90jMmP2U>4D+2bP}LbFnyv@VX)3iQc@dZjh1@!VO5OVAsVxi(kp_goK;? zY=>4YVfBLk3gxV9e_qRtSlMY312ljP6!%OMU?=8s*moDP3Kg3@{-3-p~8XEXr>Sip?N4p~g zb#RTc3{s?)U8AH(Eo0``A%@Ib$+b$n6@7k;*`uDEwnfu*xAD4F z**sUXQ?4zy^*SHaEY#Sn@%aL*bBu(C91drfoh~bbvX+St7wQV4)K`crfHiSNXD&mU zsSAsoONL6QIhUM9&A7;qinbq+bU0jDIqfR3UaPF(4R z_mdj0Z)azq*v@hsq7SF@sBKVWj4-KKz9#~KaPU{F*ypkwsMv;`Dco$+6|L8v{%9Pv zA)K}t(&bDU58hw5BSX&8%ywIU`x@bovDWvBZjzTC8_&HbF9mYoK|vAJRO=B-2ct&`1O4Z~s{RIQXaOJ5-?%70(XPYO_~?i+wHU>WKm~!IBC7W>l8M z439Z7GN;hynn>^rWdhPFN?D)a&X~VS<Ib56l1J>Cy!KSG}75N@=3*?EJveV&h0w3 zuT9a@P_Xd~kpmt6!C~K$-bqH*M6IErn-o|H#!$pjkH*7e^&E+1IjNc*&BP^1E;1&; z?1(l{FNoq#U?>Qo(9^kS0VQ{(4rY-n2}-_H#9w)qA`4t}EX4Em(JA_cMeEb;s>y`mi#7RVOqRon79=e+==r?X{!~OYK zxx?nr%uwUul2lC$Uq#RFOp&#UG~XP$;n{tX$)9+V2}9Z%x1tk?p`nz{K;XG-6fMz} zZ?$|X6XYRFPC4644BTv3+Mcqu85p|(h?;~Tc>|<2NN84aSZ@jC;dY}Es6`YbhGM7~ z3fUpyCEa8|xg4U5IWK7s-AFGVc~rI_4l;6BmgBUrbo~v zi9(#608urQQ8!VoIyuh3cwMhXNAx!>fTW}+JC~Q&tLgY?EaJ3p0-&dyA!aXPnULWK zlqDuuh6)b)dI}XTw>KG6tcWp|qhp`|GAC0U&?U%Ekt>)`Pan`uouk%2OXXDhv;A@N z=C;Zt>F!P*tHwvgBQ9He^x^K!PakjgKY#rE)0>ZsjojSce);wO01at>{j&dX`@i<@ zbjkt0w=Z_$YKb8Sg14Jz8`wsg_Y#rvGs;Uee3Ucmt;y?CWK(@NSj=d@Mlhl_> zUFJLsl?MCpT(iJR$anfVIiDnHx(7~?Sv{E?irtNR(8QzpgQ0~eCgifQ80@S$Mci_K zCRL*5=blYLz0!SIer4tEoIkvHVY4^;Wm7D-D?-#AO$Rk;^Ne;tDQb zG#)xbZr7JjcPIn&;r$2T>Ht-F1J3Z_)BDc>J((xVg)p^q`h2)TQ#})vsM2s67bs}3 z<5iZO6f|b(CgF;B(^1|ez|pt}&KIQ#5QZ4T8k!5=C1vSpCSGtZx$hl_x*}>v(g+Q{ zWzliyV+Q0=ha}HLWFBJjZiq{u7JgYzzb*&zqtp4I8oT%wLH1}!xf_`?2xJ!MkLH>M z`qfB+I1WY5@GaVDS!#;y>=I1BL!Mfyq0_esW5s7B-irSZ_Vj~fq|hsa3=DAr1or-N_xC+qgq4e~M0JORkbeDg|MuYP zx9_C{+8zJe-yVP?qvYYYgRkEXFyID>1Lt0D9ehbs*Q-Zo)M`G9gxz^d93L7mRXL*jUIKaOW#7PpQ3fx* zR8j(N7qV$!*f z@Q8_viR?9-Nr18>$X4-|yo?_A?oegJDw7iNcEnb1?B(pg1j-QU=U2mU@3ZlMPbKyxj!js<^v!K_`?CWi!bbfH{77hTq%# z70S`RH|WgM7jO;1jK-`=4PY_oNMwb{khi2uz4B_$D=97*o~Alld7ApXbUA_7%i3#k zF#>8a81wmYisrdq7n(jmZETlC;=BWIJv!CsBwZk>o31!iK|Q475E>8*Ib`J}vg^9D z{$}#@$ZTRES5Z+^{Zj|oT9yR7!dwBtA?L$f31^1UJHr$&X1FwYCDH9`Xp>Jyo+cg|Awlwlr9XFc(1xD?s{T(Z7JvrOIg;o2@hj-q{UU_bkV84CoTOj97!- z^^sOdZD%-Na5seDQ-p2h2Ii1S$>s!}g~DJc_5h5m%a4G0%%3nMb>vSt3ibpkh>m-Z z*y(D8UP!j#+HeY8>zZiTG{?s*XZq;|=GO1@t=xNKNdujU)C|u)JLYqT^Fn%(L*>ES z%7`8ZcW^F;b64gBp&1m`?m)hgo$*xRgy*|YWd8|ta6@>oZ5ctDfnS)JH>bBSXhCXP zvq5HJj#NhVy-UyUG;pTQNQE(V=O|xNJ#l}&++J;78JB#Zc=Inm-QIux{jD-1dK|7# zLR&r0E7ux}w9z7Qv{taKs}t}T$1WLU8=|O#s}qACJ(A90iW#~#qDELvk0q1;5a{9J zTP3K!0GWseWbU8716Sf#;0grVgJ zo-2V~6R?J_Hko`(xk5fk*Mk8Q`fYfsP_s2z#$rULJ6r>%a8dm<4{SpT%ga|T)3?M*^>LUgYCbj|p35(qws;qZqk}f&vhV5e{1}Nzz;Q3aX zDjH#XP7UJvREB;g8_GDbyY_6rS;iH;n;-- zi|(m*HDt8__HFdlc2}^7aDj&-ypu__1Q^e_Pf}$R96i~MVniPbNUy=_@Nh8wZuA`* zGz^irGi|YEw>Qigxp_MHFDM}Y*Uc|K-Mj-({Mq!`Pq%MAz5U1F_J)|0&z}bE_;5U$ z{rBM6FZciW^2<;7$1E1a9zx&(I zpT6MBpS}O|8GqiQTKhAkIlsC4@abnBJG2 zc@S&0#9Hvs@KH$!nYk)Ulkkw1l#k=w0@-QD4_}%c2#JT^5G(otgx;+`)19R&hVaa8 z&mafz94pBRCfm3A4;h4Qv3;TNk)A=NJ z(wG%b+iv}`#$@hpegl(nWQ>*}%-k#zc#MjouLkJbqpxAD`ewzKKODizZ`I6Pw$j{# zZGM}<1YPR6JeYuSu7Fi1l6ohz{q_`SW!0G(H^~im%R)6|m(ogHF{XONG>4LOfGM_{bRppI&b~SB>ia z(Nf#exv=LtxC-ptt4sig*oR_MCV)>_)iv1kRYkZNc{nLkgmKvw;c|~Ay?bPW>49Ss zXUIbN@kV>f;ED62m5s@4N*RCuT)6Qb`^SKCi*mgLME;wE;RUd+9p+plC4uZBrX${QYIr{P<5G)KBs-p8^ z4=03j9r2#f*N!GqmXrMZQy5o=t)0G^vIGgdxF=^P_oo{Ki$v_@H|hp zJP9v#tGEV`XSBk}o)5$nf2xX@{P8UqdWs}#L&~*4>f;7>28tal$IbLY(`vE*iX#$T z|5`7)sfQepv}A6$oQ=hhgVg`@aw;&kRkC>9Om+}+dZ5P$J<0Yf#2GH-9Kx?kogy6L z)B`ihYsh5iueJ#{m73ZDHRZu(HO#9Y_Q#+rSmh0>k!8$Hl}-}R^2N{*DpP!hE`$@5 zNdDpa6oVHiqKQu@B;%D096J=GLQuERz<{~M$PZ#SaU^C-fhoC-k){-rp2)voC845v zC=jB>_)JIzeJ+}xk&B{&xk2dtC5w4#IE&F$OIzXbXRAjT_INk}|Er1JM~9D1C;e-lv3u8;|pc&W2+-0U=HEKLs0Icvq0yA11S^yH5J?^~KOfG#`Oxr|NJ8eBNAD_Wa?_Qs$uMh93RxXxGcsq6;m-C&t3xgnsz=ABNI1v)mSe8oYES`^m^zi85 z%M@sBCghT0{vv-q{jb@7t6IZPiA$c;u9;ln9FS%CWj{QfL8T!2m0oSV2rM;9kNqm? ziQ_4sARi1Yq8fw+(!9jCLLoSVus8MF2#fGKDtM0@B}(;OhW14?n+8`F(K6?7( z1ewg+)7Q)W>1;Zh56l=xa_IO_$v1QUrvNYWR7n?<3-q6a+lK4Zx$OH;3`VlMTsB#4 zaT;n$bm*`1M7yG=WeTE(b9w>vBkQ$N!NK~REQW~g^+6Xo+Kd+uq8DY(6kJBM4Kub`|)+UpdLo{Z=t6P2THqS@ANR(G!Jbe?YlZ;quTMA`BK zWg(@CmbOb8Blao$P(tr54OmyV6*8OE#1jTu6vcz}t#gFBgw?@GCOwu?Jj;xRl#*C? zj(pTyN`~e!@6djP%yy~Mb&A9T%p0I~wgH24n7`960(W8moq>dy5vt;dM^iEx!H_Ol zGZ&SER9#`QlY;49pP`^?vOl$9U3Je)`Ea+t`NZ^jba_XMX=4&lPz{>C=8 zd_c$VNR=SInC7J`O2h1WtRsp@0kMe{wr3<@-vvN`+XO}@s?)FvnrwzM_Z$TQ;DRiO zfM_j12DtmzSMhx1dMtM^xvC4W-!FGaKewWL3ATLHgqEndfw>d1i-jecj^$ag8iH4U zx|YPYAicw`92xtx*u~Jb%0p;Tv*ChAX1~At z@V{^N_pVNznL5QLH?}3A>O{69(+D=!>xR3V1_Y5&yFR_D4?sS6|0}E97_K{e?|e#L zS1n?12P>v+3x`tmvfrsPDECs{z+EfU_2Ej@b%NI~9t5vr^g;H|j|+G`w&s&OJ9xs2 zG`q#s*I#aaeuJVIi;+kIkKDrq+l6i-A9X1-yY?*oLx-~OV5fN&aEJA1Gm!MzuH}WpD>)ts2(>y3|c;o_s1`m z3WY_5^jM@TGyn>e7(7bD5Xb9NQ<_6CDF>7I(Mk{{M(wd$ZTJ#FZP!yCz)_q55#4+C zLeag@B7#C5VjHZp%-00?EyyDgwzMtj2V$r4WR+ZIb>`?=(Gh_4!WSYo;b*l8P?PNG z(FVGzKR4m&h2VB5N{${e5R#}$jLdLuho}}&*1-G{_mu8y<^~+=d9013N3t9jdQ1JE zT38gtpo3Xe9qmy~{FH>d$Z9FF!A{j+BrR8rah|V`WGnlEPAu+Z-CZv)Hb|OQ5Dl;_ z--&OqSwB0Rp!qrW`U*Zes*8HhSUTz&+vTmE25B1wFx1o+6}V7vidRN;rNImu~lQumHd0w6oxPL!#KorNm0vI8Ro+X!#L8b)7MawNKg`l3-9lDcx6 zyfRiHo!Tr?CN@o>5>3!5#Tft>qq95s;GLcD+qK%t&BV*ohAi*`i z*uvX#wO$F#*?kq)XJQc4C&_#JlP8IosiPkL^UJF(a5uoh|Fztkgqy~gv$|H9Mj|Hx zwY*(-fPa}e`n|dXTHB&c71N;~ngtiipPF{q{+Vf_ui#6aLT3*U=x8OznOI0tAVa&T zYOxF~CIn5C@rN#`!iJiNpFiG)dX7{|ev7#dP8RT5UTiaWf?adME@#WuYUN@;`0kUM z#XN}0vfG%vN=HuRM1uOMTFXaV3*Q}YW0!=egd;^2Gvn*G-6}W16Z5!=gJL)u4=f-& zkjyG8y67J9#N%o%Y z3hLIx9)Y4#Z8M0rDr6b(-9q1ye>2SyF_xY6sdkRZsHjxAY>?xXGQ(uRNvb+5bQOF7 zgx)f0tEf8W_C)<{=&_JM|7!j^@IMa`3`0Z;g$EF>TmQhQ9i3SEwjt85Scq67TLdYq z>;nC(KL8ZwS%i8ZV~Mv}e+~W_%IEHAE{Bl{?V+q9#Hxl$Ad9rdaq2*j1R&$aW~g+A zWllb$CJ~&{T5AuYk z2gxu34#@y#J{|n$f3lxRz-*hAQv~Kt z`ntU^<%~#O)fmR+TNCb-Aa&SB12F@f7yv}qug*@9n{^6J7pg20q0GMqR|C!ue9_ly zHD^Tk6*WevjOuN`m58 zDXo?FN#_sYs;`n)WE`wnGpDLhjWuhLid#i*wn6<`p5Z{iS4^~XsE5$nq8(`$95yj+ zUYz`$X=tjb49B6D>X^OS-G=i{FIiMZOb0Ln(aJWPw2?wNq|YTqtkF0W5jb|XodfIH zx#WDP7|_F_s%)Ee8-s7iG+FZQ>YDl4uMg_8aogcI(V$<{a7IoXjsu2<0|i<+O@j84 zB3>83!Uc1vJE&hoDkU7=+~8f6ktcv;hYT=mwjtGm$Q>OYQ#g|l)h$V1i9vawboqxt zYL(Bbn;HJqi=*)z`T)R+_zFC7JAxGem_-OYPQ}Cwd=73#d1+}=1}9LH0gsv%undsU z`F^`vXa&{u0MddGrtB@~kPgh0;IyxR>!ZN&*ddC0007AG%U5u@t}zJ4fP|oPG@@55 z2Nr@2cb3@W%&QJg(avWKw2B@h^29(wq*v5?DyK6vi|K9)+5SMxbGPSD0KSNvfUBb$ zV99&#l}g;{;?LI9KHGUi(;y@1YlOzXSzR#`6RMKEyM^(2>hr`fn4>B8 z!4WqwyAj=t77E*QyJG>FWXgXhf|Ke=`9$SLvM1(R@cWk6$KfZJDgoGsFN6V}91T8{ zU;)x`T_fpe0mWiJxcQ1)+)oDT8_vL&R(q5#23FRi*kZd#vhq~yetG%sc}kYx6333! zzL!6OmZAc$%`06!riw+cQH?@cQixjA!b==L02Zi|rmKhF3qeq?Z)?e-%NgUn)*i=;^{XO7}Cr7&%@(oa!;sLSd z3_Yr7cB$~?97S^F_rt}-Z-0hTtgW}*K_UMu;`w- zE>cUtfLq*Vxa3*aHDMWiCZ@t`e9>zIw)Q>}MxoPo40vpd@{*FsI%N^;PB}|S~;RU_nA6;LBdInub zu?|ggv#$#6HwGeVf*OU3Gp0Q8BpXjcCt!Ge{t~gVdvewljQw`9;wNQ!5#BY zLk_hcgyZ8i_zgOAELJO224+?((^-eFo6Avi_9Fb!VF&T4_;xT;G&@EPx7yy+eZ?5) zjwoZu>}bZb#DrdQiov9#&6;-t`jEy1!~q%w^X9>3UmErJ1n&6JiM>M4;uk*QGYHKkq71VSQ0 zs2rls9aFh%ugX!`qKqcE$;|Xv9bfM8|F+l80#Zj!F*EFTJrM=Qk`OOfvu8#|#MH}- zXgceP17=3AoQ|v4*IPuu#{)4B~u2QPgbC zQ40AmsW2`gK8uR(vWjCVuxl+8W83F=?-j<7pG^g*G7gQjtS*p+wBHAcFBi8$inb6nM_E(Q4dlx`^NkFwSVLyfP;G8Pvb{u_}-;stmG+zGtpQjr2n zY=)-3Lr5CvEmO9VGG)o4aM_l7LJ0VH@Kb5wLRQY=*TGK}I8bM#!hE`ONCseZa2e?F zSVqMfJVFu+MG07T(xp|q?p)8AYB^l!*vfQRaa~@oJrvF*>Y+YP@Ix5yA{Sf@8=Q~C zMPeC^$52^qJBSI1K<~xbtHqDIjiy;6#l+e({(67=?!)`{2zC4em`!mHE!&pRCT395 z@1yWG0!TBT6vFd3VhQRNnD@{>FPG3Y-4Ka832#!{7pyr8kEHt>D>@$=mc zoUw1e+}?fo?WU)RZ*^AC!E!fi^2q-6`2>CH)TR^0X{JQjj2M9!OOgu|s}xXR%(J+v z_vWE9Ml2^%W8XmPFqX=$TET~+q|X8_j{IU}E!dAdmcmXnV>VWcB;2py7OLh!8B&v& zU3R2kLc8A!sRd~)wc#O{gZ3o)-f9L3}SmvbtI@}b#!JgxsN zR!%@F)&TcR-oQ69lwl5!uDiq&Gau9K=Nb|Uum(qM(2nwptcxU{?FHQ+UG>fd{R_P*Ce)ILCX5CICnC0;oYrXmgT}ymxJ;J@%$xa(MnKs|0E@q-0`*PI>~U!pQ4;APa*nFCs5}~W6!95 zcU45PDy3R8!Rv?=d4$Z&g!1wQ%IIZC?}nWnEf6^E!_#9>u#(#R%a4eQqeaq}n|hfc z`Vp$IGO5#q`4_wbVkoPF>Ou%u*^SNC#n{fJ(?Iha>tC9xg{R zXm=cOIj<2Y>mBkf=uXfr0I>fO5tc*`$1Y=X8y#|}&Mvj;7gw8e_yx{37tbZ&1RHL> zhB8aF7)L;q@LE;CWI*3Tl}9f-4Pau!fMKy9EH_cO0V*@(CHQV~2ARJhNG?QUf*nv9 zwZ{lR+KGed1IWN29w_DO<#767v=g9v`4vfY223*eR@2M+wV7xStfR0%{iwljFKP{b zE>U_?f(@@WTW`5aownp$#hrL?x<~gIXH~n2W@pncJJoatWx?gL8$L8{cv9TD%=`d` zYWF$vX%$9L4Y*xsxVS~ycCuf!VO_cOOgX_FMIeA-;OgZwQ9aXlQa9P)`LTSad*`|c zw&daZC+Qv(%TAKzXN06gRED&aEK2FyvEFoJh7oV2 z9t2J?-k_yF##wnclm$en5Yqb^i`*-{uNz43exE)*yrvtSJR|xa%$MCfVMX|Vyt!ot zua$})pgP;MZu^xk%?Rk%$Dl!z{dFfO+f6(&CzmOhFZI=6#J196F3Zi)2=qlE4=)8U zTM2R<*b&Ju36a9%qhZhEkL`20ALSKvH#{8bFv3|0qwV^Vw6%jf<@Dl=?hC1r0ppKG z`xbaS^Y^WWBidFXRX;r)@Fz6ZPtme#rlG$NbaW5frWXs#F8u(BM-c~}s=d3~c$-DO z0BK7$+Q&mrPF3;}Ass|C(M^2SJTya=*<=8X=^)EfZIQ*BAS|FiR=5mOJclhMs|IWj0(A8Ie{O7`M% zY+QKIOD&7dnl=Dr&NGa5%GE+QE}4!qj;(Q@8T4^$UJT0U8K{Y0{~VnNDix3ho*;P} zq(O`kiJ%*AI)kTf%5#SjHb9smxSFbSW=JuVC=b}^38npYb%Ls)_!mZMtnV-9w;Zjk zb$y<0ZbX@n`yk$E_v2b)pZ-6FAM%P?y2)|O|`5_ex^*LOuPK2Mt*fB z-{r~fkGrc6Z~sZM+{1L)GCqL;=245rW?>%IR1y>7b&vu~HP)=G{n;874l&@>idm`n z34G0O-g$Zna$L1AO|X*)#s>V%7b#P%r=ea=rX65QP!U=a>}fe{f+r8DTpmbB zFaE!by@_%g$CfSXPr-A?>!7-2Hwpkr@V@B2fr*0!k}yD0bf4FOkR{mGJrboRDO>J4 zeEVH%4>?s9=@Z8yS(zwQW$xU=+EdP;vJbrY=EDwkmLETDk)ci9iRrqjw<>t#04yqR z^w{8p!L-6@RhKSfX$MqY5jO{)K<^N5kTt6U3K`UoVuU*qeexl~=j`Gd!DHMljXHCZ zL0%GV8#k+z1kBb}+es%V0U%sPtFGeEi_S(_%bN~B0?-@I7w1<$K{Ignsb(P~Ddps% z%zjw@0aQp9xj`9V+9LP6Uv~GqySKZ&hd)2Ql%l}+<%Px5^#GouCg!JKP)vbWeH_={ zJfe>9&tD#Q3{OBRmkjauf8b1TSE$lAVDo};;+G$$rZ(gEi{$qor&w;Oqdnu+3e1Jg z<{vS@?R*LtIazxNcoqL52XyJT+r{!&6%x}(yALeDmv59|K8FxuWHQw@ zhcWV=0uq5*F~NYNFBhnfcQ!|a2fh%}RQP;`z?!{O17}lH3VYQ4th@xp8bk98w!o&8 zhl1%7BWX-XBBVJ>jRb5n;9udI9>MJ86&ar}-pcja5YZ0pmFylr& z(KkH2>|%YR4}?Y-R9>jN;M>83ki;lusPJ4YnkBlnXDp}-SkG3XnFqb?fCdlO$%y=F zwm3_dD!r_$1$u>7ZIH#rA28C6bWb#&lqQEMYzSN^2brk}$mFZ434@S?nyd{fKh3UCVil{e;+lGj z>;>Y+P~{|8?B=WXasl#`>Vl+q4%&=HWe0N#&SY{VY^6dz;(sS-CKOv6(yidg1>kQk zIDSfaL8Y5F&Oln&qzAgy#~uL*8F`a4XufCA)ZmGkA_hCQF;k167_DqweO}x)P+<8kH#K_9jJ1JrwuqH`eA zdyhiJ7dOC41O^yY=a8>tWr8e=Aim=;zV+hlzcj)1z;oJw#-JcoA&9k z9(f0EIn?pjkPd77D@+5$sx+RfPe-eoQ=s?d=CrSsAkR0aL;20mz#vC6=+@JZ4R}r% zjshbz13XYkMTK*#`-2v;GL4<0x11phL<%(-Bqm<5_s}Anu@n#BwmMXZk|E;2oxLKH zj>wP5L7#71o!4 zI@lHZ2m~d|`co`yx-`cXB(_U}Q~VCZP$afUiE>OIU4}H7+j6>H%M1@lv|eKS7B|-@ z?V1_|;w3ZP%}^NE-|%&+x{9wE94kB0#i%W#`t)1=k)s~URAX60)0;HofO&)19P>^u z<`_u!0fiQT!2XD!VN_dTy0Q>H++lboxEY=*isdk-iQ{CNN6?PG7d{q4=$zXxl^ zbiH6uxRqnqD+*~jgUn3L2+>ZME@v%1!c8e7%E8K=tJC!MTt3PA&Mvc=6I1R*27liVbiOJ z<6y{jf#Solh7|^)4pO{1)DX|CFAao%Q!Sh2A(J%Dp9ND-Yn6(ltI%OwS59Y$JguLw z_3h?Lbpq6$NMqkZz6tVD0-<)!s8DP7TqW79>TJ*o-KTMeU@E9xbccuvyn)I31ie8A z#tigMqY~_LW7Edb+xc9GjA9r^s zIUEPqlauqw-e<7gWZbQ~u&*j;L4i51*kZ(Bq083J-N~vp7R{g<>KPja)Anbaq0_ z@`*twx%L!17HaCV{41;K0|7zPbN0KV5=GBL#q%z2f-2>L+8GQ)Ew^EW3^}|bjv}xM z-JaDVv|t489Qo#r#vDjnhj7GZuN*G!f8!;IKchkqUBD=*_vQ1WH6S-Y4n{+Xkw_J# zLuc`M6{W)?ui+^k0`a{@K9v+wv3fg2-IZ|F7q|ThSzNApc+qO{8`ZM2>Nf$8CbXBt z(IqWKM-oRDkd8f;fx|AAm1cc{aZ-#ohhmrvWXl?8l-YhMn3#E4o^lJuFX zLV%QLZ0EfWiV0i_HPhXmgBqHZqb{^i4Y*0;?X)ROx5D6UXYO|oUp_p75^q>KoWQ!( z1+XY9RMZ5A#Ht#9WHgKCzUkQ=V#9FsE>IMe&_>+8!1VibUbmx&&RC%aa}ZX8VQMnN zF>b!z@_He(ieXE?@--uJ$Avw#N2hleS||PBv(p6_v6iUOX4xqJvYZc?C-=+y-G_Iv zpP$sO0;!;=)$ebl$KOBpWD0}nxs_7QDqb-%MzK%;y691$f*dprF}IP%zh}_Lz7x+u zxFo%Rzw04vAOSJ^UaKWQ<_==^htFzTO&`XZTDw;w0;8Y*09Z%Yh#$}DxV#!fSOc@3 zL2hY$K0>7Qf6|dbI7usjLaufo{;|*Vp2mYAJao9 z>-74y1?B)v>q#ZfF%?*f!eS|JX)X2i`6l52(@je zi~ z?Yl;tM(g83rQ3^7_q)e0_jjP3cZio7eDiVl@$JXYID+qX19ZIU>EDIYI9bAKAgxkG ztrD?+cV$?<*iE9`!9<@zrDUtRmeMNUuqIPK)DxGFA0}!Q% z_Y1@r5Ddf&5Wz4iXH@0Y0_B^?sDUU1GUynu5JT5YC5dQvz@v%dG06*J*M}?L(lJrL zgi=S(z*e9qjmr$-917E{Z_$d5|Acq6dltjpUtUhsFL(5$aVAxR8{PM2PpM_oy_+>3 zpKedBMeOmG@*FV1ET^Yql8{YKqEtr1JGO@^?^l_m??$1MRLp#Q{}D~)SUi01@pq{m z&L7|X+vD$BG?`*kpYS)_W4r(_7^zZMdYIM34WyZ*ri>&tMP3#0ELsRc^atxBB9O<qlC6oxN$P)g5?4zy%8my@p4tDTjC$BTq82~? zMB44k9y{TD`UR>4ue^X?*(@smpw!D>u-?%o|}RMXWI3qvncIJOc63v5Pb9f>%>*(yDwy{gc|6-6_}*J}j~}ov)yO70Rj2GU^diq@TIAr8FrA!Iw%CoN@?Z96+!OL@!0w<*1^>w+pRS?KKNfF#gdw zO%{=d3ri&)LU@_=-U5mt82o3}s|H@jUYPP5!?ump_J#%cFAGi<8+q@cJY()s4I9Dd z%7-fZP?@CLuti)o(U>iS6wJ8Y+rNW{CK1yNnEvC<{hfIXd^Y134b(&7jPtXr9u7ma zcr8;dEAk(%x#Ac2k&T3P^qPw!Xlv3r)k-BMx-uEB-_I7rvo{ zQlt7%*y!|PWs9?6-CQPWz>S5#NhzAK211AdHlF@PqE#QWqyb7t4x@*B&N0jmJG(q# z@#XF@-`MI5Syu;%wP_t%)Jeq9uL)jk?d+f~!6m!{t4Cz`bdNmWI^AvG-~T87w%8(| z0$6bz0V}^snHOsE41#C}=*se#Xd~d3NB=8RDY;k5oRQ#u`f7u|!EUlfX{Dhq7Le8t zCHy2p9&*OF9&8@0YcSeJWtfp{VK~a?NHwvgoi2bFE0UkHgxX6;&?t?OK{ki;@laJ6~#p~7GzcRSYbKeg)SImf@L>#l>Rz3gyxs4 z%RuPW(F(=a=kcAPOnzeZtOy98ARK$$aJ$F>K27sIvYe%qMS@7hPLY}idEb$mcZ8k7b|`SzNO#Nq+`*n) z%k3*Dv2-NKbWj;&>Ddf@EzsN)XA?k&noxt`wJ-q+3qpp7U0Q75@GPS}500mlSI#Zn z6x06P$x_rO*!jv*!Iz7hMHFu?a}`69vD%q$-$8DzVmGoN--YlVM*hUYNBu)CszADv zVLy#2GhRN-Y;_B8?z$xoGCW&l9SIehfv15>1m)i3Y1<`NnWWsT&7|RJFE{A0FL|7- zdp|>?K&zggCf!A@ay+vrR%CU!DuX;HBL4fM7zNW8_N)R`WD#zg26ir9G0hW7c4QLG zLD$(v?(MUO8*@OZl`PU!3p_>}u#}rHz`n=_b*~j3<~e?X2R2~FNK2x3ishxBX*?kP z*IWd!^0ebe=~?jlRydcn <*LTpB5Wh~G&`dFN?=<1g3YCtW94v?~94o~a4o;`ex z*FFgG4k7tDM9u`mBRSEzd{Sq4Fiv849lI(>JNhEzL9E`^n5!*h<=G5b6lVrdz)!Zv zm*{@EoFgAD1h`hm^*u!iLp&=amlRJKNSH5~pi~Iq_c^E{#jC;*ZH-jePkW9YP+k{> z-2zKlZoYp!rB6cm3?ver3mx5iSRmQWDcFYvEsG0tKhSZOjFlj=LrA?h^Yg5#v z!{Vhn#%gv9OZ2D36<$NW?~u3yR~tPo$d7E{@s}Ny{nQ)X44LAmViI}TR3#YN2WZ!% zB!es4VhvA&DIEj8PPJhw;EF{}82`~G6_J7|>}{3w1Fue0+}V)KI*O%XEAq%h#Nhel ztO1OAEqQ&6bgSz%TC6PpT@|4$tUvW(XxJ~z_XuF?Z0^q4A6W=t_`ja0t2 zJx9N_#Rtr(%6v-$s^MIotYoX^2#G-!t!gdwA8)7ce`U_BRhwXh%-Ae}{#VwT;Qy>% z1-tOE?I0jJH<33>1axl+_2bwil2IAMgxEt|Tp?ER^d!TTH?7}Ch$EsK7Lv@fF`u8F zo}X_v_F7CzRVI)XGaR8>Z9Fr8Hb4pkcNRZ33 zxGbu-#p^W&WTWzU(2}@SwD{55!@c#gicvje7w%mB7X47-{p6HRlk&b`qe#A$c)_TZ zllz*;BHw3tRO^yIYB3;>X_$STPi{4}hQU%ZB(<}gv2z`%dE+2Reo`I*7xcg#4)%E`wCWs?DqO3P=#6UzNC9?VqB?vx|>;yH{_D=ZS!<1l7 zBYZVsY0B!oj0dCdRrr91y1+;|@fKnGSJdvJaib@pGD)8^IsA0oG4PjE+F71{zJLb+ z$QKIGKpyMENGQ~FGpFNqes&C&ibz_c@=-O-eAJO2(PEbyHRB0D98RR5(jK-xm zf=XLKqvo<40W5ha3nk@)w!MenKHWb`@e9&B1x~j%IO-23I2fs<0H3qiGCW_$fHUCQ za72p@YZa;xD;L#q)4Y|8`{lF3cDCvpP#|ENE7$#@TBE{hQ6lO7(>~ByG$~Q52y7%So z0jVm;o)$gr{oUJd;30a)%%s_q-OxDN>_3?!B2x~YpK|#8lrg4&SG}H{PLRC2Jyj`d zRLn}m2(pk&(j-$|i+TG%x)RCfbjj09T`W~!YL!9VA7{quL=cw^jMdymjo1B4QqxpN z<$F|G3`=1V<5UK-J`Ybo0|t5DKX{8gX1N?FP=WG;!F-5rptReHF{1$j&ntk)pzopH zS2fOIwv6s50k=Dip-z@inT5~ z1WP_ik9i`*f{=q8BZMGJH})FqmT;Duo2D^rfBW>u$2WI>?tOjvCyPeI$NA5Ddw;(8 zu6gm|U+`1m!qt*&3H0{8(l%|ni4km>C~U2?H3grxSPAbBR1PvT-1x3}=^ zb*0K7a2A|!`BX}f)9#iZXlE|FPcOzC;1zO)&Nd8g&uOMLEFaQy?&u=wHSKF^xhL!3ezYkX zIkNq)1Des4bWReQxTsFiv1oW9%^N}KEN{G6v5Q6iEIdT@dGb&Zl4?4=pv_-!gJO=_ z;sM0#DwvF{5~@e-X!Ei2s`{`zF1aXUZCciWop(Xo2Mvl=#Ne1{pu?Cq~2K@Z#6M~MX_%~6I8V!R!-Xu}b$+c1~ibE-2J#MKz23U^=0d3&n+Y^7# z3-xl!A1`}j95x{vkHKXQjX)Y$XUH$EK0Of{R8A9`F)_teT@u5C4pPT}l>Ym=LMVZ4 ztI>j8lD%|!*O3tsXf!xhIwn@g^_g4CbBmrqKls@8GO=I;vA96EMG(&IL4Emz0Eig`^}F$qNtG8nfqu#Vh-Z4q*W| z2u}vF#sXT!E>!?(tG2B=x+NPCr8b0i%8tmv16Hk>y{UttKh2fzaCRdsBQ`3i661PLzvd;!wbfUB3Xoh_B-JgO$j-qb-o67}w_#D42?K7W4Fg zilbkUXNkI_zka%Zzk6_)Ntd8jyj9RWH|fwXFT@GCHJ4q>IaWH9dM9RbMo`uC@*?&g zjxU@J|AjN6_mS65AWhY+!xn^0uEALReev7O#V-gHj6bpaQbWM-AB|{DJP7f6P>$N8 z!r_7lqiw*#V)59PL%MmnAorSBdKD4HsAPz5I$selQ%lH$iG%NnjdcKQXLJwu$YKA8 z2i{-4@9_=t@?MUxV^kl_2Lp0fJBs2jXAN2;F!K!!v3P|7z{R^KBYJ=Hs!X&!gyb8V z_J;@wWe*kz8ly&Kk;5Sj%0jfbJT%e(tEmRBU2UhAa84-7N%WA)ZOoFu{#uWL#&h%= zYEn#${QPI0DEawMt(m$%fa#FOIPI61LM^{Sy|308j}KKHk*bn^7w#DP}s2h_GJD;va6L*-BG2JCM&H$2dD)pxGyOCspviG?*<0gO>x9 zcWq74m&Fozz*}*;%(oQ`{bCre?>)t66 z44@hIeko<>HS8aT?rmAvi%S@}b3URs=3rF~ggnzY%sY z4b{VTCbg70hMYf?pOv+F`{BR#z7UqgKmQ%jK&W4#*Z&(LsI&$S6MZ+xMFgQEp^)~0 zKZxaMdZH(#i$Z~IBBJoJ&JObW+shThL-eBwpyYrd^~@_^n}nIeegz5Go~5fROnWjI zSy;t6dsyP-gTOpzbt2Qjv#a`Xq;FkaA$*>;`{bh643LlJI!Q3Dp+PY;I4C9*V77O* zQ2Hm5HT4(NQrBMq$LlXc|7GOA>|VLjS*@-q`mVyAT6OFt;2CCyy#mpGWJJ!680S5fipS*F`gE{hps^wSMUSud zJ$SvIvax08KcRk1>UW;tX?iAduBz@w=3-HWf#zNLl+bd}-ur!O@75RIiWsHW3hg}^ zQpH-p({_Fe6id9ZRCqL}c?=(8wFvmeL`7?6i(FH5I1(Vk+c$h1q+5i zCjivgLzyiiT4+MnYmUJ9VZUuriv>+0{8XsnrF;R=%jW?yP#QC&KaN*~TGveOJtHKJ zQLcpbt2HKM@4IF|CC?ZwlbhD;X(;EuxN2v?D%zwfT{zze^NJF%U~D2h?djyGNPzL_ zMt+cQ!#of>m8FOfDan6dEl@wSa?xqL91;T0Rv?(e#nXVaDzC@1UjUbIpJS_T5TiD+ zdI1RG4+>dQnAXfL9yiy;ic#aWp;a$>KXjP@{TL|G1<*Bpbn8ucT~c-zJGYEUF;C2? z(9Bb_m2SAJu7q8z7ap;iuuawBBi}{nqsPn~BdP}VG)h|qTaW-~(vhMmjj*(}S4g}{ z!YwJXT#(LI2!@ikgA`2gVm&T8Dg=_8+SJ`{T!*%wsWd46LZGS5&jA~-xgRJ<`IG)! zs8*^tsc&dnJE&%PE_sfwL zRfMu1v5UTfmh%je#aHn`SwPZDaMHys?~CT;y0bCsS)bO595$C>8#n`&sc(cQF(1@p z<7XOGr+7O>%OA~jI&|G(hWy==%k@vBF}x*$5z}giDOl3wI%y7`_nlx) z>NyH~g)dvno**^=g)3L*u=@rGKE-kmf>wY+lTt`uQwtNF+$AgBQt2sSw`m+a<0#ZJ z5*}__ksQpKvPtpyh081;k&#c#odg4ApH9lP+LXWqge+q-WU089Xw`voiz!Mu+wCoM zc>3j$hHKs>vzDarW^yCaW;&!d$OOGb@iCfDdgbyMxNEtkx`$<@!^^uD^pBMAP`?zG zj|C@X7jx2^q+^N4^9KF7n0XUip0LS|nS039bhl_aNtTl$A4x^XDI!C%EeuSSZ*wRk zB3GbCA0LNu1xV?}hxhl9g4q${WppwkSO?X2J=}jnb>?U@ezGF6OgVgh$^oWC%u+!y zu?E<9`e_5k=24n`tq0e_YaS#Mugub zP$4#;WI2^zKHYzO^N2uh{O+ILZeRB7!>IHcKTXVzrm1J2&;!6^)3ys>k-7jv{WU1I zi%V5!v@{b9%d8kd4D=gmoePh<8&ne!2Lp$`^SHY~NJLB%jtga-F(c}E%3>N8TQ7q| zoh{)JS2JibEj=c%5FHjm;35HHThAt-NLikwTPnYFG$eQx6$r{V=yFlhZVx@FfcWQy z40Mxt-UGs3Y!NJJpnTjSRUQyCdU3%_l^d2Ta$ktC>e>_~cN(9Bz{IIN+AnW5KVd?M z_&*l&lf|ZNhlPvz1mP!OXT>+_H2F$Jmzq%Y1UeAgg5vZDn1aqPU~&QlNjVgFO3($# z6eO?Ei2zGaq>*4`)bf&od5G?Pfe1lX%|k(&H@`lhLN&^BE-sH>^!^{#%S+=SnhtZT z|E&81x%_qeq*nhwIFGn0Pyy4tQhABYZc`?{Si~$$?xpEk{ww#PKcDgp`xD zHnGeEHvYO`ySFEY{h&NGNxnqSLevP?x4nIN&*YQPDWpaG*35pW;+pmL2imKoQ3W&d ztn`C8bCxI+w7;eg2r7xmM4%+@FaOnD2gw9^V)EMr5^&qMV*hw7M{P*OA@AjZMT;py zC9>ELb*6|n9_|jXGE)Vy1?#KD8E*kq&+aaATk*8GQ_3JOtl#ZgJ0<$Mp1rOJoDFjV5;lMUK#eldULY|NbGC__ew0{upj9u8A?&79E)qmyIG#La8!0i4@rnWM32 z8tGxYnfl=^uKL>qPgQN*M+$$(x>$_o1o{oMgGaLHav2KaSBCWKoJo3UHXO{a38iPO zP9KDDn-UQ}3S2jvgALrA0}&8Ls@aLdbcSp&kq+yPlbq1xKIJTZNaF?)8a4DxST_fx zFd%Y`)2j5XF};uLR<^KO?$}Cg0V+>j>Dbw=f@-$qTz77HI2BH@Vbe{0XOgs~Z%Z{# z*bC5aNh!%ILqSHqU>wrtOMQjyb5I$z<%v)@P?aJ>Cj_U&&I_GT_yLg1L}BEHLt%^y zlmI(zlf=IWR*6QyzU*~=5gjCDh@Sh|h~n6=03?R5^hs!^FB@;a|X@#A`ae(Q;wh%731Lh_Rj91zQFw&nmnS&1G7=hU!k zD=q}GjM`pf+Fo~hWnYVJAXtC*=I^Kwigd%>-COiP|MTNZG@W{b27fUMpL2SM&ewr7 zK7aS0U&27|+n4+uckn<~lqE#|Ms^r92LnY;I<%+MB8ISNLBH<6B$`4GR9U^LIq=ZU zDQ1Yh1L;Y0hD?20poKvC&t7B=YOfR5E6!0x0j zF(dPRk@8sfn2FW_(ukB5e`)hx@iFGLk~g!PY{OVr44v) zctt%J668EjDubD_o%V1m74dvbi5W_m_NqpA4c4JRHpOey2wCjCdH3#~EshX=^ZTdw z?`Zk&0sdm&&#P=E)M1&ypZw4Z-~(tbjf(pGbT|j8kNpZkondF}oRs60ed(I1yRzMS zMMz_d!8Ykge>8B*6o-SLmk(IxK^SU;@ur)OI;%8xIXAEpL{owzBybk7q*0%BA(e5U z0T~?vXsnw#IimqTRDF;!1D6x@N4{LoxA+gb=oXAwf6JnP5Xj(37FsxW{rWYcd>y9> z@&DCHa`Ka)h~pJGjV(%s1O)SpK1_oL3cA+rkve9QVA?<8eC;whLJ%q#iH6<?QwNXuB~WZIAcF z+U|CLd=D{=PLSVkKmPo1|M=;Tw-4H>53aXgcaKmC(9G%nzJ;4<-hUf6OX>k&1Iam3 zwMZa^AjcUET9f0-X;qXb)K1M-%m283&AyxG*URlO60(!_s1D|*qqQ?%oo6Q=@ie*_ zN$DIV47HF=KNe9Gowj_S(HH^7i#2moA<~9@v=vI6;h=t2o0v{6<|h+G%Xg0ja%_KE z$Wg@Du#bF8bP?ulpfkWH>q>x@W|2yS{DK{>yS)ECh)`LMv_N!=mi8Lp1t!xG+&Cn#g1%E(yWwMPdJDe+d}^b`*h0xhxG#7t-ZGTe4`@Rl z=|?SuXh=8zDf4d}8ERc>frzZN-CC@@lMfBu!l=$Y;b#Gp>k-6*?369m>d|zp{qd zj)nvMLVayV)4PgwkEK|*i&|Cu8HmKDGPSF|2JJCf1BP$_S!^P5cDx83C_?C~u)$KJ z%13LwH3&7F4Fu5YKm1y>utn!ul)dL+W!-z8QfE8JE}xvQ^j|knXp`jz0K#%&RCGC{ zQqv{G3Wg7|Q^}XtGkC#*309w=AKoJQ3ja@PY9V-_eGz;PHN-_txkk__6sYoJQi?0l zuPtbTFw_d1WC1%2OM*HiK`k2e-C*%7W>@>mfkHhhKbSep4!T@s&B60dGt6;7d@%B- z0~2K!ILZpv(6i^OqH_tn?~M>6DZn8uY}ZElR3p})Qaa9v*|)M@urSl?9{#;jDsEysR`$#`?ss5+y=2kbyjD0aOhBp*;NDh8Pr~tc1`MiV)&I=)xjPU zt6C}#lPMKYsXJQ&B{YYjxFHghT|rJS78HjDRtmEh#Euu3S zPOlL>{!|$q)X?TE2C$H8n`Z^DU{+O-ZNuX^5hIee(w@ofF{?Q9qY2R`8j9+zumHqa zllEN|6=k%KdzSKOU!+(fCBvHaC7{!NrA7eR#bV9UF%$h-22lhtGA?--2F6Q~frHlb zz<^mZ4*xmikqGKCq#MwDRSqOk-%MU7Hk9|5Hlbd*pO8t_j)x5F&<#>+USFs(AsGm!WQPp+4!~=)j+|6{WdKfbX}iS`%1HWqyUAchVCj9Jj zqBT(Py>cA9PkMMa{nTOo^4FKYy?p=jf4u0uL1(R>@!wng_YVK<@ZT@^?^pcy8~%Hb z|Ne)td7NJ$ML{U2dm12A+~B&CD~Ge0j*7F(|}O>TO=IGJp3Z}C1|!igo`&}0yK zNwC5?1Q_Q)eo5|9Lb`;TK)M1qk-2B2dkh*59J@7AVbtw8JSr+nK$fCbsW3^{plNQ& zpmGE8T8U>QD^bDAey{Z{q_}H7s6}2v^9cSj%s*YWO9kf&p+i3n~epP7a>t8XExg1m79^_H}ehS*ea-x4mfXWeU2NS zz)A~;m9pGRMZalWA^Gw+p$R_}!pq2BeU6fbBzYlD4wf)_m(QUzu#9%?sy|6uG~;*3tsTvXdG91=V@-9jUHIL9A&}SL?sfO)#5U-fT5{C7w6{ zm{*8+LhK06vR=`i6~ln8Cy&tmDY?HLM*ZSE5UCu}t-z@p-l8Fy{2UqHHN17?Yd8tl zCTQ}2d!WF(*1xn4TjY*}_*QUxodj+p>R2{ZVKMu;?Jer)!0D6}1^)*A$iuk?Y$58UufK{-WZ!{=`J1o^ zT=C?3Wd+I^`udq-r)Mm{jSU*>Cgk8RM>$}c$}x=;ctU>k20{J6gsg8s!4@$+NEBf~ z5GV-06dkiYpzCX<^M0${5~B?E#{rzoOy~Wvo6dZ1MhCK{0<7CCHc+nVw>a~x6{%?Y z1NYjqk09-;a5yzs(1G5dVTf@c4p2xKH>hYZ*tJ5hNTx$uq7(IUz9!_u^4j>ililOu z?#<61c4vUqw`lZPTyw{3np6@@5`eQ=Scm)NkwKmZgv0@-_v+^Y)oTI|mLi5jLG^gb zU=WxD5D(x$;NeOm@LUkS=l>#kpkoXW7_PJ~FcHmxRsiBrml`C1WH%@SmdFC`bvax) zq(M~R#Gs^x8A}EWXB1z|ls7kJHl%hyz!INg-wQZz7vNY}*l&OP7Jo5E+q<`%!ScnW zyWQ>`dH`6(#n>ZZx-}n(!sa+g>W=l)Mv#%YA_Cq!8cD>RnY^me6VKhsKfwg7#3;vE z@ekToz*?%K6$VqEr)&m10*q144MP@sEFSj$Eh=pj0!lKImWyK+ec_%# z%^_-XjT)avz2F(WMVO-5?=7xG^|u>q_RrwIl4m5Ii`K_RwI5Pw!O-(<(wlOQmD!GA*w-K ztw1*xw8t0Jf)b)Z7KPX6>;T>|;Okl&7CJIcjRvFDfUuE6!+Aj12xU7oAdHHUN}t&! z@*d-_VTC(qR93osuCBQ1+A8y)8sdNn=PEBN|8Sy-^oJxNFpnAu>WHsa`;)R(N(T%k z4hK~^ASpKv%3PC-2yMYBMehWPdgv@SK$b;7FVIwzSd+F;<|BfMfU>EaGW7R`oSw^3 z9r6dQO^~mrLurgg=y3FdZ?UI{B47x4$_#o6a%DD+r&)+=#*#hc%L6qAF4oNY^jh(i zE%rb%tkhJ4nVGT`yuRcuu5aa`5yb_GL0C(;g1jQqFw?{{rT_gvp{ z(!^e!grMyW>AJ)>^Kq<|NxtIA1M2o6VnTJp*(u8vnKcL|M+69f3*Ui1SybTS644iS z4r@ccWwp=Bmf52Z;+9A&Tx(4jdMqKVa49SAQ61L4iseYoCvdsz3R25+wgT+odbwip zxTq(pTN(gw0rX~B?!Hp11ci#=i$1Oa3v|XPuZ;up^MvmxpU*qv8i8dW!zs!xIUwTCK9uQG{`o4V*cl~VQP80b6- znlul5t->#`?L<40O%YHCIbu++A+m=;OzyLhf)I*y!uRY16utLgO!6rN{yLMiBZ5D% zF9H3ojM@qH5HGagKy+4CjcAfy%Y|k-)H=qBASK`KlxfF2B24b8%$ib;Ea=WSA0rU5 z%-4kPNgPSAdaMM>&Dx9EBWv;ff9Hy=<;7gWX3XIhZRl&4#e$dspBkk}OZ zpgT(=$sD#Rd3MV4x7mLZ+SPY@e#)~g2~L`4TN0c!hx2D&NxK$Ml!e2=;tY|J%ONFW z>9z%C8LRj;i|?BK`J6j{a|oMCfBs-gic1&q*B|4rKTPBA7s>BGP9u1XCll`Cb~d1I{1Y!@?gW3T1#kr-M*&FY~5dqlDXh`jdP+I)o+`h!uW> zDRt~2J!>zMGQJZ%4) z?ak%cyvI1=(3ug&{VDS5@85s@IkkZTvC{?xE8 z(}E+W?1Zkzx$Rnx%1)^7u$0YI3A;voYb)b&$FR`ED215tj1YN&Vb>?U_&5RHs$Bc8+Mlh&JK!wA$?!+jvs z3e*q2krqbiJ+mQbirMlg50CHO-@)@MfwBmOmA_jVNC3ku0|~WBapctl!8GA6#_v7~ z@zwBstq3xeNQz$oNl^*rt{iX9jnM2jltDmAuFW+C?lTjdEIOvii*`pWAY%sJLW$)DwnSpP&p; zx$MkrCuyvh@Ix!Qqh6DZ?a}bse{ns4juqX%kxv>e{mR0A>H7u$)M(~cX6NCzmHj4x zx~72Wt>H?yF%-zO-!_M|gt04P*r2jh2~^VbQJ@mtXt8=%Z^L08@-RbCLTsgJ2iw)_ z71fT`MQ1>*AvzFg4hr%S>{5iotCK73Nz2o8c*v3#K}Z=xl*B$}{i?wDXD&6xCtWZtYC`#V;H%OohOY>A7YII0xDg;_ zyJhnE_T8s1a2LROkW_U2%hxEjX_JQvwFI5&J~7`M}7CLn4pWxE8ZM%^y$f!r8ujR!X1 zm1H266^#PE7KoC#ycanFrD#x0EQdV6TLbhK!;K6WVM>j&7x(MpzD$lI;8$3I9MRWv ztt48_%jr)DIYCTP(6&0~=q(a82n{0^m&qr~q4io?Pr{eG z$KAagE!FozT~35@Id-CmuDXpvlQ&YU7!Fhwe9T`rO(?;sO#oRXQL5DpuG5qg%&4jm zaA}>#V);hWP$-a$EqsaL^SpIez+yU&Utn;)01187R4T|4;xQb~hqpvo8PQ*~$KlRt zbvHOLk*CFS@qfJiO_q=Qi)kk}(-4YwJsVEI+ZYvuz?d@FN=D%w7d7FDDNux;n}VAE z45=}>M@UaFZ9XodW3+hN`ttG|MdYE=v6cmPF7Ar%N!K*l8ZuE&Lq-nVe6d9PgXIrK zW5Ljqc%C3GhA0dzi*2drt|!B^k)_1)S)SX9DjyS0UKKfR_q&HLA0D@V7sc#!qJ}$u zx+ul33$qK4kXe4@MbFPJpdI5aGp46ZGY%=$dHNMvfMVg`JM9ylO<(w8N(*`;&pZ&@ z#oh!Dy|}`1UZY;(*Q6pEDto4^lTLD7V*-*vN`}+(wAsz`B{TGKl`-~%vsB{k`qJFP ztFd8&K;8)ISezqQ3w`7NCmwG;6^HQgOZ+}Vsz3j-n)vb{f;$R6+JyK4KjP__Et+8L z2Czo}kKv9`2S%vNchntl>6YmN>r6$5IHRg7K?p~!bEti5$uaPC_?Kmc#p{iVOf-Gl z4H4OOxogCQZGBv5y<+7UWz1kEQ@0AdD+v%*-hecc%L`OE)xN3zS0jH4oA5Kh^eXen zpx^))O{*A|ZkJcY%BRS47BYSUzZAl*`5d8%qtf~ct@bSY!h#G9Q+I4#J`~cT6R14$ z2!9S^`{^8c81q!3t6fn;gjUEn)(^?7ka2KS%Ih%@aD6#jY&Mr@+^%s{h+v>NZ;#8j za;v2AmPLkJxSt9v!a^Q11dWVozEEKnzd!u>5EN#_fr`TH5?>0!!~{@8K)b5dKalih zs#;NBwesH~Y(pVu>@k8MxDor`Twk3nwJaOb)ZkU*S<~OpCZQB*)`eJMJ;+qDT-Yhf zLxbR}&2qBtn450VV86OYgJ3{r&SYG6OR@Bgg?>J#Ohs=y*Ya_H!p^(MGY00!!>-*~ z?d%;NOX4Nz&(2VgGuR|Qvw3N1(V)qbf*?nv16(Uu+C*ooj4G3hHGYBwn2&i$X)pbv)1y?b>5d7(({I=Q_uH z!7DTLuI$2RNy3gPL9X= zN9BH_Z%)rAfP`;R!u%L%WykB_o+}3`x?XDv9~~kVs|3~Ze1d8Lnj4~jF)akkq4eXC z5ft5%;4kA&YdFm7YAC{MGz@w&7=~+suNMZXg}D<_YY!@=!K`7h=3W;niNTp?J`oMo zHxOx=<>4pk>XVk$dXdM9U#qM5Q4Bq|{NE9@ZDn zWA~~9rwu`T;Y4Knk{SEWAXOim0kym6R&EBZz|BxUD+X_Nft<8BT=1m+BMAekOP;5v z`FXHiZ%vjp=F<#@V^lXfj0!NF9c{{VjHtmuHB$`>ALA}q^rnCnp#=(0W$`56tRR#5 z4dJAub=C%i$$296%*SXGqZyb1A#_N%LYyJ*6l9g2#_jYKqfI*eHsu^8Jvl>OD!qL| z+8~D0b5O_f;w6AN^v0@IBmXe^#3 zPuhAD`vktyG2o}NGAzVvl1MdW^cEx8u;ovRA?Ty4t)+n|4!_Q?&K4J{T|v(4i7hg^ z-Q?X*Q5P_E>oLjK3kwk;*S==LWQX_^{V`hg)P(Ey>5q_aj~%k3t%K@mwbPAdLu7zD z@#u_#f`Bd+RvU`dN4*bJWK?!|6FfVS>4AjWv-B+TA(-3X@Iqft5WYI{bSHK_D)IXB z{2bgoJORj8$cA;S(~_3;3Ho$2gkv=&%dw#yr7P6T@SFz5!j-2a0mtuTt{IAaImTHt z{CqY;fg~4o?E(EhVR>{0-z+@yYb?1+VgM(6$0%1E^KO50zvKp<;K?jGLhJst7+2P} zuSPnvc-c6wjPxFAk7=V^gVEVDJBQIV7jfjNh(-FLkXI#P%N~ZvE28M6out*YYEb$R z??sM*2h(Qcmls#3frC{?E6l7uPx+d0C>6v`5@BJxPemn*-2DS)a@Lt#@j`_dqw7|n zG1<4p4b?727;65Uf{s^6yxfHP`QA>IRGWAvC zUY6Yg#84Te7fc?Tii*dY;&;~G5q+*csDz#fZ-K~f=3@>JSI2phl*Nf>ydyCsxL5Lv zxoagGYXQCqQ%c!5!<%L4Xtjw(wck60&)i~H+o~oP-n3K-EdFsdnVrhh10@obBLv@S zAzTdwQSD2=!|UO6h`h!`9(wSY;R`#AaEnndNNuBaXPj*wqGI-;WVGlBA3bxzwT>1C(AR00LS8CfhjwpOB&C(wd|X@o9Uf@jVc|{6{-pfL@obk%Cakz z%+oM@1)IhuHlrVIZ=c94=Y(hb*kH=jQ4w+%>tlnIk;&P5hV&G)_`!3VR1x*Pi4A^g zbL2dTQG)cu0YaFfswUwwVz_qbD2>XFlAey~Q8aSGMtO>UT0e4xx-}kptGXjySV?w6 z|LcfSqc~z3-_HGyp3QLnY*0^lA{KCP2_LRe?~z>RAgK5J;_amtFM@Z-KT=K8>+L-` z?5wkMk}R_WTYjvj!{NqpS#(_1(>&DEOow1r{xUiO2B>ca8YEf=uLi1x!*>U%CU1wV z2H6f{(oxuT6-;4Ls`AKI7~f#*uT_~KFT`3={nhfC;p#V*Jnk=db&D?LTyQ*+wi`fW zfJD(l;jz+Ka^rm%Ev8Q6eTdY<62#z%G`f@67UN#LQ<{4DQM{IxRHo;@~{M; zZxBn9gb!N6I{c+sykWfTTi^bSyr^;I{@6J`I3P7)=gfEm(UqrZTgcvswU|M+>;yrQ zL5np+Lbe?o`M7*x#mgQ(2s1(virOUkGz7nc3;5|}@(N%Ul$*FM)Y>~xDzQ{Hq_Dw} zq*NW#4hUs4YvuL&Di+Vy>>~FRA8!P!h878HB=x8!1HR(zVR;2emJzD&Nwn4o)nc0v zRW(k22iu4P(D?xowfXGE`}0vlH1uK6K8|!)4R96}(#X&UTz2%qS+w?lVr813!?%Yj z3VdY}-&X;tAY&=36RnQoI~(JkXf868%meG{aLxK9QM`vjQ8zt zmp4oNJ`B`nemOFP)*SsfMygIt`(!pOpP(rU*UZey{jfC!i+gOQjiv1;k%9*!L<$}t zQZVwKqgDi@aaJHq&(+&J0-tD8%xr~pV>K&A>iP|S4(~5rN$g@&8Q3oSzc+2Ia}Fq@ zR2}%@{2FlBa!3{?zGE|L)w^@?fymeA-m)184$Xgos#UdXg2#P1yyu39!X8k5P$%}Tto;^Kg^RL z*DEKloWZBkW0&*F;@stfdhkS@UfjyT6G$x6v$KKl0hFwLZMmh{ac2Bb@s;7IYwQ@0 zy0NWh+#&LpmofQyJCuh{T|=TIid?EJh&)w=`k3GTfU~MHCHPeZzyOiUZV1KA?U` zQvtQo5#>&Z>XYSGE8a)-DdO=OgpBGEh@+r*?s#Di^i%M)AX2X{P@X-?U0LEIRFXYH zDOt^jKb>s0KVF}q0?5S#o#$}`0$3*pV7qJps34g@UJ>dtmO*Y$Od;sGsAKHhOKQAB zD`DTjp@!oO`Y83#AI5OL7|+AdBrz)5p+3@7Tuno%F0q`PomY*+gX0NLq#ygdSdwie z76nR{92ESd3mfO)8r>|=Z;3wau0iK1wJE|*;@*YsDCO4ug>J!Y7Hq2LIMBSPo~Zz( zG?N-X11}LqeS5ooy#JFyo2b41+nf8{yS?wf|DFMy65sje?(P#xGoXu#jQQN{FJJE7 zKB8hC{L?pRKe9gAz&ULXfhNkKDk)mfF0*7V##*qT1CzN_sdDk1j`+HzkMHBMZt>)q zSAr<&6}BXcn0sQD9vz-3^ZcJq5Wh!mpdCv2&0Mew__~i)C?J@GoluX2YxOKvey9yr zn0a*?UrQH@Fx>bIA#G2<}hYHVt68#?jQd_F%IVbL#qK^umq;NH>bfB^Acm418muvkO z`$bh;H0`~vI0cSFiZE0`{U|&x=x+WRI^BAE#iD4YWaM1JbZx70n8ul52D8->MkanonZ>8*q>K0xD6A#OTgaF9{(Awb0-DnQbztKvZOm3~d z3CkQsu1k)sfzlyJ-MMZur0*IK;x@==wU1f@1yWp&8>;AT@-sjIhiy-wMFO(P+x!9Z{038n=|yt`+3oTqCDel(V9O3RCY4+s6}9 zJi_J%w?GSBxD7%WRq8g#C&-pNX<4;|=*x%l%6*DZSJw=usm?p;X_Xq9$Q$lFBuM)C z;rSAqKUj%PkF!E38H_>E9Am;|XbOb<8x<4E@lcM=Vq_JP7pnqV6i15KVcc~OL6 z#eG^Ham0?G6;awhLLQw;rC~yULFPc>8-f>~o-32fHtJUl=Hqj)-GB!3*kH&E5p+OgRsQ>lN&u`zU9b2hAqrT6| zfFIqU$RYUAYS!qfTV1W^XMow+^CfW%#-7Ju27jrVCU@7S%AKJIq%Kom=7l?{R zB~DFC$W4`WL@AdMtJ?oCmVJQnxB6~;eflbWb~!3rBx$dfsg;_%T{^>Ve(~kQhwYcU zhtIpW?|*r}d)LbyDlqb-{6b=Hrc8J1<9fGnl;thZC({+6_JDqXK3usU$|Vd(UNygY zu4w+jXwqm+kdDg4U#)zxOp7*LULo9_yYc{LUpqEYzHc$CPcI*IP?*L%FB~MhZSLwK zV;7zibJCQ#yx;(amsLI~)MY@2uJ7?Nm=k0MVjlu0hbBhOeluC01sr1(tY{K|h5@gp z0PI|i%r5&QR0avvh%hvlWH8i+{RFVA^!ao!560|sXao9wrW?jbD{7R{$>qvQ4b(#@ zsJ}z!G+oRjs21Ef2|%|!B%j7k1Q8bB*V1++LTK|EJqv==Bgau+v|O(UymAJgMcp~! zix#gF0;JO>8By9(LMUnogUKUvx^u`^r9MG$I0>E@Htq+4+k`Qys5q^rMSmPrGt>n^ zcRSunEZi;2HG=4_&=q>J46D&GURjl{nYudMNIQe(`WsW4C~wp13So0-okaYk2|kY! zKxu~0JMSAUNDC`HuSPJx%UDG{-rHOoasL=XLD8hq_p$HFtXAvHL z8hbA19AS*fJ(H_?SHVJnO|n>AgwDVdkZb$q?$;gKEj<4DdG`{fH18i@?(W{9G{JW3 ztrm2wCzrp2I#FDg4}m(jzufUA4=TUsXRH<&lbI}bR!x49@IFJ%nK_)fab{sbQ2D|o7MRdOD^>Oxrbm8Ut;7~@Cc@>l$=0`0nNQh z0rAR1qEl2UOp!Mrou$TCaC3H9XO?tMR!kQu8d^%1`uv~E5c&9c7Z+8L;{~Yrk!x59{8(s;T?}lbqKayZ! zY16TrmO>b8PtQ(HjpvSVtnn~{`=b+7B?230e$9)C%kB=~a=KC|{U_PKQ*9@OHqle) z(W<6xf9nZ6aCFo=Qiv~ofs6U-5+{xow*+E`wg>6`PkZe~I>d^_mO~US_ZsTAR8)|E z@O9YZ;GmNH%0fGiy76G&n)$47?Db5sIXGX+E9PlGJ4STNlCr!fo-%72V{sNq6@itHtC#`-oTKj72NW&-uxq@9a;b8GC7JdMr138kx$>Y2+8P$*R{|doUM1ykpPgFX*5HANCheIW*Wu z^heff*fg1g-X%SGT9h=17r=W{(ds!0^^1OM3N z8Xb*a^WNAXV`*q}Q{iaCjzr>NNK)ngs!U6o5hCTHe%%$la#UoQlTuc{Oxsp=4*3nM z6XdSBg$F<;q*3?|LRA;OXZjm;29TzL9B|Kw9gQe6*Pq%0x=ZHIIt(0RNQ_XfqH$2T zidbM)td%n+Mc^5dsBc-j>MvN1$-C)S4r27y0o}%~kJz5meUcp5%BVhnB3=BzE|R*a z$#8;2i2QW@=BX+A9paE3qHqLk>Qt$Lc}gP(Kd{Oj1HKZKVOfkWJ>BEJ%<$2)_1=*se7RZ9y9*=;=R|oM8+h_ttWy$j^ryNY!Q|CC zrX6^Mz!3+d)w7d^gXeFAIe+O!D=pRb#3LPyMqYyjLHtG6tjQIh8dIKqXv}&3fnb%7 zR?mGKCINL5N1Nl*o>y9GVt_udDHTm@%$A0vUcn&wkIN$=`Ea!&(z8#=QKH5(|8Z-1 zE(h8|S#vP;+!G$QzNyLS=Mn9gr6;s-382WuWmj*(=pdCj%8}T=Ykf>z^eM7D7;(;D zTJ-0qpj`A7o+w97^4Tb{RFj z?(C`kDItY~l1vhrfQ9--TWU*NhicjO-R>7O(RioIh$;SuT}8eRpRe+L2oqdwbt%FG zY1Z6OISj|GHdr}z)oFY;X!c0b6(wqNeRau5jAZ{>W4eKvbkr50Y9SIdSfFB;o10>K zjl#>e#W5^@H15+d7cj#VWY);iaA!pIUvH4@7T&bDUU|uKhQ43+uq^F_4h^s!n{eZ< z36*c?oT^_Hh#KU97*4_xpad17>lwKs)ZfA;RSQ6fD3AJq&nmz*vEm&1n?mg3CdW7p zt}0fCou)e{32B!UuQ);boFw=GOpf-a9<$L)gJ(*@-N!uE0A>0627CxNB zFA66$>#8*t&$x1p?pTRzT;885X_gXv0g@nOF4Wln8nSS)0`~kU{C07rmW;+69w1H= zwUeX=Z<%5f+&ZlRC-=(dbZ4UNKSujfoI=eFs9pAZ`O(p+RsD?I%#XC93+q{^S2X?U zYGJQR>^xapC$MA9;l^OXOaiSWz0tu6&7f_EClYf>ViOgMkrTAnI~dd;I&;jXNjVy} z6O78x^8h`TNUT7&Q)(kXjL5+lE?pa}u*~W-E@Hrx2K6EkXf;)XAaU8>Z@ax*U7ejH zP7FYx;xi83U94~X(0Z60_aYsZjRb?pqqCE<)*91;!TV&z3}m&&A$te41ECn7&t4(m z3E4Y(BKrrysdLafuy~v0E1@4urNxLJoU0J3vmqf2%GUFl8}0o5^s+vcv$|RYlH;S-*j#Av8IqBkP=o<(go9;|n(D?K20*}zl?z2GdEFr3>2h-M%2cfCWj|7_LEDDI z?dEjCj-Y^;K>50AgWx2SD7#^AKzG=O_rKn4zkH_ret9RT7=C*Jcg5Zw{`{`jym$c+ z?El2SEKl&jf(9y@$Z#C%LBOqoy2D;_!Q3u{QH+u`IX)=78X=mH%~R8KuXym)a71jth^GqO;*_zs^a?!khaG}0A3tqT#g#EIZ2!y+2<+zEQnL=e;k7X$ zH2`ZYCu>yi%0LaOE)sM_#vqkWcYYq>D`EkN3O|zm zw!WIOHPLy){7N@XA_sZzQpSi=iRt>%A}dl>n`mBgdaF{x(FC5ucn3AO;lyvdz^cHoAH_ zJtWy@Rb>Zy!Xq<3u=i81vmXfcw_0`5j{ z#|@IRQ%Q=2FTs#YP!*Nu*09j`&pESt_~Xsz=71~<@DSTSA~qV~c6(Yr{YCTr;nCs2 z(Gd9S_+T(>_78XeJnWBA!Jfv6FPcY9%hA@lvTeQqjH}KtwAK$FxXMrDsa;3(7=1fH zZMh-ki=0>AUZC%@@f(9bw{SH)1vE9*FzN}caX9!3IO4NY02^TrLJzAiZShm8%1d1T zIXcii)4LrrtarOFm!|;J2Pf-*0+?C$Ia0GyCR2{tsez8h^SfUBXca%ag|-qJwW4fX zwoHgRWS*|qlI{mS8a#pZ3HFr)uS9eW88nEdF4M_1P_h=ffpmSM8_N9{gfY27+P~I3 z@g_z*@s%zxBFasgj%Y^+z_k74%|nSYskJjB(b-eU@i*nIGO90OJe#nmtG{laP)Ve3 z1LgKm6OVB>ev}tC43b_i*oEl?=tk63BNsm$b{O)9gJ+%JhZ<}_+vcbhX(3l*m_=ss z91Yzf027i{LGBrnI2O@1>bzAq1zV5ZOR8bALRd38!0sgnhw<=7v{AIa(mU7n^0&A7B$NYH0XrP&v3^X z=Erj6FapiQO9gNsxqs%s{fN4Xi!6(ywo2;MZe5XtcJDYCMVR=W4F`()>J@w5t+=<%*=_}d}k z2ycdylPYoHjf(4)Hz{Z68zk>%Zc92w@I=cH5ckv+J^2MY(h@Lc@nf%eg9<}J%?Um2 z4DBghd{AYzj8@zG7lxdC%g@RJ^Gn?V$g+6R=VxU3`=F(v{+d!u;=WZqFD!CpNbSr`5-nswao?(g@|whBgg@~0XcD~95W zJY6wSj#|%;KYDFJ7IBmuX6b#JQzAN%pNMj(Mj?mWg4lCf_C0claF|No29gH{ zXWsM?;n0;{%2Cut7C$W}w>Hqi{>|FgCs!FiPMFK0=Nc)@#uG|)-_Tjfsv zRrGuT_u+5|)JkFWONcGNFUusOSS95$#uS8;&J`A$>sB>|}F>9!=pB#|!o< zC-p8DaEl>yQ!P2>;pP8Z;yXRHOws7B*R^I&rfkIgtw?fwNfXCIsu^Y!%AwFGw%7)* zdis%8^YrN#FHv)&2WV=%e%}1VDL77(AoTB+eQd zX&&It;A`=b7-vP-WVY%cCl|_)tVo6(&G6CMRTsdmF=a9==(4$9@sw@0uP5sZb_hU3 z9d;9|NM9xwGjQGFdWGGVGnCIJq!VLANTPjB4c3AJ;mpL0dTF)+VSq!!mM_9DAkfR1 z%r8WT8t@CUNv+<8<0)iB8&OogR(-#8hAankX%}gsqyy+7h`{7xO9sZVRCf8HL}aBL z$wP9i^{|g{)h>?3QRx; zL$*Xuqtny#bM{?(V$dnRGDS9-t4IGdiWy1Fr2HEwygFK;^ZGoc_Q1@P>)gy63nga@ zw`;4HVAZ2>0B|eED%QZ#(m0IPm@c_1^Rbc`?H7oA6dS#OTMV$ovr~MTDidXy^g0b` zU>A$nVxzcTS(hy2PkR`j8u zp6lkf+L7*)4=S+D(sw9=flx~C41zlX7y@`SdVReo8<5b4=aiW?)ge)oqs5nGRJBA6!Z%8oHT1?<9MA zFnJ>?4bG`v_M4T1awB#h1YJDQn{b2@d-Rb3ss=P%2EEGX4@R5krT~Zj3sauG&GStV zN0@@!5Wmu;B~;)YBB2GE*PdQ6fZ&vvH8-3z=HS z9QksiNAf8N&yasGV(L_?^=JMh$|Jb=D~~mk3KXofg_1i7GaPBpOCh}QLA6uFNh{rM zS0}KEfX3svtmpJM{}a78BO!$Vgq^uGN= zPql@;W2v^~Ue-`;Lsa*J)`ehyXk9ASTmz*$Gbj!1p-!=weqMyRSrUj)*<7*uqdnnd zOQV2^?-$4G9}{kzUQUjyvP&NnDk1zUUq`55eR~<7w>46E-1Z#a6j7;M)T_O_mu$lh zQ0u#Y`{muk_UHGH4}U`##=l$~&(TYA^B=wc^{=pHmZW3}$oPMIHo}4#34tD?u>ba4 z|5aSw1ZaJZyjXGeP}?l}Va#-hy*`YgAG(fOp%Woa&faMH)t(pVcG^u{0n$tKN`1_$hSr|n5paI>iUkhz56zzp_X9}pQ34@_&I zI56cneKch_5!D`qffWHnj28O(LPLeXh(Z__)`~XA)#b^_QmdKPhve0z?K`h{Ps#}uqH*!mnwnC~B*Ulz1d7@RTGMf|W-plaB|}hq zhX{uUpAeMUbCH)Z&T6?JS zm6b{9BB9$^n&%Arz@XxNKX{YAmXamyl7Fb6W|b7dKM~kZKEa5>z+j`pWH9bCJ5L}D zw3=sQoGVnnSC1iJU5l^<{y0K)MV7_i>w+L?b_sELsV@~oNGq|9lXPIenK3kj#K+d>zmh)Or={>tT#y=7r zE+PqW36MNz7z^l;u5B?YcV|TrEi-Yxm>&ZLhjJ)D6v}PGEkh2%s9@+ zaY6x(c1x4?^l}L$xogB1y*6$uE_Y0ou~wi zWFxgMoB51xDc-FtTawcjoU5dIGL)Xfj54ykJi%g!ZAveIpsW016d#b_7_7Y}$FFbk zz~Qh5(}#F~3{?($WfE6PINsGI+-LaO<>VGvY+k>sg_C>6(?JBw`8IoE+rH4MF!IMs zh)Ot>RQL@WczzQ@eP44TJ)A=LLmp5)llqIgcrBN6eeF_ct1G~(LD_}pO4ndcq527V zqVq%^?5Ap|Du;1~l8WlWu+}avaB3DeFpa~8RmLhiR-2_;R#(KxplQE$jOt^NO^Gx7 z7&$UhYA4m56OAiTX0O&Wv~_n*q%7pAnRWu>QJG(%LA=m$8pyTZg+A^-4?gY#d&Fq~ zYG)m=!yjybtt8i^bZ#&k@y<1}5IK;1(i#CC?{go`Uw zmrNeg{^C=*TvtOnWhdg~Z=Q@2Xl9N1_obTiv>!dW5ONyYqrT~O$WL-zi6TCUaQY0& z6?5d73C@l?rwK(+3}8JH*S2@+7P-%E7aETTwBsm+uc+Suz6vF!bKg5h^fkzx30Y8u z*f&LD_LCE?m*?u3C66dahsD#N{^<{ofaRy0SphHMYP%~Zy{r#He%OrA1YzWryHH9d zHNZ_%YCy%wLBitb)+|@&g;nyzEd5HS$r!K9g7Z9cL$fmg!MH{wb_T_^8e!lFs8Pka zNvt5wkUb41E$i_z`4oK+H(yYzEY%*N9xCe4x}F;^8^j2#_^-; zP`cG#AE2iJ!u(EHhg?)#Kt}o#A?lvCgZ@vN_bzR-0;8sGp-=~u1h?pSZBl?P)6`Kod{KFuW_DM?e1D|oVExqboustTcMGX^l2#FCtBVfnerGB(} zfT)KP)C?UM8z~6vN2oQB6C@%q@()tUTju&H+KguZTYWcdmaoFwMzsr+Rg`iFFJGBd zk(Rz3wb@)4sGua&XdhiFZUzb~%*0e>zF8e zrcA-Q7zEV?F%fF{qrs?2kDB2>>(62MbJ=|s(}snu08z&^BhnS+Y|Eof?h0OK#`YJV{KV>8yWroXm+MO^_xkSLt#W z7LH!qi#7W1pp!F;y}5vRYE~&*i@EZ~ka3rQ4dtIFLU-%tao+E|<>b(aII!j8%@pMj z7_L$Ip9@zkh!D1^?l9 z0rl}WApFPQw-39wy?=#Y8-wA(i{5{SUK^%-;T(K0nZ!#kdjBn*)tLQTWTYe6Kw;dR4qpIXrT!Pig%zsn*I>O#ia5v znqr?OpHHN+4CxJMl=2a|iVSlEOT-TdmW}}@q{^@?8ZAm1xhPQPKLbOrj8%AgZ5D5x z9?Zj5jcq%Z2haEIK0wD7VXbJTEUvPs6^^4Nr<@KnTmf@L0mF!{plO;;9XZxqcq#UCdVw&-p!Hmw>@ zb%yW{1OZI}G{siI*;lLLTuzm5SF>c;1m{#k&(n50eYLvs4TTGGlT?o0`}XI*e}3$J z`wzdrVRdEEoB3W+$=19jp@o&Ryvm@HSZ#_t8wX5bNt=gSv%}<2YYw4BOjx8bJfY2~ zVUY)CN|PpMK7lK>2XeO58qfO{PsKk%hV2X1r9qG`{t>)T7mgZf&S!%{qUV6Ztbu9L z?PugLU{|!8!Ko4Febcz5Z83X@(^AS+|Axaa;V_^(3fGx|Z&UdfYQt1w=45}g)|K5m ztI^%`8E7acENvdgqEfeM;UwPO>=QOOJG;19;2D^guM5ibT277W^x*e~N|V$XZxEW{h%Q11gmc^o>+x8C zMq%W}*w<>>90WKxI?j=#DuN0TNp2RT$iw!{!^7_W@#!9{I>*|yO2z%6{c2mC0A&@h zTF^8!;W{(F#Bd?2zFv9W9`Xr6JKPEDio#(D;qapu6o+y`MNoC!OLmc=1vi9z#XO4f ziXp8Q_pTAs&2lzWxbiHSrsmksygr%m`EiQ@F1Tn< zxYFRPLIq{6G1d2^66-gcKOY`D+t~y7^-QfvBONEyx zgtwv5E%Y4EyG7^E>x-F0E1~Bk%N#=9Bc8C;fYQdcTLu*t5f!c(6<-P7AR9nLkuiAk zgYq>1ius7{JLtr8dT9xg&`B|((@nq19Fz!vg?^=Hc$zy10I>mG6&r4K&1E7(vh^qS z=qsq!29z$T!)jGV92TN7ruA8=i(%hepOW2(`y|N_;`y5A(MerXd?_6C$gFeCkn0$7 zen|Gumq;^p<*7IjYmx>h4B<+?N;xc_p(zWSR~E&q2Vnqgi!#f_5d?82*+do{QD`NK zidlw=>p+Q$DuV60NPWIPnAUdt+na~&?(5?YM*OEcP$mEVW%uUs%l$409x8}{oYg(z zV2rWCftBJt0p`lKnY=R&<4h8#2%*W%E!Qal4$MXQy;E(c*P_;|_n z%0iSgbQJIE#peK1A1GYq2=RG+O*QBX2aNp)Q5q%9ESo^@!hU#P>A>)RpJVI=(eBS_Y^DT+9x zx$8HTvDqAb6ahup+%Itgk)ph+OxqGWP)m$kq~|Z`-39+i{kpTya#YT&V_6!4VtOd> z0`Y@WjiUP(+pKk{-+lVyj-(`&sC)daEI#ep>!cY|x@p(5K;p@@lDy-P1Re&9fqJ;P z0{0=6@h4me-+uTuhl%5Z3)X=L$Af_THb-s~z~c7$7*v#&3hkJo1SUKUFi`>yAtYJBI1P+|`rZG^xFixWN2zxmLP3Ii61!rt5W z_y4(l56w(N#r7f}WPykE1UXcttPA!Bofp@GK{M)M4IV#kKfb>cpA5Hvc<{yv4Gf0) zA|nf?8zsSX$RK&~&2n=ZOJJiw_CLM&NBR53-}^;gys!UP$jn$~CNa>qRHje#?!2&!dS^qxu=*Nj5^ zO6)O1PSYOlkTsQwDsp^n5<8iKH8*3rC)xUte+_%1fu}x*Ep)}q2MrKI-v<+zt zG%5d#`LA^#K+thH*Z`8G#ig>5Z0-F$fb$GNz%kAOIE?dz!BuLV%Q_?%GyfBo=n z55HiXQHRIyr(CZQP_aFLgP%)ESQ!mF^ehhK&3zCdFBWuvk@86t#}sb`ag z&bA1%zWd||^FZ1r(Sa3@&j5}m)m#AHS}Mi*7U~~2gSM<@0=fZOTvcM!P_h|iX&PMW zYK_EZcu2N7@)4753!xTL|0wl~9T8EZOr@wJccU~dx@E)Yrfw$Z7eUu3tH2BnNanrP zC11T_K$gzWks@p;@V_{pTp)ok+7;2}LQt$v(D%l!Zce3Y7*^^8l?^E>517^WvlaK> z3cC68m-inY-`}NIWqFO7A=w?M>nId>0mM?j?mN*MmAz0>D5FP7 z3U-vtZWKp=s9F{}q|R?4ma{qiUf7qA0c%iWIV#_Hwn81TGyI3Ydj0FzD)2SxpRdm+ z>%1bQbP)_g6-Gicu9(E{A;Ue}Ak=nybGA7{1ThSgqoW`cRdklAih+X#FG7|{_MO>8$ zkW`J0rBM{9Vi!efNwB2qp8oIq-go&C9)Q$c02uCZxchgXnu<})DFpE8Q1UBE&_9(! zI)yVoS0$YsTo<`i12am3(Qi2J;eCbS>4>KItk89Rn>mw6i0K?f%@5hdW2#J>qw!yc z<6b!09Y0oZm@_8hCue9k-yfy?k|M_QpWmSOdkWG)ypCMa(sFJ>k`S&9OD{no&TUKC zfWvirSOEKScZMRPEc(U{NUr|j->UwVPzK(HM#vy2;J;*IA-ssxVu?kt4IrDN$JOTD zl6GXNhYij3_H+*t$KG!&!n~JI8b}H(lD$Ku`c5KPHsFN(-yK@R2nWxt?Iha#7Ss%Y z5uZoz3UZ4sBX(W+S(oI67^i+bp@nNCIaS=EnNn(qsEtQo)d8j17f0UKSk+pJ1@3?T;mhZT zPrtrJ+||RI2T$pOWJNKFDu)Jn?GZ+WJySr*o!1H`d6QS1tBTLVMiFdyHAz>PtDae{ zQ4K0IXDHMuH;19AiKK@kmq!z2Pj`S7i&dLI<1Q>-Ff58V7JHGZ$inC)Ce%=dxM`vn z=`9r4UA(i_j!C>T!zf^}HAPQO-2!?bQik<(yIh~%NFGm!PzECHSq&QV_kW^xQ-2p@iW-#?D+g)IkRs1qTCjGeqwI1#O;U;ngp?Oc zWG%+jx@7L3zudubO~jB4fIZB_s9z(gLIO184rWy!Q?`c~#CRqek8q#b0g+n?mgX4y z690%5q=4S>V#*Tb8Kw4Qsmx|PJ`~KxCm?6PA6wlyx;DoQZS%u7a?d`8x%xIRMIYdT zGV12>o-qlManjl*U?q61a4vjvksx{`nQuTac23!$fEZE;$Itn*lb@5(e>shkOmdI3 zaV;Hr_S+5prF~1HM7$;|V&O%dMVnZIR(ZHkT11AS!;p*HW>OxSC1@nnr;ZjX&40}) zaqB1d zE!;*|JVi^RySFIqWW-)OS%~}+bo^|0okv^eTwb{WC+I>mv-D7=)TDb-10j7+PdXmz znsq#X@=3?@!s;Lv8iZ{`hoS6v#i@LQC@OXrE859e?plU9^tL0_#|5o+rNQaQ|&l^3Qs!qwDmqLLuWXZPF~KP96DN=$0qriSq3D*{GG--#G|LKFUQ_gAfGj)Z!BQ)kgm5zNP5oE@D+cD-Gy2{%48YheLXFFDyVF*r`w=>cL#Q!c-Sx_Zkym%A zL|DPAyJ%Ujhc%vgg-*%-!B(riTlvb|^b6mtkg$?38PVNr8bv*v_fV$3eGL@e?Ekvi zUYFr|*?`m8#(lCuXk@nBpQ0uzY!0ZpM$Kz7L5W4E@JN!DCbh8s?njeW5J>~}b2k-q9ub;Mr-^8S87Q4+Rhkt1SU`b$xvcKUmZ&vo!gEN%8>HPh8(1F+{2-jDEiV`RyoX)DEZkTG|4y@R37Jfq(n?{--yG zv(?lY2Fz*|hg#msBrp}uf>H(?IGLcciSpXK&I(}w0*Lm=NX=75c{h$rQ5$paW`s9{ zE@>`SEeDIq1ws|UMT-q_fcA7dEkdyGJOfyCdTicIH7wcw9s$TgjwX^anVH)dc||De zI#H)wz)&MYWX&oK7AeX`RQzb59P_rDmmPk}L4h1@auUm5dflb^vc5CJ1Yhai!c)1B zlnfQ4($Qu8Lj5&Nij#;h1l=DBQIL6zy1F~vWr7#qo)78US=ng&9V8tvLU+p)<`C&3 zGNwE3@oMX)hv^z=mZ%aQ6wMsr{LiR^?$UvNYnYGUjCASo);~TmekVK}|YX zQ7%AY1%)!~1`_W!uWvx255AoqnSTY*U~a@g*6(k-iN82*aj>Z|h4Jl7UCrJars`nBlz&rbc&804IjA0JIx$ zUHOLDH|)B-|K;n$+k@Yv*TuKrO1F#sucQAl8l&h3nz{b^!`FBF&j)G{dOkWp;x6lb zKOdoP2Yzn2lVa8MZO^B`*@0NdajYcgb`dH$ZY*YCqOQkH-WK#w zBxONEHmqI%tFwcT({MX3fKB)V1|ZhF24hHVNehROZpq1&=Voh4h>`Kurchfof|z98 z%*@x(*#>KYC?`lWg<>A2kZMG+&6V5J<>fg7qp=GkbDmnoP`E!Trk3d&1F$m zwB1WCJ7SC9KOpt??bpv=-v8tNfQiz~JN$hA@a8k>4KyeHi_8KKc*{TD!&tfh@&4U= zmUj_i<|mYVE;V@u^mMZ^8A)c@ve=u5T_JQKK>Nb_CE6)TA#kA^_T%Q;!x<)RebX~@ zZzgL(sH3b2$zOTZqq7{v2j&W%n=Zh-C&+`f2M>8qwDfBL*pfge0YKSN*F$>NxGHer zU|InapjAaw7F*38mcd&wMITCZ3Iqs&8lCH0s40`iQ}M$?oIs9?FerP4VZYTp67x@o82pwB+F}l4*Z6EL=ON=n+M#vWCSHN6$Ju4qW$1f!FAiARJWgcX`J=`Gc0~3|3XCN%f0MvLK zt~09ASL1XQ{y&){@KE#PBjMu44v0q?m!n4y;V6v*^btKk+JowT#S!BnK_jp4;wk+B zeTYj0Y5A0&m@A9#X6sS%spQ(=V@qvsqwR3~IdsaE1tj7q$STUR5@orba@;oFqYO;v zMim{@#Nwx!`A-Pu#B6Wd*EbS%y8U%~|5IjnL#PetUGk1F$iLkRb23{z=^w!yk#DKA z-Ije0EONrgTu|QhDdUAyO(M=4q(L!jCVd;y)^N^io8J%5#Uip??XQu z8u2f0jmOdJ_IcSo=h6WM39L~JjPeqbkalNF1}PIY#v(xZZHS2y#D#Tvc{#lT&=0w< zFt~gyxDey3C&t&bD{^gSa$a35uh6AiYwWhK=Q~o|RqZgu>g$U;=>bO6a+#i~vL?%R z6K8hNp`;*)dB)-YQpGq@;HAemUI4opS%e#4tW;gvul1z+^YDgow3|P=XENpR=_yA~ zPg!6}GTMqa02_OSS~a6Q(8VekTVclfx?m3v$mnUSZ z7LS5C{Km|G2HC1sismQ>fzRS3-?vM6Of97uQNh&+!U<4oLUsmDw=y?tcYb*?osiDW zcG!X;I)}kWu?^#o(m9L^ofCt4?jA=KaD=;9EWd~iSds;vlGse{V$-4?xMf(!rp=4E zWH`jYgJFgklg`m!!;k^}{b%c7flJ?|KQ}oqhsOhKJo)NT?cbd~bSKsx9|xZymjI*&Mky7j8v&ErqMru)dTCzP!8jB(p$=(-r%?(bo>8 z79QE{Mrx|Q+#J09fMR{m-GmV{^6QTqTNBJ0-$n79IsONrRhREET)qpDy_IY%(|*&W zk!f+^$d*nMz!cNEx_Y3}yz23AtRME$X(cpnAP8hLw4hV!1kn=95JV!J zdi>jQLDk{tACT$0arzGKRI_*47%9DB5QfZq_d3)&gQ0p<0BA4SnoDh|CT?MH1vy-# z@gif$eN1>0HWO4D~$eCY)O@bcP z0S8_OFlD;Y50Yb}8Z!z_lx`A_HKFEXPfmQMgpa;)FPLZF>_>}qsjT+raFJ3HBR8Mg zM}Wsmebmoyex*ldj|zj&_xpFqOo88qf1u9b=j6E&y;;7A9zZ^J7+1#zJNkK5}p&-84h@|m$Q!&4ga#Uqn-t8%b3Rx2_| zijQ!$s;u{k?-$F~z^KA}c0Lr;tVOpuOyr6~Yc*3~H9)Q02sijRTN#=r&)B1GG@3N8 z&M(eyVHn>ozq^Ebc73DfkrWUXyX&TOixca^Ts1*=kw)3NTwOAfvihco2X$Q{r28SX z%|e)a!J3T^K`mym8NfsT5s1Kb34V`5*1 zxC!Pc^$bDg7N$6%1!(e-C=#trLw2a6-|-g43ebspeX?a?{n~{|`wai13se7sm!_B@4W8V6njU5A8b3_|GDo@tQtd$F$= z-#jsXM*THYW-3P+P^LE5PMC1kaJuSshwT1c0@~Sm4<7gLIBgs@wHT-N8dySnY2|2X z4I~$PQ4XsU2^^(!1Qr(KLjVLMRg~7*e|i5O_blkigs1m!83j;S;R1$X{Axr-m#%uw zQ34$3hx>)si%X1?j+1yAf^=qg#0|S1{&0#rd z*Myyo7LkH@a3gC-lujq?tmuIwGC7#SJa+SN&gulQThYli{=lmlUIWZ5LP@S@yFI@` zsNUd^uc$qN%9VT4N+;am%;VxnNlDNNhY}*ij)8YKa7zGN1_u1Cz2VqHhjD=|YL2mW zA+?Ox6Xx9ZzT5(6X7ZBpZ_?3_^(a_k0KloOz{&sv?tVEgA3|)tx;$4o)s$a~%0?VL zaR!bnHg=rORivljl$9es7P@)-jf72Zkr85lKzjxWvwv)179{8}mb*mgWbm0PMsv>~ zy+CZ{4_}AaomA5;C&)r*z_;ozXE!V^9v=N_L~*ZShm3Yf%`v@Au;SHrC5pZo3)CJa z!3;vWJXZT=yV|jgeqn=wDtk1Bx(uF%qy|Ve2);yN5_aD}5UxcX%V}65rEIO`V6M-c z%+P9XBeEALhQhAsT10AG#m0O1a8MSfnCcGm;RaR=oLcxqtl{Ol&D>H!W8+(A984hsz=_FrHHg5er3fIH61%q(J;mR z_6{j{C#&x>z#N#70MrC|3Dmi=5L&}P#E~lkN}D=+18#diF zJ+v_KT_C9v88-dm*QqQvPTxP?>j|%~m)l)xU(z0KfY`u91+m}p2tVnhPh9Z(lodMo~ z6Vym_xgM%IMP%(>9!o_mqb)m4W(j}&q`e=?mSc}f~%uN1Iom;tpO!r zR3#Nnn+ae}O6zPa9@Oluw7LaQP*{KW@k?nol$do$A1I@h62kZ{5hRE;3)sPWawl+S zy6)(MA^f?^@gp5i1X0c?+>i%d%*;h)zD!wDYDa>XbPI_M;KJP`me#W< zs(MhCRi=%Cs~RRkC!cy8M0FJ*qN!jZ$uenJM?)Ic(bF2%5i~6KO7I+Vuf(1K`cSn; z-@t||e^B7lFJ(m2_V#LZ7V?-<-rlfaV!Z}*Qjv!1?dy)&{ZW0GDC+C8<<%97qHI8* z5V~`>$rOH&X4qDCh|9rIRx>bGcmc6C%!N6mDg_qT{d4NOj_*b9qC!x)4}2>{LfEp)FWo)Qn9)fp}~I3Y=6Pqn}9;)rd+D zxz#a&siLS1^0PTo^fFpX8@Kcjtl6W#^Bm>2i+FZ<7LexBfek|y`_FBziI z3^ruCn*R>d)f@&gl!iX)!%;Gd-xyzC)(D_e}5eP7yj?p zzrFu(59sq=77)xfFKiDixNh#Wk>+iIo5gMZlU-nT7KFHV@5j#X%*2bk&3Q6Dai|{@$lz_fB zK@kz$lk!fAWr)@caFaIdH#7FDHt+vUW}tJyfMg(U%mo8U1&mj@%EIW0TZ9&XR^xjR z+cHVAxR?O4lzQD5>Qr9jH`tlnbTZG=$Q?C-zfR zw4`MxxWM6BWxl$8EKDM0fCt4r`8dK2WXZ5}D2~uBx7$H2ax^L~`zG`UW%bJ0SL0a{ z=CQ5jC`OM3_J#WO%|E{&VdDcbEk?as#Ko|bB!*UqH9|Td4Rw37hfY`h^Ntk3z|2@w zFDZOp(#wG&@GObd$Oxp;M^Q{00-s(&l?($|ab01RmZ#dEhQ&57`?mE4+c2ZH26})} zNeRj`&euI8w;t9rsK1NntY%+5N|%i2@}n5LM}_@SPu&#|(Sn?gvm`>faU`Fjd*Zl} za%R{)L@)qpbeH?>dI?QoPfsepY93foiwMMt6x5ZY#0Xw0`S&+CO{9P=VLg*B67n}d zM*Q{4@aVo3j^W@+HRT&|w_-=|J#OywEfFp)Wv*#Z?-p$FJ4ZRj)&6|VIc5id8`L?&zwy~eGJVO_$PzkT}rF#1DYkB{80R)q9z z_`G1qZ7U%UX@WBL6Km|7i8uZ|mDFQGlw;7uB_hD^<{D-6ib#fPRF~j7kmy{Hu(S0ja7l0OYkrhm3#%A7wD=~BK|=#G2{`lvV>$fIA^CEVnvf5nJ=Y`8B9}@ z4tw>Y@gle(_=DHz%`cYGbF2IYWl3!PO93&Ye)1!~D8#6g_lseEjmTll@)I>fO{R)r)K^scO*~}MZ=oHLD%0PgZcp=o>&dLw`7Iuny1xxEBz#KpaX3jfi1LyX zTGrNO))V{ztI}?XBI*W>S?VYZ4Q1KI893htqeT zKL7mY0pA4CL~h;hpO5U$QGRRg1q##E1tOpml~K@g;+~o!ah4F8O7jwTK9J6;8?Lfi zmUFjMsUV2udV-4kBJ^br{t&Oz6nPg%jBbSHF`{xa7hEM z-$`skb)NtdTJ}H=foNgYF%>sMr$@wY9Pz3v+Nd%7!DNQsKzZ1`8`U+66HucO%w4cc z(eskj-q~!-^dOb1#EFy8I@P2^GOad+g8o-VZq_5d5u_8mCmUCU%0tu@FwzTCNhpO; zxFXIGy8=NDCC5tZ6Nwy=UFiiiSqGhvZ{->7Wd7b9r4s�z)`=yS=-)wRh2lUEv57 zLTvGcrb5q4R|(Y@O5}69pR~>0d=?-X$djE5n$DVvBsxoO_CvmyS^XwrP(iAQw%cyR z8_?hqwdzBN!i9b{47@AS^jkST?G0@+ibb4`-%mOpi^mQ?EgCxj$hcs$~4J?@B=f zIzw*{^kT!oR3j$~7PpEZv$8a9Tot$gCim}9%5i>uu{wo0OPoR>AtTw&m)IYOFB3o~ zFBDz2Wb*j%a#&smehYDxn$sTEnjQYB>0k$6829=4>)VGBJ7>TByJv!QjS})5N(?(k zRZ?D*T>zsCa0@eIdtO7gdHlh$bO2$-L155xc5jx*YETvqiiOMw>4sR<`wg|Euo8`h z=+v+SKDY8>4k%j(kYJ7V%hm1mD<#951Eh4Y(FlJbnd9UAum6TRHTNGM{tYb!*ib;z*c_gBa`tuUCsJ$1)U7PY^Uc4FsaCa9swB=~)t>%~?g4loZ8M6DX zRkjLFIU>WZrd{~Xr>>Eh@7!I{IQ399pa+

    (-BM~3uix&;bjq`u}1-l)>JXO$sQ zKM{d8-vJ9#tPL z`*|=YMCBxs3Xz2L;*ySq{`5rGm?spojut!IudZ*;`ZXi5gILgZu60l*yq2KZ- zKI^wUJ>}?xD6gH}5uADO7R}O=-f1S48#a$WMTIaFK0-aK*vru?vXRv{H!p{FYNeEYh8ZgW&V zmgcfKV((Nl@)#xr{G4nqmbp=o#GxKmVUA*if@cxxL2+ns$Um$#p|^=cA_%{|o1m2@ zVp4>zv5r8BN<0y_{?%6O$b4%e(|6f{ICDhK6rROIT$se=Y6a$na(27S@F z#ne+ztbas5mExLxaoz%l;PL87`aa}a*`C1QA!YLV@lem$|D!|4*dA50GW-k+0r6l^ zl*(R=U=w)=gkQ5f0Ja9O;{S)Uo3W2{dLsk~8q`Z~MIg8Gm<}h9TsyZ}0$`-Law#g2 zrNYYTgR=2*u87dO{Vog7?qDkqt*je+(2oW<e2uc*-0S==Ly78%fQL&C#GP;%-m2kbac6j zF1Ax(ng5KrxCq1)G)DB)ADu=m>w~(Pd*)@ z>tIWZ>0->D3PKHXmy{iXQkpTkp%ByM-Qq(k+RarX`cd@mp5O9p5&=Jzoayg?MON{} zt^Y;Im!#@w-w#yyM?hzNW&^X7#)uL$Ubt1X8=*^!=(omfeIXTG608=I+YtTzwqCN6!J*5h7^&l0ZikC1hyK6k#dL0 zw?@@sa2QL=X^Nn|xIK#t3@J#u_D1OLEcVGv0Pq0My9Gmc(=iwWmNVO2`*E3Rad4WP zm)kBClPMc*U%lFFf?st@(8ne_G-Ydmo93z*(7gEs`GEcY>z)CmsnoT967*nd!@Iwt z$;8BsaI|rf7pN=e29{`x%Q!RxYhl*~un9IHaFJl;TVW|$K=OKb0fkmTyOO2|gubz0 z#46krvYO10CGk}t1rS)ctMx08UIZVPnB5{CXCCo5nR9JiT&);SF_=U6EH!f?rE9z$ z$xWm?3D3|$z?FGmL*f>M44dU%%Tzktu9BNPmCa&r713n_0fdrx-O7Dn==x!W829C0)6Uv1AJDy~r6l14v9m5dlfQmbgw zfhI6|-RVpJF4+;o_;RDYx0QCn)_$ zEND(v`e>sk>!g@HP85=gfB*)~L(_|QB!s0<$08A7=H8i#Zy@PM3KTOh)zpfG7{uAR zU`z>p|ML1`d5hL$qknw*fLcl)?vrWn2DM~)q^s|7zG~-pTZ1@ANX3F4Hzv#e3dUh` z_4wdF!`~{op`mDIf9NgO$Xqv0YbGAID`7IcQ&2~b!Cr<8?Pim1IjUk#I!*$h4v8p{ z#VAokT#P$#chbug__gtn@cObnv{bhXjB_GP3r{YfpyDRPkUyh>*LPMiiF=c`8$d*L8)Ojm^)?8T-lDHokB%J<)K$~#Lv&0G zSGnwMZ5K$bLS{|Q-clu1-%1d^x}SobcWaiOx2|>dh?_r?08nL>+aDUq9-Z;0)Bv3$)MOPa5~qM~MC$-5z$8JNOoolI>G> z6z~M6fN9emVlmnHS?L)-BN3-J|7|r%)KyG8WEcumV zt#aLpHPBKbA+PcY78fTh8Ahai-g#mCo0~vSHV_(4*vDCalV_^tlqm#?Biv>F>~^Vz+EZ^R=F2}b#41vyG1Vn$;DHqSa3Pm+-ZP8(Py z4Pk?UNn#tHkXCZ&aWLTz;X)2m&~_nz9Ul2Y_+7bM41s)6U$%eUcej6+>@NVuY)Mkj z09w&_zuF{?g;=f!v+TNJ>oU3px|Za9kQC}x-GoLM733*b^xq$$L&^fmPmjSTzCV*Q zz-C>}K>0|>8QaZE({_+h4XpU)%dc;KIh<*})WN}5s1S#<{ll}pW6}Nxn~}z3GK?ZH zZ9{K`K!I-6>y4m)$Q~MMp|Sa)EhJ8WF63}oyOw9FdBISXSjj90dOu-Q3*&CCHn*^x zqE~3Pjpa~dpKL-rvI-+FC$PL)e*dZ%)CG_Zd1PBEsHy_2rXgo~ zg&6iXjF%&xW(Y6tHU7Xp4h}gSv?d53kkN}!k1Z34I#4RT%jTNpZ zYMjOEwToBg{c^m5nKjFCm=?fhQo`lw_AjyyP5DHV>D3NNaQ#4U+!<{N=xZa>uKEso zPIGHW7f^Hh`9L)*z3%(lze{%4d5Jkw<;bJ}UMk_y8}diC?hSfi#XHdCGq$f*-N#yC!JecAO zIoMS2EIT>r8*tOBKz@FOAdy_U&rB-$6vLxd{!A*n+aA?h38JCS+NYtEu9ruvacwNR zQa=_@uRGlL?~>CE3zgXqRxkDl%!XY^07zpVl!4Nz*q1Nms(!Xfx!rLug_6QyckJCd z3Mm(tT{tAO&4IsW4IssUxqm%)Rda{KImLCUh_R-`0YP}}K|)gJg%|1p-!_+?5W{p%qM{2MQ4f1O9 zib;oi*tw_y9?wU|aHvSe1{}<2bOvLYI;5lnw(x2mWO7sK$=6|JkR}EOd~+May01NY zApDMUqsF@7?4Fw9?--a^oS%6ig8=*M*MD#tT z{B@g*OcZB#_n+T>`Z??*FbIn_;{p@01bL#%D5vOMCYjwH<8Emjj~eok?Ode`DF1y5K5Kw1>0*ItEMQz4yE8P#hij2x#Hsf9+I z5=M}zch7hMvlPMA%5GSj?e6^ginX+WQbAW|wXaj@sx!ihu1=k<`UY>|%M+tAv&+=q z(bJ1N7yx8DSMV~5t3Uq0hQa`l8OBh8^o91!%TBX7t_RAYK*Q~8uPmWFMnHqYHe+qB zuCH3n26)*Kge0}NN6tIRj85E8)hheC=D2>{+lT)+_{w}g{P=%INw2o+{|{`Lg~%YL zvvJ+@S+{l4xv$cC@J(0=B{j*amQFS!JpeqNRQxTK^wAny zqyP4|zx_9*hp?(4old;OJWNm_^r4i${s0IYpD2!!^A@h(%gxC->_if*-Wc$M=-sFE zMG0N9B&-mJ4hvdfy%D%-f*wT8_x;)pw*fOL2vDtc{vQ|bytl>+OU&sbZM(J`yw};RHLnjOq$jt@j zWz9HHc;7wctyyxkbg1cJ0tid5YCWXyLrcjE4bMywUry*3J#ViN`i0Z~lF%>0y$$=t z{KQR!2X{2%{EHd^|JUSyt6!F){GLBxScvWCs`7yWH5|Ij%e0medM2|m^w;CU1eg4#Ad`VL$y^1jp`oaj84u~;5cC}X z##AM)S0r`>l$!wo%R!C@91}l$`ug$5`yWT&Kux~gUZXv`#68J4GH1ZTxMoWGuTXn{ zUg(R>sT+&AKIi1t3Ijl~y}gSDrk?FpI);3bfRaDJn@zwov?0uZ`L;Q8oMU^qahd}Y z`TE@Eseyw7InwZwFj&(eV25Q|)XeVm1We{JO3xWNRYEB-U@9w$twBJ_a`fb< zET}ZNXJ*Ko?EcO(#BXfRUjtQ96 zxOani(49s)r|5b5kn`k!RV5M4vb$A_NJ@`O`Zx7|v^Ekmayop6MU`48*6tvRwyp}q z0NDCtLJ%OHgxE~KI!e3%yCT}U7?c}sZnr1rC_HDy6tjP_oZ|j7TDS;>g|8JJ1EH`P zVQcsm&`F!V4JM;)Udgs^Ua22{A5n3Hcla5YKcPk5)Zfub)Ay+aRL!J6Wti!DW}+B* zy3`hwGm+bLbBm}U=%LuL)`0JGV_5bri9fIp*1A@FT=%5sxf?^blv;x4CsUUxlgiqY zEWbxoPZ051eB@w*gg2*g6;*jv&CJnCJHkaIL9S=Hks2f-WgN9DA4D6DQusKE$_GxC zU3?>YT->6yaAE|8c-0J}>WGTjK}s78irFn5B|W%VI;Z=-WQC3U+7<N7*Mt}zI)pBEM-Q1zFOiG#RmWTtp zLFen&2j|nTL$*(@RMNq695jz5%O=8 z7LgUl$0B%=Fi9oT@Ht@RCi%lKQkGQ#`h$m`0THt5#(5TYp7>*VXCrZhPR%JIi-10AT zS3Naz=`0-dM3PGQfhKEVv-GQBiMNEuC?GUpJT)nRmiD&b=}VB7bAayp1dW=Y9fawPm$O0!p4R^&6ZbGz*nsW(8a)71esamNI6u_< z_wS?V-5sGt77&>{MM#ji7*P(#<1_jL`n~3RA_ltnL6wExVXzTFy0VV&3=R5JTPl)O zn0#nOQp+qB$x5UW<9?NLSWcW$fy&ptdhzv;ezjIdK_JOa!p9qZhxBw*SmmjC4uqiC z?$Qn?0DU|@DWo;=RPaYYUoOkVXafe@^Ar*t({f7#Ss}{w^{ox6rHOTOKuPm4-NQgr zE_ZY8GUytml&xe7+6KY6)E!EIELwO2!KnRyx4A`F{AMp&traQ!mu8v5pY9)kJNNth z&!3?d2o$&>-zws&jb9`wjfxi50IA8tB_-Et!bnpVn>;wUeE30G8x%0)M2L4U5Vjc< zI*C3y1w!DmBLrcc$3VWwfPY~FQQV)w-zbHswo9MbUxx%6SPJmH>9`CM(9CfVSpn1KQg26xx6!C`BLnw%hr zk4z;%*n$Tnh%A#VBhsH$!rooQO6lTBI2j}AOhQ}mol^S&D~6(kj!!5oR7-s`k?#%q zXggKt8;>I*dBK3>grXXX15=Qm3JVoxe5p>yiqm;yPUDOoo2@5h#92KV;>Rp)GW~G@ zA!jE??i>WpFPVem%mq0VRrmxl)U@9?i{pzl%F;0=moD&kDVApcgbJ38?qtj0yZPBeq9nIS--4$XxkyZd{FICmdF6V!wGEs(^B7#tF9|%@}iB&$q+D|hG;}I=pGO$)kPC(0hJe! z7homGOl^*YLdP25)S9JWRO}R=A*!Rh#gCO>t5Mu+<6f2DMGyqsSJ}@Zf*iUQSy0^W zFhodxlX!DbNdld7@M9t^_WD6bnwhv-XNYG9iyy!_nSsz2kYOBO4@Ipf%(x~jN63AfXY~5 ztHS40nv`8^RN0BFNi5C6racUM_K5vtEalo=9AeOmw!S@Lg&%PYfXTwUK4kAgFFZto zEH585rgP5pcg&e_PK3yb@VLyK@hVlWZNScBe+QJXUR_|f@7_`Jbt`BD^M!EkBa5dU z#N7fOVxxvdzZfSY$lR$2A>znfG;WOO7ZbT_^K^(2WG^Y2U+di%%7@aP9EoGC1p=s1 zBDmbY;vXmqB?%@7I3E~sd})je(m2jHL`o8z4uvq4eX^z!X+ns+9r`E}M61lUphm61 z203a@Q+ni04uq$g((hC;*&~8}Po`N=l~M2fT1C6FAwOOC3Z%>N<|V`2W&L^QM^j@V z)S-Bf?G9Mq34>_$xAex;KTmeX{4fl>vV0EwoqUwy6|&kS4YO`6tQ)Ig1cgBGCR6n%W_MBL%@Cy>w>Hv=XCx5qv@BBy->!BBLW;#K z`pXrp01eMv_|D-Wg@r%{P)8Qs<@-2u`4Ay{JZ%dNO7;izi-FKkDxrwy9T~GL;vwAMS!{}N_Sf#b z^4~Y#PGQr30WQs)f}8a&3t=R92%r7S1@ffjEFjCMk!Z62Gx|SBSPrP28pqK(6$KdP z8FjX55k=rZ>|eK*xC8f#>y?JuMQos=_l%k4{=0dOjY>Sb{Yz_}z3Q7I6qL;yOOY7@2W&y6$OPEe6rpAC4<@i zpaLzo!K6E{N+S!9)h_yESWKOz3dH`lMA_!$EP!AQ0!Lmi&bwL32dMs*(~T*td_fG1^J zR}bk8rn;(JZZ+x^NZ{txGC&9k+-yf^;&OY7glm@KT3)%sVA8qgB5+Maw9W}>NinjLl`&WxRv7p$5ec6ym!edCQ;@TPvE{-HLh41WV~EWjwgo($gaMdc8hNA-&fNROx{sg0wZ3 zAADUIZA~#`f3}lfk$$4)uAI{5)3}ZK$`z2;XMtzv^6e6<{@%FU*1N9zYf8?e27~UT zGew_fhZJM`9U7_b7q1O#XvL$faSUWk<_R1NQ`bs6W^Y*5m5>T#RFQ#BayckdU!3Mc z5+q3tA=tDR9{NHGL548V3_6-j+@nyU#w3D{#NmabjUW%W)#j;$1q-D_ZQ|%|E}rS` znA$7j(r^oWWd0>xPgGMRZJN|3U6|BFMC#DN_Ru z=Ts5ds|D1#n-+0N%UO1bI#t3N+97N6gA^``7Xhk01p+nfE2JEnCEp@K zjJeWoUt3c!gjxMnE1+^qD8&{-egy4%<*_8kBnFn~TH`ZI_d+6oh~;XCkyS82XIb=2EaCiJ@pdhDA#!pY_Fhv)n z--S{&UI2uqglZMo0#x0Wu1<9CBV?dMRFyExD9ETGCoIZn^wClTdf9$%N_AB#Fwh5n zM+hn-P{UliaOzb*37IAyD>S5kF6LF$JKE|fAfZx+$VvE9B~ZWa0M#26SPD!>^JniC zmQjc7sz0Z9hdc+2Qeet+dJSvM5mk@ME$UvZ`v9jl6=EQCK&45JrX5gR>pRVQr$ge4 zFrrmaYHtP;fype#XDob8F3Dz?&T9JIZ-v#M^=+db>SP@Law3@*y%D|Ka)D=RZEb zfBx?IPtX7M{QdKPe>TcSM?X9ty#-5uKDtLg^yj0Wo{#?aeDwbL=-&ZV6624q0&JQd z{~(iVQSh)Wp`!|E%n|xcshCKe0TMn+;q(>u6XqKj_8d}Dvpk)dM>CO)iAPQ_RO8+f z>PqC>!iJpnPCPJ9bVQFZ@|Wc0md7Iy1x4wpq>dwofr8>8;$X;sjlBi^xwyGxfkAoS zF#z+st2;<4Td1Oc0WSah^Pk@{Od7i-1AE3+q3ihQ4D64w?P;TENjZp-K;4?KA%RCHc?7{56n zPSPi975=ofv0ZNJuM=6!_?xS{3)^^f08QZm2m`@^@SSG)5>lGNoU^NZP*9#(b4#!z z&h(TY3b{QPr7a<`!`slu2zH;KZ()L-%%)6zqdmaF4)v%;o|$&af&^Z7u|23aN^ZDFrf`g!IgQn*6>-N=zVr6A8NSS_a=G4|hlzpb zkX>(R7xfIT5il&oXF}TZZ1iUI-~am$nTP_PaBV|%X=jnnYe-1x77MeIBj6UjI^d4E zeYw22+c3eM<^?-ZpfN3ZjUyc&(nJWHS9&lR=k#Dk+A3;eeZ4X=RKvN=&YN~%FKD{s z77>B+oOOJ*Xv@Q64o0mR0!Ye4WDWSS*(2kucgY`856A-wZpUCyTB28A*K(Hz2|A~e z)Gi^7iBrLK-#C4)*n$kIIy`{%fr0qUeqkT^Qib!N!7S!&Sko9$@E0Kl-aRH1A{%c@ zPqN8QAru^Sg4|q$gvjs*Mc%7ag^d6eLR?QsS0TwM7S+0@#eatlxTr;G#f~L zpF%p4gE#3F3@%yvE7^mFZJ4$}3HW79yUh@^daeZ>j~GBCSSIcC{OWqZxT?2w6( zYx|)7Vqo9CF3kk#x}R6;E;+CQf>2;?gCalr1xGzTIRgVKy3wW6nLpJ(W?h&K$L$mW z)WmOUP+_A=FPtW2hBl-i2U(nj`s+<}J}M<=mzh!c_RaI2%p3x-$W%)0qa@Vs0)$2O zRf($a;7b@;Y6eDmUf7t+dJ)zU3cYAlQ}^Z-RHvJU!;v`EaF7bW9CV+`s6)WO69fp9 zMUIceH+Hf@H1~!bPrU)z!H=K5{_x@c+3eqrXXC^9;cUK`b;x&_&+ZXUFr%`9ws0^) zBb=~9cbL~VpkC84Tv9NDa^EDUQ%rqKG%6MT_8j>v%3SVPwUn4n13rK@)G?E(96ZxP z1JUAcaLD(fJ%RG4a>z1XHIz9z^q~kHE?E08QzyJAIr?YriJvp)Ke~HEha7%!EO$C*d~oD+pnKs6hi8lXx`RuqljvbP&aJh19qjBCaDI zcv%UiKS!Tx+mD9lu0T%_H~?jJvwQ)y6WU4E+Lw7PW z0J*(Ik(fpT>j&4$=+9fl;TZb&3Rvzn?G17ASFH8*_2c_bAM20%<;~l_-$MzvXx4*{ zv8)6c&*La1T}EqNz)oPCFfqmA9%<~8mDi_7r~!sN>l5@u#jy)3iL^uX$*e_nr9MqZ zW;YE-CWC+wCbj*Z=`*kk#97w^4G8K@(UZ;(^WCSx{P8hit_!l1%=G`n>;IgX&0k{nN(LSQ!uArQ~Z+ypeIlvij| zDFqRKJM*|zLU6tzOB8Kf{Ywq;uAZXHBo$Mzmd+V=)*!jOm}e@l30@**oUL~dG+rWu z_=1wgMmc?l*3#M62oBM$b+LW{H6&tbhNkG(d|adH;TIvx%a`Br6Icl32J$L8S)$~* zy8am}R8ltv2-~NNm1)kd=7egCh_cjGaYVSLJT$CdLMo{gUdTa%IL^@V2E@@9q;VZ~ zLTjAPc)Dg)4E@juc0KuyD$w?P$2MJ?6Lfk>;S5T+mXp%So$@Ot^Pp6za$43?S%eA% z-H51bqK%$yL+$`+8k#5sN>o9sl@)LYIYi;^)#v>W?;qa1zyI*#!H0jm`SA7r`Oj~@ z{QbFKguzRveDPrzT`)e%N(H33_!bu0;|!8G+lTSo^U!d%d8!PyA#krL146E7$$+@* z3Yec-rO`A1wVI*O;kWCdOD=tov&LA1qP1ozQS+rmWJpO?I#)SMDX)tVyMmYp(re8% z_0vxa%ZpnG<27MB?4G)ptsfoy z8rdzgf%NuS2)Q(x;XoKCL=%t;ir!ke9u`;!m7Oq010Bg3mf8rkkH@G*zBxr6r3R#; z@C2Mb763a<(J|fWW-Ysu2}cck#B6pp&Aa=Df5yzr51u+kCX4+TYNh!q1&l-_U|koe z{tZOw%}In*2~8$$;!zEXYK|k9m?UvGNDo?5Dd|z_ke6o4KBhmYU(rSiSdK4J*T6^S zG!Xc)*^0-ER=1;;k2;pyX@D)y> z5&u$mQCr0k3^`#qIcil8=sLxmrNtr|2Z-jEi(H4IATxh(ZBBZ4?q7hg11Zm`RtcJjv&4B0q=2j{6|o*_oM$I zH-36YI`PZ1XMY_1;Sad{%eze&T@q~P%^?!3xZuN z&o8;ZwueH|l&G1gsR4Dd#n@oFNlzL38gv=abKk&gXF2g!KXj2+Gs!wbgySN+R;?tP zu9k^u2eZpJzuiE{SJe-pzQG7Ia8y!N5!Aq92qE`Guy=n>gM2z?X-pRC*$Q7kx!P9v zf>*J{!Un<@oUlf4MM+r4PFYG4GLG;*|JabGT(ObEJ;ZUGMUXS>;YRcX8iFh40VO0! zF(9?DU3b#c<+d$w(%DDKN_FkyEwb zf4)aU@{eEk55K;Ddk^$2Y%J9<)LKWFsc5`RAv?^YRRYw#9rE}%d4rEeh}Ycje->x+ z{=@sfH{NH1e31*M(QmpU>S|cMdktzjK6ZUW#4DhI3U27O!wMDW8>Jj@W>KmYnNhT> zkYtjJn3eB+k)@3p(RUWcXs+1&&=h@O5XPGgcTdxt)<%iHTqSgR$r=3C^K+@hB^l0#qL9Pl>`iM!W!Uz!cfd4n_O5zIL3)#5L&&BLhNhjd`X_f)hADEGYtb=9H zt|<<7Hm+2w1dE*Xh)|q*Y1_>u;mBwvcORYkPzhOY7rGCukrkM0&|B2)(S z>9TahU(~---jU1u|*1mS}Rw{PE;Tm*hG?p4c$ z?8l-eiJ@8YIp&+|@~{?UK1fs*vXyD@07yuoo(`2z6Af2^l#v0c{f{L&>lRy@I?tjJ zSc4WYbRg|P(-3*^5>`)0#LO1fgQm)-r+9+gsXnzV$CXgJJ=JLY-EIr+64Fg`w81&s z^SDF35(7(@$WnoE*F8%<{Gen+e|<_M9ETRarF|FEYMhr0zF zjS3T06oYUrwahVU*64mPzr@j}i}A)-ND}{&rg|(WF#e5+=pkVDv|_PbjN6{+aTx7H zTv?z@>QTQL^c z2V6rrtWXWRNod&MjQ;riV*TiBOeGBxY;;>ssn`*01d|pGp5XM&%3nt){1sV;R2wbp z(EYn$COAB;nrA~MOQZ|~q@m*3S8y{-^BvUEhE=>;xJxInPqbbf0Z5HEOC(AmDJkhN zO-W^5BtIZd1vTzKY|iP{xrE|wi4oK?lbbIP4B_m^{{ww`g-#5&_!+34UuCqwvidjOD0 zI4g`h;~exn6dXqr$mULtpbv^{ZAa`l9I+a42gWD8@|M;s&$a0BZ}e?)Gs0lUB5wG6 zF!L;c6-|W!dw}Y2?ezy09Aje%!%#)DTk0XbfkG?O7Ga;P1)TU60PwM+qIC%}3pA<{s7)l$=DxON2v9>KbxbG~f>( zug!AS>p)34&UC$jmGuloOkTAD)*B6Jo4Y9!JVEL?+U9HA6oHY|*_?SXTPHh=a=>=h z3oB`GM^;%6=m3gDY``x^hC>4Y)}M5f2%;edMQ$L=juipm-My9C#L4jmBL`I!2C+1l zY^PZ$E^@&HiDCv?EezcmN}KbfpPm{fp{FGRq^o-ArNlN~o@nqrHaRyv4fzE51+L~1 zR+=SCI`{;6qCr1;CKu3juB_5K0*tEb4KS)_C>Y5hFs@xnS_N?Qbxn!Y$CrdiG1j;{u@D6&+}&((IyKZO{zf# zq3*^YGb(8U*-Q^B01h&kZXzi=YveyR+5}lVK_HfIXDl)~XfNF#LDgs{LDOJnoM-Ky zs4~VV89)_|LTIy5zcGy+LV6K`M#;f`B{4wk5vu$)=E;{iZ}(?2onFm$YJx~5@1cAL zQ_imH0!Gi4QW=14EiDOoogNs8%q zL!oanb)m121t(Lt)fJu%T=qB^Sy^}(&t8!Y;+>-@bad^1fMY^j!cfXJTOv$hluXpfLOLCop$A;Vb6`KC zREJi?F=MMph=E)w3jwxP&$q2BP$J{jBnb(h3;UK)NYUyTXqtcM;3WOlj86|Zh>|IG zh)2VS3>V^+l~9#hpGZa_b{xF<@yE|hS3HoU(GlV)zrKAK-R5&ZR%}$vSooLR^z7R| z{X^K7;KOn}g;)y3t@5fCS1aYYxJ8ZE+BKt=y8uKaIu=%=aWkn{HFU=5=|&Atsg5ib z_>k3+B_Fd|e`M+e`l3d)>NhHlPNuoA*>|320;dgTU&S|3?2+R}{Vi zFycTV@d4oTQo}yr1gteop^v;#Ifdoqqini%db1;LOpxW{2q(D#R|0P|0p4XGnAfSR zmSqC4l5Qr^{brwn6tKQn?vgZIU2hz7Jws2Ih^d0bO!9e3su|X8=?5P^<$@9^jGQm&dDW{+g!P%W&TDkw4ehlX)K{n z5Ds2u_`7;Beo~``+RaId{}I)}@9&e1*02!{EtpB`EzgslYsurs%Pt zC%`)=9ccaMq+?!KiCIL!NTCVX$pnAOWF9<=yQ9Qw3^Wr>&!XItIgJr2rc+ey45r=1 zHjG~i@!M+@@4Ve0sRtf#Y&u+g3i_uF@u)vp&|v@N{{HXoRtWD99Cp$sSw6c91%zvI zLBYtO1T*E*1-v|vg)+9>AJn5FS-OJT79@thg)~Wuo6@u9kW+)^y|NxEYkZ_c;F~dW z4R4hjrcz|NNbNuemQgL#Ht^o;^K-S0Tv^_NV^m%}nF$+0$~*f7dA4(YvEtPE4ap)o z?Ws#*k!<$>>8265D63Vw(M+sL5P`l=P$2DS+H+(XkW{BvD2_KMrE+xnSS@u<(274` z<@6{TIg%2N5=;!k(BW)!;54;8?&mrL*jugp9{ z@^5RB!{fm7f6F^E2`^O}2A9KOmtvL__*z6gmlg8s zLpqS84s>PI(h&2MTx$g)P$c3l{`VvPcmGY@840TGZ_rbIgU=}k;n>gZ>)dKJI7h*c zOA_u6S4S*7M3u>G#s%mz82Yih|NQooRoh|GIJ^A8QQsuzh}|6E1m2e1WZNF6kmCWV zmL*YwpkNu|cs<=|Qu`x+M&*;q9to032gF=EJHEFYj85Uy5!oHtqC{`I}%;$Xs za@D0MrW<0l2vmd91ZUiGZ9O_9Z80{XHQ3mO<}}@ifYMN{GM+nL3N9gNLOA`4P`#t$ zs1_Ar?AR)T#|RgCeSHoVd9`ws5jUX||~^8bh%`DupG`H-;3AMEzM!rla}X zqIgTS1gIB#)zWb=-q^08nYv@N?|@u0T!Jl|whT@SqT3(T56wA%(fZEGx9$~x!g7LV ztIlO&VcN`?AYkEpne0g#SDJmx&=mcm6aXTs?X|xb#{9brdc}Jam%H*l2QFh+rI9!;{6?Wy|)tG25e?x{Eu>V({)s?lO)qmGeSaAM(NA(|4||kvY)TWn&n> z4|W#1kgW0CO&u=-|ZKe5WV@wSP8}i6L$qExNc~T1van;ZxM{lSq_`se$}L?S_)Q z&=$@vmq>4Om+e$C$Vsh5Xi%y`CFsBC$9v-^59r3%GOW>^Qcg(i18q?ESY#eAJ?-+` zbPWr*G=+_gM%<>&LHOP)zgln~y(M3MCTjJ3nRp3hccG_H#r( zAzPmJ6jZYp3ki&{NPALC3ftJ~e!ayXYMh|}D{%A1hkv|AqtLwW%Bp%8Af zPqb>pW?SxvA%!K&3x=k+Ep&R=ZMG*9)`>r;f-7H~n4_3E0%+>$4`cK2fU z`aWQ8DQ^K`uICGV%4nM8ej5O0)vJO^LyAQRWm%fWf)~wdA%>(dfb^odN`R$IbQH$m8yZo+aw>9ms?Dw zxKB_;VWKim3t%8diIrJHFeu<&$w!)JXb0UlgJR%CUo0KZI(ka@w1UjJVm2_~pwk-` z*eSUsHkieiH0${M8hObEtKABe*7SF=^B5Hj8%?*QJ=zSJT{HurqAr5ka*|)H4Vl>( zP8mWLV|9}-iV)of0tTHuWty&_TJ#j#ZlyA+F{sARa-~aScDrr3b`25X>pJ4bH<@GgCR|7R>ksQQgK^WCc&dCg+rnAGo zz!c&98Km^2s+go;qNk;_zfYEhhOt&qIu&&(8j#wV0XQLPuzxjJTLzo`;T&{^o>5RB z2pC!Ui4eb?K$XJ{G#i-6cfj;x5!F@<#9OsCwy&C@dq>^anO1BN7*-Dh%v}hMJ2>PU z)1E+IV#&0!=4b+SaNd~zI4p4xkn{2?6VOhX6LBH0wm>A5V9eIro{*O7V#4j~zQI&b zfdh?WFf&4$q6Z+Medu*@#ux<)@qJveqnR8% z3?Wpz6+yO(B1JCwv1r%H1c_x#eSy&$rBCo3qf8>}7QIX%lgJPk@T5eBIk|X;W~f7v zP*- zIJ2{52O2*_OgV}m#K-8ROqoADI!D` z+^VySaf-~Tft~I zuF`8F(vCxLR9@u8*2s(kBvV;~@j|=|NvYbgCMOKbW##;Ep7VRuT#WQ3jg`bURq@;o zF$yZxpd5f|W8*Fbo{QY6X~@aU2`^9{xXYJ^36x{H8@z0Xgh=_2HOk8kT&gDU!&F>)&qZ5W!+*pR`gx;2^DBo>|_7)ihcm>1)__59|xAW1=p zk+l#5prIo-(hu<)M@=%-8gXoHj0-&BD8bgGI6IBJwsp`#nW?3}VnbX(O+$^XXiPHr zV1~R~K3WxY)9SSt1tQNR*oJF|;awnq#qee!$;jzJbr}@p5<10}Mbjg-uCpq*x~~*$ z7)bZ-&6kI_D0ef;jpC?XoJORi4guRdS!mdCZT1If{~8`)L2t_Bb~_96PyT&ixM=1P zcnS%RWtq(l#!r~$vp~`~h!tW-??}G-brvv@Y%g~_PsGtmG zH;DBFBeyNmLB6^60=5IAy0>ZHy5nAMh>P0CPcOAQ#!~sc7Kk5~FYp^ZKXb)^F1U2- zB{Y#@{3u%i*r;*>X~lXcR+qd4GMNv$|6V9iBSwUq?~L>O>ZSK<23N|>XceteJsqh! zl^J(7Iz$vwkGTth05E&Paesm!g3wRaLc=F6#ZM;bNUNJ{}JQLA7j-De8#pu~)O!Y>4i z%@zexw!1yLHt!(yWU8Sm$H-@RkzsjrT%j2PnT8@EO8H3Rl=^e@h;$)E1CsDjoav|Yyc0nYMEDQEy1^M_hPP%zHq=@<++0*V|i0`~hR#@i;*;oUQTeI|o_g{bb`VQhKyYwwr=jd{e z;Oy=4yGxiW?{+Af(-37qc346sBaXw96x0yJfkad)@-0$($T@=_vYO0~+ z#5pS>0G8-`!g`G$gR^5a^Gg=_NlX(43=@sJ1?z#zB46JKBnn{-pGbxIY}Z^`jOJW0 zVmp#0W-W4z#ld)Z27hPd#|Y>INw)fW@he~zp8E&+%n!|L)mz&Iz8%77#vv7cke0PU z*9Lz4@R*a$p&5cgMu^mGN{NQ8c{+3t6rsosXZW3bo|&4mc?q$pbBxU*&paSc$K_4D zP1Fprlv+U=75896r(7bobZC|1KvK~5daMEQtyv23cHD}3@^T3pi2|OYz2#mZjWASa z0h?5a5rm(Xjy&}K<=yLv01<=vnOnG^)G#sKI=f`&M)t{YV* z%U#4Ix)DNdvCSvKSb#@=P<9^23Y6JCSYbLz98~d0eF?9aSOLxk3Lxe*77|KHU)|>0 z>GTBr5|l8`&+MrFLen_Eju^6zwX6N)x7L`-yf8{cSnjqT2?7OLrN9N z(S!EvgfUMV#c8_x;52!-i)^RM%kzs!x9Th$u^N>-d}{(1xB;2gM|TgSC&1B`m#Fl& z!k-{PNs*u^J$aOz&FU}QfoUqBDHFrsU<4aK2@0^ zw^Gmd?c`&K_BKlTiI>A`$C&;H}@aZ9etM3sUqGlCetl%n5QIAjey(F0t5}6xc#a`=iv`z@7 zT9gyP8NRerwB>mzFxz?U=}By)Fy4vOTOp}5LexNcFBjD4?OXnT6rh0j3#v1v+~3^a=VLUY(2RiTY6m0+OMWaDasb(Z5?MQ&r8 z4h7wzgV<9}*{OAsbsNvsb`xL44a}}LzN?<0UzUMS5HQ%@mumzDV+Jf8UmlPN^MGh! z=_v5+pU_Q=7g~4@ximhgkKUA8``SQzo_-uZSx7lKm1Y<%Pw4hgh-wby5LHazBhB#( z=OhFW<}HQRkh> zt6XfqG7MLaOf2tDle@V=2LB;Vp-+K;Gzxl-fUryg{}Cd!&)eNzt5ao~>4vj3p>ZE_F=AyUtiK_S(R2q&ff=Sn*9R*xBWW)LXrj+L1 zNMoz|b9Apl7N!V}B5y9aat|}cpScLY2oP^khU-YS`kD}g<>aKv)?}LrP?8l*$aT0G zh;dUAaQB)%%$E|yZd=d7U!+wMx}dH?PkxQ8JMT_6I8Q*o2PQP-%~0Z5Ka0WQkj;S{ ztbW8trI?LyoXL03X9zh*fh0p&>8$J?n!H(OdxFvdZmI~SAb$d=cPmt#krSiOOr6IA zlVmm0Vd$@VgGIgBB^i!e!5LMa*K8eO+tFCeu~FUHM8`}Z&PkzAGs;$uvbm&sJS3?e zKP{;qLsAv(kSrizo<*xA%zBl=T|^O^hT)F(YpG5}smq*g^UUUpG^j^$9^LZt-Xux4 zWLH+(B?khFDR3`AeOXUb%sEUZ=Km0n`rFaF^1lmlyr>Yi%GP?EeYN!iC<2c{uSMJ@ zvzuua>lMm_N3nffpc}GIYg8ZwtHHv`)(NcaB`w9jAaUaUNAwcouZ%e&o>j^kz|_3D zxNh~M!|vCUbe}}Bp)p2yU>6p>Wbg45Z7JAk(e{f-Ov!Aw@PIKP9Sb-#hN?o~WRlH> zdWJS@=jf?%d+_l&JFTH1+P8mt_v07#VEyvHkoxg|U!9)NTl>H1f9NES_JA27|JTR{ z*j6=RbAv-C_S}DQ6NMTx+t!sifhA?Z0R@ z6UJfM5Doz~e#(b#es>9oa;d#D z3sv_u$|KbR1X^jxAr%WX&69Pwg?Yf7NBSY`%paW^Qmg;w6wb{%7=PB=?{99eF<;~b z{A50MNJi!52D7gwaAoP}tsr?(6vdA>8*4naHA|muR%*4dYasA&q&HeM%3iAqieMfO zp#U3%yeBo&;eS9>?oq1uPr9)~WkECeqLiR*W}>Gv-C%PIX?pZ2l7$6s#=nImx9akG zDsX<~l8AW4!H%FzWb%n$br9^0$%4X+uZ-aM-;SU9Z*z!>@v!o1>)AIyd|*?yvI{N$ z#R1o>|NN+C{pTk&>pwrKS^pVoJR-k^Tw7ua$|s z0MAcP8Htri7eujgP{9Fvs@RhP=5Xlf7a&K`9?H(6o**X@-V;hN<~=b9w-W#=fW^%! zN+Jkf#jre)S6#3=UEW=I7Co9UnR6o(C|2L!pfV(v$DXe4k<9oQ(lOkF5rS4~c&MK! zFVNIp0Pw9UPnOr6X-JATrxI6Dpv**xlQ6xO5U60t&0C+%iX z71vVN6!_%e>*4I#2*t+d`e!o!k!1116ukB*iGz+@lSHLn5@a6II zS@lOWQcnSmB_#cMx{&;4q2#MB+H8x0JRQv-foaG=uO&UGuHy@RVQvFL)-VlRz9*T2 z1qZnwrdd*C%@E$0w}W#zhoN?8#L=TME?bdc8wsf`gz5m9c0nw38A-I z4)-v_@7nww_+1aw_+5=F-!pu=1*Y)}EZIl-+N5yhf&E0_VNPNW>GaHd%U8F-P?<`< zK{3CEc`w_E{-Evz6O5OrT?k&hS>L|cM1T*ED4>@rPFeO0I2{9#4 zIc}x)>G1(l3_A~5JsewjMcSjP6dGvxH%J*wwK_wjbHnPus4g+Fn7K#Ln1Jbk(bWSL zWYpu(Wz>kdhLz~MYeX<#zv%0`q*%6-7Gv2YT}qmq4UUU$Gw|LS?AF(-FRX?YM}o>D zs=#H8q?_iW@(s$K#WT4-`yOUiTt#an=P54Exa(4ekD&!mqr!qjFQCbyLvn!Y(FjR* zl%74{=Th4IhA`J3YRGeqN&IfW2DA;LBV3cJh83>K!_!m7wKIGgyJ?+Mpg5B&dy+PY zIl5xPwYsOv)L7;cu-lYN&#%9OB&}q-V;feou{^eICaqKZjWzVTGphbw3JOyEyKWOX z@%Q0%xf;SSA@Qub?b{vv>Vu-*zlv>k^&XlAuQ&F%o}n9jw?<(*u({<+R`P~EiU9Fc zuSG@=XDHZ$f-a9tL4fL$b0AkfIcMH!ahP1BqePQZ34k^dqWZ{;APw})9_M^v3hoY) z+lb)^QHy)LM<(s&#s2!{_Wbhvuglx>>nkX}L2LSPE)~It<59ziFfVzQ)5ULVpN)A|c5#1-GSma=O$@>E(q3ew+9xLf$m8<2J`4L$x zI>Ycp&kf2042C!PHS+7Co}|`(Hla z|A^YLa^45`zrj}t0Qma;!^8WJd;IhM?Zbgwem-J^T@^)-Z`j`6p~R4GwaF?&vt+TF zfpChl$lwPO)VCjD=W91sxaI4{J}yhh^3|X&8N?CL;mL(_+dev9{EM<|n=0+y7FJHs zt>eb3MlJOLw6vY(;q)%cJ8{_6J+ktpHceQhrU}pAJ^$(X-=2+pVD#qs=!fT{w`leE ze02YO^zQlSr{|-;RU+9M)?+*nMsJqLRlCADjF1cfNQ!!p2v)^Xn~4xnFs;c{18i6; zs(W$vR{%n8vhf`@O1`H4uf)2REO3I#Nf|J?@iR;OD($7x7^Yys%)C7s|0Vv+y zq2+;+!3EXv%guMo{p;6g*k{7eq9^+-Z-2i3>5XWSBKr)_(8@~?kA|j9CoVt@&%i@K zPn|Azhaq5xqJVao*~N-3=VMLJJGl|9)*>GiL}{StDJJU4wW3581F0fIwOOarOR32hpKE!=5Mfb@B_v)2phY) zWgRxKv)HogdSkch8M;v<)&Zmd9nl6V5+e5fV6n3Jn{)2wNzUt8zni>%c%m#=KErF% zyxOktr=>Ivjrbn6#^sS|bB#2@#Ib=jUWLPfQN@5vFp)}#M#n^cCn&68ma=P_h9@I- zR~D8M6%^w@9+}fPoX2MCLD>um^ZNf8dl%+5jx1}?KLuY;L7Kv|@q2ap|s6C)ds z=DP>;N#SDn?I>;$-X!kkkyVqwn8S}^?{lQnB9-S1r|ISyjRAi8@(eJ3f)Cw4Jl;Nk zdAt|!A^LHV{)w8e%N5SCe5IW&CryD(l8U8_?)-9C`U0Fk zu-G)7+aG}UxTc24?Bxmi6u$)q{>gFlLv)PS$jMZ?;*(@!yZoNA2$uWMYK{PhmcE9e z2a5fH6K28tsgc@*7>>8MbU_#RL< zhL#Xu&?1T0a8kOw&L{MWA0D#H>#RQ;@^3^vbcc3b0|>j5R8Woj3lf?JVH)`O!=DKn zaR^nn9-b9pU<*+2do3rQevl@Ef#Bc1R_J3Zg6{$-)(YA5KenK_#c! zBq1p}YwQUZhwJGaIc-5%ZH+16rcy@_qo_TB&dW4X2h(fMa)#OQiSmGPtdg_}<&xiK z3!3CA_xEM{`q{!Eaf+&^KJ)A?r~=;rvoUBV*nP{>N7t2$WF))Gv+aB~B@+lVj5t&zFX)#1x~4CwL-p%UE3Hv^=xZ@B5ni=F zhIF9Y-$ffTjR1!iWU)} zEwVKA{%ADrkM@zSK5T}~?YGTX$`5{f|F;_=&Z68byoeZ+aFN1jN&qbU^l-rFnt@0f zwxzVvAbG1Nxhy!!_N9^l4J9ODP7n~h023>5dFpMJ=w?v?M>Lh`dJQDbmi95|3shS2 zSyl}udjM=-VB}xoMY=K%FsN}9Ol&zV^yUS92`Qs84@d{LP63k$ZjO&3*tkUA61_vh zy%TuICu#IQT7QT-G#_E!Ztg@9Y=_O=XKAGM%k!hkc2btPP2lSD zyM@IvX%MGla$5Imw&p0kI-hXsw@hyWyO2eCSNaJCWEU~Nw;6XO_%^#QXZT_ccn__G z;RwD+E&cXKvZP3g8DL-@z%LZ!H91_@G<`qtr@;o9PFnfux}gZf%E=kB1j(NSs1bmz zvMdEgfTP&t{V<(q{Vy)?(LrwyEVrCdQQW)dl4xXci7W@;1?hSJI%H1E)nQ1R&hX}j zL%5D_o^R5<8Fyi@j+^iyT>Krm@s+|n$Uk29#?XMxP8@8$UtC-!tDjvD%Wc|5mhlJJ z9|{W9L-G+nI<;{*JSJEROgY-^s^}3@&KhATkWz!jY%!*Oczgm|MZ*Nz6d(<@kHza^ z+7#iqlRKp={4P4Ly|ZyL-^lY$)!EErcbY<9cg)cB+5B*cK6M1Id3U~?!xO;Q4o4H& z_ng+#))P#@lOA?KDM2KJ^Aj8mhm|ca>$i#Sck)(f@o)vck$cf=!+K+qpTy)~vDd?) zYY*y8T)Oxw64dmNW*Fij)(k)`#9?WI$tgso7??6wj_z$XB-GFdvj^36a7TAQHnq$0k}8 z9CC3AKtVYSWpj~aTnLOZO?V_aAmY=aJ1S9Wq}U@0>%A^S(7oLk1;XC0SqV~RD6fHH z$7L!OREGs=gi(ESxA{f9V+$;>CcCbI>4@I?( zbS=;PZhE|yidi9BuaE(+rEE|_&na7mMfvV2Il7d1Vn>z$j&pPyd!_|xJy<|#bCicRzY28xV3*w#?Z{0JN_ zCK_Jz)Sm!T0Y%rVnfO4+KYNE@$@BzuO7-i$Ywc0luI^>&)KYxOK$f+;YRt6YB56T05Uois(PJZD*=u?#Gu)hX0!?TUid*`K)8Q>d?MjaE-!cn z5FN=8^n6GUG8kXBra~$)zY%AoKWn6Qxg|kjOVlKalaSCeK3vcw;+wnbA@J=%>urrx zwB7a`uA2Hp!doM2;Pl*`R6KMES9S+W)clye>^ud18d515Y{b^}oU@d}&f8+i8pOqq zY_Sun2k^I?3;d2_PI9WS6f=Z8T&Rc*m=$J>&7vP^QWHB(M<$+lt^3lFC}QmbCDuf{ z6yJ=b_GW57($>A?1yiSAZ-o3xXU9^aCqjl5dPSr&A5<14%(p5^rcpbjttKuo3mG!Vau z91o~D;DPF*oZ=KS-M5yl@|9UJpy=G1BR9fteDkiSJUT4z&UvO2m}9hMZSaCJ3i+>Q2B_%__3&y2=E( zF)Db&E(H(pjxVA(LcyyEIU6?Rsw`HBA;!b5k#9iNQ@nF7h z^{&A&jeHRZVDn1{eSFa0qpqQ5qoY%TBU_~}6wJ;cr>pt|=n>I1=a{rW(O;vwYl^5B zKn#;|8pes$yAU{V_dR+bp&fZ{A>2LT&EiIkMty2Xm;?<*v;+KJBf`_Cx0W~(#sL}Q zXs;ht8agQ|BTBmZ-VmHr4ck&O<=C-{ES?>qK0k^?6NSl>8fxW`ewQYC&d5d?h)pC` zF%qUDm8&k))p@QwkLJG;b*~u)6B2~ual`at&bANI8$#$JYBH{oC9m7kc6^S$IF)&GB~qJjJSNN6 zj~ciEf^}G^;HNylN9>feTF+-hWB=Rp!~Lh7jpV}p^n?WU;eh}82K&y2tjA}_^F@8K zBp#yS@vk?JuaFKQzZLxU?!NbLy+8Ve&C|#K=>OMW(g}piEoL|rL|4u}^? z(Lq~JBtMjx>gM9j;=mmq#Z^80@qmj9N?UP;?+qf)Tu=ok0Z$aei3}PUwZZd8X$zn! z@M&S)<4YA4tjb0>UVnwcT-BuVA$v9$2mJgzqtL5J3Ko@;K2=7qcY;l zA>1k-mxZv+Q-^}&a$630!kzKZqN&2=fu5bau4g8h=t}l|IC6%@G8Nq0OX+a@a_Em_ zAbUcyOl?@6P)l zi=3UU&E`4!LbG|Dvb6)eOxfO#UZ=eLDcf7t%cqQ`(|j5#0QkDraUG(`N~X*sshHs= z-@PF3qalcvZtg1gc&hfp5-QO6LS?{-kc5*D3k|ZV=Vo##OJ!Kv z$C`L2OoW!=IszsEmp}lM_%3R&!W$7?@2bTi{xKR!a|Dt*3@0?ZSoLmw zMy4Rq@TDnxn4*3S6>DyNCVYlMCLh!z4SuL$z5c%A0SH>5}r!QBirim_#%O*%w}ouxr$g(XR^D;??;XHRRlX)f$xpWAc?L zw#ZeT!ga}LFQ}_yz|UW0I2BXkfov~0>U1*s#&k~n2TH15_AhG<%2#0(`3)2xp+mCa zlD}J;zv10dJJM1w(3IYWFq_YCwh_P!!NQVj@>bcEFn5%u239^3Csi$8-E&AYXlP5)X zPtpSvOVr^|wGwq^dH3W8xNWML=FOI<1-OGTQBC6{dCJWONyb09UPHSRd#O;NlR)*SG3f%I^=X+ zxmVx$?dO}Xy`87K|Gs_rsJ|h*==U5($KEtSQBT3Qf-|FnycNeReCrrv1P&JHH=KMq zZS4Vn=<2XMHfdKzv4jcLW)bp{w!ckj5>3h8oM^uyD9%i61&GzY;#mQ9y6F!~UA-1m zG|apZRb0>;`KFCuyR|rGC^L8s)px7S#fWgavkI{%#TzqN9+|F zCZ~_PKh*H25}SISshoxRn{59?1Y}>37Ytts(MU~SdFL+ zdNLtc|H9G)F~qIf?%jJY{le<2Od>5f5_UT_J7Je~HOifh1i@ycXUE?fjT^V5R7Q(##n z``I-`H#QlS_R$gj$+I(!b&I}l5)Jk?|I#x(5}#8N?NQGJ93g2Nay8c6r@G5**9{!! z|M+tEc>A>Za!-8q+YdY6efJ$J-`m*hPXJ(f|LMyI%t71tDf(W#SxM<`6x+@2q(g2u zyL&GRZp=|Ht<>1U&OlpL{26wRJDCqvHmov9HmZVT#6vo8h_}!kSy)NGhtw5WDwi$< zx8?=-uj|*HUR$I5dUTePMu46q(@*n*P-OsUL}epKE*qK0C~PD;xMC8C*@S|HA_haZ z9Z21EG^CVHrHbI}vZLC;`T8iAN7<0SRP|nYu@2x1NQ1!CyR>N<`=J^lX}A@%wSh|% zb%%L&UIP43&7+iu?tf)?Q*Sku3z_ctd7b$s?CRhExQXs$+YswKC+~NV7NdjnieS?K^LE$T+R`$>Um@{ z31>2>QgY035*6&XR!pDzvplc*pr&+q55s6~PrGZs?ut2@C zNF0DJ5`RmX0y`Vd(oeJ&# zUT+`Enx#2aoD}s5x{aHSfEc3`1`+fPM45b60 zL<8arl;g7Q<44KzVE=>Q{usm_A&?>F`p7O8+G{$dJ}zBx4MOtll$0$wwBqSZ{K$A#VRup?FjB0{sdA@mE$uCL&M_CoS47Gm(t*mBmiNl$fcc?{ z_zcIYOR{57VaqTPJTr%V)tk4apGcEFTJ!M3 zKy8#h_52t_e*DM=dNjqb&yDjz-QFO4fNrtDQS4uw03;5u?!dqG$QwaE;mul5{1Y#O~*w=F6o)<@3GO(Tnb zlyr`~tTB2GttugljY=(0qxoDjZA~V5epzT_qM;F*8baxGqqcQaF}xsr>0n3rkSD}p zgaHm7>+$fdJzA~38!FEbR=J-BB+(^&7srR`aeiuup@r^dec-;?hOggz({kLQh?#Rw;F6qnvCI6Pz!l1AvIc%Clya%ZtiVN- zWJj#T-fZ4KeE#JQN!**yx1WEydEB87<>u)#e*XIK>E;~&C&Aw417F5#^XDzFRHJQ@_cc; z!DxkUi6+?ic3*7-Be>cx&6Y*StLS>G$V&{MVnk^o;|}3%&{2_o*DE0*b-ymoy`_iU zl6x0o|Aw-Gfw@otXahI$db%+W@^};%I_a4u@DRS%pjUrq--{r3%q(SWSHskaKW)@% z-ehqwB=f!OPaDDMs-BxPH7}gt_E6;zS0?E>1{ah>c5C(PjeadpP}6G)btQQ*xC83} zzGip)@{8>Pzo_(bAo)bg^{p_aJuXy*&0Za;b=Loi1*d39phG$xn7_k+N%tlFe1NKc zWX9qSes~u2tNf_CHxY@DEq@^|C|Wd5N^4YJ!sFC|%xU4LD-s59nnTcqVpBwmr}$S! z^ejcARoWNsN;O}wS(9s`5>g}75dR1mU>%hfkm2e)H5ayxs~0&zfwMy2(3c z*ds}Ju!MZ}UJ;bll&(Up;DfAK|FMTYsPbIMw@>}8NJN6al`pUS1QiIWG%AP7T+gr*cb7Ye?n^R=45?}nL*$`IrIJ*bjJm?m z`3ds&&v!r-y?c7PecpNgRa#E-*SG)v{428W-!n2K*X6<&T+u*E?g~p-KEEsCGcl{oY)GFq48&w zn7O9e5OL>tK8s!$Q$fQ(WrbQ(bd~&I^<6TX-D+(*8cNmC< z`g{%Vs@X*{ikSfNKrjL*j{<+Hj8zU{Z5H3jT=XH6>*yV1Qo?g3B$baU&5)WC?hlhY zX(CK*8lJd3=0c}RGhx+>2YED2$V=3j4?#1*TOu&G=i4mtNtgUvw*3g&Nng|rSmFP;#vCvP_F}1m4DwOj8t<*MFKx&9ngb>K|RZ0tX7zK+6d6(p{5)$d-NZDOlKcQhJ zlBO#<9Xbp}`3>1LlaEp-Fl!~sb*26~>K|ZT8OnbGy!QL&p8w%%J;!F@2u{gti~; z)lvSr=rG5RRY;e84<-znR@x<;S3MZRAxZWGu3r=-T_ayy z4p#ymB}uf&xSTAgEq+WyQ`WmAkWZ&UYglgDyn~-YAaHXFi$;K;VeAWMH_;SXhmphC z>2c&7$+ePk#37i}coLQ4Q6=T>07fl@&=EhM;cb+6K;sb@{Fcv($lW)}{Ou9zDJ-vCqIk^pN_ zH<_{j!i?`<{EY9Lmp=JhohAPR~{)6c4)5Y2X!r*fcREai>D>3N*S`=u*gu1@NQe>@3?Ea}Jd z`Q{T6e!=0HNx^3C6PO8|oLwfskRVU}9VZ8sZk3ZGFn+G~=UJ4tZjMrz0-KCoo5Pxh zU5Sou*?zRNH!X>bo(TP!KXv>K;&YF8z*_G=MbLz*MIHB;9TimgMM`WuI-bP z%ko^?a+?F-UOkAEo!O{9CxGh}DU85|lRFEE^o zbX-5r7bKu~`idmCch1p|=<*qYFLn<3qSPnw%+a-7){D8-N5-t|Xoj%7G&P(ZvCuq# z+jflV13P7UvWKwRVAE#V9w9v%TwKcf$dHqz5%H0Z={JCP;i7~O=?17k4g*P_T=8Hd z(tWu(Ip7~LgT<3niwVANVN1%-5t>TPNd1;=8FmV}pjmiwyR!3sv}}4XiSa%jwxL-} zjkCDKg4@LTqhE|-q_lNSbzIjBq02N ztI(cfRA4cjm^{x^Vk`h6G7?vTOAoyYJh$ANYQa|mcWt1S#7{dS%31P)hitaaA3;dh z1}ieUI*mI+XATyfUWwVx`&T_`I6WZ#+nX|H2E(d3py!pm3<}~=eR{P%hbfE<{=>sy z!iiyYc|r@ioFZfpInxwaD^_&C(vavISzKR0Gz=##tdetQV-VFAV`qqKaV}P%Dn`1m z{E46^nBR&e2gb#T`5O!?IY)2^Iyg*wz;+Zqs>n9N7RBstI2+l!jk4KsDZ~Eu4>V~W z;A5^Jn&n7!ygX5;zK6S&h4xvNl3?>^P@CcNq4v1ZDo(eHDar!ED1uE?IDx9Hd4x)| zvYFH@(2LF})Ab5C;@D7%KLUAG#wyfRo2A4>wd|0`c1qx4d}}L>KPW5x0L%n4U^-8o ztR7LcfkIg+Z&Xq+5?RksPVAJ!r^&ACCes)Lz6jt&$i{t1RSJYHk34*&c(h5N6bARE z89NTz0X7#j8se{TCZfBkpApLes!Wg*0+vM{XicKkS=j#?6CR9ERb@73JM!atV~IIjEGwSm}P}o)3DPuyn*t+i*K9V zw-`l7p3So$xcB~~Zw-=zsa5nS`|zH_4}yF-~n@Pp~+xT?%4^$>}Di+$m%57 zgOg;e;**v(+uLJB5XMfA5Y7y998k-NP@NOEk%;;i0$-%p*i`nY5rxswER1UOpra}v z)LzwQRam5dWpz4tm=Q8ZuNaIh<^|YfFW!ik3icN#Tiy@)oh;GZ0_KRe5w)%=sys;? z$pP^t!I?~?KIWQXZH5q~x-cujacT0BYy-DlDR}r&4!Z4qIGAu?%iWg;NC=Rf*W{xj z%`U3x?G(HQXOa59Dxu9N^s_}Z`bfb40hA^b1p!!02Tm^*TbbSf52DKLhyj+CY$5#i{P5vpPkw()bwsmXVoN}<*+l(o z5BoN6n`5NR}(|6J*Z?Rw7^^m;Kr3Ly2IrP zi^%Vj&oZsn`tc?%UErEAi!0GV5U!uYB?UoTx#(Nm9+Hh!v12*7D;8@zkA}8Drkin% zQS2R39riEl{0#Zdg1U>`4i_1CN74wEnojM5C@i9pG}-gi>uQocdPGDA;8rf&E*OF9 zE-4o@QEdubJ|?dO2w!ug@GoY3t%`%Fn=GJBi=%k zz&TWVH4niX!Gq0_CcVVa?r>|mtXXi8VEjWm#J%;j*4WeeF}kcew71 zN}tRRkdX|Kq7#H^Hod`jg8|Uy%AT}A3{h$;z1c&~DE&M@-S3QU`=N>sJH&@Bp#aPe zeoQGS^^oX^@mWTE&t&k6+ z53RYf6ezY?AJTP}v{s~_C!%mF2#dwyR*N4tv(*}*RO2h@60PB|aF%W2u4@)HG-#@l zktBH%AatB?v(!Mpd=2?-VpH;GEVX)(qO=F1D z_&TtGqrONSCLAU07RVrwW3=G?=8Kc*kDC)XqdNv%6RHl&%9-0BsbvTrg8@<^ATsnE zqmQu`*zo`<_!W1Ax>@Xd@Ylui+>{-UGcy5P^j7vnOnl5{YeQ8S&cxQHQlWdCWf6jc zf_9OBeNLLOY42<4rzmH$GI22LFI0UG$snz)uQ2j5+Fr8@1co3(%p>IHzVvikF2cuk z^?q%3n_w@H|JIXXk@`txSv?7WdECqK=wCw($6zhpX4};#(Plo*WK-nQL*CZaxbgpVYEQqc>|VT z-QPX@^duR2++SKS=xPbz&8rz;jhVRDy6oHA8r1DABFR&<2g}1x)d{-zkq|ZbX5ob3 z^(W&p=D<`4v#J{P&O;$?rQeQ#<3e{}7Zw~M+B=+)=#!%C?+MP6eHF#DYgxe|h1wbE z>X=Gkd$ZOYlfUL&9Y#4Dwqod{43J`+1DIY&zT@j9av*U_m?8nsY$a=a#H?`|M^PfU z)n{%{-Tr)vY#x>EtVy6{(N4Z$96thUsn-)sIYb84LO@5a4VO|?zX_|x{1osOxE`v4 z-*OHQgs!cPD>T>5JES!yEdfoa+Xn_Dl1KDR5bxw*77{{QI$h_oN%ZY?@rLn83Zvq61W>Ej*6mYUWeKA zVtt7?5q~oZr7AyF{ang! z9#;v)FxP~Q!b^I`9I=;BQrW;orK0)hTyPqiE2;iA>huKW+7wx_pYEPf!tm?u&G`QrAz0w%($!ueitD(WsY9&;6bt zMsU~W@OICSWBE;TtwxOT4%uQl4n5RK+_ga9`Q^$d6%&-0Ge@b{6Au%jD3jd6_mu;c zZ){D`@3aG~p~v+SNOe$sX=nmfmAw*>%MWDqF9AwV=>7YGBTFu@1&mO^)HVP&*(hJ zs*;}kO-G}Q2dzQRFqdNl?86xvNCU2wh9t>hFiuURw(1>tmE=cY`I%t~JyEJBfC#5~ z`#f6wG_{;Vgu~Qb*)%KGHQ%DFOQ>TAP9tJP1~UpdKx~=d(F0L8VrV1Q0?TJ%PbC%1 zL^C{$k|9#4d);c2=N{S&C5iCzJh0T6$*2KIGn6AjV+0_8(+iE{K##^AnzM4xIXK4H zCe~wDO*v4wI?C}7^KPLMPY~4g3JFyZ2=vH9y@qcToxYgATfoRyX1H*aMf5H!K997T zI#2}gR@sTIvvj#g)WmSKY`l+_i6)uMQ=noNxa#bT>b2*~tJJp@nzk}6lxFTFl42SK5j^v_X+RX?CTOV+4Gv>D!Ch_oP| zh_N`H2fU~#R@MA-A5khalG+VH*IoKboz{Ss5C%ewG{2bBST-h7PL~eN; z{B{9z94VMki{P`xO!{rXWz4utqrJhJfn8Y|RJ#JH+D--5>wW~3&W~BbXaKASgPQH2nx0}b_pH;I}BT9w0{!OMYd4{@+X*sN5VX2=# zc4QGm3j{mV?R(G~C`h#&$D`480!O--m-aiC$i-lnP%fu&uVDw9z{s)ch(g>o;?z?a z7xFQyBNJsY#ju$PHxLF+&vcR!Y`{2V7TK_Ts<9B`#Tqq4F%UVip5`>up~kvE(YY^9 z@Sjf3-^lYAbyDwT0u~+e{KoXMQY9H5Mqu(nmkSPo!0-m6F&Q4saIuWh_bvp?3haZ% z%x2Y3HG}FHE@GHf=pRLU+}h&?WdY^ZB-F{EH)%v|h^AT#MVLvZ$cn_-J&JR@2HCsb1jeb``~BBz#_IwPmt-zC0~KXX4W)E7J+u zLUuJj8~H7o2ohWF9WtLVb4XzY_8LL0NKzQEYiMuaPsDJP`3i7C&wl;<{`v8f?j`PK zY>}Zm5<=#taj#~3Akv7Qf`Nj&h_5s}UUZ~e{}%b2gEyq(v#oqVaQs z)sARplr|`5mbY|eNmSN$B(3ez2!4+==G2}RcvHt`3M(-s*F&qwIMpB{(y+v5c+fXAQTOEAhyzUa^%rP$gyQEvO1clLWP8!%@ zmqb5l7YpSx^nfK~4})G#7ggxCF{jFk_fLNVl-SL{9aD5^7rTWNh7}yra(1BY@m%8n zi;oq}j=?ep)t4wwyoXtLrOGoQ1Og2t=T@HXdL;4h$aFplVUAoZxYWh~+Q6 znXliR%E0BJxDBkVz@x%-2`3Wld2ilc zfv}H5`n-y5p>7CN!hgDZdVY=HPlj}A3@0kV7L8iU>=45p=P$zIe zNTr(*Z>8mF5VmP6v@iFHLy9_!=XQz=U8QiicrzTO=6m5&lr^YFo;q$%w0NP=nEa3Adxa8Ns z2Pwe<)vT=`OdV(DSxxJF808Kw*L1)cP&ur8M;d^{v~n0bn`6&xAg9kI0jxABb~rxs zB4{o;IJ(nW}}Sdli$Z&?p7jhLwQfxE28&*GqThvcGs~ zu3JJFIBr;7m<+)-EUs6~!g2;z5;}rqvZ!oigp_UwR0p7+i@Ey_`sgwa9PAX>D!Z05 zopeaGL=^M?fSMv`E=WNTc8r*OOvD&EppW7&BqM|UmlH7t`yjnmaM(fQpz*3A{WHzgO$?3zHk}$~OO=EIv3{*z8#L1)z3TWBS9WS*n({YZ)VVY5K=tUAsYF z$S`GG4E@^-+J7(#CQ(NUeJ!!B&(Iq``TMy~B4qdRrG92O!+b$_Cg{w)QV4;rL9-3iQkBxjTR0HT{Nf?z$c>%9?O_hu)$X!j)D z1~LGPYq(LNJvEZg=$sQj!sy9-&a%}@BHSNOwT5RTLs`A?=2{0q9lMi=*C%!|=(5hPs z@6s{gG*THBs6QiKPS+(~OE6cOWMV~Flbbm>t44+7n zH1VL=WxjuS0H0T@=u+)dN0OLhMj~P6@B`A1l_}TN@%Y8~ZgpYuCoJPCUdyh`;b=ut z$Qdg?MZO?NdEo&e2ZqW#$9v75a3G72T{$uH1H(0a(zx=0mz1U#mVzwLymBBQggY}| za%EIMTy?XFD`i0+qq8@NwP}BVNG+t|mDp6CsheIbPEC4)l6t}iXqf||bA8dx2Er75 zN_Q|cZ@^Nap3UAS&IKf;Ie|&wk4O``#LA2XYWNt*6I424*bt7a@gY(iHAo;*hTm%G z;u^_=tsCt#O-T!CL^)8Qj@A^O6gnGL(~AqzI-Vgo79=lQc~?X_aI>f__=y!WfYeW2 zlGZHWKL)Au4=f@||=^5VBZYTyzNmJ#mJFwtmob3gOCd+IU zKV3x*BDIzKAej-+_xVZm>~9Vk6*8pt)^+D>t-FLBiMs#+u7H!d*Be2HSp#cxbMpzQ zo7~6O_t3}x*BY0QXY#buJd5Rcd$-F`b9jF21Hnc%3x3>rrVh#2cGUH6~%L%U-rU>WE_IZ!goVq6ief(<%* z3!T{PSA+_<1eql&d@uTG6>aROQ<}mBx3-`&d$?oYUg2TsipE z5qL?p|MH{{7K0Jxb;4{+3s_w&82eS*+n&8^mb^jAQ2aC0Ev39%Xcg%KIu>3oCdiC5 zu%4cQ5-eI=sll4FfE4gf;iBld(ZN?lodEkfCMQ+D{U{mKljY*ao>+7rtv3=xLQq3L zWP9NBFp&?1Yso_BibjXy8aeG-hn@L#PLkE!a#A1-wnY6@BeNWPV!zCmKtxESGDseNv(RNxmAf#KIuXV zpA26#$tOs-4*X-#xIb6IC(u_+(P|A8{G%LK$F3=ZNGo9?eSQYH9Qn9xk8t#67f_WE z*C9o;54uloO=yNuMgOTz<2T6@q6PT+^coVwr+MZTJZ@-Z5h5P7VLNxs)FkwF+eIER z$WzpJEeZCs4}{Vwjy!pVC`V#hUIPj@@%QBe`n6m=anJ#H>12BLHtkYg8LKQzZB|&9 zlu%Q%AR$zKec!M#e023|ejAnDKG;Pr&% za)MAeFDC3edD~({cbXRqqlyFY@-X!V(rvbZYnOkM2A+R?{fwB$hlk$(VUv{DH09s$ z&!_Ouzr;Z{Q0XP3_x$(&1*NOs|4Z+GdcXY*AxJzUn1lpi!33jEdya4Ef1Mv_ScrAL z(4vuKcX)`Z<8~-lz=Iqn_MM?1@Bmg=LpChywmDeM>9|Faf5DnNQmzAfzFm!$ef@n1L*czIEOkM!qJ`_uhvE7 z+{ee;TgC;W(>JgrNzb0GlC$U>znr2pEI|CT_@Q0x5$;c7&=k<5Go(4|Ao+Jt4P9 zjHWzzbOEL~EmSSvp;zAN9|972`d&U?IUxB2ecIJRyAQeY@9BIA$F{e)Knv|u`Yz(} zPiM@hK#M|pm>l&uCAc&*W*nGm;QVo(P@WM+k^@4^b9sHR%yd3o&Dtz~%f(wnXW5@k zUHkpeU_>k|z?tW0RIG#w`l~rpga$ZJQPEmc`1G0%)QrZ!9FTspz=fqB4+(`%@rfrr zNyCCyF)11)6_QfnozX`C(%MzBy{$2QXZj5{mI(=}0u(rWHV2pM#m)`-4nXrj=hE1K zpl4TM$`c?0r}+33r5^L+H?Zg7eQur5IditT$!s%YMWX#Qn}W)y9yMqrq~pM!i}Oz} zkNA0l8Y1Cg7bWoTtMC7)}# zy&G_fRHEL3E)o&W4U z`406^;_xKpBSUqi>^RG{CTR^adgMV<-!(6=OIF7~_JZks^5vq*EVU^v;)JPn=(;2? z>-N!jQH5193X(sg_N>RbduI67=#5126PFaZ0$`CUCt9h1lws4;tGzy6v?~#fquU#R zaK@ldKRm$Ho4KbI9gOwQD2g(v%!eDeP)I{mZ1fFP1`t6&2;xfeU_hfo(d+y=Nw+sY zWo?EmM=4;>*8rkYbCpKI>alkb`Au0M5oSsyuNteSO= zcr{`i(Oc6oLvMG*CQbM_`f;U62a}-p2s)J{r9$)edy2tPS5W@{kNpsc;-ER3*?4tvvva0U|baI5F9gkX?$%K z*MOR}oZZlNYAE$V8GI&EOU3q25H*=?N(&OP0ocyTfGn(q!^c^Kp^tv6Y^De+OJS-d zOv=s29Ws+}c?t6f0 z1aZidC9c`b1`r!i+yyehHxltG?lDw2#t6@l+18~%Vo>=XCPJPgb@4d3rzOC6HrWa+ ziu-3|e3EO6ZPrZmGy<$mS6D;@(4(S)o(iLl__@=Fg@lLoPA-ZcXHhhH(-}g|iG)lg zE4hpadYYph1O8>zVfjL#Yyp!0oxuW{n0nZNHb$TYviDdx8N87UOOT+|H!#?x#kwoO zSU)%exa(c=(XC6Q-EcAokkdqmIG*R7$Duo#Bfd2(@8cin6v7WanZ3;zzbRbh6y+A_ zQdu%U0q@5zo*mEO&PI;xDbi!mw>20^Y9rOA*#3%C5pgL1k+8}_?9zlvGN_NFdQsB^ zH|9`KPm@Z(pll>M#GJm8L=sB$()ckHL2-9x>03fhTr)c4pE|nG0sc56l3w9w%8+c5>Yh zLvdGn?$E;1O{c)*DxMtfb}qUqllZwH@P9t7@81928odIU^E5OhQJyBXZj?cR$A&Wi zx1H*@=J|s>(v!Cfzt<9Ve%nUdPi257E@tZ^&abM(`x7@&-lF11Y6lW>4W1fs4ndI17pQef%s+F9sQP5><< zv0hKuSkD&632B0zTP6nwlyEfUKqzg5Qo`AanUVv<8}ON_A;NDzfR7rAoYGWgiln6{ zMz)>f`Un=h${ZvEI!G9qo{f5Yi1Va8UY@dD_r@2!XpWyH=xD0Cc;%d|4fN*M13+qNq$VZ0kQgBkl|Nc?yh|56BYzCtD|fb!ohGa=a; z(?_zS&oqYMcC=6HNWDn5+-?pcTqP1wN|K%Eo}^E(`3;`@+k^+5rn{O{Uz*rc7GzZ{ z$d;nEfox9opX#G14_h5-%L~Xwyb5rX;OhV!#eAso9oXMyRMFl#y@aC~|LHFFq7t_0IOvaJtsVN4XZg;tSW7+;HLiL~NQ9RR*g+3DzJ?^!#3seZLzTpdy8aFgU#Uq0rP3kwbZd)L8Qrex$4`;nu@nk~Ng8B9#XO{# zGa3@<9DaTjlj*t+eKVRwZQH^ws#(Ku5E>(toR~r^quk+NG>#r`pMg$s`U00RbNdxQGD)<-u5W&kk?<+@sH}5>OCwET z@b4*R$Qqh+mIsqSo=nILFrLV2LpTq)CFf^{NcA{EvPXXU#g!f22u>MNi6~W@DT{&< z-c*d}cl$+QuGE7rFYuA>(xN_0A+oqwZ_W;uKw5I`-1vkifx!2HsXiF=^@2NA$MrdU zfs|!2ikLikdr)t(1h1p%*%^-4V*ZAwjHiOF4)ITa4IbVA2aYU=#6C;A+0p%MIv?v9 z`5nv+#)8_Zhk7v zw@q#qcTdu{FQq%h;{w%y>B@D^$rsReQ=5-^!o06;KBzZ#_|G7gxm;A5xgCn9gSm8<4Y2=fv$izm5B3OeYkrLQsIUHPwnm68%z7V&KK=rhX zUYN}9^0OHItZn^@<`UjHld{X}i^P@M*Hpb&KAxLf2xCafgtkrVK^_jQgPPUCqKTM6 zreqp)n;(75p0QK_%+V<{diy z!9>=`*`?Mg0W-kedJyf?IYb@we13*}?&jjnRe^TRuanCavd!<4?~S6)^fhuZ81hjA zpI}3MgUZq~-=P4?Hu4T22pgimLbD&(vWf*V`Q^KJi^V&*m7F8DhUBzj-`W#oeJx!c z3Ve#R=vN~eX%?~?kGxsW1%$PWXr`fRZAHtXXx?fH);eMiMA*s);d;WB*maCHF!D8NTns=8EmjE z;{EaF{sa06eMLotnK&(AZ}5#+ho`CDQEzMY)P)EDQlRWX-d-C=hql}L7--BzSTPy# z<12)1ZdTqY@0FcC<*GRU$LN;XHR2yv8JADwX-&mt#D@y|DwbDkU$xj?h}1LrkMx$! z>?lA#z=;lr9|Qj_yheOIv{DMf*~#?ylw2UJ>fscX2O8dNCgH@>Y*PW>z{=nP$y>og zx4bs^<*1+8vvgHH3jt?yWI6Op5Bd>o27zz;QY2dw)9iSLR5C;b=}aLV3pEl~5r?NX zuFGtzpa=$*D@H9pVVrhIBo9Nmx+F~SNUTn%mqmlo)oSkn3CsCxzBr{nlFQl#FzS~8 zm$Gs#umKi)@jW_5l?a_=5+2}(I>sK;^ozkhoVQK~16VgxU;)gh5#^;aRuRCpSzO!> z`#OtN7G^hIk>cC}oI0rcWXB;Pk5co3t8o{)7hXwVd+8-;Wt|lxx^C(I8s)hWHlEW6 zqx*mxFKOIRC4ypI4pbJWHAPn@;tvZ2!D-rumzq21t7(mx!dsfx9by_?%jwA%S^#D; z_^JLRg=xQXvu!0`Z$yANBT-rkS+q;w2dLBRR4+S|Y7fe$1YnR~Z$5pw1w!-_dIT}(0q6}F2}DRl71%q}*`u7}!-vP$_j!#OggsI= zmPlQw?}Xcc7OtqI%$)zLF=}J3hF-9V*-*Adoub;X#y* z@_EX*Ou)phvO$>k)wLw1{l$4`Y)l3iuG!Ol{^|MQxA*o)K^>BkNoHSy3IITfwkneO z;8hWjzseG*zBYUNC~88d0ZMQ6+`4jaCN4RhezbfF?ry-7l7Onx*3~?)KPN(?x+_I8 z$+JY-bGR%b8v4=_25e9037{aM3x;&0@xEb(zbKwM%+(C3A;{@U8e6N*nI}kvQP~3S zd?L4vPOgv(s`ZX8v@H!ii0p)Tx~Ay%1d#WK5Ta6B)aTnf~@DE&862 zx2t{7A4UU-0Rmko@=vx`I?I#-zMx%>4;5YO=bpX5XH75b>s8~ZjOZ=tk|+UQ zUIfah4OWC>b(+#gs%C>P;n;6bMfON*-PUOHkNAt{Gv^db1+)z|CE1o$<0~n-N=0+*iv3y*XBv!;+T_BM) z2#xHz(nCDTBZV)m;Oek}#$>>*=i&HhF%VKsklzPAjpeD7*DP$`dH<^SzV|Qx(gR>m zFh^{;PBuUOczQaYA03?nVcuwf;bYQk>F|Y*!e-EE5kp64LR{>$MUH?@qL6s6dtHGe z>4N+Q@lW6fZ4Q2f@&%0MKM|xkBFMn)VHJ>H_Y^@U{5(PYUb^rAJL=QJ{m-grXn~%p z8;kRF?~?c)(cF@);La7J@qk*jQgO1xOB|O}4xgBAGmYbP4*B7!O%QrPV6Zp?cs6=A zW=9Lyj%exx9Jw>#WlDQw_j>LJi+KnqA~PGF?*1EDT?|2``*{eU890^$6}HCo#VY~r zz7Uuu^(nGGX08uIdrpa0GYq0k7oW-(f{fOomlsxQ;CCySjhY3qt&h|2cO(WdImNu`N~wx!CL z8*=6>^R(SUuQsgjCL^o!OEh{D{d-?Hnb&BzISS935(;)@x+#+qRmYL5`k|EdUiOLt z`k2Dl9*8%X1w11Pm@b#9si{p)FrQy(^T7ZM%FjUnd#X@cZI)7+>~_oH;TehAUYN?a zt$``43#ZbPnp5{ot4Pq(q&9tT{b{oHauOogd*}Z~NEH#jrU!c^m&ixJ(S4_2o)` zqg#;N?@61o5*KNyZMqQnn-BO6V|_bc*qA7Z`bi~?g6^T921SK(0f7hR4X*aUnDqDe zHik=Q@y{RPpWh$Ezt57te>g}TZ6b(5*1f#N#LqkMx`LJi5G9vD(R>63rPQy()gwp8 z6Kvis513*TojqW+nX@P0ctEh2i$3-1$gnJtA0a*_Twd=G$U*djZ_iwXMe~a5Cupi)slR3#D4}&F0|YAvMQ5l$&*-j0vs? z(4a&;Vx!-ju?#`drX??&WK5efMhw4 zdjh9r%O&*&26~qAK|AB379n05h#!beID>vBsPX#Q7G-DJ3_TDifpP%BAma}<0{d8^ zaImE*sl-NVVw%nv!mus%m_c7qZegS#g|Kb@D>=xJF03 zU*2_`*ala8{b3#FaB3@H6w2fnj~$Fawt#75W;b?Ae>;c9r8mZk@#h&#N%)P}=KOGa zdVCTi9Qoynv@0f%Fv$t zNIx^>O*CVw*ivPbeOmGtV}?nRxZ{lRT>iCM$OJ6a1=_^JreZ>n^ z&nL6(6%lv~t$PkZV~HqVF{TWP?+qqMW0Dy7L4W8nqqJ{@M|gEX!FRFo!nm{Kf9c88 z8z){Hbh7Vo+cZhPw=Ta8=7`4ZCM13n=QsdpT1FnEPiux8>*zfKL`LUxybOYfw-Ega zK^;NU5ryvHbPD|mN$|^q6&C=9q9ZY~*luGMG+Hx1TLcD2Hd@^LOuJaPGP6%w&93t`RStN_zJ7H7S(Y^;aciv4{S zh>xT|d~{+y|JcXba)Kc6`R!#fN#2V#a?9EAY_>Q%NVQMpvpyiKRMwV4xRNW-ftto# z0mEjQY*75Z4Vq= z0u96RM&LdTG8QAc*0i$Jlv;p?z;|-F5KKhy0rKS4oM;A}T$#h$St4f$P{UvU^*>iq z+WepMhxcDT-`+nz{pZEw!~b*pKK^n3+o#pd^M5`)e0=`x=JEDF=L1%2%{IT?J^zhq zJCzH!KYjUGGrB16vE~$^Z%rj-0DktYsh-L76&U7i9>YbM(8MIZy+W z=Y?9983_Dbgf5{GN;(^@L3*8#OC{fk-vYp#*2h)H_3P}TnI09OP35eFxViGd&Ur!t z^m&J6TVAtD#qKxBRhb|+n&FhFzD-_RB~d)_K?uBIkO7HJQcS4`ge{X*I{2Qbtg#|? z#-qC2lzu~a>9Ra0uxn)|!G?tVOSA^m0@Y(ZqnBm%NJVwkw&{o15}nX$v}$EXTf0F6 z%`@P>f{G;n1XdTT7KGeSXV;q{(d*qpA=I;IL)QHr>=E;^hVXCsl6W>9^REt>W`kdD zY>Af({L7pRP-cM4*45g}N8`CLulDSS@zxoj8>7z8V`HCcJtDy5pf&3Z8hO-Z^w}jB zM$T~7KWj9BvZ#to#y!NC4o5y}GB8nyh{SI;hI-lJC2>ICLQ@3>d!dn2pS@Ge^=VOL zLB!4jp_K`8d;*nWgVw9l<&UUT!e0(D&^4^j5?|65PBM5rZKz{ZZ?%R*bO3wD-=;r+ zUp?L>OoVU5P-uix8;B`}(6SE5{=h|3xldlOaCSg7ga#_fm6Z{FwlJWc%d4g5BsphA zu@WF94m=^FysV${{+BPCyT^ZQKHNRs{PgJ-0!SJmZ2STTcNBN#^nic-yg}37+sDT* zzdUbl?|IJ$WUhU@`Sf&~H$M;>w6Ili8mS(OkH>=kfL|>61A#!`PO{4x;s-8!eF0*X zP$TXh?iv5haFlm|j$&h*4MrhB#XLgfX|)DQ1pN%Bh>Ze4N*Xdu)FyqgA%l}#Sbb$T z*f8r~Yp>MoS4$?EeeXMyy%H|_D}k<65ij8v&GUCqUe6tW<#4?Qjg8j1|6pgC%m5<4 zyATe^QPrZH4w`U|0hwmeQXYfs;MqzXq6QWSrC*!=K5Krofi%6|#LxX!9pNX7>58qc z)Dw=rZE%H&_iP9;kI>7zDQ-l=HFu=|SbxN$8FjrA0corZBrheFvgnUrDA(T=F^s9@RawU6qnbp;`DGYn_aW33{^=yDos_ zon4?z;NA4?;u33&*FVE4|2?x@GIz|C0|2$ekQU(dNC-2#o+LI~<{!MWOmdC@g>8-aG;q=f4H zEqJ1j&(MOw-*#v`yknMbM96|8(M5bZ#8Ivotw`>zj60ci&XVtt1LLvtc@><3^pMKz zSb}9FqM)W_e}U;9X+#5XQZr;6A_|JB`T7QvAnZkHS4~IWIZQ?aT+8rA;)A*_G7nN< zb7``0;K=Y$$80H4a+7Mxd=S18O<{x5wmyHmIf34=r92Pi7S9iV-+a1Z%OYQD6KNVH z*RWPU&q-%~G(#;g2=R~}#Fkf1hkOrP6J+l;5BHnfuXkuv`}p&dBt*$>!fsqs8jdWH z%PdM@ZeNIQagCBOnb;oi@2d{WcUBk&6r*sY>cf>|)G?9YfgP9w$h`Nu2V}xv{Fbop z+Lykia1phlWLeaG)B+7Uv|BL1M8j7y4HX0gCeiGLhTCi(mUsOQ?ZgO4L2gQU4AZVX zPnL>j#!uF)da{hry)H%T5ZiKZ5cA(d_jH`kYjI^G)z|(Ql3Ux~D6=6!+p#SSD(F&CbH!6=TpWwBOr%xE43%4= zPvg-BXr3+Vos@AL11!M~JvJq!!^PD(ZQBEwX+oybah?XguR-7ySR?0IiC-Ugwd~!>a7313@28+o;;ury!F%+Uh zTwY#4-*k^-ecYe3I!ituo{*35*gQP$0NrS32mTw@CjNq|Lxl|hgf8*}@NHHR$&?1+ z0T*aEvVqyUf=Ls(hq53MrdvbDWZaC5MC4=3dLO^Teh@|ObK9++I z>{%;lR|kIVx};|@wkOCpIlpiwy@oAr0T9$tuIE_sBV^vkr4)8f&0GQlZhTgwuh@(F zb$|ETs5}*9XFv5Ru>8`tMZvNxbECh zs6zKe>r%jNmOLXk5KLv-Hv?}pjX0sPoMrfnR*{7d=RZGBIQIW<39)k__-!B(0!% zEWFm2+ArUHFZ!oCbWMpsxt8VK4@1Sr$vFyrmJ9jC1Bmk<6)yz7(M5cN#*Q2U`i7LI z;J{ihc)9EaVVau`MMdUfU*c1@5vwbsyblZ#0#>Vv15TSrPL;ty_o2r}fhqcETFsq8 z*fMxf0ZXwlcd?xLP=1fY2Iep45v)cREMxvAyDjKgnII2|&vr1rfxDemV@gMcFNUm} z!4O#%$%nOE+sW6wRJzp+pveZ!Uwy_*-~>&U@eVRQ8PYYk4Oaw6QDzD)*h32TG;Po# z9~iy(%4p-`mM04Kq*mZe&_Mq&!2-Cmkzmj!{9k$ftwdtQG{(A#;@2yPJbCxf;vF9&RITUO3^gkEvrxmz-BkX7{JoWbrGb2N<544IN_l?dQT zW-~#tKU+iNi6gMS&y z8?B@@g1!2dIx}C<>&u+9on*_jk-0 zbB-QJdpp4`&-pcvYdR|HhrP0E1*ssTILTJEm29%uvOiP15svF~0}^ z9P#gV^iLKdtW{9M$VahMDyI(ydGaiS=V~8Q1~ObX1?mP0Y|yUx?E>hfaDVW?A$TZX zxSpY53OF%gR>N1tZ5htwyPp2`@c7(=9ma0J$YNS-w8#=sPSS?pY%q3j>7niJBPu@; zi!O~B8}L0Whh_hQX~74D70g!bizPy_!Ee|(qvHSQp35)8aW{W;K)sY@Nf?_VB+an} zV7$`*W4Dzpq?bMOI1iog?z!8?Rjsn8v~MApk4wt5fv9z>ku`%{rFmlpxmA(04kW~G zIt^k(SbSM!041~1eI7oPjsf4b%CKx)>JYMuw2@Gsxt2x1sq3$JWW1AAf`-~>+&P^O ziPdes*0hyzGd>B6kbqUX%g8)NncL!m?m&4=*#>~QlyL#BnyP358{R6z#-pf6Tb=_& z7K_?kp}~#X#!!HmmnmJS0t0Cd7tpV@uRJ-BTq4J_sD%nf3O(Z|l1I!$fE1MA8<4}|8WCS> z+9tcI*1abuXD@fO#MCk$&Oe$}mUTE)t7rsKweOH+n6cHP;zVuM$ZT1RM@(8GW<`1j zvk9kjaWv6ycya!Y4J2Ng;bhn`PaYuJ%fRT6g_3W9*{XnnaIGZa!P*S}mX3M)EwMC$ z80Wy$1Uh$`7Ri(14D)nml!@ZGPW=bFM+-!@Oy9!uee?YMc=ywn z=UXH#?Ckt@djn%awZ|-M2mYH;iiGXvj8^%w3TdiGLs2Wa?C?9O2MA6^=X*?@i-*WM zH-fI=`lsCFZA>>~r;4mMd91{aHY%JmW$t9~#=LB$HUpTc4&NsansoTGf}o%>NI99P z473fBoI4S|_%|4M*NF3u$~ay(H#g>5TAU?4CW=;jzTp{cbI_ivqZQ4$ zK98#rBEhiJx*Q{4S+CTL=Ue+t%cSApcT5_Oe#a!JPMqcbJLIQpaj^9h@I6x_q&2EL zC)y^mR0Egj_ja*Aw$G-$UY{@h?6wE=b2bMn$l@7s+}9h=EEfY4p6HZ&ihihGU;3zp z+7kaGc>&9((D)h$?66 zA8P5zIS@?qGy$}=;tZfE<5VA`aggO+LZk+NU_Z1mhAhsbtX@WH0|nnMZv;y-*&iz- z0AGC?GrT&+D@UVirXG%Xa7@vKBwL(U&R;rQ`Ih?U$WA(mi@>HI8VTO65|WBkJfU)f z!A$Qg=Gs>jO~EWEV2A`XL#?RVl!Qhl4a1mR13jHvrxa}#k5o}01r2a#y1Rtbpze~; zI9{L-UQEInX(^W=6>Ra35wxHgZ!M6PDSIzZVZ|fJWO{l9sG2Q3?>OA-Z}rG=%AhaK zHYeqSeg<;{-f3yI<@KD9%iACiW7k}^<9+?{?)KA%SG~|mS1$JSswAQ}69lm*YD+{- zPkaKWt8*>KL)RoRdz*p7A0R^-^|j{nXvJs5JKKOd<_8AkXEY1qoE(7B6zwt*oP_l z^e|L!;!{lNLnK;aLaLVrxuTHeLIp9BpXXJ2Vgk09$cwSqga3*N!S=Yw`tZjkI=^1U z0YS3KuvMHxw-Nux;vD^}-$znaSfC4*ty~~Iba57hKy9!h0;z7m>{Eu3Z3ug z#Jd3m>$w4@fp&U{J=u4WZUKE?@)QH_R6qu50Ey! zt_!whS9s<1j~8$`p!Eu04axz)Y!JgQRAOh-aJ%t;SRXcs|NP6%9$!=|ZIAG8%rH$!j11STIw9{P7M z&fr2Go?rTZSAP|Bq}o+~%l4SOC10M>M4}(?Wd?3p4pg{6YYIQnI5(UYR2J-)E($Fh zCxk%mc$q{kHPcH`^%`w|qc&HoMFz!tI;3R7BZkjLt_%d1+Wm=PIcCYRoD|v8fqDI` z&a6gS;5Q&G$y>NQ0}|y75CbR>-LXstG=7k@ulP^NC5!)*r4l<#Ha=2&of@_Ih?W)sYIVKb+TzOkG9=Uyp#%~jeGGq6}8RHjcZ0BqG zHCtJqOxX^DB2)HWd=wPtSQa5xycOP&51G6?10Uj{cIg{dsEZV5@muqM=chQeT_aBY)$z8Y6YEzvy2Ppy zct$zy$~#p3ko<{a4X6)~2Ew+I1U;{tZ)f|xjIEC9>O9-8Rhu9D%gu{)pCepNgn1Jca`AA@m1diKOOWvFluAUu44Kqvr#E=pIBxQCygP@WYEkF1ugG3n` zZRp@;kUsE}RK=FkqG)wde*^zZpiKH-+021Y7kmVzpS(S&tQL`&$DcR!p>3XTe%|3f z{(g7=;Whub`|$O(kMJL_Xhs!q@#?9!496HYuDl0w2L@22lp^I&;>TihkSsmSQm~D6 zl9WHwl-kxF6U@AFaVt$EQM%5Q>6denp$;Y4*OOL*6l2Ge$&1{00#{3ASJP%5X&rOe z_H=^3aOHF493)zThC+!Ec7Cq>R{E^6G3$W!us+prs0zM2JYeTcW9( zxVYnTr|wR7^>&Qv))AC^ z7ZTD4r9xXo7e|}jtxgn~vOR_(Q?|!YWQxU5QjH`Ch&lRx;GyA?Q0u$h#2ehMbI7Tn zK7qQcyA@Q$rWsf!C!vdiT=mat-ytN$6?d8F33hUe3Pdb9a^3)}iku|>6Zt1vnWZBe z=#?QO(ESVI92R$)lbUoW(4aDThp!GR39sZI#LZ2J+PlTm%r(cUTHUc0Fn45tt1$*1pKv-0t_pr?v!q@rY6$ivQr}0H zRehFx&F0g1bi+!QZ#HKq$7gS|_R@)|qQ)C;LFZoQ^M{%{VDx$K%3Tf9qLmn6gK>T>2xz-x;?1DIX7QLog z0Z@$i)r4sc%LZk78D*W)oHz|n-s%d^-oiZzsqd%BQ6uV;V8SMymIl-UH9(d12p*sr$%7 zJynmuC~=OcyPE3W!P|b`QiEqw9oyw3T{1mJKphW<2Mz(fcznjtZ3yQ9fi=MgMBtdg zG>|5H^yv@g)7p#d`HO&sO;OT}7x6_vZYtKV#bQ8~HMbn#d177^6AO))jgKJfl{o?p zil&~eN;{1%4fK9mU7A#aB-d(GI0&f=QG1dSaC zC8DZ&1n1=PLP2Q_tQIm~cK{9l@V8q^a?r{#-5TVVQ&9jOCaTn>6C&bKrn)n1YN^AX z!+kH3f) z55ID33ZOsHrPqf)&(HPye|))nyxlzBzW?$l*_^x?ck#2#{JEH}@f~jhRyG%p*I_<)EoiI&6f~`g)#rZYmAY>v0S`s~7Xla)m zAY6AK^nUf!D{Z>+N&IG{z;94q30A&%Gu>QYBisjB-j#o=>9_kA5|-Ikygc6jJsAEk zf6#CsACyISSYAe*hH6K`#7uD+_ETX*+^HmWg(NJtKJeF-gn{>gqYH;1e+F=j2(d8_ zkQg7A??-3V)2l_}`jsOJq5GabRRCY6P z2kn!OrQFAXC}PCah@9)!hV#7Q=7K)nP_Uzv=jDn}D<+Wc;FXtj|3P^zJc40Yt9^X4YLyjEs^RLlC9{EKlC$D?ov%H+Gd%!LI^8OjRZBmN{gf_H(x&83Eck}7)XO!daG(@ovs%Nm8K<$wi zyL8Jq)atjJUr=uP1*kTNc71^n1=~tM;KJXW0+S^fl-d9O?>zvSfET6r)#oDe{=a3i znVtPzlpK0a5&p3&MgmGEa9ZVO*drPb$@R+Clr!x3g^Y*6sNZ324Tk$KhQ%D z9?QNk%sa)^MTTDFz-RvXrn zp1CLJir|Ix8$-sSSXthCl-B?4-urW7{BhW$W&N7qF0pWx^17tm!Jqwn_?Wy zI$HKHlg|NDB2U%@t^tiRTV$lksY(@cg3DnNr4vfaNv0R*D4?mK{s#Ixg9ga1bFKo? z7s~%(oX6du2(adC^^ypI_Mq-na9nyiD{NgU?fU;2dlwi>v;02j&d&N7d+j)WtRHc1 z#`d~;r>EzB_ui_ywd-B`zN)LX>ovEkx_j0!mzwG7na=ifkGrd9XN+SZ283#Ik$4mle zd~|1%A^a#`16j`k9Y?GC4u|DseK*GmY2}TmqO%qHN3mStSUbW4&=ot&3=_Yqx2cH7 zE@)_bAh%RdrnX&;g-Ju*SV*iMtu#q47Qi@2<^Uq5_?X)pB@*xP2VeSdm3o576xm1rT z%CxCv&zl5w%qkGk;Hg$#Fe{-QjMf`sFGA#qh#TG)#i0z;S_lP?+zS3p*+mdGAlSW? zvoH|RMG^zjaK6ZyA(a>UzfYzQAvMNVpsK-G<4IE$4MYLHvryue1cVH?wnV@S=a^ZM z&A{Zrfx~e^D+BvMn3Fsd{B%S|`(p+L$&-;(8UAo(o%}8_Eel{-oX(EVknC0oeDNtq zl-76Uj)LlGX@1}xXmF)wzfSnwYTuI^inb67OUzDbvB}J2T3CXRo_PA#dnh(0@EKji zt!epzpbOZ+BaeYr-V2n|gY;jWt-yVK9e*GREn6S#Te)+6o&G|KzpE)KYp)L$E0m>yL0--L2Fl&*WK&JL%_1uzMr}nyoJbjBhf~59 z64bU*K^7$`Cf-|jxap3pSYIPNjpr4LqZLmAK{|0j!FsqCyikyZaN=+QY0RXOhn7mg zQ%I+j7DYnExxBZDYZatB!t$YR$SR5=%mI-8$6Ygy53PCGRx@xSE(e0`(JX|zIDu)+ zhi`?zl>jYV8?IKMB%kMv+<-G|Tu!zVfP0qv7e`am-Kp=*Pn?U!y|WA!Xaw1NdJkgFJ4unEBu^y>7p zmuFAj|CRulX|sxE&G`YW-MmBa&ajw^qR3GFtH{A_n1ij(Xo)sfgZO~O-yK4&5_?w8 z5=PE6Y_$!-%y{9kfpc`;M<9zj;x6lMP0!DcsU*r1O6h2MaPp=3Jy&YA-nmC^w^iay zOLDmfIC>D^91Y9b}SQbK1ls6cmd_Ym7i8bTsuV&>S{Gf4w;>kn_pl+%1t@f$F zXT)M9Q2)+3eKH{&shCl+p>psZH+%+$k2Fj;6Y#HbM^E}mX8af`-;8fM9gagT1QLZM zghI>#sLmNeagf%avS@x0yP22)vbiGSBPjHcYD-l{wL|T@iaVCm@~AvCzPaUs&_|%` zwYcc7T&&mE4UNSh(ImSKB(R2KxNamGC@4wPv%CC(3KF6`u=jOkVx$C;zzUUD9SrV} z5y}$)W!EfJCg*Oz0wu*<+VBU4Q{GT`8I+cddcCfoDhIZ#Zva}5ue@O14M-75^X-`x2%3!?kiw)@l=9B z`RIu`NBW{N3S!ErTYZz^4(j(;m<8VwrTuva(;p7!%Y6;oWo+ZiC%$pc+7R%3n|RoV3ipE3YP7J8dKoN9u{8m)Ajrw`gB=&tCw= zWJ(W}3{bFw8NAxH)9xM;&nXHal_Ua^2yvH7iGGzXaO=a#Jly zBEFh1cN(G~klx>JKp^zPH)a+-kBcb1q@ncq{LV~`zIs=wzF?X5fx`rrfx?(%8A@1Y zP2)^DW*9b%0RfCrUCE2uXtdS1r=_p6`k1Q5nl-f3P7Lcn!YoA4k06YLCh`Wli>jA` zE3u@{bdWg&pmV$3wz!@P5arh(_)# zCuoV&+F`t*DM_<~DG~uz`==60Qn~Cv-TIC^h1GLJ>)=)pjO|^9qq+!k$Y|N@uzU&) z$=fK007XpCN0$pgkPOek>6GtYC!nRqGbu+ZIf+nl_EN8!&$1c6^EO+ zw}-uY@H~=x?~SlAh^3L-U>BoX&})8N3yI-%-_Q29WJCS3BG(=|6XUn7pf-^w-qw5V z^xy2$0w?O1ln+RP%K!o$6aE;LX?czWXANCu_ttZy47XYnT3}zfN;zj?HJhG-cxFH; zMza~oQz>>TE7auO!KZVaf_NN{;fH0>8JPZ?vOOS3Cc@sXQRX>&L&}#dxn5J3CD*5X z$&%~SqV-v>Rpo8T4MisKN;eg=kR>w?uj*{XzJxb_s=SijM*ND{~cAUt6WvPhi(rF(8`=^k*yawMX@!Yl(unRFXbe zqNEKJH;@L3Mdh{iItkM)SrF=F2@@c3V-JebM?%3MC6LNO_Gb{o@CwM&3W|#Q#Gt9b z#i;>U(Jv}G7H0IJTVe_X92jJ(mlJZ43qC?D?8mix8nINBwKXD1R2L2OJSQJKk#x#v zMi4}V)MqB65S>9g9*IlPm?d{0vb-351ciAD@>11-M1zud(*(vfNRXtkDfe! zyv}NXC;iiKdIw~$vcCzXY%S3jiV|+iqJy`{AWKgVk#%P$iEi4pB;T(}24jR@m+p#1 zC9Rj!5HLZYKfEu&j+DG*zDA!R5j)mUjm+h%h8S%z8%*Qq1RzkpmLjw3ra6R40VChw zQyL$X?*Z2L(Ln-9doy^*Mgh^QvlUdYuTx}CA~z7$(>f6f;&=|)*iIivO8PETpFO@N z1T{TMvz#INh7mZhLsc(3&a@_F)1A>PCL^9Vyku*p@`CL(`f^e2+xD(2Wi6TQts4WY zwjrnzHyT-I<_ zTAd11WTbiZ5Pd-lumV7p!po-Y8(tGoPg`<(Ucf{ID53nO<7Gk8(URY2lyoUXoz1sE zB4Od^m~a>^r{%W=aDnaY4228}LxFf6i5^=9hjlN~bHm$0lUqKq0v^CQQBu(pkG-1q z=gjj)t0w;P{t=ws*yd(<-mB(op!(t!JfznA1e_P0nESlQzu>wQG~y&~Q7(|k4cD4T zzO0EYD11TJe8RV_HVwl8BS93dOf8zEt`PJVr3#brHB}3=It#uWNNf^D0%w96od#Ja zK$N|4jkvg8YQ*`pCSShzg#Kl(uL<`y3dYE>bH_DAp3yIE&df!wY^H+@SXxG>-d=Wu+J0(fVfjt}Gzp&;2z-mseYo~85B$~IdVytLvr3U566=nt6s&ul4?#weSe!CK1rytJs$=;tkyoX_|!xx9HLIx0o*Jl zJ=N>}1MOM)5ks(~=PQDGfRAZV%sve|q}Z+Nda8+};2t zMyDvncTqdqY$Lf_?j#52$YX1&E9I?{8Iw@?Kv`RA@=?=C=txq6>){b|j~>>tV;@Ti zR6-VoBt>LAIIW~mM3E99dGzh;zW1$f$wSh#u6(Y)ZF#FgCW|ehcqRgxVVByA?9qdv zm?bwdEER%Ey$YHhehxv@E{`%2LPA*9kmD*B{i)ki^4Q7Y!Eod}-3)%kqGYbkgwWXiEE?Pa zqF_ux-ktBy=gT8eyFFwQb8s19o7#kTIM^av1MnygIaG^xAjTjkZMq`h0+^HQe)zr# z*{mFJWI}R^lsqq1BVwhNy zRksvt;vi@^C9VuAvQ2vp_fsuA0u}A75JGY~rSMfs-fByM@up+K&$OJD<769CmD(3t z-(o9?2_Dk#Bc9OcMQPHDg}|83>2?_#RO`+X4VuitM2nB60fZ@ixri}nkIdp=Qur-^ zd^FND<7lJ-Jv1^RIXVAW*TTB~Xo*0n4KeiC~;7FzWKSX@vuC=Q?=` z3P)R8{VgdAAT*Hj3R9jjjdms}y{9@X!4UMgbWHfKR;PWpu@mYUg~HF`t4)I{J&;4` zWkv z@`=(+YqIAo5QrFv=Ys2hUTzZbXE+&4ZS#z?Wm4Z{d$0{Oq+9VWyuOUgTE` z#Kjb>uD6%E8L-6!P8WKJ!S?TWm5nQ_X1`Ruzr8b z>0rmDVh9f`mJ@XSaw31%)))14la~nsk{%8U?|A(p{76m>g~l~Ic&>2Lf|Q`>3;IkE z+Lgqoms5^>9p4o=7Y%+JairTr+4S^Snj~P1hFLORc@Kh_1%(AyM{Q1l6eL)g3aZ6` z2`!y>wXWOppxI<*8l=*v+D$p^!!Ic)i~Fe8kR)ET91|9uo)F2qr`O9i*8qHe`0oD#Bc|1R>#QTLZJ) zHEV#SH?Bd^5@~-!_#k5gq*2ulfEGp5O|<$2pq=jF=$7mXRH(t4?Jwm0KG+-;dxUbQ z#y{@L6u$s=jO3_rV%Jejx=j&W~3oy33)My(1a;zn;Un~_DS|HsyUtW`r!Ur77({QlKh%z4KDGE zSSGXr%Cly@mSLK5HJX1j1IaK{{|NL#dd7)-ftsSD{*KT-8F#nNfxxi@aQmx?cUVkL zkaPo}R%a_PT3@H&$zy3n3LzTcc*VSp1Ujx?s5?f44N`G`#Nw$RUc7{Z4;_LZU2aI# zx$M$=y6+}tREuu5clv2;Jl&B>aM}e!b4ehDQ9#j^^4G<|=O3?IN=FOH+tWiT*QLS0`eJ8VDc7of3 zV2!=JCnTc|9qR;^28iuuELJSDW8|UTf)(OMQtO$M?_!ml0nvp!P5BOtl>q^$-4QxP zie({Ec)<;FcCk#|AVJBnlN=zV9s_d)=K>3yeT-v{u1R|Z%+bKrTyivm@eka7? z>yIT*TA9_GQi5K<8nf76h7HvHOJ`)$pvKdxwBn&^wrBmI16(3 zZ~&yaRSIn|%s^%;1e*tveOr!$2>s#3CzlBJdG-PS$7;W+wh5>Zc_v}FbJwE~h%rBy zQp&~4Yp{b9Ay9XXT%8mG@_ahqTe6~p>?CY}hFQTvh*13HaRNv61@i1pg@vy^W=dp0Tj(iGi43)mgnh~na!;VG zPZ5`%?8`N)bdR8IPGKkLRwAb()?B+z&E$&Ho0j0czk?+=-UbToO}D`k+y;A$5O~yk zm0GZaow(6Q`m@K|JgM|3H3b z$5Z4jYOwwq(Q?QmLrFb=WqQ0~CK3=?F&b77&Z^R(&37Mh6Q(z9daYDc$8&lFAkQN#jaV`{ry9ItV_wNsUgk=*;5`nS!p7 z$4w5#NzNKIujNcok$_e(6l#NZ!kW<1st~mvM$)8D+UggvypbQrUPlz-$MRal-M;K&A3sxO%zX2vX&$=Shq>o z%(@Ntq>9{b-A?C}o<;xrxT}REDlOjR79XR7p<6MMB;D8qOhQAfnoSxlB1wCCsu60v zrBQNVrtvU|NO4H|ifPbQW4U*`rvPS)XiTr=lm@;!wcBAiWPsTz1SZC*z}}<=Mf5_< zq)FR(ch1ge3`%4d0@^qib@Y;BPq9`&@f3+jQxqw}xtKfc6xEiH!iZ|N8~lr_iDfmG zwW8gjR1AIN^HusgiSA7>OuAUY*UT=;8!2n8F7fy1SSY`Ph6oRsv&^?RrsNx2?DQ3_#sYMQJQnV=NUNG$wZ z3gd#d2-*e5nz%uR#y6^Ypf45>OQUMKiMH3Up}c@WV+g<1)&1>5jry%pM-j^E*yn}Cw<8UhOQ<0 z`^2v|q#O%#W-ov~l&x?W>o!`j4LX|DpZ{ zKE3tzt54Q1FW>Kf4Z}~u@T+n1Suy*H<1fPuHyC`i|EK!j{*ZwZ8|06Y!VhBxa&TN4 zAW0i+Q&<(s7n&Rb7vbgNyY+|KAKF>8_bLiHMkKw>So{Ja#hU<{O7Rk~4Glo0&2nGt ziA)l50|B~&xv3J4=IH`P70*U0Ckg?+{371pVk1a%R0SM@B*ph~7Fy7K>& zRm2!d_#%xKgZ}0i%3k#EuU8LIn9G$-{gu`&p{4sKr3U`fmoZ{XoF$Iw3#@TiVp(Cic!WiHMgcdoji^C4 zHeJh0I<><7;bVh+2d##bgMJyf7j31+SD8qz$S|B;+CtSy{BsA(>LNgP}2CGLB z=EewRr^7IbrV(W@0DDAiaXqKP<=4vO3(7zG;BIY2l4U7mDp_+OxFBnRg&!>8QU@o6 zoZ;?UBP~{(*KEC-zpa)$MO>IWR5xbp*N$Kb2y|sMgyQVLVYZwW=r~xiS*1LbDR2I4 zLP42+JV)xk{0U_VYf}o?E{J7;E;Uh8!wy}0N(u*A!PCK~w7TjWh|EK!4c>Qyul4%n zXCDEgKl=FMY6Bl{Kl$k6r?)@3eDUNF8>n8q{0IRrZ`{EQuJzyO{~YK0Z`|fbTxBT^EUL#S&YAkra zX>K+OoF{S|jy^W(R{)E&pPo2Le`E&^ECT7F&sDA#0#sDF%N23qecCP1bzWvuKfpclQ7Q zW=P<2)}$3lAp|L?8aY9lY`L73Fi*ZaSdxXa=h9*4G9e&*K?XzMe*qMeYZd5AXBFUN z8xjXhxKTM#xm#_U0sHZ$=6LWmA&B&~SHlfSS8jLhZX9}eGYH+ zWvmp6SuFePPkRW9G>NL%P!Ds0jtc$xbPqa^_T9An-+f z8aZKN2yP=#YWwLbATaz63J73LYSC&Tl-aXx4opH=Qi3lo0-1web}9+b1u4?l49P?f zP|q8b#kk;gtpI=5O2vWXL7al{WHd7=VN%zw<1CA`y4$@(n4eR>vK!fYE08OutuBDR{=|#=~debJC_#e%DELPh*Obmf0 zgZYrrenJ6egC=BFp#eDz^k&j^$5g9WPRb3%hJbQp5%1k3hQ4`FWRrdGTB2JHr>t_M z1F7;%Bts0VdO($^X|}xWcTVuw$HBOAdqVF|j1yI*z$&pIOW*Q0p~?Y55pW2LCB(!e zV=leMv^n8K{0)@x)tF=V52q--!UDIoNWHXfhot4rG^|Ecn)^lLC+tyf)r{ z{_5G)?bPmjc0#A%a3e0d-IrCMth!EFBEVW+kQP~r!DY|(EcR5?7ImIgqzaNLHgE(N z<6mmyeE)%K4a0hn#t=~&=@Y4gdIOi8Nym3+TGnx+N=>wocNy8)>0GUATH(e@fF;0K znT>Fg`zgT2(Ar7WQPwYZ1ckr{Co=vQC|PT!Lc`i?c)&@AVHbowV*v~fn?jyE99Jz& zC@WIk)N!ydQGG{{gq#)#+G2SyeV0ivs`%Gt93ZRTbcj^3s}+BsmtB4+q%mmOV)7MP z&?U|F`K|@nY1)cKyi`loBC{)0u=S@TZfD<-C%kj#?Uyg!e|vhm`}WI=j|OkQLWbJQ zw_jd8dh-137nc{0?z~Uef}Qml%9jfWZwd{?9Z^3<2vQJ(gY#{uQZRqjM4a8}`N7#h zQ@I&$37nuG74ZT`cc+INC@)A?8~>p3{jklqI`shMxvg4D(EV`G# zA}m$Bf+s@ZHOwfi3h6%|A{861d)W373pw}{wV$ifG#^x*coRJHFQX{Oq0ezVgIDh%=Ntl#}UQ_)k!<7KLH(6s#u1|HONsoZYm(I9sw(x>V+TXW39XzPw1f}j8jRbpCmMY07AW zCtHyNu#+TpMHsF%6UVgzfI}M2(S0zd)61)q7f+tQcyjgG-51Yaef;Fv2mSTBYf6A# z^A7nh1aj1iKY5Tey_&7Fi4?$#?`7|>?Gzw?hi%8r{2jI%N5T}nPto}sWE_8}GAg-a z8Xs*O+`Wn<0hb1Z2}%;m4^DvvDYL-0L%OZvN;Ha=6BSa~TEcgxaCTmLs_3g~w$4{x zt}zf6#LBo0#l#O)e!YlSh*BH@x?fzreD(Bd{Vmd4=QR-8QdXPJ+$&p=7UCW@_GK7g2y5zdC%*`yGK(7 zLBZ^NJO|M*nM+m76A;x0EMwQ-9!1X8s@|du&3SwVpu_RR0o1W^vvmx@Wj5V5nSH<6 z3_5>2uc6~}KoNJ^-<;+#M3#q(W)|qMK0aD352vsM04O1;X3z!jGvg*$Y#`xXOZ3Ep zZeuLPOrUet!B$_l+|m=gHpAAoAyl?D#0F$rgOCB=+Vy&Ij2>jS`*-f#>EYfed72Y) zKDv|fS46vK&!0Ve_4Mib;^|WmxvT?roLpt(07*`qbvK?tNSU^VTN z;<9isbWAvztW8s$BXv@KM(zY6HvtPv^ZJv^;a=r;gy6zh&u$jpA7c;R7#ar9&5axw z?ua=&ejA_O{_Ix&Q&yw=%#W$rYQdlE#gI`q$Yl)=XogD=FnGcl?B`1@aUGH`B2J2cC;aAjK)=u$-YC?N&?Ie` zRac!%`jhPhL87^VbZyd;KnTV}SeR|!qOH*N3bG!HBgkJah8wJvBdFjy2-MSU3Mi2P z?GbU?_@f>h&>FxRtmk87B2TC(cf|+Fg86ztudwrnZD%CmNR-kz+sLtNI|Nf1P0rdy zo;_5TloxN94J4Rc!wcMVhwu%`|1L-DE*3Lo1t?G{yHF(FB2GeMx~r24V(KXr-j08k zYeaswE;+W86GEMcD6YGTwPbm$ZsAs^IpZ$E+SN~hogW=RFzMQLOkUd)5%br&@-Z*< z7XW^YFgj!_p|^a10{V}XDmPMI^n zR5+qg5fRrczL3{Rt|co1*)a#lh&h*k*0j#TDLDd3)xUQwNeq{ku-^j-VGW$q5v~S8 z8;MHp#vwMAmV^th5y0)o@&hxJ1D_c+cxQks_hbujTP@ulbS%VRD{V|4JQ&Z~Qx%o4 zvWOcJOxY8bJhWKz1JedqbD9P4dK@iCz2wB*)ZSQt|R+-V;=L`zjC5E=F-^!n+KnQvE0CIR9GER%aK*(8! zNMim-wzEB{zbhsU2)rSPL`nl2nMUw+4b$l|tC^)oiLvL9M9nChS0=Qw#dK9dJfaPd z^+Uu}X-YH9om8UqKk3`bqY_PyQK=C+5)}^<`YI*z57+3C?)%6Z9r^Jk1Xm*CY{MBr zwSNDTtEZRGdLLbUs&W5^@}d78)H)>pFb8N4Ssy~rPz*BogAf8U8XrUmqYcsGoA`)O z{n?fD@=^c&4=-NGGE_8^x5HmqVOsNN3f!8^Y572Qc=x!S07teO(h~#aYRyy#S9=X_nrb9cdFI z5MWH!q};{-0FKVuRaT)#b&Mj$b7Tph;`UHBRKW0fp_p@%V8rhJ0r(4eS|G6PNqv?m zRPMMsPzsfZal0v3awm6n$82TTYtSc}*brO?(0Z7omnqy-lR?-(O#SU8-bm{9XKizo z6QnUZ9lbVMOZ0V(6D46g3<2;#&@Q(ws8fHAL}rU(@Ndx z`GlUmV~hqEcA0V0qS2@vhQO^!P^5#xlD$c@S$F4c26tYBy2HTXBo`<_(9Sjb@L+*y z*kOpW%dYCuh|)utTngDe7)Ay+BK44IilT5&2U}7tn^}y=Q%s^DJl-P!G32p?^SCBW zx+cj{69!vrBt|^m{S#zIt7R7?5tR7L8?782sfrul3|8!pH*4TrzlmI7O^EF!Zg|^w zz{L4sNGZ)a!t0bX7!m9|D?uB>6EAw=KV83f@$$0gg_Ts74zQC0 zPA+@alhy3Bfdrk=Z}_^l2McQ1c(8#4PeA+;dlkEz*az6Hw1doej+$O-(NI#*I!wLn z)HQ$PCPYy~#ag`MVMtr!p0qdjt%lLLpi+1;lBr>Tq}2db4PNaN1i&lHHyUehCN%I< zuw4i@5MR%8A6;_2LOqLb$}s`%a9M%wV7YrXU3`Pr5n(D%1Nqln98&E)kFst}>cJC{ zEXG>zTaq?9DxI4}8>7ewtM%T3A7bcD#{!4^&ie@bi@X!sr0sRJN!vTE>{j_*+b);o zLLIKInnL*k-5TE0_Oob5RLtmd)}Lg9TVj!KR@(%E^nef~_P|3@WA6+5_;2 z{jxHnH%_E4?XDooO540Kh)N8^&GxrslT~>{b*rd}P@`3aVHC{1C~;BHej?SVBS>`= zf>d1_Y^rvjVTe2`kTTeP5+dEjeVUt@PXpe=?Q|2%?&*X5#o1maz7%s6n5x{tz>0fY zSc6??uT3T37N_HmW#V|@8tpA)g=L!{Q;5bkiKksIRbdGoz0k2eY~ph50TvA zV4DachkpiP>MRGr)bQ8z&UqjCwTVJu4b3jZ2hxG|0Z^i;#^>bBl?+ zeQhS)f^uF7mYVTLX->^{e~5}eYJ^=JpCco%FL9=ip1*qU>7_hHgtC1HS~EWK8Pi$$ z6+@Qb+7Iv|WG@PZdR<842J$`}S1jg{7~(C%3BGQkamUl~dRN|e@F`74d=L$UTi;{u zIKMFxcz0!^;tgPV6}90-#Mr#9s*+VOwJ0}xLNC_~Lr}+rpG##r-7plztTBpaToo8` z*>}vio#2kJK}DV&A#KiejVvaL4t3vRRsq(n$EpdT!DlRF!;K2`G^wx$)*R(#BO=(| z&1TF74GQYhv~y43lMS4{PG0LE)1XX5#qNpAz5#2mcQl>913BRU>8|OXtR5o4He*;$ zX=J8@UFB(paq$8!&9FS2)$WG?FsPuBe(COGdDy4Mkg39Z6F4bKK(!6*b1dIS( zEM6mic+@q87>p8@Dq6MSLFW$H>$dU`)``fK(d?ucAl|(_RwwYIeRBOx&bJz5%f2M zgBr^6iVp#3uUS($zw1`(5jx9qC8H3pC83Z>MN=3@p>LrQ6XYg(H({B>9s13=0GuYo z7!fhXxgb4GAV_d!jeLo{1>%K|-X+#tJMHAMbCK*?Wa`F%V9dOxD89}reIpTzUssDZ zAHKvo_(RNPZ9IvKN<;9!+}o6sk{l5l;S17;S>7 z`du33Kt|!G>{~gpCJ6tL|ZB z+iCI)#Aqi*vu2P=QnV3RXlrXCCq}I=-s-&%qW9wR#f$Z;XRO$H`Do)~I=Wx_bqs|~ z5XuzagheD3bm)`sn*!*&$-27rbjl3ibug=rjd4y4YG@FZ{8{+`QKhiuj>*K(^hv1C(h>miXi4rD!&a+Cb@NDQ5KXuy>-3(AjQuc{IaqR4h@d;mt{; zM}lW_+G45qm&#CQ56@Solc`+o6o~pvA+3-kPQ+r$&5OGP4sM;wolG%NDPX!Q(V4z5 z9JtdWBZau^nBK`I&@4vxn&%-p4TKBXNKH~u58(`e6B6a~3EfJ6(Sp<__g*Y#=XYUd z<(XR_R}u6KVjUDDs8KUVgy#gr>RM32uFj>3Vwz(&qWApv`?vZR2=09k|9jsg@Tfbr zg7%Kr>T(0FsI(r}^42bQdVcmu%2jQuqs$a9iTT*`m=6Lii7?$bg2u2k%ijPjv6m4# zFr_X>IQq^HPFOStW?eY_74ca>;A9_EI9P9X7Yrq`Ql}98&-YhL*l(3`hsegz9ohsk zQ(e1O$=f=SLBl$!Y@(%ejlkp=bK;WC<(X0-+P~1|7vUA|nLuQ6w(_h>wO+B{Pr&$^7iE(VODE zi>GVU;d%Aqa*fPSl`)Y=2TBVwJd6-Af*1Cj(JmsN^M0(95z%=9)}P>caY@Ff^fcw2 zB&33|N#lrLvTek#f_(=5SX{5L#c~C~2MRuNFPq`0-+&tM*F}j*jtf7T$U`({94zlc z^x}?A@QrQn=G7nWI0FpfqR8cU1*uRKnC35{QdV`sY5nvZg`K{6U?xgrrGdnyv9pat z54Sy1dffq}{Vl~91p-lcXljbQlE#@eT{m!^K~wOs(jX+_q5TMx*P-~xnRtS374wY6 zkUZCfSeu+ogp9VFOvFZUEgA6$s162<-R+$6ZKy9GqJY$0UOu8Z>FTqOFJG#OEvW)r zN+Be!6Olq(H4kAi`TI0ZGxGOoLaclOQtLeg!xUj+1T!tooT{h+ZR(&yzC12c(SZ8yTF%Ehben@M2K#s9GAH^k>`M}rFyBP(^P~Jzxa+LD}5Sw>y zyJcbWiOG%OIos&|6k}r~EN|~{4mOM*ME^~cF|7;R=5TC@;#%s`iaw#Uj-y%#iOErI z=+P)SstrSOXn+_*xm({6lXb0kP-TJ8N&Dfz==0z0@XR;CGoPYT@HZFCHb`r#1WB85 zkhILK4?>JK<4pPEgVF{^))BuYSG@CW zZi4qZzOEK}XB!hVSqzZhF<(J|u9b=hPQ3ztG3J)OR^iB7vPoXbF358LBW|AI4~mA- zcrt^Wv6!yBL2TJ6(hSy?i)wclm1jEK&P;ln{Q-)Noj-)^Fd77_|A1EiXx$9YD;1+$ zD(IY7iYf?e!fSY$;XI@9A$>cg(g_m_^Lk4{TJoz5=95Q*CFkCNp!37E$yrS_ZyqMv5ALg3M$AWb7FkVk~UtbkJhHXgPE!O=?VMd!VJ$`uM+ z>!bC-{wY$)5g1A7sVsIdHUYLeXGg|b9^)N2x?+d5%)UqI7Mqt>rNHArep;?#Kg*;< z%NE6H>)7<-l8&#-j*d;)tbn|?okMDz6)Bu$yHuI4pd@#zwo-S^DRc-nDo97&HQfZ) zw8%K#4U!Ry(MueoD+fD_G%1!&PZ467QA>$!oOw4CL->F?u$&;eaXuV;qdPm4v(4tU z38J#(`ns30MoQ1F$m$9 zLQ4&R*`BJvWMz?pV@^|Gs7G4ROlxy)1xJDk;AyYqLIiieyNTi8m}=FrZ2_(>kKL`F zaxBrPkj{a!3>|_qO661Q@-;@5@dNN3ydyp?31F00hSW~KA+32d92G0V5gV^Ux;gSS zXxYj-`YsbseiLr1cTEiA`7*h8LWEVq*gaky`W@`K>TBfdZtEI~9uG18fVyV1f#ug7 zm@D717d3$ z38B|`v^II#B_)e8GNV0k&Q!Sh-zzbynf%>M+{_ecs?R`XzcF%tdq+!7;E^TpM-73j zkStlDVo1$q6d0YL4_aygqqCZUhaly$;GpGr_P_y@f;z9o!e#UN)AavA#66hKXk&pT z4{k^HL-Rt85cVWY23?Q?xu{K62u5`oVc=G)Ft@_=2sRf~NHI?B*`7?w4KBwhtjD0p z(V$^GQ%y#Yoaa8%wMAMSY$A99@jkR;pjsurA+i`PDtc6It5j3_Ad@?{DRz<;iyqGJ zv<0N~`q9OsM=z3cvS!VK_1AnSLNK-zc15}0#1XKSmE5*~UjV7cw`s?VR_%5}A^;wW zv7uYoHz_jy+^0bY)Mhaj-MZR8m4;%AyD(6=v!!c=V{&z!;4<|8{wL3$UZ6VOCCWxV zd&v$xEpZodPinPf`bH}0ZqdJ_7!Ls0hXoW}5zsXbMc#1xGy*la`zz)!+Eft;VCFEr z-5x@`C_&$s7%#n9Af zHAm<>=O770-f^JcsU1Mf01@B0Rb*8X&ACy^3My8{aIocZCN1U@`exwD#{1hQDHjU9 z1DODnnUF$12b`F9@6STWk~2tshe|H9sR1ZMK=I+m8-J1Ij0CK+k*6F<*+jG1>r@@`*4q)pPihe zqQ=EjrlkR3DVJSc(Cf6;e=a`Q_|}tWk8X2xtDjOGH(CdK0H4YzQZeUyQCT6oK>;&$ zBaYS(z$kfDulwEFvteru*`!{GIV9ZLFFb@oDO`gp_M&NrN%4Wdh}jQu_d->XjZXi> ziKTe15AGk}b5K}K-K?*%CxXPzWK}k^LW(9myOT|%Fo)jjMX^w+2qNL+!$JSep#`)I zwqvRuzI`ApQK1${P9?S(ux_xPrto%B5^WA?9+*&^d_tcL9WZLH(5lC#UL>eknN>V= z5+D@;H7?AGsv!8WB|=v7k_StYumBTQbvi=?0VgjVuZfOWC5 zOL821IyMfLt%Fn?XhWhZX;(i!o7W0*;x4sbrcY6m<>IAUoi8{*%N^YPYbdgI0%-iN#!vJV>qnd}h1Jx1{h>}N$;3vy;#j}r`l zN`%UE=aOMPI&Un!dUQSvpODezI1=OAY)5v;=vpTpZT3OTLJ|lMJPK3rbhc!t%B%vM z_abliAXkylo<^FG2bylp(cx7!?j+4fC?r&t1mKVc*bmSNEpBso2?(POwJOt|K7f@_ z=md;gjBp_mi4du=CGJtFP1&0v-ygx#puK3?vR+PS`w;p}2zdwF&6_RoAz+5=Q94s$ z5$!dK8$jqlS#csK$t+e={?CMPhpRJLHlOPFxu|QOt{0(oU z5>Pf*d8gVceJdqgA^U9#f$Zcs+`T$mxqp3~{(=$>ivp#OiFvy%92`ZNB8v?31QPJ2 z16ZK-MGzl|Qam`_Sx7LEdFOAPs{)_~eFCBB2;pm~6X<0EgfmOxVBpC|K>F!|76P>^ zB1f7mX?xV)w)z2HlLDO15eH%r(MHkJYFcnwo&}nx_Hwbh^%E0(^*{~GR4A4hv#3Q_ zNE|@2xsN976qaN|((Aq-ty%f)&^?HGK1q_#px!-b@AzO5W7uXiidgd?&iGG}1j~aY z^YUxCFa=%3A~tEUurhtN2P3d;OT2C;AB(vXsmHav?;Ol17^14dPApp|ljRt0U zWWOE+49cUigwz=nZS@;T>~f37X3GZ=W^Rs6YBmv^#NhP#d}3MwpvQ_(!NQ(P;PJX) zjfkJmA1C6c41$c7M4`K!?rJy+388>_V2$6tec0&xOoALCN5Yu9ruFex< zh6Yg6Ce%rUFu$mEwt%+AzU*By*UahtmpIDhCwj^h}biNNh=H)i!Xw zc1t?%#U$B#OVxJ~tqIuNw!jSWg8(AYLsTQH2ep@3(0IU~R8unc@AME6Oj5$n_Js6o zH0h7FHN|h*j6&btZ8Y&c$emrJXY9R`rjbRWJu+7ivqys56IG#REP@XuU5UC(vM9{m zDvUo1zYqBxJUNu=@r zw&AcYERPfRker1~89-7G^;$wDsK^Usllr9;HCTRkwS=Se?p>DI?40t~C>Q8k0OPlI z`dh9Jh{A&d6M>ba6(lx!m4spyi}d+OKv1y{f}&ReHFb z54r@w`cUdRf*Dkh7SLuP{AtewdXNAlXm!LYdkiV9?6$U|B)V)~$RggLgfBj85E-03BIu)Dv(Wd7;tVT@tec;nlTpS(d4 zHp)4Lq>@im*j)Ktd7tPVl2|nP$Kg~2BbDo2VTp?bT*ayzBx+w2SOn9XRm1^KND>)h z4o+8R$A>eJOFHGQX(RaU_g}uadj8RSFV`>OAOW0SJ^ASJ1%g;Mu0Hwr_AC7FlTT3f z;L&r`Cg0%4x4wS$39=R6?|-e&C2{;_e*??I_^ZkCSLJ%SkbZ#|zS@8C7)Tg?L>7Jh zYv_t7|J^E|;LSH%zwuUB{nh@T!vB5yLx!eofE=#XVIbWOH7?_Hk0CW{dM+RVQY;RF z6Mhk$4^r<5QNttO6B60ECPHz>vI(UnDC6a<$B^HRKjcwYf}|8qq*Lo8QkvV3Z+~$6 z!z7;^IpvS=zf1h@G5+@f{`X;ci5U82l`S3m6!5|?qmY`4iMCAO4||7*RW)a9xwbJ1 zb~kvUQo9=q<2sD;UQ?kdupAgk8MA&gnYC9ajE1yDvm>I4vE8!Dn%#hQUCSD7hA|i? zFh67sXJnCum(ruHg^G<6l2JsVOX5t-ylvYHG)T|&<|0Tho@!J1TX7HOyDeu+q!%qxzPe-tMk3d>gK z>}zWJbH~CQep6J>MY$dg1r~i#eoG6oEl=Pim9q{FuPwb$&76pPBsY%jt~5gf&KlYg z?>F}h_II#zpVANbO<9lNfLl z25y=l@--tbQ6pB8DE74`3em5eS1Kb1E&Mu=7!dSmG7h1OA8VWqte&d*4MuMM6TbyY zscZU@Iap$s07+mn=y0*fDw+gV5^V&KiiU8GIKU5FqeTqk@>sSo3iut11lvW&o@RwG zn=uMxnx9P~4R+DJ8y1vLN1MG$*1G8XnGR?n6$Z*A0wjt|!?Ax915{v5jo%UmPyE2{ z$!$|l4%{_qJ%!wrVS%sm-7O*frf_P!@A6nI_I;%4M{chenWwpFjF#jt0Fx}Rl*it8 zR_BpBK&)q1&p&*+v6ik#@4fu?#m6YifRS*e-P1#}J=iBld_N4UNJC+{uKVW;2pI9W zLz!neZkm+o-SS%(v-;JD7){8fgJ<1zp(edpB1AH$zBX7rN7kpoI306jPw(XhK;P=L zA_mQ+b^_UD=SsE7@a7C&f?1VeD2Sp}?^L~RC(3p@KgqO}Shq9ol~c?j(hN|m^3WdY zk)XT{1jC~#eJ1#6Mw!nBu=7)4W0?7v@hlWZgiMIr{*eC$5?7h4(7DV!iS!*Ic#nu4P%6~)sKUMeP^gcPb#&Rktw5v``d-GTUd#*diOr5S{dYti9Ca|yS&f}7_|a6#Jx ze{d45jIiy3!hu6e?wBp&8cIo zstkrO(opQy#NJV$5dSRKFw*I3Czs?I0RrVjI59QbtCVUcd@XcTv_EXGlUq1Bl+zH& ze}1w;Q=P5>@hP* zN}2Vo>$roRC+q>!1@heBghL&sH<#;}eP#C;**$Xvbc0AlRMEBZrTOdPxSi;ULh+ zF^j?!rS=GNAJC<_tbzS#`fQJ89 z9ghD(zz@#Yh4(1Z^%r7Kcg-rXvSz-{8nGa#$*+d=;5RjbOP&^3msN7^!V-#7rq>SNp z#Ezs%4E+t&fEkMFX$^$qEU`x7Q32IirUM2{c5o8GfaM?(q!%l)KsHVI&>naO;jC9N zabO zj=%4}dhznfCzt*$&f+J9_?bg+dH-0|)RGCtBa}9@2oVhh&p{4j5i4f7X&YL3Ic4>T zcR8SwmbePLCLQL(2KDu*4dTF#5M(AjAUr%_yJemZGtordMJJkV^k`#k-t!@}PlCl0YE!i@;y86!59{n$q1XbT%eWydhUs0k zivqGi_L<`zK&^X81@Nv}tYEmNKN@m)3?pimZ*47hX_THW)(=)l(Z-7fc zNSwy_(_26>qmVQcIo`lZT^5&8Wx29Q;Ucx>og&u_<|O<9ch3!h*_2BbmG25aBFXgd z@jx?&Q}N;rC;cHJAv9TaI7#<|Y0%2+hBzjBJMpKhtcZsK85Dd6^t$Aq6le{~W6>BEG#wrMQH8zM9=jIBb1^f`yQ8 zFf)k;+C|;C@PI7b9#8yJ5z-Hn8BLCLQ&Fzrz;V5WG-D7fD5Sr z@Hgncx?Sl}2hkMoeL&H=N+Cr$)fM{u!qGuGD9VjolIu8zWH&li=snva2OmzH;w{I$ zh#UiEs=Gh6-b1PhWnFSlLKCQsut5D4ZW13wQi~yDhQvP#CWJeUNJHrp0VS3|vE&DQ z^k&}p$Y$Ot6H<_(+$LMNdM6yB9^eg&#vxgNy)U5Fu(0~MEFe<(sXIfCv`2md zy9SPA`d%t;N>Q)JC`KuexZoPf9 zyMX$B`fL3!eXajn8_fZQoefO%f1z(vWQED5g;P8{Jx*&}bS?3hF^|4zVF>L`jgm{X zM9V>21RB2usZ&T{E1KNvd34O`FGly)Fx2lTCCK66W(g8&(gGz6O703*p4=#o;!#r0 z8~d#uq7YW8nq_XILvb77%bCg?IPwT7f6DWlfg5WOSC_hlB__#rt?Bv!Nz4)@3unjo zkrD}-rZlIR2|TwwFA$d)aZvl01rQ}UQd1!;Dkz=s`xW0)f@SYUo_u(YYX1m~ilrp| z&9m8xm|S1SAAo#-*HmH0%OoY+-kwxQ0O;iU?>bH05>r?ttZ9@ZnSF0Ki zP?uAnFj%9vF!v-t6KM&I;3akNqB6t83&IkFA?#En5X7Tuq9e>r35oL1<@EyMl(F!p z_w4z{Vm>=MKH0Fc(i%T;5ADk3M^U{K2YYn5FE=M7Of~It6sTNs8sWrkm7Y4)9|8}KfDk1CLJ+7n>eu~gE3@*n{5f}q&gnB~2hoPh zey`Sd|7?l z?4aUNPlYI?2TMy9%?mKIou1E*P!rI zmR>77V#$;`!>rNLeYeJN55qMD7QX~Gd3QPU*vr5Z4JqerXO)_~rTouXmS1bFX0SCN zF`YZdm=NgN*PZ|td}k*BCStibVhPXLOFE1X8LPO?p> z)rkSiYv%2wD4b0AURI|ClGopUpP`f*NtrUq?JgHav%PsUlic3)GFhx^73$*A*kDn7 zrdG#dIN2h3w$36m+zj;gf+8t>|7g?p&#a?Bev^4mz*hndZBNE?RK7b9qn;A!3{C(f zgUOIN=L9E4xOT_1j8Fpr3yrgC$Q48t>b|J@O9|oG^C!=qqJ2gmhO}r`gC-HDw0(xw zfg9)k97R(kl<+diMC6?e9ZKF(`crRr^rzPA4kqny$vLPQVz{Zkip7bPGHDBIq^@1k zS|bjujL#X4nOZZSgIt>2qplNAi|Dc+)>he3Lil05n-Du9l{nRfHVpI$Mqe%LAhQ81 z4K5v}i&e>fB$d-BLj{%)!ckpegA=;hrq4{H*70nqCMUt8nZRe#c89$xTM7hFk!`gy zcl@@SYPLP4IcRWV7aj!M&F#XWCkbQhUctyM#)*s#jZsUGfUi$5Qq%YBE+01#)EMgC zjHP57zd#zsRk3n$bcDfgN`y*JUY5p98f>GU5Y^oSR#<{W--k;SK!E@UkkJ^9mHR3+ zWYE$yc95yE!y@Re%FIt78<}{T1V2g<)F7e4`X&CAAZq!!(aWlc&9pdH%SYDS*m2#C z1T}5yDc77(I2F_DWCzt}*njGLQSTZ^(I4N5ovax=c@A1M)UNhs((%3^&;rl)!RqJt z@VzYOEs-8O7gv-lh_k#Yiu{^47A{yak`pSFXaj2OR3cr1u{v9!G%KkL*X4B_ z4sP~3VvVb2)4?RVnyIO)ofWEEAu64$j#<1ju;ALX?pI0%1nu~eg>X$uuzz-jz-*{D z`_m%^u~~J8aZ9n6IQOyFR?Dr^4O6RH0J<;+O=bNx z-)4nYNh5slV7Ua$XcdG;SZ*4LK~lr4ivzS4A`GI(oLH0hQL5C5pC~NCv`Dg0$Xi31 zP-BBF^pK`FgSmFSe|U1v`0%q8V7GU0IvYILcr_Z{>Z4%jNIwQfoYbvqAGPxjcE)7k z>p%ih79uhkEgtmGAZ8f>1@b?IiHC!67;O`B5BmKf|Q!T zfQ_jHT2ATVN`(AAqT~&so88^>gX{9>_q;3~>6_EPz^ka4q6kTXbzMvJ=Uv`0y;*=& zwiBKU1DAjX)4>>sFVUh=H$+`3w+7CV)Ri7g>BsYXsDauK)mhm?(>Z>yUx^%pu&?xq z)2M2Pd@Ru?CS)$adjr3Qnx#EFiU3Y8{7SaXn1!sBAGw>lBm=!kk5Sp#ph=y=jTcq+ z`k2dEsb#B5EmpK@moqN|mg;5JBZB4HYY)*OiCEHXw|G~G1sVmJ zy-P5GenF|}zSL=etT058j41L@W3M}4_^UU^1F_^BtI|Qg>q@V|^RchuY%j71EI9-M2d*QbzC+VYP zWI>)k&UH|Raut6_DNxvEG(<5$mp_?ajt66kh};d;W~OCeM{8ccDx||>bMQBBn_ZdJ zuaR`hbMO?-9zq-nZ{D7&ym)1izITA1J$P%8KLA}*gVkxYInc)AF7$Z*L=$^DjcE*s zYO(}^s>Tr2-y}v%v|r;g60*DPaUKaG2nXFmXZ1B?2jj++N@a5_qLGe2F$X^MFj>k4 z6$WEPShw*uOp#?u$YM$BG-#DNe9{md0*}$Q1?z2^gwD_db+JcV#z1R!sAfF365ohZ zXAHV&uZXh({KUk7!}%JrArty(dctw4HN#TkdCZpbFuU~GR7q1t~w}flN8KY`XC22q>oI2FLCx~r2Oxrg}6(;dZ zW@ej7P+>I4)FN!SORgVKE)S(nNEq88iB&BOV40$#CsG&#h=riD9JFbqVP7H!=n zo@lv9-&72m=%2KfC3@IW8QpA7gLG!l9}O+)_yH3Fc2S`&hF zx`#a2iRDZiwH3pGF=0|o);d@F3?^cC57Rj zOHvpPZk80V#)6t=?MzWfSpdYds37C)g5O#7Cs?^Yo&+jho2=0B>as}5jp^W>^*5~6 zjgS^?;8wt>ro6%+he~);cD*_U-JLH39N|tvq?9q|F-{I^tu=a{1?voNT3oNZWVu4$ zPvIjLH4wEHg~ov|G%DfMr9(!*mfiVW=wsk;OzR79V+u86{svNQkJNzoneU@|IXz}E z`W=h5c6XVhcB-kOqT6q5yn>92*tV-%h}aLGxun@jE~q8o>tAP8DVCK=rs`{NF~o|C zQvuS>ZF+!Gy}%?nQPuw}oN5qtr z;d)ImsX&KhjBiDIUTzpdYow7FkHVRsRpzZMkT2@i zrtR!tz)+uf=afH7Wr00RG$3mI6L^cug7_pKZe>gs$qkHts)v#?Co{N5LMP~06dMo_ zAT6>S#8zcCD0mj=F_9s-A@Gm9GR)5OriRRr@n+#4rxr-Gm9OOZms- z-Dc-H^8i*LkwFU0hPaNvwnkaey2RcZR-oOSl4dsSCWPVd$?-wd2nCai$qJjTF5}xH z{X%oNEMXse4&xF4uD|h??i%Okf9PHNZfRP+V|5M=sur zlgnq1o;>?tfAvm(jX==$Wr&1lduX60n*8d)@#>x^p#*V3-^Ko_D;0S{%9G*(&m5xBr_R1;x>$z3&<>KZ`;(^6HG)M!qZ7XuxY=7b7Kd+Q-eb@}NWpPh&s0D?Js zY1HxG{=*heVl0ums4z!}PxXm}Rt6M+&UkF8?l z=D5E(3i?AdI4CDx@6GrD?7{W9pr~dGd_Oyv$;RRw2BGW%tSbWvOd#T^<}ATLThIsD z`=Ats^}7F1dscp7z5ejYqeqv|Z~{r#5~G$rdGhkfdrzJ|x%v$0fN$ukH*%TXc@>2W z+0O0W-pLFFu`MEY3<;M1y^4Z<=8zK3qq01$TXjTbPMndWhV;k`ID>JjM>7apv0Zgm zF~Olgy+m0JHJ-}r$)p!^*I@PqB}a+Zx5AO(nw2`JlKC?zG<&ymASbACKeOm`bT8?{ z4q1oW0L4VE^)5jQraLr@y1aNaY#+?C=Pxd=UcGoGsE{{XTfB=v8i2=iwE{nf0CmO~ zS}_BSRQ3aBOJ%?4b{%R8yFZQNL*YMOvtQTx+2yC2HfypWTr_Qca>1Q3!s&Mt>`KJ4 z+O*8-O2PsKQZU@qqhHq%~qz1vo~ zW$@dIBxQz5hHb0nj=DL3I(>^6^dn!boM3~9S^x_U&|LmtNl_X^S(PN(#e+Xe0Svax z8wD_|$<1S3PC8`Ze+M^%la78=WA;&23BIe!tOA^KBvbe-THLshgk82Xd6C>YOv$-NXm`vD+X>m4N5e<6x$;0juxSv&ftV3{*h=`Km0%c? zy)rYwFl34D!5_HVe+cyvO*zZBA7Ko%;5fxmoYF!l>^6fgASTF-Dvq>loxF2sSHq0r z)B6NuTt_bWIY{Y@<+dS?ARGGb(cu#22A1m~aYs_3h%4F`C!l(Js)F2=MSPSHC+t>A zSVE=nI~uqJpOeLbJ@iN~G&^YyR+&i(s{ejk##^YR`G;gqfhl4~(}f((&A8D3H6T@- ztpHxnScY4655*k?kr7nDqhyV42)$VtHDG1Cu|6&bY&ui8pj5#GO>4!BB!;r0aj5bg(33EElNq zJNR@lqa|v0_clJy2lEj>qfiEpoZ(rIlK3n@GUKz#XVg4ic@Bov)M{v?OY|)DiBLJx zSwJN)jp%N=KI~?&yab?a%~W8vy+%Qn*PVnxM9}CFl%Y|egU@fihgKu}lO><(C7;ok z08SCZ4o`^lu(=ntpI=>16sY?FzRdEW^oZ7JG6*c_suIpu z$mWlZkGyOeDNS}lO0~tB_H?jW~*=&IuhEY zdqMS>3}e(5 z8e6upkVD+G6{)X3M1xG2)hFPCSpnNFw<|0INj!AqPX@>mwC~}P9kcpdkx9m4KH9TG z-Uop)nqbk6@j6(-@QF~!`H9rpMyx5zpx}SPTkSy(=gWOdm)v0jycRm!UnC`Kva1yg z%jXrl?5WkDIGdg?*76VGC#lq`o~XLpEY4Ma(ySHk%iJZ~}DxwNultA|j^%^57gs$u~^ zM^FNzRgU3aHi$eZ8=@hX*z#D~GX_#!s=E0MuTkdRt7zU9ClM{H0N*J?M5PIfBe;bU zeJDlMWQ9H^Co84+Oh{tzx$~IHDtQ3k@&A zyQYDy*G7n%O=l&-%E3yKa;%`pdSeMf>;wb}V(>6UGk+QId;RnvDhm*#=;145H_Ui4 zOiU2aV%(&XwL$iCVetncsl(V-YLiixcD2=EIY~g*mt(q%s)dNZ6ef~M4ct&rL0F=$ zoGYIs$PUFH5v@&yK{_ERUtGxIkxZPT{jO2Pgxg0}uvS zGXP8=97qJK2E+|_w6UiEB?Ti$mkCHxx=N??cOpB6wO(~rI04V#p-aBny(qm&=-&vY z3qK-cPg7D0`3XW=tVaQRqgx!r)oj`F_(c)W+GxRN5w2k?6fM-hPJ+mYDGl!d|7Ssw z5T?{6+9dkdxGNh8!qtri1+0NJuJ<>wCwF$<0g#> zqyohM05p)p9VEpAiGvS888p!*JF?S~JKSNmb8~i5vjd(GZ$=YOA;j3t(2wcH?Zq0P zn40v1eJv^EXsXw6?6%sXvfmJvWg%X)FF+aU0tf3ZFG8hD+=qEPjd-~t7a5+(k-+4y zq#=4dkO)}GQj@ECa%A$I@we?s{gQKWFD(<4m7&HMx#VE(;-I31I80~|)X+Y-ykbd1 z)DYpP4fNlB@~my$WF!Let=oo8n{c*X5;4J5W63eXfw@6wZELC<(v~3w(rAG^9a#RE zSXVr*c_r|;M3q*$$nJDsEeFF?V>IG6yB95!gTYjOD7gz#1ZaW~z9-fHR^MJ-evp>n zn$j%J$78|^)a2E>YEa3dv5+B4gJ=Z(Nf#PTZpNYskjT$B5O5x}$CSeI=n}Bi9ol91 zF(Csky&&}GxznB_6Cop2>qy`>JGNbP*yVk0QCYBKR z=8ZGLf?jJ90$9%JKce|#A>Wwprlw$5f56ZvYqh53-Kco%A9;(zE|x%amkw%7XzcXB zp)2wfNUthW6I_N6Z`etI3r}NeR$=UG=Qn=HM;NYey9SQV2S2osGXAXnSq|T=PtB03@&FD4PURNt;^Qf^`%!& zo?bn9mR^nKN9vDC3O!RXkiuk25iCU^K7vKHNqOG`6dwzLZ-QjC6%J7ycaoE{-xncA zpDa^q00!BVKAKbEq#$ofg>zg4U|0`JKt$)1KWlvfjW(pkbgnUQnpVoAGzqsonLjBE zEz~t~GZ@nvE@y(5$!`c5@i; z`(5@JtLh}CAwc5U-U|Af>{J%3Jv%;21IbLlZnjfgb>k1L435pSXnij-yIT`ER=2)r z0z05dsthxcHQ_JLfWw?_MV-SqH4+6#_yGgNTZ~9=L9mF~#JmPZ$MZ6^EY#iuNro8q zj$0_NTcUT)gkSs&0^8B^t?6&7!#%x0Ruh)k1UxXuT`G?*w?R!5RmUOJX!TGER>0fG z08{ZGq&pU`s$X|ZtIZ0yE8iPtK{Q*)CCki7G)u6-w(Mz> zBsaq=o=QpX4mTG`Va9_&$`@B=bf=9)OvD+pVr{7C@4tp)NRWZZZ)@?vzt zvnOy$RT};o@s6#$cG`cjbCLWkWh4dP(>dbD0<5ZrDQPTH7s41m03d&ihAz4q%@UNao2rBox|=tgI*a!@5T1v{^)*3J*JA~8l#>K3@WJ3156jDGFXwo5M z_hgD5F^F$Pb(45Zv>kO>m2NiL!Iq$pE#!dQ_TclGE^67C66lzSq?jvdZ|6q;SF2%z~q;g%Am_2Aiq*%?*41N2$C^LkpbsCKPV zR8UXsW+*F!2w@|inFGK>ae0gar*_EFw-h>Qvqg)zXcb}+V*Oi9<0G1`QG*6f`1mAn z+L|GHdE})lV%o7pS1IjAQ7w{g-WiSw_#ZA_!h7@n(^ro!CB$(HGrM+p|R<`fIu2GmMl6&uhvkk5<)4aZJ_jCYqoH1NFb zvvF;uEKg@XFa$Rui$T;WH6Q~;?i|&IIXi4rHjdHuH~~mrs1b}H3nVr!8E~LIZ$Ax8 zi6vB6I%0W*f0M0orj^a>_N1N;#15iTNbJkcxQ_Y`6XLw$5VJ8Q0rgPN}D3b9p5Y!qBnLG+}4v!`fURIGIE~``+ED6F$N~Nus)g1~7NUMvESM1Gl z#Wtx1z-x_^R{^+L@inz8Oi&+m$2`pQJqh?+TT58ckMMcvnd|hOq{nym5QYGUw?G}G zhvzjdJY}B7leX(I<_O6mQt2%EWl48V17bnh^)9Gxq)Q=~6H39g)Fc?XCI};otUi(^ z*tv)pkzmI>pDd7vhimoo4N_2%Vi)m}Y#67?r0hHXIbJX9QMrYVIdaHk$r6+R(vx6G z=NbitPO%BMgE_g<)n`lUUQQ3kFxueM#s{aC$1^^dXTgEWdst9Kpw%Mm%&DY*q@W}; zuuR({ZqV2|2D2k9s0@mO%3Ha{%N4$#e@|YOMyLC0KV2y{lmyY3>lN{3A5!p}XyJB&K0>nKPoljB5@Dq*ix9>sAMp6#TuitO zhm7@(T2q2SAZboivjrp-J%sQ+01bojS2=9f>jrgl@M3HD{Zdm$z(L7?PY{rx7`@aM zUgKHva_HpssFYI3=5jTLGiMUOWaturYRq%e4PnwE}`H#n~`RaQRD@Z&c*EvhlNVC{v<8EOszD;gH$hDQZEAo zG>c4VIO{A6Jx#@y&Jt+ABu{lpFXqfD`vPvQAbNIavASQ9zi83;?DE@p(1P*u+0`AU z=v~0b{L$t5y;qO_Y77eCA^%?w@nZgU`t>G(*9^Z*aeI@RJtQy zI>@;3(mTD@7s<0=tL9KMrdJWM)!(`^y3>HRG~0tM_VTj!oVWVF ziqy`xFF$(k5^02w-r9QWJ*0@e|6%{dB@+mrKLft(j7QtQ*28~4fdBn{{P$Y#bHDk8 zANavupBL@F1^!OI@T0%|U4Q!Xf9Can^mBjywb>WG_-DTx*BVS*Twc8Qr1#n{;^TMC zpTD@e{PeBE!JEDB{(%A}7;Pd$V8s^jg zUhlo=y=@ox+^=EG`^W!Y#EpcsFl82@lF{*hw*qs92g zi}4qW@lO@wpDD&U2YS8NFXrDU#y?+-zf_Djit(3=@$F)Krx*{4@vs<=i}6-5o)qJ+ z6yski#$PYSzfz26#duMScZ=~}G5$s|J}AaV#rUKcuZr=**A5c+B*)D;>gReTh0ZC) z=X*bx-SNA6`5S+C@3UHW9a_>c5{^7HBaezf;aHvX~RZ)M{j z@BQU${1d(3&&FTuecyMb_xs7-b~gU0-eora>E1t`jen;1H?r{`?foCw_-A{+@ZIVC z|5)!d8~1yEIvfA--oKHJ-{}28HvYNZ4}DL1zn|}YEgSzr?^!nfQtx-N@h|rNlWe@v z`@ggCt=_HgP4EBZ-h*uXX79JN@$KGU&Bkx_{vaFQ>HX06rT2Tg_qA+1=zS|2H@$y8 z8xMPbGaHY3|8F)P_ul;e^!}T@ceC+U?;p>`+r7V@jVHaomyLINU(5vFuk@DL_^Z9E zZ2U{T-_6Ef>;3I){B`IF>GS<^Z<39FrS~VZ@wE3Bv+=C=x3clP*ZaZrev96nY`pCK ziEO;v`*Yd&Ztt&Ue>)H5m?{~BD2fg3R#vk@x|Ize*PkLL~_)qp;X5(+A zi=kgV$hgPry>AxdY>4$4bHF$w?igjn8RLwYVw@2}j5FeeaYlSF&WHuZ8M0%XAuGli zvSFMd2gVt2W1Ime#u+eSoB;#I*{ zTgCWJF@C!k4~lV9jEBW|RE)>Pc(WL9731wxN&!PcQ~{Q?(Xi;irc|0xCady+=F{?5AMO;fax=MqW|Eod%w#?J`c!vU?b@}ys{ihvD27sh7pd`^(h=e^5#q8D;&Kt< z@)6<+5#ovw;z|+X$`Rr!5#p*5;%X7%>Jj1^5#pK=;#v{n+7aS95#qWL;(8I{`Vry= z5#ojs;zkkT;LzzWuSGF5`Q^XWe?&1fjSx4B5W6G9o(QowLhOqW`y<4G2yycWaf=9X z%Ls9+2ytt}A}MlJEv0f^Ww zHjCNkwRk)(r^)KDIRh@c(`Yl+_iGCa=@!@*5pyyWQ@v1mDoX9p1T<+TIyvwb{Ksm&0av+KqOb+wE|eotA*b=yy52 zR=+zC++NMLv}x&S+SMPfhR)@kR@pO$*=2OvTn>-J=(9V_K9kcF@Hzrsd9JrtZjx1d z7Vx{}iE#elcUi)mcKKwF-{>*>ZL*7Yvo+xLI(+tk+hX#Wy>9KhE%$6{p&0@4V+;(Tc>UP>p4oARg^jOVipUv!W+Wh*dkp$=@XWSP&7MnFpHt^Zq zUWd`;vN-)#pV8?wx}AQTPac5j^0`_FEiQb}U?;fZ9`ghs)-7TkR&N9Iwl3bNC%jkI&_HdOQKY#cH#9g70V>?(ZOH zN8VvGg}Lo+x6@*?`vQKu-{Z0vy#aYfzu)ebAF1&;En2RTcX+$#89-$nufyRs%A){% zZlBL(4@eT59C8R|ugzx;*!2YPdD^#cBVS{7g&7?_uix&H{OoW#B~7hXk0apl_)IRV zQx2arcDMDJO|48$w>lbInBQkITFjDJ%wCtnYI9h87MI6m^SZ4Lr!nBxj^Eq1Q_D_& zmp?7$Fsskzlv8e$^KWuH%`Ug(a7oW}Vk?YN>og=r8tu1b&*JpG2-DaoXVRy)nG&t-|k5i6b9H=XlD{opv%@Ekw3Um`Y<0_# z+g&D~%_`5g)e^pKXFl6ymk)5cl}+c{iaLy`@= zUbo$6_1V2fOTaD(D-TEaxlDH15x2Y~OS;tFbok{=IlOYMn0+3z%Ny{Tr1X@G;I|tc zDvvwtR0nOfkGA`4HlH_OF-t*U^x7RJmtRi5#7n116VLG2Vc~rxlW&gIBnuy4B_~ zc~m9hGs`vVGg>Szx1_h-;R?u^a%y|ur4wh>>+!m5vI4HHy`O3a9O2_cgoflqf~2lv*aMVNj8)ESIP#h9Mw;#RMB!|UboBdGh2NQ$qGh~ z(FD4`|56IrY?7m_4%r)vq=w6GkuqKice}^pcbGi^E>!)qr7ktvobruQ zVMrw?1&~+T4i>3!R7K_0($DuxDPWhvTxvR})D_a|a7$U~G0MBWvS)tDYg(H4eklc< zZofR*+3fPzC9Bx{eyhhR`9VHJ+5%pAaLL{2#kQr&r^ zQqhj~f0hFB^=7+Ot~ryO0=q{}ro-l!Hj&%mH@Yp_#UZ7DQQLWw$7=E#C6PQ*URdll zpUW({PV$?Ce#r~L#Fx!Y+U5?6RLd5tTk^X-SRFYWs?908=ro&rcCAd6&CS~8lJ{(K zkY1nL8SqNe#$+;C0s&PqNns$lQu}l+>ww=DuzLJ_72j==XFUL;qjOqCX35z4M;60hhmdrKnxURksh zwaH1ex$O?A<*o8NyHa(#ERsbeQ%faiqgAebhgExr$K(lkq{KE$G8!#DRmyw)u7Koz zhm@o80KvZ%uQu%+QZ`AsD;JHNXlWPv<$RgsE6pCGRZ1-f&9}cVUu}|?y*`VoF9XtS zwVUlOpDo}EsOn0xu$ESxy&Qv_Sov(JnS3s<{6K`mOp zsd=5!@|G+l-8iF9%0{WAg70YRZr7=$dV?j*6_64xAZNqmvPeFW|F+oWdX_@iC~X;f zR;rWOUgafIn8Rz7!o(}(wAE^p4w7`oJSLM=t5UT|gIp`vWqYmrF6T8&+Fa5Su~;n9 z$gxQt@=0aml6u)AHIo$G+8#-w1ypm>>Im~nI!e~F1>CBC>kc?w(({sP!)%q#j>kyK zcJ{f$)iGP7-!2!WRFu+w^qQqgwMb>{Hv66GO0znpQyx5I^`i&sh{H@$Fv@i!X=wII z569^VNSjPfvr)RBa>^MV_@%3EwuRZ`*xXi^^qT?>za)=CI*C%bI^8C}MV{ZNwSE4_ zA=@lcAV^zZ9k$;o+e=9%7pYBJ!hRVr&^ma38xC+t^_%QHn;Q^q}eR3E@^|xB^KOXKM1Qa%&#tW zDQvyc&5{ffFiEv7%>rpK%IUPxY-*~0b;PX(L*ztDMv?=Np#o{GTI6)fIhOXGls7)9 zx1??ie#0+saD_?6wn!NuEnt&J>I|n_Ez0%z<&fobq@NVrzNuQ%+SMIw_#4Ai?=T=W zk96T=SjQoyp2aK;D!-gJ>3nnI)H^zL@T)er)f6U`j7>TgeveUl-SUI8lGCM`W0DF_ z8rF8dULCfPaVd2eM(O-Yt>KVPwHlppOaIy>4N$L54LPY^ftFwOgtEJ&Z>~DK7HKd` z-jue7McN7Ke^Ru%Jq|Zt!1W+qav5cii&}=5g(2D}|-xB^jHN{Wr-kiO3E)?Ey(uw^J@ zr*WK;Vp3!K1Lk0|y4$D)!}11anDo|-G87}pu1>ZjlJv%;Bc_tq<(HEe-2Rs@u-U_W zQVz*5j72)&emP93|5Te=YE0?DNOwr9W~B?$p=BF?xO|1f7^d2w(w=fj@_1xGP2MjT zxLvwRGI*x?t-V5GrE#|?pSPQ)vj?-`nZo&h24)5SAj4D&%>U)!5W;9`Otd4H%i2ZRK&cW6A0b|F~ zzNaKs#WuJR_u*;0flu*0Mwco2$nx27l(PRRlyMA(^u*aPH`YS8Qp&To#NCy0?s{WC zrA+7y;qPOKXWuSbT z{k=4nM>TV&URN`4N-s9Yb{LNRaWH<3V{tN0!zH)^zsJoe+0_s^{@;#A!r*@B$19h4 zu>6-(V~Cu>5L~IC&QI`o<>0fa*QK&hGvey?;Bktjh}BH0dcC$XwZWif9wVoba#krH z(n=}I4TAN8EHmh#;tU2uKc&2Hh%%$W5IjDKr>HoS!7xXe*VlZq|W;GZN zC}sO#y&yYzmH3V_yTS03_^mRB!SGp`(_n}ZNqb)cWiEq3t;~&_+hEAV>v@!U3+dV2QhuQSSy|3t2-ZuIS=}nGU@){+Rx}v8C@UEZeU);O zhbyZX4BsfL8VtdDs+z&DNX0T8zFH~M;KBMz8VP$e^Tu{n1_bp{zgW<8V zp26@&SzqdJ$%v5~Nco_YOq5J17flAGOjqYpHZd41%BBWGVP!Lep{&wvFjQB1B>ySB zay&|(NV%bud@~qFDdl3EOgtMGDq9!~%akn*hBZpb%v<>T9%XBT;h?gO z%4wn*~egbqWsEWc%|$s_h#|;n9(Gb{ZFLqC*`WLzah91OuZh*z~51d zqTu%FFbm2h8+=_ak8H$p$pl}QOChLa@t}J7Bv~>TOI8aiStzJvji7QUK_%&fN>T-t zLkc<&hvOKWh|_QmF2d!w7B}Gz+>3|tB%Z@7cnk026MTgqFj6FKfAw-sts__In*>u~ z2F!-Ra!hmgMb=3O{jfuV365C_2oR;_ZBJPhvaTEs2ZP{)r z@hn_`OEFlE%XS-xx8WWy!J$Pw*9fz(|z0F)$t`L3N*nN}mjv4fCK09atDkVtK5JwXq@U^-y!- zw%7@KU|$@JBXJx~#u+#d7vo9{)>Cpk!Fo#E#q0a=D4xO#cnxpkLwtsB@DoN+^_6_S zy01i;0Fz-F%!D~GA6n3bMX@wi#OhcV8>1InVted@y|6zH#ZmYTPQ_Wc0GHxw+<@C~ z4<5whcm^-w4ZMqw@dduaFBmPlmY#7i5vIU&m<4lTezajhERJQdGOGJ?)OpkU@lA>S z*cv-xH|&E0aX5~_i8u}C;38a(>i!?~{hM$H?#07+63^ikyoLAi3BJM)7%7JKJuy%p zpGZQS3Nv6f)W*2aeD#^%@-J7Ev(i-U0_j>E|~1LxslT#4&( z3+}@Gcoa|J1-yp0@gY9LH~0yo#MIIu7AC-CmeSBvq@hJQTr{XMJfJ;#y2iicq4drqT?oY&adP-i;fY~u0N*6fzKD~Wj zg19_N7c%(wx+q<|VC+ZfS_Nb20tJ;WNznc{4E6T-B;px3AD7}9)Z5`ZiTC3%JcF0< z7Cyjd_!hrlblQ)4yIb7}tj=Q^%#68E-ASwduD7?SA&9`%0D z9pcCM5iruZad7-eJ};cO2lhj~ zUo)C`B2LG7xCHh7%tqoJxDSuwY1I2MH;M1#Qw)w*$^LyN*84AUX@4id)R+l#qTX+@ z6Bou(SP^TW-d|}(+#K6s7u3hK^nS_+ULS{3a25u~x8ykV{>eIC*T=VZ69>n)WV;i@ zdcWi<@om({xn2;zN4-B1lXi3hOpfU=IPN9iqxVD1yzWAM{HrW+aQsWQ*ZUuhc-@P^ zaWMINN8;|N_dA9VkHQH!4dIcZ#>Zrs7WIBYZekNUu_%^7y?;=PxFLG5C3Zl) zU+@*Ny3b#o=dbY_{1)~8z<0ze@q65cKcLuSmUdOxmGwSXCkHk@EuS)U-_bXz1 zEn<5h;&zF7UnjkGBShWr#fZx(sCp?S%s{ z;(jIK^@#hQh1d0QVVRN%ex3tm$|M+rcV1?uxz>N!-Z-06dZ za3qdLeI9Ez@giJ->v1dU^H+z6PvUvJhIde(w|YtZ0V7Az_AeGDM18(0J#jY7ixw<^ z`aD%R;;L8&8>0{P`KfT?9@r0u;%L<8rKS_l!zH*HH=;ftwU78H>gyUV5#L089_lIa z8~lvX=-S{N-6MX2ukjN`rC+1Z z4<#l}g&8pihM^4$VM(lj)v+Gx^FaaPw%8ea;Q-XC*x z7Ul4R`Qx|xZ&}#xpVbbJ*p3Oc3$*i6nELXMY8Uk2^Yr%fpS3RLI{R@Kf5un%5u;Ea z#>PaL5;I_S%!gJih$XN*R>Qj31pU|sJ7G`M*S!oQ9)pu`2F^!)y~`TnO}G>H<1y6N zxm+f`g%9ugyl%^(*SRo$CGTaVze@L#VG?IZu2I@8Dy6iTZk#$kd0iFd?SE;JQfp{%pi~(SilA zI0n~8%Jx->>tJK_VQUOWeO*dF;-NSiC*pL}*P|>UUX2@Z2kt|C9m;9qOL!CS<5Sev zpL`~cMtv9;lVEDhggG%k+OaT}!irb}>ti!)j_puiXVRN^AdbLsI0g0fCHnms%Xxhr zZo%ECuPZr0d=9VTZG43KdXo3Vk*GIgVggK#`Z|)V#Cgz+E-Z#+u?p74M(D*>sIMF8 zPTUuV;3%Ac`g)PM#EWqiZouuRuM;^!dgz%>66e4$ zv|%CC*Mn3bu8#GvDF#qq2hy3i7Y@MTI2QHwA2W#;;4)l`n^9l)q2E7qoY&9d75oYH z^&ZcO-=TWcq$=-XV0_fqd88%Ig1OOzPSn?Tlp(H+wXh+2P+!;4fw&ufg@f^H)Yo%- zORV3=^BwU@{2ujn96t~r#vkzls^|QwGugGB2I}JFgxZ$D;C5O zSRSikU2KAW)bBs)MBEem<1ie9lW+#k$ECOiH{nj)kH_!~UdCJa0H5Jo{DQ&zo+Lfv z#n#d*DW<{9m>0D`8D+fWiBuR#KcKn?OzlT3Oo%BkJ!ZqaXu$$l9Lr%iwhpjOjdtg5t zilcENPRDt;1Xtrm+=2V>D5~d3tK+?dH}O6`#W(mFqs7(U7Z;OYYRrT=F+bX|FqXoK zSOe>0Gi;9SunYFafj9!k;S`*O3voHF!!5WQ58??thgb17KEfCH9wWum(kCV+z~q1x>6iV|axIdCj zg8tfk$FFVod)M#%+UMx=6~FcObnNFpx?Prk@%Tn}zOOG1!BIE?r{P>&jH^&TKFOZJ z^O6KpVsDfYq@c zHpKw8#m?9Z2jFlVi<5CC>h~4t&oNlb>zi>G9zgwmqO-(T@F#qT&+#1^7*B|S@i7^u z#VnW`P3Xj;SOzO&Eo_J$Y>6GP8-9g@@oW4BzeW8%q3?+G^5VD3@hp@VxgwMsHe!99 zb#dadSQ%@gzV1O^m*eO4)~L^0|6j*@=khrb%f$`6z8&}C5j=$#@dn<*C-@paVN|Y{ zIG7kyVMfe>VQ9lbsE_BWUj$P1e08jcO)-FNu`~8U{dpq8iN~UTU*k;T1-J~?;%3~1 z2ku{F)%(R!?c(MbE65JSQN`(Wvqn_(St3q19ro&a4>$2-{7}6 z2fxFW_&sjJAMh~#h!^lW-o>Bs6@J7hT#vCa5vIfpm>u(>6$@esERWT&E;d0ww!u!= z6Z_*Z9D|c^2F}N&7`$Iy@~?is;Z9!PkH_!~UdCJa0H5Jo{DRRLmyCx=F%4$MTxdiG z7Qxb332R~lbYlx_k6p134#JT*9;f1LT!br7zkhHm@g6*cC-FS$_YK}5evB{i1FC0z z1pBq9Kj$U|aeB;#dC`IeusD{(s#pgbqYqnSIQGDPI21?YM4XQEa0%-718yYVf&1_% zo<{vXz?;PP@hQH+&lrty$+(yVQ)4E~iTTlvg|QS?#2Q#1n_+Wohh4BY%5DYE+kZ+u zJcr|1gv)U)>i0qIAl{3I@g$zZD|idBs6@J7h)IYH?5vIfpm>u(>6$@esERWT&E;d0ww!u!=6Z_*Z9D|c^ z2F}N&xCS@jPTY^j@C;tYTlfH<;amKI(W!spVNy(knK2g{(Sb#zsf zb+9q|ur-Eb5A26SaWqcE={OIU;A-56`hEBNh>zlFyo5LLK0d`a_!*-yZx|Q#`|MK_ zXTqGAAML2$Utfy2BG$nA*bMdi>ecUos`h0U?2Q9)1nT$GPa&R#3voHFL;XJb-NXm+ z1fIjIsNX;Ti1-D*$4JZ<#zg(T`Q*guFe~OkGwS!t7b7lU2#JNCsP zsNWwyfp{9u#l^S^F>>_ztxY4!Fh>?Nihxnv!3tJp3m=!eQ*$t#PK*4XX7GVf$MQA?!iNN63^o` z3_UkM%U4Ia&Q9YcyovYmDZata7>)LNTug$gF%#y*{AkC}a|5*Vkd^j)9yFs1i(y%; zg0-;`da)ID#O~M^hu|ojfYWd;F2+^30k`8`Jc6h2BHqAz_yk|$CyYvaJq{+uRG1NS zU>Mr45SGLWSRLzOQw(5R?2Nr|01n5oI2mW+0$he`aWn4119%+I;uZV}AL4U-hX&f| zF)%(R!?YNBZh&?@#ire!2vcGP%#QiciUqL*>dy(QMqC%0pdZ^{C+vy+aTt!lNvJ;; zY(DW)T!Wi%C+g1wJ4Sp4FXJtIfckU5-V%So==1~PVN%qe|D`{VJr}PV(Sb!!f9_W$ z;+oh1-Pi*4=Y4f0?t_DHB#uY@IbXAh7vTzAk6UpM9>SA&9M%-PRx&XER3bFBG$nA*bJLvJM4nN=jcm+ZXodp z9EVdd_&j~tZXxkIi|y`m_z9!3{xJ?F z##ERQb6^63XHlOw{E7G>KF4=x zpr5Jtv*Qyd!?c(MbE65JSQN`(Wvqn_(St3q19ro&P`@AZYvOP4TbzU6;Y$1-x8VAJB9X8T0-hun@zpY&UN7r?nr`)}UcknU3 zM14I+WXhjdm=IH7dd!A-(SilAIF`ezSO*)U4_jk6_P~BP6i4GkoR0Hw39iPCxC8g$ zQ9O;8@Fw2Jr}zdxV>HJ3;$jj^jhQef=0`gg#!^@jYhZnBhRv}ZcER2_5J%uRoPx7( zAuh*txCM9PK|F!y@G9QMNB9EYVM$ zaR`pW2{;Ys;$mEd8*n@B#UpqMFX9cnhfnY|e!{4fUvV%oroxPv1H;gUg|H-6!0K2J zn_>XlVrT4y18_Kw#mP7m7vM5ni<@y59>C*x7O&t>_z<7tJ2WuP7X#yCGE9qEFgKde ziAAvtR>oS`5IxuuJ772b3J2rY_zixGbMQM{iQnTk`~eT+k9YyE<6Zn2U*SiL!Z>Ga zOoS;h17^p3XvKn90?T7Htcy+1k8Q9M_Qd`;49DOkoPqOkDXzgyxD)r|F+78p@fJS7 zXZRMsV06a$;$c!ugPAcG8qtA8uryY}n%Dr{*aF*QSL}m>a3qe$sW=-K;R@7$7hx;$ z9z296@jPC`JNOu1;s;c};TT-kj0rIXrpIiU7xmviC_r2s%VAZlgN@OLtuY*XU_Ts+ zqj4fm$9cE}_1`(zNW260;ZZz|m+&Uu$EWxPKVvk;`Ql;{OpTc^C+0^x7RFLo5o=(5 zY=+IT9d^OqI1oqRIGlpBa3L_XKYFHPWpdZ^{C+vy+aTt!lNjL-N<5FCMn{X%Y$76T~ zFXJtIfY0zPe!=LpJL6$eOoN#*7aGxlMX)qh!kX9s-Pi)#V^{2hgK#8{$Ei3Q7vTzA zk6UpM9>SA&9!;Af0RyE85(!PJ-ub7Fq9V___X6|n}^$7a|Z z+hG^%jRSE6j>9Q93m4*YT!&k5Hy*?jcn+`PZG40;@I6MNy%`e|U~)`{Suqcq(S^mZ zELOqV*a*GY3OizV?2AKi6i&ctI2RY=D%^nEaW5XhQ+N??;5~eTukjN`rM(#k6JsjO zh&eC}ZCD6PVg;;@^{^@aRi0~kh5h*nAL4U-hX&f4F)%(R!?c(MbE65JSQN`(Wvqn_ z(St3q19ro&a4>$2-{7}62fxFW_&sjJAMh~#h!^lW-o>Bs6@J7hv^Qg8B20-HFgr^2 z3$?#wUimQT5W2rj%MYt)CvCv(xEGJ$DZGd`@E$(F*Z2ve($0v3i7^#s#2grgHY|iC zu>yvkW1*ctC+(A>_-8%GVkO`IJ#NE)m;2lPtn%^C`rd!m^FQWLzAnP$_>bOq{3F|6 z!0UJyf5ulB>JMw>K&U^gr9-GctffP!Kdhxgs6VWwL#RKjr9-Gctfj+$dw)0|{bDN? z#1dE@t6^Pif_`j+ovJj}I7`etIlSh$%3XAAetdyidOSz4s|6;e4b*eO^#s zrx$^;v!fYD`8D+fNpGo?XfHN!O;E6+WBrx{tw3<*bj%|Xq<@CaUL$g)wmIN z;64o9udJo}I>w{6;BGvKC-59z#oPD@U*LO;#QaxGOn}KT9fs~#*3Ludeq}8kLia0c z>2QI0zw3Axf5un%5u-5w6}n$pOTWU*Z8>0_H?P=}2)u26EADdxwY=>R2Hx9%R zI1Z=aEL@1oG1Q*c(mnM2A1xh1&;QZV;Xk$B{f_acmH0hw!yix|Uk%OUYxyCxu3bxq z(7JXl9e(e+_W#uKSA&9XJQgOz6qp{f zVP3Rg0W6N?uqxKU#^}S=7>+%#9}dOQI1#7gJY0gS@z) z6!_{s+qjqnQ)4E~iTTlvg|QS?#2Q#1n_+Wohh4BY4#W{S4yWKOT!_nY9d5zhco0wE zIlPLu@e#hj_ZW$B;Fy>IlVdu}ih0nCE-Z#+u?p74M(D*>*b%#9UmSv=-+R~cZ)e8o zd*J{aj$?5$&cp?{4A6GP8-9hM-+R~cPw4mFwR8ym-n*6#q2GJg(&1nAd+$=+hVB>qC)_WnKi^Vc zH>j`AN=<(;6XwMHXve}>3M*m_tdGsGIkv+t*c%7p2poq~a277a<+u*F;BGvKC-59z z#oPD@U*LO;M1LwKCcxyF4zpq&G@}cPVOgw#wXqR;u@!d2?${TH;3%Ac({L^>##Oih zx8q(sf~W8z-oShK1YhGPj7q;M4kpG_m=SYe7}~H9mc$BJ9qVCJ3}9RAjJ`tc4BHgDtTG zcEhi5Fn*2S;I}vjzr&UIJ#NDv@G$;}7w|gX#h>vNe#9vBt72m!Oo!>B4-UeSI3B0sY+QsZa6N9tJ$MLD;(5G=cknU3#19ym zepM_?h$%2VX2ZN_!2(zu%VAZlgN@OLtuY*XU_Ts+qj4fm$9cE}SK~(9f&1_%p2kaf z6Yt|we1o4c8vVSum;_T}Cd`TX(T;_&6jsCKi;a4~qzs7IyTbzU6;Y$1-x8V@Le;kHma1zeI`M4C<;3nLO`|%i_!OM6HAK){5 zi(fE0zw;0elVTdojJeQ=4lIJDu@ctA2I$5X*dDuL9~^`uaXe1N*|-Q-;CkGOd+-pR z#PfI!@8Dy6i61a>eC>LRg$XeQrpIiU7cE!-i(@&gigmCt`mi;IV-M_yLvb`t#OXK> zm*8sLh&yl}9>vpm32)+ke2Q=IGe%3GrBhr?f~heR=EVGH$HG_&D`E|-kIk?-w!<#i z8wcVD9EVeI7B0l)xDL1AZaj!5@El&n+xQ4y;CqaeP)nbfm;jSwI?RfB(2OoDhGnq| z*2YHY#a7r6yJKG*f}>En1)=e=|7CpaD(Q0@AK?ppkC7PniHQj?Ii|y`sNb(>CU#*l zEQ?jJHa0>pw!)6s9sA-C9EB5b8qUSV7naA1N-4n9E}rkI?lr-xEeR&4%~-F@ibn-n|L3e;v4*o(HQrMi%Bpw zX2P79AMIEeOJPNo@dTd3t9Tn9;R}3^ zkr?-hi3u<{ro*h52hHfhVptZdU~O!KUTlROu{-v~Avg*r;53|zi*Xfh!0osfkKif1 zh&S*aKEc=c38OOZ69*GxD$IyEFbr*22uorGtd8}tDF(1DcE(;f0EgpPoQyMZ0WQO} zxEXih0X&Xp@e2Ng5Aiv^Lj&VJF)%(R!?c(MbE65JSQN`(Wvqn_(St3q19ro&a4>$2 z-{7}62fxFW_&sjJAMh~#h!^lW-o>Bs6@J7hjQhmKM3@pYV0O%hRxF4musl}7y4VE$ z*akacPwbDwa12hu88{!8;u_q9J8?fA!!vjpZ{Y)chHvo;MrYh79wx;!m>F}S5gk|r zOQTeqq5k6k(qGhnC-fHSbRVDKE7X6FPVYDV+IouL`@9={T}4UybNcULR3+B?k@|Xm z{kb=x^%Yva4y~`y(jm0ILQ99x`U))_LhCEEbog&uUlFSBwexGRt0NvOE+hbSkgM)A+j>oAOx=&b3|KEF`@M^}fH{uT5hez== zUc%7tUuo%mka6D=cn+^(==ZO*bUMQL?;KDKI@|!@Ow00$3c&VO6YyjnRj#F&ukfKOBmqaUxE~ zdAI~u<3`+p`|v29#!Gk;@8eT^gP$=PW9RMrc|!NiyfGhz-5 zLmL*tl2`$&V?At&0c?w%u@?@&;W!p2<4jzD%Wy4j#$9*-kK@F`cjG}kf#>il-o{7x0^eh#BwG5!!~~ce z(_vQ3gJyJLF)WK!ur@YAFSf#t*d6=g5FCXQa2n3V#kdML;C9@LNAMJ0#2a`IpWti! zgi(`f=@bVOV=ByuIWP=uSO`mE1+0$suqg(xEq2CUH~@#^Se%SAaRDyFwYV8~;Q>63 zXYmUDgb(pKzC(k|k*nuF#lZNO4AWv3%#9{=Vo@xEm9Z8!L=U#a4%iL9!om18euLlQ z9Q+Pf;`g`>f55}|BVNGkco%=hSNIX5B-hd@HYUQ9m;tk6KD1&%EP>^*8rH=o=*KqL z343CH9EM|X63)Q+xD?mmCftep@fe=L%XkYP;4^%SUod(KEuG?FQcQ!HF&7%qfkm)1 zR>GRt0NvOE+hbSkgM)A+j>oAu8yDdUT#s9E4<5pkcpk6e9ej*0@dHLqsijXWOo%Bk zJ!ZqaXu$$l9Lr%iwhpjOjdtg5tilcENPRDt;1Xtrm+=2V>D4xbkcoXmAQ+$J; zFpw!)6s9sA-C9EB5b8qUSV zxC%GmcHE0c@DyIe8+Z?&;A{MZQPXJY6bBPyD$IyEFbr*22uorGtd8}tDF(1DcE(;f z0EgpPoQyMZ0WQO}xEVw9{n~jw{0GhVM`qn!EKG*b%#9UmSv?Z~{)lxwsft;Rf7}d+`XK!i#tV@8J`C zjh`?o^W1STF{Z+dm;=MmhJ~;sR>10551V2D+hS+zg#&Onj>XA16BpnzT#K7=7aqXl zcowhVPxug@<2y7k&m9BfV=_#OSui)6(1}H{3|7Wk*bqI~5<6fw{0ax-*Z2*7i*xWh zT#4V~Hv9n(H<1svgm+=-pz-RatzhHFke~O1mF%4$MTxdiG7Qxb332R~l zbYlx_k6p134#JT*9;f1LT!brdJ#NK4cnDA8dAx>q@G-u`4;Y#G>sXi&Q($_`hI!F~ z1+X}l!>U*Z8>0_fV>tG}emE3I<3yZ}^Kc2S#*MfG_u)}IjhFBy-p8l-20vpo=CR{q z5=@PmFem0mI~K-LSP^SreQbu!u^o27-Z&6P;5eLuvv46U$91>`cjG}kf#>il-o{7x z0^egK=C5O70!)tSFe~OkGrF)Cmc=Sq8yle)TVY4+j(u?mj=~8z4d>!wT!kBOJMP6J zcnUA#4ZMd>@HKwIsLW%>!NiyfGhz-5LmL*tl2`$&V?At&0c?w%u@?@&;W!p2<4jzD z%Wy4j#$9*-kKgib3|_`t_yC{bTl|92na7TYNihv(#$0Gb z2NuE7SP5%l19W2xY>!>B4-UeSI3B0sY+QsZa6N9tJ$MLD;(5G=cknU3#19ym`RiDi z5K~}!%!YZ)V z_y#{?H0H76ViHV^nJ_2jM>`hAQdkjdV0~u(> z6$_#aCxq^om$~l4f6#dPpLM@{=(%fJJ}$|4bOo%A^{^=huq}4RUN``U<5>JpdG6X@ z{ks3F?_VFvi-9;C$KXVqhX3s2`DcHAWsajJ*2kvk$JW>pyW#ImuQ|ML5iZBIxCwXQ zUi^1Yhky0{|Es_6ubOZE-Ru8fdtOdk=C3=UKF_W{e|0dgk3{`x&i8-X@&2bB&z#@5UVrO&|Ji>}$Npu(T$mqiSP+Y2S^T5= z3%_-of0h0GtC!OsI4^(J_*CdT{_pE{L+SIsr_UAg?=8HKPw*A~T0W0YyCNB;#Vi;~ z|4{m?`vL##@vHye_IN1&Yx#H%<-xzYKNL#;Q2MKKq&WHSk8ThDyS6h!^{;ka{MP)% zKdbzYM>{78Mtp92sQvSgwSPkC6iO#mzW<~1k-v34hw@V>KZWv>!SHMACjM&e_}{8W z_4}mt-_HzP-~ZV4{d>oCLh1U)q^q8ea$8Ao9jGiX4Jwb32$~6VqCWm_CoYVoup-t# zeZ5CB;^x>6yI^nB`!^$q$Ke#5g$q%yhu0Br!QFTePvAKW{cf&ye)rNJID)6}BHqAz z_yk|$CydJa$T*l7Q(;ETfnjLFLKs@VshyY5@8)XhpqEc^xNhY(ieR}UkCh7gd*}b; zw(#Kl;-M^q55{RQGwSo5Mq&pR!O~a>^>vXAh~3x%+hbSkgM)A+j>oAu8})I_6~yat zEAGKVcoNU!HN1n5@g?fxmZ9gtX!#-ZJQyt<{!^X@^GBB>#kp=mKi;a4~qzs7IyTbzU6;Y$1-x8VM$aR`pW2{;Ys;$mEd8*n@B#UpqMFX9cnhfnY|e!{3cPbm&2 z##ERQb6^6GP8-9g@@oW4Bzr{KD9j?UhaU1@C zhw(?efYgib3|_`t_yC{bTl|92W#B~BZ}BiGroqgZ3ytW&B3K$LVNGm+Zft?= zu`Bk$K{yh}<5ZlDi*N<5$E~;r58+8XkJs=HKE{{$0VDG~rC68{Q($_`hI!F~1+X}l z!>U*Z8>0_fV>tG}emE3I<3yZ}^Kc2S#*MfG_u)}IjhFBy-p8l-20vpo88B1%C@vf!P4zJ>Ee1tFX zJx1brN-;43CdYJ`74!VR_U;DGs%ih@_#S$inJA=CGAc|DcZ?L3Zl(uGDkDV_%`{C< zdKlA`5V}cmcsowP2XO{Ifpc*_F2*-; zC4PvX;+I&0J8%!~$8uFl*P}!{5|70sJOxv*DYnG6*by(p9@rcE;SkKj>u@Yi#C*ID zAI8UUHa?FF@iknA@8KF;j~nqD+=V}3!1a_W;^BBS*222j08hhacs91j&e#nv!#+3= zbMR`s0dK}hcsD+P)3Fer!58rrT!Jg`1N;PwaWihmA8;QYDsKen_9y|5z+>L(HV($&crE?|C*YlUFFu4b@hN-`U&10>itpk_xDLOGGx8Y>G9}Dntd>UWCMfe6T$Mc}v8&ASUcsjPg*4P0rz>D#6?2A`oE?$FU@D{uS@4;#K zC_ahL;sSgX-@OT7LhOOPu^$e>JiHFa;zZ2H`|x3W3}@r>xDa2%W%wSh!S%Qi zzrkJj69)3ewysAN@o+pEYhhh%fTv+IJR93%XY7WTVILfbIe0bRfH&hLyc-|D=~#%* z;EVVQF2NP}0e*tTxEZ(O54aBx<@=Hn@CZBxPr#G$Z`cIS!ZvswrsE}e1!m)59FEuG zKX3xxiTC0|I1``3=kO&g!ln2weuV4rE8L3T;a>a=D;!(8J{^YDuqM{Q`k0DmVk$M99yY|r*c{XFTmS!}o9vuE&k|4er99FsNNRe=6ePcr@0+ zy4V0u!)ACkw#UvWZ&yX;znr#;D2J~RWi^gi2kT=ho{6pS9PES_VNcA&0Vr=HM)Kvf zYeac@8c}xV5oPit)-juG}rx`$?d1`IeZC= z&|L5DU2cDb>+mbwistiAd%67^R^anJhha6WiFL3(rsA2{3eUk#coFu*OdNp2a3o%j z$4Ex|f%)zVi2D}+3;obNEPRBpGe|Js4>;4)%-oHDaUGv2p z$Ns0shquzNyKpN0^?!7w`<3yI?S^^&xR$r;{@C@v>?gw3*Jb&b*FRxje}&CA{c-KL z4z_=+^9TE9)@Rr2!(rr(#OrY!-iDL$ek{Pp@o9Vk7vUSY9N)*a_}BkOl|CQ-`o8Sa z`7np$?f;eQcK^4(FFW$NTG?nt&OeO}0(rY4(9hxrDZ{TBl9$;>%*!oxfEmim9+elQ z^v%r63{v_GA0DLSW)Du$J5ze+W@q+|+?kS`}tL|n`ot`r^kE^e1TzPtIXzFh9)ah#}Q#Zj=*Vn3>=&2iQ)#>q*tABY`o$jAp zb)&61JzjOyjkD_Xb)KtEGtBhf;i;Qq)#-UKSKc(MF5go()2h?sNmt%%t4{aVuDW?v zogTlt>K0jbI!&&+C03oD?{n3ywCe8l)UCGa?(@{Gv+DHt+V!{_tvWq^an)_J>h$%D zt8TYdr{@7&b^EM3UDmETeNAuHtA{*wm94smJ$2QrI(@z2dR)C0uX)@8PhCB$Zn~#V zw_hf2hNn)yd%)B^>Z#Ln6{c>cr>>n<_n4=ylT|m%Q`gO^)8kv${Oe`a6?*FWT6KD! z$dxzPs(aE?r{`eIe0j=KH`=PxZG-D^$60lAJav<-Iz8TZNRZ4`uvKguCi69=T%*K`rd`fd(~5?|I=;i ziad4oth(1cb&agL*FAMjtU7&t?3#|2R^1X$T|2ApO;4S^Cu64fEl*uHt8S^Mu9sD} z%v0Cbs(ag0H`uDv^98Q?l4sSe@YIdA>h##dl{e0+d&g5Z$*OzTQ#Zw`d(Trh&8l1F zsherl>G>1abj-HuKJe7dv+6$d)Ge~=^q9=`xJ#_Mk34lNt-3Xyy46>)*W=c* z>WV#e^{l!tJ$3q?r`f)2@YLzGAWYp?p1PJ+-9}GcJFD(%PhBUgZj-03n^m{jQ`gI? zEAiCzwd%Hb>IPeNTRnAoR^2zAy3tmhzP53#2ji@|Z>_qTGAz6Bpo08pnCo0le&XdPO@6eESsJhZ#uYiQqUW`> zP9Lu&n#Wxw113X8l@0efK%MEk)|uf6*0A2MdG96YP-!!D|M;y7@A(x}P-7jGG~M*pItlWqeR9_v!g2Jq$m{VtDryQ+E+ysj$<&6U?o{#m{-u{2NL z2X*C5J|PJ7eR9*xU~K=2*T)A1GE)0DMv7f|za`1~ThXLN=S6JZm@i~`$aHAlSWjNX z)t91MLgX!wJUu_7{TuJen=5%2hR91Vi4QW!o8ZZd zs~-eALgdYrJpH~z?cc4Qyq%IaC`8_}E%AZ&OYe*ONE*^dMM!m=w@l)0-|A#YmPJ zt$8}#uKrDE9q#!ys(eD(^X&mo-jkA-M&A@y{|Y3pgN)byO%q-H+bVgrG>GQPE0Me; zsnNWLMOR*hHsPkXQibUB7I^ZKB~SNJrn&l;DtX0Hr2U&Ay85?X^5&R>0I753Rjrs1 z==HcXZ>A^j*7mZ`46**DB_;$DWIL{Tvpji;on?CUi9>VsZ`qNuJQ7Ot3Z>RHy+z%F zKtHExnk%oQRzjfHkJJ7=>B-x9Nf79DB207T<;z82MpY@zo9)R<&klkW+IgBQuhOXr z!Bmc$%y~zhO|jd*nzC`;s|Nx!S6+c!jAjD+^SM&%ntw;-1wq3Q%Og20As8c%tMkvC zcRW!4TFJpk_Yl)tC>O;^VtVI!`gix3Ah?2QRb11%tcxrU8L$0&QFKl3cVmKJe~9T# zyC5ML!1}kqlec}MY+pjGe}$JO1o^Cg3q5%WlVln~EZ=6m5`q%?x5$&1eNVXgm()Kx z{}y}l-jF=Kewt~n_D+%QGyPlU$vgG! zAXpe;c_crY5bR`mEcfJXk&}6^>WgBUYkH57i%jj5*8)2KR!XgF`4-BH_q1vz&AmJ- zt&rtgqcrbbPhQDyL7?YCOmp?G$A*MJuUD!4Tjj}XB>y}io2;_t%1hsr5EMw2=9%-3 z2ipD>N}kr4=E~bCdD<_{TP?cgUx#vWK_?R?y7G!PCj=QXUh~$7uDmIdr_0|oS6-5A zTq?;(&08zQuDmPC$3>1`50;m_B_Sx1BF)o%nk#RY2h{W?;^?TX+osV492d1dnIp~jMVwJ%ad2VYFwbtN7Gz+MSEoa zk+)llUH#i7dC4Y3>datl|B8Q<<5TkXc=C>ueja-yGOkVNN(Y&8M zd4sBj%gfjo&D-b6n=D^S9jbr(qj|r2@)lMPmzN?2H z&8y_en_V+p-rDlfJlW+q`*%mJaCt$+XrAmMoOwCN#|5b}-ZV29yL^)?B?kF2QkREp zlAL)3wc`SvzowbN*t`YtiGlu~m*&YP#F;nigt$PTkEXfuswO4|9mv!FM{})z1xay1 z6&*=)<@KnX7!*jI_D`Pj&b(*j$&qHlL|5M4s)<3mjMqGQiaPUlNM4xZp$sr2pBrnYLc91+Xy(fF}9+AAxA&$q#NM5nj>G~|In6rP|&W{WAen5s?Y`7}( zuYo6TpXBujG5>NT&#W(vJbB$Z#Rc**xvWWPq{VKpiX|^e#_05>c=CozUfa@$$ZuC( zaJFo($kXkwYx%w?c}XGiI!K<@Y5y8~^43V+Ei&FTGZ;I)10=6VMrvLY`Em8{@-E?) zZ*uGC@;$?oH$?Kn9N#ySyd;?j?O!ub-bBgkY9>~c(nyP)-X4-SK*nfZ3s2r>l9yH* z5&7-PE0R33y>IEst0`Y{qwBqCuDq&kqVunnC$FL8rI|3%m6s%WrhjRkyelP7KmTN! zD=$a#^ucugwejS&Ne{RE%V`_k{0Gw-L6d84enj-I?KUE_lKA^Mjud8zbIp7PHAHIuwB?{^nT zUIuyc6maHEl)P3U`j^x`y8V+y=*(Lxd0&Ls9}SQ^{r(wU9`Yw;d2)KQY#C~|W?dW? z==U_3CZ&%4V8-&%+W8Vpy@dM(bqtBUCn9n zK~GaejgGHEof$Ps>YA7vqHcG!B}$#$zqQgo-EL_A^f=mVpY>QvW>-$+kL1^E$!lzG zh%!3T)I%6nilwgj=pg7NBQ#U literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.d new file mode 100644 index 0000000..4a1d644 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.d @@ -0,0 +1,336 @@ +src/ASF/sam0/utils/stdio/write.d src/ASF/sam0/utils/stdio/write.o: \ + ../src/ASF/sam0/utils/stdio/write.c ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/utils/stdio/write.o new file mode 100644 index 0000000000000000000000000000000000000000..24ca968e466943300c9f928c8116a721b669c4fc GIT binary patch literal 754556 zcmZsD1y~i$_y0XJyZ4d?w%FL+9oXIZn%LdlgeV{-AR>yCfr7-&v9i-Dq(uejg+rmJ@vEZv~aMA1b3mtVr%e*azXqeSS#dZ8P(iJf@#=OwbkabGv zYz+;^>vaQkCJL-NN2)s0a?w&}QlCu_DIO2txk@GwGlj>xd7`bNBc=E{p;PM)2SFL! z3l0}>)8by7qSH^Nrf6F#>h3z|<3dM@NSzwNW46#-cU7nEWvZ?)$JGX{BB#)hx}qj( zxE)Zd+1Uo2x~m?D!bWHH`3KzW<1s936rB<}3I_JGn$p+-oP#$ZrzAxvIzvhBmtysO zgs!xqK^9xOHkEXSM%;v@;^SuO42=uSDppl2(GePEVpSwZ|1V}?HR02LyduTNEz=pA zJTuxTjvjD8tMC$BzT(dqI-Kr&r|7C0S|A{uRjmbZ+Ujrkoli@hq4i&{O|}|zhBgJ5 ziIqrjA|wmNhdR%{Efa#od`5pBl; ztdg$8KdbaQ6EgHl&LeOR9Gdqh5vyCC?0KL z9x(SIYrMybx)StVr}HMmn9A~R&yM9L8-9Y3Xc$)zf@0OLI1G)iVdR-uaXf|z+%wX~ zh0uX)vv3P=`A;)$rnCc6l8S9!ujot+i>Cpz>Y~EV5^l1O6VG91spbP_I`PAaDe%w`7-GI!5OYgl2>M!qzqVGnr7<{e-Zbjj zS{1vFSZ+NrVx5bRn*}R(3#@dp%KHHC_Y3s;SUvWJ_Y7Wpgv|h}rRU&169EAmVzsy$ z22S=&JaJ(&!fLz~JUr!BPS}jLy3+|(atf?W(v>1^v0iE8h3~uc0JtyBbaekmu*xv2 z?9&*7uBKvWC75m9G6jRGjVQ3Av%Y%_sI9OyuoK1lrY~InMNO=oLU|x-Tnp<=9!8xs z-6Ga=hC#Tq7-h=3#jP7W#jSMHxDBmCz0o7x#e5^Tsr3v@c7~oBx21JtCQS7fPDXB9 z>w~j__7ep&fvxqN9`M^=6YgTo3xr{S#_eHU`ZUmi!quqT$GRsbWy26nc!2epWP~x) zc(dqI>(e6<&hX z8fx-#50Q+Tu&Jf97~|L6G_QSJGR zF^W#ZwG@596XW6dKcYlLafK5^gkiEiU+|sPxHt#zN?rR_|5zD7sr%;${n3s zk>=o@%Z2$1orS@MTH}FR8>ioD#x~wy>pl>71u?cEgwB@fE{t>55 zVCGyNx^|S{m5I$bBP}tE)}Etc-FYd{F-A#YV`AMn55bKUJjV;0s@6VH2w|Ky26|X0 z>P>V8JF(PgYJhe05?CHDx)}%g2i5fc3dUPhjpQNG7tgD>> zce1#S2zgSr9?sL;6!F-IyO4F?40P^Pqi1OoLe|f#!T2^LHyso{xaeT1j6SsH#0{@Q~-TeF`=y23ohI2vRBl_|hE8Y6Bfz(4%O zOXvN76!x36@jX_QxKeI3Z2UTuGNCkW|r5g~n4K7s+UhmOpmS(&(KNOu!-_gjs8q5LVUzP4asu8b0G{^X6v1@(> z_#ahwyUz@Mwd>-q#=J!ffbyM;WOUZ#IU1L@ST*}4!2CQG=A8%Bi&C{h#mr?P>q0~I zMQIQf(Qm~fp%Ybg=H~6qB_4{@nuU;qmDI^Xt(jl0a$CU5HK4n_pd7>x4agW5vicvjuEN&pB@G-J7a5=wH|`Cf*z{!UzZ7( zxA_n4V8Mi{&G6`|)u#>?1&@PcE=pEptM~7OyQr@I+i3#N5*g^gO<_60ux=%D97>$4bF^6;m8C1fb zUbjTINLMA8+ja40Qh?h~Fk_AJ_g8}3ZSeVr{}p%`#GpWbF+7wD{!f3< zUwyrQ@&AV_1=5)6xU)!B zw@|BpZh&oFGrf_f$mW(>qlLLzYr+AD)hQ%R3#(>y31SvP)i;d5{?Md|TC#R|Z2rm> zEs+1;tF*Mzj1-dpOIIx?g<{jF@mdyBt9MVr1XZ)RMyXXoYt$~OR`+y)n})^yO4lh> zz*A(a(rV2Wm9S&2tyg5-GMY-$vTF6@h6u5TwUHv9Q#rL#^Le;|4u})~3M|Qm71Xjd zuvM20D{2-s3opq;t#0YB>J<5zDrv+oR9S0%r7BwM8`)^BJgTa-z7tYib|QaJb*=T2 zYG|!rR8wpHrdnF-57pLM`BX=1*^9bb%R$uBT8^T=)^ZXJwAMP&P-{7hMp|pVXsoq1 zh$dRgMKsk~uA-UN@)6Comak}`wfsa&t+iRS(pvt4b1J^0EuxLq3J}=u8);ibJFOKc z+H0+CqJ!4jE;!3#-5}9XYwZx7v{ta_thGW!7p)a4x@xU3V_w6$;i9`n+bNJh8}029 zJ+;VzAbV7DKdF zj2No54vAq}>#!KEwT_4p1uf%MZc&iJbGQXpMUm}Bs@B`rVL+E3rCQEei@{rXbOBQq z8Ka7T)?9KKtG2z9@UPbYSdcd3)LOSu4wLn?*HqK3s#nw+&AwvP*R(4jsST>P1=`l2 zn&Sx-}K#{7a#_35fjwP*UjUGhH$NuO*yC<{c*YPY< z)`HvmqRfq4#N5UhTc981Yd&_qs_xA$mYHv9g6sD!EIDyFQx=#rWo$*J9`RIs*%* zs=FG`k%v0*bqQt!RaeoLt^MiCT=g5%uWw=Rciq`$ha~2{N9`G7U<=FdKFHSEv|#z0 zbC_P+f+>Bzvt-4=#{OB#?S&oKj=Ql}%d}@}ktp-1y10w16@HxC2c~m-WeQt<=)g9E zEZM>m-U#U2uCwJwMw`u1h*Wi=4avg8fy)>YjDGp5fJ{1iMf!{Aw<&JDfE>}B{z*0t)z zzQ!bRI|xNJyd%50eHt5UXknA9>gKd$`QC?_8&R4qXHI8d%^I;x`Z&IpFmg|n=l0>k z?CZLouPMeSdvY?<>&-Ljeq;LSwrnA%Dz{H<;Px!+qwx-AaeMt8Zf`fR{5=bff5{DQ zzirN3$8yY_ps?;k6csQXb>;|DwsW+jE^+)9j5EVYQ)T#`mJjdX~w0!*}%O`_Ht_hU)5x`(DEkhPAS7$rk_~y)NtlrEqKR` z~A<-$Uy$ccP?`h>43U-|0Ym9IB&+5-6>+}?-- z8>}hDcWtWijTmEmPnDWXf8oYg-%lLX(kg7f!8&eVdCk`?FWAC7W1ocX;+SiOFuhD^ zj$__X+clUbo>V;ad0~tfso(jrn^0JyYJ^5V#I)#@DEa ze4XUY*H=H;a@pVP_lj|}hj}n1)`Y$6oyXUS6FHvZ33|v!w&u1S%EGGdALH!Nt0Lb^ z`dy}+D9#>VT5~+FZFsY|26Lufw;sKx*ZCUX=nU)=F}vb~Q`P-4j-`#E25<-9x$AXR zkh$q~x8`!p{gXM8hByMiJ3EN|7WQUJl|p=_WgK%Fb}WA>89_{+YRo12RA8+|Sdonx zOpQOy;k9Ob4kT(HkA*E)`q&%Y=|+z~LUJQ@!`@1Dr#nc~R1ezR8G@eFaw{agD7qzp zHx=U%wTYS|XniP6`3!~QRCy>sBDJZ7UN}Lc_QKdnTF7tQDf)woubM>lN}_L0(^r1| z&(Piw@XpeKS|FaIj7sQ-^OST6ddXD2DtH%YmpQzrkPqh>7s=}bOsCSCmk_x@<8|oD zwd5L2!am(J~;tGyhw2y_Pk7&oak*{cZ50ZYu zZvnt&v3fi1&tE8MklZ4E@w6WxS|T-7w+g@Wcoe(Ez92mP4ABBpuzr*5vlkxxWrc2d zWZPw#Nl*xt=1BUCon1YiC*cH`@X2 zIoF$jyST_IMBzXqyTh6bohb~y7hUxOYa5kr28$tdI1|S9(TNWbiKd`gP&h&r-C!f0 zTKhoqBt7F7=^VYnc2-TJ&+S0GN+UKv;RdS43xJXKr%?4914H?WjQDKJ1X~bo_0~*mK z^8LM*Lq-7mCe1Ct`z;3!fRznO^D0o-q*P4-*slEKetDuOk-%OmwQd0Wrlgkv_^qtL zW<+&TZ$yCSs&-Xrnulbhy6z<$#i&mvffuLF!j@7! zp_cxJAkM0dgCKH6J#-PqZmP`;kjzwnmIW_cwdMrym6|dg0FU_>N3C{S4v}AK;pgz@ zp#KM74XEq&HaOB(H|mFNhOy21^;sZ>>b)j{ct~%(2nr|kb_al^>QB~(u?)R+I}mg9 zGw}wgpY=8_(EFjU_8uZ`hIb(l@i)X{)30tfxQFBZA`E9+LLt&{cm)(<4ZT|dJ7us{ z!8>m_UJJxD!`Cy=yJ66A!uP-sI}IXPhKpr@eO-gC-*mBhI5H&L)sNY0~r! z2VvsQt3$d;+vCv7H2D|;?5W9)6!6}eC`SSEOset%?qIr-Cnhh`R6Vc&(-B#a+++IQ z1K@yZD(8_2rY~^xrzV*eI|kwfQ`e2KdDFE16?ngI+8^aV^|5J>Ja~U&+R_0cpG><9 zL!5t1?P>s9XO>n1>Yirxf}r4I_K@>Yf3u0Fp%7qJxjZDdn>G3gDyIO{hh}r|$kfMXO>lgt=9rDc>5Tf^EZPse zS7x3k!Fy+x*&303Fzbi24)u%K5T2pFn|;D6s{S-fd;?;>nVlX)C-dd}j5eCjcT=NR$5$8MeNudCr%^mH)%QK(&3L!aKR7?fY z#UiE}^gJ#4?gr*(vAj7%0xc3|f*4}au@r{eev9LAP>8XZ5z5_a(cvycPFMsrg4?qe z{#W4WqDB4VZ8P7w5Uk;g%&D!qHC4zEc49SkAZ(g}s*3ToB8C%jMoMcF^)c7Sy9HPjcQFW2t-tcEr+h z8*VJl@;5Ip$1Epfs#cF%zRZQqla`hpA#%#HDkmUkEcHC)owYQ>cN=Q5jIn!m9w>FsIp zHwZdVQXDX6I=Kkgdg_FMrr$tE`F34sd>f=k+b9&LQ0jK_^oIS9bh8gk<Q$C%W(paZIc|tu5GvPuN4JY?*TKSlKcL)*3v( zoTj$BR1x&Sbx`3{XSd)TpgUDVu~ywpJ08PeA{D&=gI8!6PC!wl8i(zh@xIJ5J0Y?n zpKBoY+L1evCDgg-36Z*dgs!jG8gI9#&);3?8$7Z`+S>5;AVkzCob!Oj_PlgAd5@EK zwW$-nH&UAg2Ek|ZuhT(kG20ex+b2I^zq?-}$oKc21(}h(co~@d<0Ni(PM=&@SX`8g zM{9~XqU}uA>vDI{gf!fQADy}kVlWj)vWaw}Fv^JPVM>lboUvpK_b3_TjU!{gNG>?y z1Tuzvj5u2TDdNxzZZ~5Qte5CC8P_sJHp8g({JywW-m(%QRBOrm`Wh#>22wA72!d^7 z&SSXA+L4fN^_IJ;UCIfxJ9NxLyUT+}X!Tt45t98n7KP+sk3g+sOZDc;PWkfRz_aPc zOJ1$SC9vD9TNvazRN4yto>{YCYp{ zj`lqDWk<<0=R0_}=pyG|x2bJWh}@waAEEb*I_&~@PE9s~_ktXGx%x_j6QJ;oJa~Va zM~nF>uNSj8o!TJU^Y+a}Jmg{EFV13Pfi$&hFdPMl*Y$wy7NzFG`ySCI9>GTlT?oKY zVG;vlabh@EisD6fe_+XCc?WpEAZ~F+a#fUfgZf?ZB^uzN=#dJ&OyS3Ac((AtHwDPl zg2w}UB|hv1_En6k24F8sKLXKNT3kiHxXU$zVcJ9Pq$x^w#(~s)!Aq#N+KJiPh*vLS4uLkc_QPk}jlAJ$ z=gXyy_FZr;u6C$`4+~V=#rC+Nj@Nm#cRE=K_tDwFsp5cv=g=P5X&%~x8eTzr@RUQ4 z88YlLC_|scz{0S}TtFGVv@s_15&Ln21zs0dWUrrmAlWa(PybxL{%jV=#3 zTkiU!5^Quk!;@$C?rlNov5qH?o=yWn@8!%}?B45EBHlg^Yop!QI|uZBhbn^7zo##> z21t%>pxYx*2F(w{eGJYChMghF?i^#E!_XQwktd$v_trvw#9?Pp{;60I@s2Dy0`yU% z-+(?k!wU2%!Hlk&HF=U#;s$Z&kW!)(X294K%YJ61n6@VE^*9Vj*lnQdEx-<%U z_5$AnkYCu7w~UK^oPzvfKi(!S$-E1hr7cWxT^3pwkt}b{8^RTV*s7~5i&cW;s!#=z zs}sJ$&YE)yJg%M21rU3B@&{@Tq;jdrk=(ujIMH1@5Z6)FZV-3I2Mmy3Pgl53x`A#L z2XG-81%s~Ciqji6I!17^k?LmyxYH{h(7l)0eF<9Z3ssfp~yytAH3qWgA2CAniI2Vl;K+ zMKXpCcti3K4T=XiO!lQgJVI9;fW^|FL`WW`@?6!8qtNvr#?yq;ASTcg&R~zx12+(l zlM`noiL|XAfIims?oPojK#5Kq(6;~<`)@}?l3rPe%(&rzQw5YLmX zC5Xv%{sDU80?j%EVhR}u#EX=^3X-XmdlbY=)Z_z1(x}sH5HHhHPO7gEwrae^ZMp!& zYm~bU0bZx8&meh&ihYNbn{;D5h_|RRSITbF6E1Pwp|ZQ6cb7JyJcP|~N1Q3C_sE{} zk^A(k5bh|0W{v~#0fi3&cu21?OR1Sun=7f0=;{tgX3-~JY9A9HJgV8WZ4>mK(DHN; zpHdU9U*yow&5(RXCaEAkr*nNE`GUkv7<);RY60ZZT73DXzM_S0kbF(uZUB2jc0(Zf zmY%Fd@b72{>JaLCs@xsK5A@yvk{_u8p9*}U_}(CXrbbgC`GqR+;*O(foM&P|xv&h9 zc@%~(fv}+u=?vlz8odkPC++5R=ogLY2JAQW;xgtRDjNr4K3TN}(Oy(-hI?=j6Z!)< zig!G9ISJFrkX$Fa9)zT`*w+w}>&5sPAZ`$L3(y5F!l4<6uA=I8e*Z+hqmbMv9=Cvq zyBL*;I6cHrE>n7nuLnT%5_f_SpttC-6vRzpAb5t8RbmsNikyfVu{Z#;B3y0VFRDTp?$ zb_YQ;JIYI2^FTiPYvHy9?Up(|D{1x86$V20(}xCf&BPavO@jvT@-*r*#8kRM%&C*UzZ&O&Bvd43%K3SOLl7Q>vSk9293~d2lQy=6*W?C`cWKU0zf!2(Q=wEf_0$b2$<+OnA zY-`?J&S}dv`MIrmQ#dc1Pnza0W!(j}6A{(IFxFi(cM2pIr?cde#+(=|-7p>=m-%wa zx_lvLf-92vM0n-IDbQLKZ3oKg&SfCErW-E`YhC-IZBN(Cq2@rl)8NOET6p3HohXsZ zHS6e52dFyJLv!e^rwTT+0zQQ}5m8_B#M)ZHnAOUxd0gHQZC zso6O=@}e+DIP#`|j!@V{RTL=r(B4Ey`qEmSMf_;RA7Gm)_81)b)Bb3<-9qhn`~*;R zU8rv*$5)UHq)}0Dw2db4>bRYPDncQM`tJm`gSJcp7EIy(03r0H6ugJhl{>H!M$flE zJ)CO%1NEIWlIu{r$cxWRc2hB~7VIH+d@Y6r)UiCg?aJ!G%`a*p_EgS;%NXjb* z^#io|F%+U`4A)x@(jzWIMpHm%D8$g)Gr$g!n**@J^q~XPQHhmMkENAo;Pxo>Uk^ud zv~?}io3duB&^T7+$l1~;=$fPiC`XWuf4!5Z^%@gXE zs97JVr%?pgp)b=Lu5DeRC?0TEDV$fUYm_|*Zm&~=tx&i@w|2tjO>*ST`z@Nvp9S2e ztvp)qP@FCF?$VDQkW8obT|vA@5xkh+r*T}d%Ani*pzwg+@aTC+M|gLZNj-M~dqnv) zp_fG-oKHWd+#k@(rd&RxdqQ7NBZ#Ne^AC7A6lV^FXV@A+A za}loB;mB1CEexWYNG=BAM)B(gfV-H%8)Of0m`h`xVrWeey@b9N6j1P(4@aBCmDPyM zM=anx+*e%Tb5lRjy(%1S7KM0o;V(vA25*a~&)H&tm{Jq!TSbqWAO;GhCWza_8T>8| z3+M%&QiFu;8aUb^#`0JS7C(8G4-xK8;Dw5mVXzq{`~zVnTsZP+*iNxE3&dT*$A;fO zk;j95kLc1G#0YWc4vg&;hquGgJ~4$)O!kW_y}^qV*9le*h{F8%qr@z(X&e+qo#8K9 zJo^M$)XUiYbnB+fL#LQN%}ea|3a`yumB|2HC77^ju`6FzC6; zELYfclLMOpY?NJA!;!nZl>t2uxeAph)l+VcfP$BNdm2PqC&qu+5^5HZPx5-PpLEJ8Xb73_| zHf;y*JLFa#1;Mg*1vm>Zz&u_$y5dh<*bPS(bAS{JTY?i zAYg~&8(wG+%NfT&JR-aBcU!TtA*UHf<-~k=h?A!Hv6~t%Z59C}$Z!V7WcLXG$K{RQ z0Ex0gAAl1wsujRVS&_epI3+z8B*`*A(8;Ie@o0o}Mw-L`oRtxQ0O#Z@J}y2lTkufm6IxIqes2`jWgG2P{qg;xnPka-1)~6?u#Ep{sJ8J+N!C z^<04Ka#;`b%MBSj2G~uxxF^6ZnNb(uw!Bt`-#?kR1Cia8`TW^Iy6oo#?4De^65zf} zV~`hm-$$jW$$wv$x%Q2&95#x#dV9){Jf<1ME`TRYHKfl~WA%C_@VYL@0+D>{Sw`!oxl#mk(9>WSkj^Pxy8)b6zBK_zRu=O*eL?wH86ZWGMX`QjYp0g)xE6%UD|srb(w_I{ zHgCG%+SH=AR@AgPW{KFk-r&T%+nn0CKA@t{5%ojb%WqsJCGSPgKcZ^9%xBTBO;CSK zt5;|{$4Y0tpkrgjPrm9$E>u?QmaP?UJFVootn*b)dAiu`fSK-Hn?a&aW;K05d}S~2 zPNiyhUUX`eZ3`Q9A8;ntpx!sgHQvu(Wj0G421-lMGO*HSZ!9F+*S>^n$Mx4>y-Q23 z4E0&&4(HNQEZfB;o;`v@?*;(D zBAs);5aGSu6+?b0@ zngrk~TkZI%wah;Nbp zysHS1qj!NAC|`bu&26$Dr{Y2KH17v?$d+7U3X{kA5r)g|=b*Po{@xE>gk0YV*gn~o z4-fattw9huAosP0%_w>AC$MNacOZ<#NUIh6G-dic=pB&_x$F=pH$+1+UT$@Tm18n$ z5gZ+t9*bb?ge=2f1fP`GxF&U4o(zM+8R^m&HqXggTw^&eGx!icMZS%Pm5Va&F^G@l zxC+3sWg>q|^;E9mQ{x<&%=_Huax3@l3wei+xpJi&kC#_+3~x=}%BT$h@8qF0D14N2 zxB~u3UgP!UtK9bjyl=AXWO(>4bGZWdLyn7t&0lg1ZxVjXygCs1q+A;S^`A<@9O${I zMXmvJRhtDs#7%V`3z3a#1fMZ_sCBt+;;DAzt*Muq$r*X98pP$2qiP90Uyf5p@{wP> zI_)!zC8#TGA$d%#n+Emc>bV6VCaMSd3%HYN@G>|$rTSEWha`1Q2@o&hb9PusRhujZ z@v>^eRhjE*+sm+WLw)`d{%)$S&!CsC2JzweJ$1@q@b0UhFe9lCP)h^$P;Hd?cYeFL z2Nvp#<{74LHGUp#_f~>PJ3mfCI}GlQfNUSshNX_hc!uiKdJDque8d8hUF^BK+BK1# zcYEIf^zJ1jP9M6y0g}G- zmOnM|qfyI%ZKf;(zTL_5;~4wGpt zh)2loEhJ;a28I1e8ORu=Q(`i^^=-s2YP9WYVr7u7RiFF9~0iC@D>>-`d17uQ{ z{xJQB1~vxo3(eq3<11xwPvlYcaRA?G$pSd~K|N9-@{_zBVEPyB=kLFMlifOa|3iJa zlk@2tpNrXx{7~>5L{VP69Yuf+j+{gpegW2rK2O055XIlX(N=Ne8NfDi@E*W+VQT@& zAhEU)BzFjFHXST}@jg66jHv{XP!T^0SeOXA4-hW4aWcD8B=WiJE)mA-({6Eo2cq61 zI?aJXgjjtWHus8=RnTYqM1}`K+AqpXfWk@fpgu%Si6U&}wD`vx>Sx50XTZ)17v6xQ z?7I&N=S5;8fMntQ86p?Nj@IC%h%Ey^yeLxJfS4+>_@QQsDP_@Fj|6eK;j!qLh8HQ*sf`0x_>BHzbt+kl9BQ<7jvRy$6MeXlm`z1M9Z#R0f~{bP9W+-a;Pt`!?F;6&wWI? zoCk=Nvs#09RBr4AUYzvriCE%g#vl+A#dh%v%)ZTMcOogm8){47u2uGnt=e{<@snal|W z@3}mf1n@%s;UE6Ilr_0Xk}D5!>G74U#^3+Emi_$@(i>TqQ|Y(z!YUBo$qKyh$3|=v zOn;DJTsrWgr{$mLA|zRD#W{5M%|8$|MC_l6L0R%Y_{YQ5r8 z62@E<8y9$YRW_Xf=B7-W2+55~sVhoBifgm~CWPxWp&jOH9Ltt%l9vZk$Ao z@Oli%fBsAccVx6T0vZ)M4Hib%@Q3#?16soV*uaTsj~l{MiCv9txQ?%F2@4ahb5s)> z4#9QO{&vt>5M%=S!fU5tZSinkfR>EC3HwWL@`hyD3*PE1*G&X{MPg6LuPn#s2&;U! ztiO8bOn6^2Gy#%p_w%}8Peu6gI*>~T$U0JkZZPgd*1X=Yqq1z# zfMrn2k??>LJ*OfMDJKzf_bOO1n- zk5n-llAkDRC)7VvV=k9`p`Px*zET|TC%;j~31E3t!5!Fl%KnAOe$WdYh(GDlAYi{} z`Z##_P3?!n^hVLG7ch4*`Z0i~nD-S#FA={7!Fvls3%K1Rs)PXZ5jp&J?Gk>20d|YD z${1M@!jZov+bjC;_s09gl0o3@7Y%qdh!jgUK<|L4b`Vyggv)JsKPV=egBLB#%n?$I zu;zp4L&BeTYlp>no-~h$Y%ZR}ipcdK9u+06AQ>lGo&hmlRCxiL3E~=8>W_)tZDIPj zSX>RfL{Wk3KqrJ}cQ`sJ%BTqGl!)YtLy`z>4UyAg9{0o<(dIX>vto%2teg`*hY-Yh zVgCq{$>OXnco#&XP|IbsC@pFE}l99 z+!KX*z~+4sngoy`-oJyw12K>{x(~%YEENVxZcl`J9nc0GPnMhp-W2kZmL+^!XUJ_PbiXl8*vnUVrGFluf3CcS@Fj2AfH; z7;pBj$qG{-d0p<{%;~25#jn~eS%{PW+j0`0>)w$+_!Q=@Ts{}Pbot>Gz&+Vl58{29 zwGUP@umy+BSF&$6D7=<0`ONpN?8pVDce1uEMBd9OSMhZCQFbl{@JWu^36alo zETQ`T@_?^jlF0WVTn{{VXN zO6AKCNl+$Cgoopb*-qSTqEe(fJe*MO@ZdYC3|kA4ON!SNU};LliEwm9>CPXmUsZ#r>jG zD-Q5Qna=O#SLNyu=zUWj@?4Oo?By){yORDJ;D_>yC*z+=J!cqmQ9ECTf~$J&BGfmk zBkTd()!?S!d8q3e|J^m0{|!TRTJlO(*M0}gwCQ38$+k_mg3>f;(m93Pd$^YelrD&E|Dx`eLs9 z%ozL-HfJ8^;W_I$N4w&)A1EuAZvka>>?PP)^R*Z#YmfRt%bq&(>6-)j*8=dSJ6TZK zME~T%x-a>0<;{;KeSzi8G;%J8{i<;DimEY8V1$cj` zRRk3BX}|=7mgKhPT5O%poO0Lo(ZfvF`&|3&miQUh9^ssY^fc$nN-x@tcJFQcMMj@U zUMl-82m!s{_v&!h-{L0Z2dw2EWDLB(+lN7qc*i{WGj9xsELsf8(1HCSGi)9o_z%x$ z0`7=odfl0Ll6Sz3)xWtN>k1@7|XE0ABYU?b=&P3J*!Rg@R5tDCgK zbdCw9{!}wc>qjZ;7?|2%E zae#75r*jZN8fy;hIL+n?Y9e*z7vmy5DGV#A^r-@ZNTX8LaC@0D-$UUFrKqs^kgoIh zBbjt+DX=VZD+%zJrk#d*HkIOP)DwE-2I5m1&ogHZMR1Mj89DQg@HtJW4G%A9Q#}x0 z(k6a3xiohO9KE9M6+nDVCj7zI8=^N*cuS+t!23I@!Jl`(CtXX}{6L;uDf~!Nd4uht@wL(E`*Awqcq524~x6<}ea+8Wpl7fq5u z+$q}el(b9a@%NOw#Yr~=u}3uP4u271P$dxe3X4}z*e7mF@b-)DegKgo_aZzT5I*f; zGfG(a06Qp#=D=pOD8XOx#)x`+9Ck=l9|2>BMZcv0M?{JqAXe0yf#8pda$|tSiFM(y z87~GMgOvmkTmvB;6Lt7p>bMwV0S`Asx93o}DZWntc3br3?aLjp<|v4FMN8fnri=HS zx!e;U`9Bu8FA})ckRht^PUV5PFdp~tP_*OyXr{1T1l}Woq>b~tOrBXDivh0zvW3Ev z))P^G7DS$k=uN=90ti3qCJ;?UyAZPx&9VqE5X)omy5Wj z?;x**K*Ui-abE8v!(T&kot(w@=PXy7z}R}((;8rdEXt*Q7rEgyBwgjFTmUzz=S6&@ z+{g=tyUgWdNe?-uBSP|&mi-Zgm-OL&*)N^?0z}H3Y)D4QfqcMzP~JTUELtAq0Au7U zo>&ga1DvWJmO6j9JtCi};Kj;91j(Z^ayp1{^3_IQ@zTr;AVJRO&FC??EEK%sa+)1L zqAZ^c4<}?1E=!-31CaY8?fUo|AW6RCZOCcatp>0&G7)PsiapnOkvu1N^IUdb`d3E~ z$ugT)n+x*nbP!Xd9il?9r#F9ekt5&C1M!*cy9(F~8O&~9%4_+snJc3lVe^%In*fE^ za^ZUr-^fC|#e6IOafA1F(selW-pl;@xakjaQWiu$$`Jmz?vtF2B0EyDAX|uhk?j^j z0fiF&Lgkx0)f-mwTmH^8 zK`F_5sAEdSZve-Y9g_eOl{8*APAK<|!OCsrDksBtlmW7r<4$c@@A--OHa0xT{l2z^#YcfQJ;0iTZ%&h2KU3Y*$Yf z1usZ--485Seao|ch`MqrJcO#{?BOp=t` zJ02bRNUi1sg)CKN50BM>I{~uQ=2al_M4iw5`BY6_0`(lV2&bse)Yb=qJy-2&Lh^-L zt|vrZsslJB%2n_4%JoX^;0Bwo)sY)u<&8Qj25#S~8@L4WPOZrYbnn%NygGeQgSjmB zQT6eM!Y9?f19+cRA6^)~sQ0-d_f^ep2%F#3Mz-MPsaGO#N8i+T0#<&jv0OR(qn_Rck$g3G1Ol|zZ~XzxL9f1nF-QIEj_}~5FUA|(b^5zCfH~_s z&4j=8dWSqnZq^@o3O#@Q9Ut%l^o{weo~`;u4>0S@Rp@h%`rKbBX@X#Mb);Kk?*@!WGr-|GgzVZ9v}yN>7!*}-3|{zX0{kLt&8 zz2Urm?^xVJvi>S>wo~+9d?9&JUvDNrs@{{o*Sw^Etp`ZcPvKnpvfgxFzA0BXbM%Y&>$qq7a314n#$k&kk2$FsVyL5!K+0dW2bpD1Nd}CV-9XWXqFf={_ z-d2O#ckluY`OiSyW=P=5*>=OIV5kQfx_5-a4ueMnNCq3GE(R82@Z!R0sG$!3sy@t+ z-UC>;L1_<>orW`cAnr0Wd;nv+4JDEg(jLRwE)a<@%xwspdkqu)0QMO=MnYk~q0C2k zk2KVI50L`~*ZxqCGMw~=$U(!hY!IUjd*%R(F$9|e95SSMfOy#Oj~z@OF|1q#N3n*? zMZk_4E_VPg&fqZ@R^kn}#-U#l3>SH{o;N(?oyG;jI6kmRG3Yrvyl99GgI=nkGSAR= z4AVzJB;Bx?OSAV3RXTxp->~)#h#7{Dy!1RbJmL+~OT*7zh$YuBVmiPpgKt;(du`D3 zZX(Yx@FIvm45vQ9=1)WNA@F_~++P9vZ5YWL;~0}D9Pr^S#rHKO_`9w;6S)RmcL7&% z+r@Lf+kQKr`P%N}LR81M*CEqseI0N+hw}MdmrVXXzw1;!bn3SK5GdVs1EAHT{tX2A z&*DF5k38B6?a`gQ!5tHP5ZAGasyFk@LVXcBzUfLmJd$c$UOkYHqsD2XmBTsGr+deZSI3WiuVAvol=iLFNmr> zf|VU~?h&wHYR(}>(&5sua)9QiA=HEP&sJd3RHqtvG33R??n6|Qlj4(PI0WJ;ddi2@ zr>QcZ9-g5e#o^&BnZF0|969pGQrD<*1SGH1a#w(xlz9&}Z&9so=!V-=zB(lDP?ad? zy`Yk>K+GjWCa_nOFd34ssk8!-H#9U3-tqG;&V$~OZ5{C5)3!GNAMn4hK<^{%egY4l z=+Olzd?p)jSouPA-a+pxJ>{d%Z*;r@MDobP4v~GQT4s>^LBDtz#@Fe^!TUvh_|v3~ zqVG-c+=bmM@I1wNu7`Muu1MdEWOEwRu9^ES9CiZ76=p zg~-Ch)XNarDI&4}b_t71xQE?hOKb4^-O&&-E<}Ryo=HnstTn_S-iWz{H9LzO0 zZ&_|KBsa-OF0c8>hxK98SFUjY&rddIe_^uvNq}&9W&C8wG_3 zS!^tbd*x70hw$~80~GelOx}sd%etJ-Cdjk*z&kDjIn79vnP~tgq>C>^PRik*ppYg# zJ^;Hc$2S1)s$3P!T_-p2?&7+1;g#%$>{||9cT-lq1l}!q<|%l$Wj-GsWXneUBcCVo zHjla-S-&0pJ(HKXd!9?RF!Wx?RWAU($h#cGS6R;sAWvFwYW7|JnGVSx(uK?0KV@C4 z5Cv1&lp4^fme zssE4rkQsQGC-Fh``DaFh3ynqKc-YM9%%vBwId4=p+VdYh#dU$jTTm93w}s@Q z#F@}t+~XrGJ5o|Q)SRfqMo2l+|D)-;P~Q%VN;ZlXTb= zcBiPtOvH(#A*%t2qBE(0M3Z$pKw@aquZVt{()iborAdL{;;5Rdukn=4%WDaAhU=z@ zH2)QZNz||gkYxHY1>6~mH$ih#=))M0RLZ&tT^c>-O@?PFmQ(h0s&GW~405c1K_>Y| zLwJsQ@Lt0#If~@4#KM~d`620Tw#k55!~g+iY3F~B~FCD z10-Hdu7W{==+^?eMA6Y6UXsLczT}g|+h>5B5sSGXks^{fy-pP)0)b2uI~8I)Az-5TKnQ)ycYK#FnCzkbt>nw4A3#i#*5RY@`Ma{>6i!1TAj&I28pS80U}uW% z1g`hVO3sJ&%g=ZyG?U(!U|=pYtPsyaCa#C@fNaa93riXB6HdWF`GGf~RkUN98@vJMH8Hz6R~(eBQI|Amg|zag>K` z5y(j<^+sEqWjO!RE>iIV>@oSj?J#$hm-!lWlkWL|xJw_duzAQoxhCT&_wgX@C1*DP z;w_u=yeL%;=SNJ_?|g@tU{w+>#;%f&|# zAxBOw0P=#g=1NkoY#R^cML8xBkV|scLO8oDuMULkE7H&(23O?_eirYV^z8(L>(am* z-1Fo=SAe`BkMmykeA$n4x&rC<7vdGlE{PBp$@fL)GYB^6dtkf4=e6?2hcm`#oinzzL zRihuE5r@>TyI^ow%`XM=h&r(nUXH3iS3qc|+)@$2Ud`gCTpZMA3!!sVvvwf5lUj8h zI%oBWI|vt5#y80^Rr47ju4?5Z5I40y2|nGGs)MQw=eN&P&Z`fVsE&AMeQV zQU5y+;;WuN4jI0jg&?3w&OK;#uyV75L5Lc+8IVx*EensU z?>KP_Q;lX$`8Myrb-gT$zh zvS5B%4djgsvFfiv2;Lr(#5)_sKxQ?}tfBq!3gD2j}Dli$yGinmg2~*U= z-XN*!*Qqc_Qx+cJ&Z=i&Xl}ZCb_RACNRlATRMCmx&Z#*(oz7BEZh&N~&216=JdOwk zIm&1rntMU*=7L$S>S77VMb$nM-V6xy)u=0g6sYCg4;HEvUaBopTX-*Du{!%2UP{#1kDx16epN8Ism2n> zEw#QAkY#H6HTW!7z4>>qP)4iZ`nLLXImjIqz>D2?)fK))@2Tmh0jX4xoB~y;%Uo8h zR+n!>cV9K-Drk)|@R@(0njA)ihpO=@gpX7QQy4r}L%E3aL0`aN&HR~M=UaMI@f_tNW=Iu{!)gL<` ze5V3_g86&Zj@M2;sE;_EZczS>KsKr^{ed*qW|RTBPn&)d!u{GyPXElbPOA~YTr1>m z#zOmiK7JGtz!tB*=PyB;TGq+yo2K{2)cgN3!mNE zU&J{d_!F;F4yt#8cF5qZ_#7JF0+M0Bw!rt{yN#MUInMf`r|`Mq4sQY6*q$r5f5Z%gw@uIa zS>-=nhQq^OcXp`#bnkWu1n~HIRcfENmoR_Nn81PyhZgqb1b6?p8!%$AM@U+3i{?I z$Zgtu9OMq|9}S;($=L|b?ok;pI#tr}Di~DJU3+lV^sO0m_i15kkQ!=Zfj|%F2T#~N zBu5VPh~AFC$$Cs)R{?oKPxykUr9QoYtfP>J;Oc25CoxayHkU%5(dC|q{+zn}3GM~8 zyO~(nVh5`Q9AVbq+++|Jn%rd*E{}W2SRV2{rQh#x?Ip|joO#Ox9XcPm zs02QJkn_}d`4eA!39^Q(!HIHcXOJZM`L}>1%ier;&q$9?VV5E! zc#$hr_U5ZDO?GtwJQ$qe^B}J-C?CR zY=qESJ>=VF9&SdHMh zb%@HIgg~Ln>k5R&)mXmK!xXMIP9KB$HwssC$^eN_*Lcu4sYd<|;VI>R7Q#qnvl}Ez zHI0C?X!RMtkSRtzIR!7LRlC1HVpT{X?BdjZrgpMO^I zP5#$M*=XVBJGHR-dl}(#OUq8M-I_NE{~|mDc0J05{GZ&} z!0(Q#!RHUR&$;%S`;NCI8?5fKupgfFWk+OrYo z6R*72(9SdDdI7=|8q)@Lsic^pdsZDzNCWFi13Q+euwZiP17KJL*H#pSr!r>? z+R?R1Gl;t#<>B?`VC-7g`u_S7`2IP&aOA`1{*CsGsNuI|jylR0_|yu{^ryx4fn>&t zP-tiV`3Sx?>Pit}++UYApA(_$h6u01? z&$$JMDq%bO`dxUN(>x29xe;95nAe9Z0$ZF~;``QLdqcZ@Q9EdNr19^*bNyg^-?f+L z82>am49V_AoPzCX!4;gneh1*+l)|5b*+(-kqDA}ZCGP?-qeGvAGp9jZ?6jbZf5XE8 zdK3$xB@O3P?I7J*1)&wip8>?04&uz9^C{o~+?LLBHhzejB!D|ieR$dV2<7ev@+d`Y zgcm#dn!9&<8afm@2lC+UB91ha3z|-NCI`pwOcO`K+y(!D3dk|)&P7C5+M5hJH>&3S zmF|?qIuFX?imNB3w}+h<4fzU4ZyLqJj}Kj}2E-RVAYA*=va#^#Pj1|E22kxqaDf!_ z4(36W$xDO5^sR(J2t_W3FqC}$&BLe}uP}#^-&yER(1Z;@hST~zFpnT#&Q?#7&uBnS zQ4Y`7BI(k9ozKu#Kt|JFy&;UDe6A*(rfzK@jHM@Q;Vh0WbM$zs$^;|zsEvXMw5BzrJNR3!mfgD@QTlE>dbYqJ2ZGb4*D()w1+e7()0$hk_Pd9 zmMR*~mCS0|!O8r6^5MOgHT00XsRwl8Q$%=3mw4Lth_+mX`C}Tu<*_F;IRvDZ-YkY) z9rfj9yn0If9^6y%ACCyn$hSWc|!Sy;)X-$ zCIa^Y=`M_USo0J=XMuQ$16;lE7Lyxc;3KTg0OBiNgn{$J3#g#;7b~8C1c=W*hAvP% z;&dTMEZ{AQc*#^B=t6`W_o|^{$bATpi?$YMOPF}Zy~hc$VA9vEC8=xHfcGIBkHzJ#mDu z-AZwB8c3B$wgaSEXg5Iai~ltOStADV&YlONvk@QuL4k^Dm)$Dyp3zd?t3d1M*xHZ-wv$-uVULOOe1y)hp3?KDgK73qpi9 zV$daU&T{Fm(7DJ(@6Z-kX|Vx1H(78J=I*jHKeyo_ue=54DYIP=&r7ECKm>2Op)1UN zy&Jq3}=yY@M(}J8O*1(Sbo<8q(oZs z!rx8#A{&8j$+`S`p)x6-fGd}4-oZxIut>D80Xi?vWhu6OQ(=wDp0rC-NH}UuxxA zUdO1Dp`AhMrH3)dQ`xct$Y*l)Nti#EPB-~ClDl;1UP@~&2ELLb`G;%nz$; z?V&rO#&klfkE(jU!0c4SBLM?v`a#B6z&i|D9T7X2VMBd*Or8d36F+{7IAHi9S`Z5vZv`XcDA+c(3 z4!Afqlb4+0)d?OV6I4^aof1{RNRTAer4+hkHIa*TXVi(W(1;XujYsrUCEA0eDKqYO z&#E*YZ_`!NLl9=DFL{GqrZQ>`a!#G$LRXfWa~a5NwTW+w^U8zwH0G#j9^fvhRmNyi zu4>DPOrgr?3{s@-SU^{zmT=Eks&+)8NjKG&IpA)ov@W8_f631u%OLOC>>eC+i{o+d z7s2J(L0x$XU~uHm2s5M!*E5H9nF8&w)f4eKyf;f`{>pQ`Sy{K>a?bZRfS=o{9gbt( z+#Qh2f5@v%3!;Vrv+!*m{4AQrmAl1)_wg+GJ`&oct@gp&vfrP>YIzwaMZY!WRCPtW zOyF1Mak+R^RS-OES(*vV*36E;Z~un33G7JWD)r7EzK7MWK?@M^A0Hl}c8}@>4|`VU zLb7*82OtlU^`~%z_n~qkYENfI!<7RC@@ud>Xs;7=p7j3Ts|Y$61XCxDc8b>Ua2Sa< zL&I+(jj(~^BwEXL8vOay2RJ)Nqf^1*Mb_>xxI$e9pfyEQ&VyVr`Rd^AQ190ucd2SO z%pXzEco;mU5bn2MlGPp9y`r~o;LKF4=KAA4@tE%tYjHUn#74M02GUVja~TVNcNKxQ zc!}<};l*3T41q30{K1!9sOZD>&M0y6J-kGVhBrVai;i~Coe}jr5GYHm_y)pk;l>H> zRS~lpUapBjFF;Df+Vl}_(9Za3E40(%%urv&%8Phe4oD~13nj+j{$Pwo^OC$^yCfXi$`+>U`Yc%E3|Zz zFYwC>H{o-+<1%XfCg6+S7ENwV?vw3Y{Z) zc7{(U+QR3~nQD3estfJ-8pva`*$u=M(?dW)sKX@03#FfyKo~{?I5j&#tN1bxr-8Eo ziJ-P8;QAyPc>CKa8tel|B-Q;05=9AoM@Q2fo`c8G8m@PrrW;&_h^03B(Uv&6#20ov z{lkwTC(t!6rX*6dJ0c{J6K`fnrnF3OXQ&-->qw#I-@r>MS>-~PM(#YcpQTZbh@MU} zi{Uea3OQHB`{+|(aGkcbfzLc@;N^~dDvd$(0{YM#!a{o47sw)d@)@{d`mq~GHTCDS zd!HJ3iSz;4KY*8qR6iBMM>J$RgpcXk5^ztbGhYSoY5EJ0544PHu#Mz(3&d2M;dFMN zn6Lv)+Al^8M07K8$`8a@JSYKi5f!gs=PKUjfO8X;K0vyQ%vUh?5JjA5dx{0tI4~~} zS%p@6i|4%S&PQBZ58^9IdGm{(nA8Y6e__I1e1KSc3&=q61ILRJ#eaiDiv+$uPK&&L zeCCB6pMp5i=NMeaiy5himnr7Q!Dp6m{SLxx;r<`acvdUxIrqjCdmWS~OmR&o^Sc3(Vh&zo$d@P8^v6 z^A94E)BFY@I2CLZ#|gw#zTzBkzg)f^5HtBVSH#TaMhCdIkau|L#Znfuhwz|G=Jf+B zX_^e5)>65{&Q`AO2Hhd)-yGzyj5-M25!s$=XLhpn6qwt~6P#i>$QivMbdt^XgL9U{ zczWj|!*9aCRsPA7XE*tp6CigvoEI@X&sAjo*xXQ+J53o*xK?j6JnlLODgb+~N7mFfuD>k*utlyMyP zl)S_>n<&|fmp-Fq4BsR%(v?e0r{&6ekT~h^5RiCjZ4ZM4>Bsk2qKt|HNtP}7H##E^ zT}Jd2>F_4|2r$ErhueNPY0N1$RjNfHdEf351(1`g&jz?Jjt71&&%sIaF!#7 zZH1Ry8T|y@MOnwsJzkP?d0Ky25)ao`}4m{-Wxyoh*PCh=CgJM#Vu z2=B=o;{d6YbzCg1lCy4uR7=NC;H5^U^1b;$I`F*Up&ZDm;3K)!0lHc_?>3y($pF5R z>!msO;!kD$QIO~IKKCduWEtmqFJ-GTkXO>z1IRaW2N!K zz}!~-!Xxxy<-m6icDC^8IjT0FffqZK#p#xVTF<3LN9D$yqm%lXYaq_bF&MgI>f{kP zb5+B3f^$>RT>Exc_6D4CPt|x8oR>204g+tsCIb*3)iD=BKUHD|p}*?Rt7HMH8Lv79 zs%lPrg4L$YAR%hR2OvXL+{2Dj*|Oz%g)9 zYHk9KAx3@RBF1SI*%1d8tFmjMi&H=U)cjR~XbY0jDh;EzG@cFYnm!ta17Vy&l>J!+E zp28FBF_zqgj9WAdnDITX;rp-0KZEUr8Ql?k;yAu|C++_hwv#vTojqmVG<;4iIR@mk zYK70mj$Bk+@(IhAeay9*B^S36vkg{ppE_nWPt24fDp2U-bzh`neXv2LAfl*+lw*he#- zA@Y9O`T)d?JiZ6ooKoGOv!L&N;rRds4+n=oGT{HY=s zx&TV&3oww@egQ6snw|s~Oe=U?4WaYz5HFMpc=i%YLpYy`qx1+M6KKjh2otFnr)o(w zWCe8CK2nWVpP`KNfTU2!2#{3TIvml{sQqsEJWFdMK+@@x4?t$nu-354q;|DHo})az ziLxkRH4L(8ojru-DO5q2LpzOOaDnogLzqjmxOQ}rqMra+Oz#)rh)U?0BOo`a?ik1| zs(l34cvasa#4D#|)o5-7jp32zHjOud`5l_WJL~U~7q2SZL#+|6D``S7AgEvR*jY`T z!V%#<$qqo)P(2^?1F~ER;X`ucW$#DyZZwdOsW;c7p3qeuy=uvq%aQxVv)9m>;mxWb z7GeY!e+~%Ck6~^pULFJFptxiQ&Pwd&p3zzquYu4;Y%K$4E1noY4vA5`$bVQw6(GV9 z(fuI89u*OnfwU6|w_$ECen17$?M< z`5@t9<9-MuL>DgioD>tC5$}}n=6f$vI4*}>l!)gNZnT*78N$Yh#q+?O7OP6Y#R~Zb zkT`L-2LfFXz4)bfx#I4h;4TRlo?l)TF*V?>2;*u5x++p9qJh^$%w6cN3#>FaLA_L}D@)gf# ztmXQi5ZXxcLLgiD!WLl<$r`>w4$IAaF&vRo?gMgEj`(*Bl*KOrv6l|~6FW#hAB1(3 zcH9;xS;X^TXW8-|;sw(cP z_o+Ud*z8xEw<5ZkQamvx#@6VHeRWO{ExdlRQ&l%477i3F@|JwI{#zE-(yz*X-bAhsQ1xw z?mEoKZ#f!ZPLYezRtpL`4>t#B|DWLO$*L3v4s?`nA1C@L3Oq33?+17ZA+J&xgwnkj7#t_3N#J5BpZn)H8gK=W1ZwF3gGBP+d0i46 z`UGuBCR<(wI8UE1fk6&MO@JX#t44_%IYg5AmiI%)LbL3D|jyQ;i7g zBfjO{#aB$>{W^Z)#%YB07m?>-7a*d}0U0Q2dFwzBUMC0hV3AP*U5KdSi#t>d=F9oG zNU4HdnCQys^9gbFB!uCjmbX$yh(jk}eo}Pfb&XTv1uyhOim`kvM~Nk$fQuG;+JnT1 zv|VV@X;BscWUNTL055T3H2;xsyohOnb|#25|Bl%rlJ~bHiR3x3OBS=1fjc91e1Ojs z@n|06rHTRh5T=QM?SPyWk2!No7t?qGnIT>lL6|9;ajWyiLGCsSge7kUDiY6mL8@3x z5HK$hwmguRiZP!yz<}e8r=edu4`rj)UBi| zA`NN#4Z;rX=Yr4ScSk}yV)S!(8tK75@2DKEHH;=M-Hh4$IodLI50~o3O}E15_!azm zs9#_3Cgus(*1+e)EJjZHdKqjd@8hMCDQi+-HPwr2s?(l4L;I&E@#do$g13{-ycz|o zS!;NeaQ5^Wu$q&{McBFR=E3v4^?VP^e=`K%7o=DNzi=_vrxscA4$;NOdcxb17#s;;A0S^D!K*H-mP>qJ-K`Yg*O(jd`THHd*mrK_vgWR= zWE}PG?6Z*X866Ac-YA}#n^J88MEfWu4{gEQFS)-nqxT))-JBXOfU}?%R)8F!7F=Yo zq~P(eJ4oBx0b)g+XTX;=S^R_`HguOCFR`Vs#>3em`sgOeVfwNh$Rm{T7UU?+eu_rf zQS>0_?CBw=whpw7%i4}KU=@fHJ$s0-&eVn1hFs{%GmvAnwJU_KRR2F1xY1P}Vcn^Q z2QUv>!FxbF$tMU9FUsW<-JAOGc;rJa{Ai6Ynf3+*$Hy24p$~i~$6@ zXof?WNohCW>>M4K31JqEO-(YuzzP^DbU8Vo={c(+M*a32#l6jVqN9|LfyFrV%w3Sa&d9qnR1GtANq+hpy z6wyL{qM(?5ZwsF#R9gtHluFhCd6Te)$erwfooGZEU8w=2oZ>nEtRTM^FuzTm)&p{f z77qb;mxfgW@|50%!pk!n%r%i0WX!kvOM1NykXKaB^N!aPmk;uWGI?*^TRK|}@{WFt z26<1P{EAk)h&H@O{h08{hEF#!jd!NFi?4X=frqH$wNg(Zd9vmuoQFW@EruqbWj-R6 z=Q6&cav%)+g#8mZ^B2Q;8$^KE$16;M!VnH&kZ^7S5-i%yfO&{$xflka;xwlm$3<#C zaA9K9B-ou0f!?qS7h8cUB}iL1|;&U4}tDM~lPAWEFz9xYn9aD_QW+&+QB zyec}(gzy^HFkp};#zmv?H^kHc_{!YddbOr z&b+1X8F=xLAHM6xo!A_Eh;`7q~Rp<^{-E*@i2y>2fgl#~Jc{9=v4A98MX}$?s;s zOO~9%OHn1Vo(GarsdqrjZprgWuq%@$Nw6!IsYc)`yZYwf;j+&yr9s;(^}_^YTt0 zdwziKjC#=ob}6bwa|B9NbsivT>c>QwpH*WOT&JttvuI0(`o$Q!OttF@gy+!L^(A`n(xI?ejIZ(!Y7rL-8dUh7fHbPb86c+G z@@O=0pSHL;eD2pguS002UHlH5x#qHyPqJpqdzubt%^aa~(=^VF-L(N}fOu-7xP$l7 z-bNvUw{{^5N93ao>kHzm5ziw1H2rH3f6b03Q~_E|9h?PfHQm7lY5(wu5UjoBT|Ob& zNzN;iG*h1ZBx`RtWlhnp@On?GHj?XrXD5f`s(rw zgnqisJP7@D;{voAo$d^{KCR#6bUjv&&oF(eJ!_bx_eZMCRlJ!?S z_d27`3xP02KlATJs~-%7d79piH}IX+n_(Ex()E}1Xjz6nfIG)beZ^0JoYO5DAk5Oe z7?Q2~bcDfq-R?T#<>(H)gm^)(>Ig1Z_vHh-sK@ZS?Ipd2>yDT84cs-C=$~+^QmPXV zXSeh+o`;m_z6T&I*WI{iQK2UvhVGHRitC<_b=wYTM6Lb@PbBK}o_vAT>tAFc-c$Xc zKg=8Sm0T=u)W7!ua-U%*pR@gj6AM7h3`=^0m>YKTQ>#t}XD;bE8(wFiNyiLL2SVp+ z@LCQ#H^Wb9aP4krH4R}s46k^1fv2H@C(>Sq@;1Zuzp9GIq16e!(S|kt=Yjlv2B%?b+w!8qx+_cZef_R*v}8juO7Pmo zwcOqQajpqIH=X(v9{w!k)u6vN3;=#}DPOcl=(Y|s{O2xrdG_?|X9yig<6?v(UD*rH ziDEdfb0#}3rMpl**By@0xg-!*vf(3iBOgAs?sT5>Yy8=+7j&NV^CHCbq7)uXz3J(f zAU;&UccU-OE`U!z>U$SRe=6l|0Ri~8DjZZGeZyTs5GmfK8cYXyKnWpxUPun5D_=r* zoU8}KJd9d!Y4rqEbM75Z=lLRvpvj{_PEwynkW+Ms%bAh%=~sY6QAi4e(bQ%VoW;;@ zEf5_$XL$kTDsAAcE!XHPPFVBk#3cxCknnHbG> zT|!$Q0a;4l@vwB0o}UNu7ClWtgfeQ&^Z9bJ zaJ7`>hr_I+;wpHlr&zvop3?76VfTzO4Dj-t#2Fa8paf4Cyd;fh(61#<^6Kuv-a(Xwkd~ z1~H)Ps`b*X5@^TwOPf)`|NiDj0sD-#3K5xrat9SCO?;!rh&x5cWBAa}&wJ3zh^9^F7* ziJd$^zYz;~zvx>LydT1MqK502@5TI;5PlHfPK1{R;em<~c0U-J!nLUk;x}FFllgO? z+mDA6p)-@`cyE)rq)*X63%QLSIXNIt4uwxkDK+@?l)d=Uz)R-2^x-4F;snxH-W&=8 zKY5eujQ(;qS3?7&^Dhtv%C9*~50dVAFbI}6pM!+R)TVG2Di`rg;dkumxS5Dvp(nXoj8|0FVoDFhW z?)(CfE3yseidW?cE;(P54Szv*T?X*_Se|UbgD~fiPb6=3`G#+sr_cRkvO^+B0hWPcTnWlj6~cRQ2ru2y@j^9(OM)&(|QA)tcUL zeMMQlgqN%8JD#IoQ{VIB78U9|R~>Gv2UYNLS5;gE@}BCn3K1&tx?c#ZRM9JVsaF4V zhVH()#aq~F)X%G7_eKSzg1l9W+9T|HW%~u&-kKX3E$ed}e5U zTSAzr?W~8vIW3HT#w;z`2atSi0l#OeK+9=^vs9!-@`6UO7RfnSiB{Dc!cuHFgzKAH zhCfchElpkkDbx1wGSU+bb2MD#+6A7m*K3hnt$3;>8i9MJT^|K6&$Z*W5Wdjn<-y>k z*1HBiUuj=t0rFa_T?NP+ZKpFJ)_T7(Ky36Bp4%VNxAJXxSl`J`uCfm zi`Tc;LYSbRcFzp&ccPuo4fVVN z_|kB-8-%Y67MyOqHguSbBYI<~TB~Xc`wLER0Hq!Px<$VO(>xH0rYqETbj74KLg%l6#^EqYgU&Ic3y+E4WCbO&7sM z8QtLJ=4hiY3qfLxY*S97~WGV9A>`p(GutijN5THQ)nE~0|rILeO|zIv9Zp39q$`^SVLE1JTDdGq46u; zjrYiS*hB<+Z2WEvoINqV;s{b}{ECz3I^#y3veg^gJ_Y$;yxJ574aUE4k;~NNTfTPp znXIxv^!+ARZ4l4QWGtWLBPM>&AUtX^trV{9O&$<92b0LDFmN=P!&^AiZ@x<9PtuN?&W|> zG+DnM5t2+2a$tAfq%sU%a!mZVIGt;v^E=^TB{^`b0_7zMj*D(wVvo+4L)BaoZVz@@XI6 zmjyVj5Ejy$(I7=MB?H1@+QD;x61qDYEi0uWUfaA$35DQp(J2A0jP9RCBg$!lJ&+YN zh;RAZmCSXC3f&CnzhK{w%CY@Noc37SknOqc1XZ@s1WY~%yHsJJqk(Cnco+=MivB!!rHi!*KxPQZ z$yKIs;vx5(DB$8rmKd@d5wgVuPLAz z8)2@1d@DNfZ~IR4;o`!35&R>ZeGuWiF|a|{@$KX+hj6#zA~*2O>?+r=ots?7%k%Ei zz72#P(vRoap3;naZZG-6RCw{0Ybt>BkzX}~7hjplI~V=rT<&fBWg7of0kRoS=mX^* zCl~}top)0N%cgT743VR~0ST3D)}SrNW!(Vi!sN$m!6nFA-UpH>!#Vj+mg9FI`Wb1N zjtD8zdJZ6|^4Hhk(&XP|;Lgg6e0944;JhQ$nKRE{E9cjdU3AIm&!y|fK)#R$3A>jvzzMonvT_J?uO)E>?x<>-522m<^A^H7 zD9g)0I;y5uL7Y?&&)S^T@Nno{)Q>^nj;WhGjJv8oc)skWCR#)1u7+^e?4h>$A)Y7x z&kmfIT9F3V-l~#IMLufs@8EpZdQRf~RA?sP!BtV6H30S7;62v)XS zQw&j~IqL{jBRI{BQ!TlVj92!&*CtU-?9vU;}xc4t&!6Oa_O*ByaU)hoWT z($r}lP|m7cuKc8{p?2Uh)Kvb(t|>=eMZ2!D+Cg|jRd8yMuP*Uss{(cSD>R}|Iq;BG zqzbv*RjjH@(Uua`le>gcwQ&%1H&vSgnBP*ncs}w#{j(I@L$!nl%*X2J5O7aae*sdf zZv6_Or8bl&iwCv8`1uuU?JFLOZL}qaL2R{*6hIDXdk3N|hw+ys@Oeag8;-Ud)yh7G z&`$fn&kopY=WU>K&@6d~chrtf2j`>}bD!m`IqV10MO(-D1MIxD_I7B5k5;}7=Dyl@oRauy_}>-$aGKe8=mNBx z>)<6&TN(#0NE^ZU+)nsFprhQi7MAXBt{yjGQ}bzT8on%054uCtopWG-Dh zZV8g1jqMJDJZ;bpIJ=>F=7AJwm0W-=)ZX@ld6D*AH0+ACJg!ERXk&}e_=nnbQ*e*8 zu~FcjXczectJT(Bg|JS0)E8X6R(Bmo`&4uL9A2JjCb1yTwYlkV{X+YS16k--xHfn| zkKxMQL479|XRY+w=kRH**KpCyM*lt-NL&3GFU=g%bph9hb?-ZXcLA>;)XMyz5 z%_kwcul|8kb3gs%Z6N*i^So~>K(D(6^FTd-Gl3v|L`w*hbv+kNI-}3zIaR7&%cEhM zZWIUZtbUIZkaYboUWv%i*K*>TsXMuXoYP~P!F85y$Hl#DJ?|{+&gA4y32Yt{?r1+lZI~rxvF2`Jqg$J3sa!Gt`FY`E>ExH zLck5ZXd&$K_4hjvuRw3)$$p{!wJW$Hy&1A_tymxI4TBQhdLx9T`r5l7H}!~d(B0Ap zzkts&{p<@s%JqGHU|yj+e~u>I*5mtt+|e_5puMY4=Pd7@p4t~ps?=9CMc696XCu5+ z>q%UxxUW}rfUZUlzQ%q;Q>}>s-!!y7_;K5W}yY0CL3qgZLcv)eT5S zJLRL1W4!9nxUqU5ypG$y5^l!tIF8R>?fw9A0y-?rIi6GiGih~8$R{WAzR@WQxj;R2 z87Iio`V`^&^p%h^(n) z6gXS@p6}^H)Hx5n4%7I(AV;W!J)$2a{Tw(uTF4`wJ+0zf#(`#>fq_5Ooq#TY-tZhK zh}?fhql2mI3$!rCDjv9j7Zi2#3*Fo^GF@65hQKPGw`zx(ND-Pwz=G{vSLnFB`eSuyY zAk3w$3c`!zvjOz*j=Gtcwo3nG8e*Y1=26;m5c_v>=6CKgqI&#f~K|Oi%=GmuY#OLK1MQ}m%IUV~Ox)=0rAcQZe zE$^{z*U9ORJr zoIBNEQO8y85OJOB#m7bKtw4r};a|bo2@%1Qv~V$=`-up#fM@b2MNwBoI3?0~Z8K6N z_k>-Ps2vGiv>3*FN@7GY7w}Gtj{6{t70rjiJWf2=jd<~5!bcD$h{){_CJIO1#)J98 zDA*+nCtjI5BU)~Rd5V~O8pu?!s~>b};>i+_v*J`M=+Xu8nni|K>J0NtQP%;k&xr?j z!DR^(&Sq|j@B6{LOnCFsOob@t+Wc){b^^jXVnR7ueOEYogS#h2@#=o1XvXW1RbuoV z=&FSYuOQwR>v=JzM*MIZ!Uy8;mk>S_%eJENkA(ak!pGwG4~Sqc8#jPh$QitTVkzgW z19wp7@XEiH9B>o{*77iKLa~uK%^S^2Qys(@{EZMI*wb&I$Pm*_Us;2>IYTyquKR=R$W%Zute~k#bid%%fx? z4=B;{{%vqEvN>nJr{y230Ev}G6JQW0KjNLd@v*lX-v5Cz1Fil87x?7eqE5L86$y=i87z>12X*uLla<;y?&&b)PIZ+GS`b9YLq9Rw~ZtN((|Y-I=w&zBV+8oHuf z+X(^u1OO) z1ha4%&hMtUR_8c}jNJ$P&>BC1-_3I{WZkF#0iIzi_^x`4W(mXd4XfW?ZRyT?KUKjw zJcC(3AE%n2j=0WPV`LmNaH9@p05jTo48}HQOflMHd-#AlZdPY#9`DUM%!HTYftgr_ zspv@)!hxBb{RsM}RN{L!Roa7d+6X=|)2}nVI^*yWaLzQ*rJa>M9-Ol)vCufD6~87j zcM1=>%sa;%#(cZSz%RIY4g3px&}H)#d7U8OC(g|QSR^*`iT4+4nY9ZLhIvrASj^=o z8;H|8P!uFOG0(O{bPfjCD15jWZW52*18fl=nVH`zYSC%fCa&_YPq&Ndbphb$GKVX3S z#V%&94~U#S0QeO=9ydQE`msC^CGNEY^03(P?+%yATG$a$#0co9DB*305w11B5-U#g z$X1*<)(jSoiFGdM9T%Rf&^saQYC~DPXfO|hI4{DuT4##Et09+#R3m5?#hqCImqb!J zbY=?&7Yy*SxN`@Fu88!f=v@`v`SM;9r>lYGy2xD$WjDn4Rp{LmSv-?^OO)j%cw1yL z8+k{3VVN5TgWkf>J(0`AT#l$n_b6Am(kHqvwlM~IAnxynmWRTH8|x$Sj3IBHXcz;z z$HK%4`V$ex^zu_-Jr=ZQVj=yG=VHqUD9abm84neRhfI{c5MSxEtda>6A-7sqx&zu; zIXDD{*2%_kU|BEUFNXLAIr4WPH_F;PjI&AB@s#fopI~8|Y?2L@ z?ef?FAa}@jEr1M@1+32Qlv*?NcFEs&!O(6wpSNz0ymcMDaCveAdVA$5uCozx>PU$1 zliL!2jFe~pz*zRnf~o)qbscI)n3wEXR=I zsQlp!&KTL8X|q__-3);^sqpzcCR@_iJTCoe0-TVw=$yyPUG-r0q^!wbkw}nNIzsN0 zESvzA({erkW;RiFIE`MCjAY&qO<0xx9u4G?%KCo!aWCDZx&y_OS;!1+eDE`hzb(xwGyh0-kww0F{%%iVj~ z>ovqb$Qj<~70FFsK>H}u`ScXaXdc2Uk(s9fKFOCyVDGc6GZ#ZDm6LcT^^5$*Q`ujo zoj<@g`K}$1-(|@_*!v-~tzg|(`R7mQ^i!5phuk9N11m@VO42?E1Sq+T0TwH@($Nc4 zrf@$GQl|F8Se7Uocng*))97q1Q);ipAc7UEN1!cNn($;nh*H)S$Q8;d1H?m>F?WGn zsZ{g?%POTabHuBaQ#YVxjpDK#hVYMQ6(O)rsrwLMy>jU+Xd9ICOz3S?nrwxZO^U4z z#5XGo-5{_`g zWSrvFcg|EP<*o?^2N3Ck*zMsF}_HOVxc>Ah1lG zM6WJbow5OjmaC0<@FHAI=BmF}&1IospZcc-J^Y|aIneg2XWpHL^4z)-xpI}NH&sx{+*Oin9!RHt%j zex;rm1Mpf6W?kfs>Y4`ex9Ts*Jm9Zo*6aZCow}hKknhzK*+70!_1uJu)P#Ca_EG)$ z4#rZfdhwYkQU7wnAU>%L_~?ID_wfu@sk)~Yw0u!d&}I6n4q>L`n|fprSiY-kZ@~Hw zHHH-_U){au;PlfKl?QT>Zi_YS`RiPHuLE>`*I{b$u{F4J{lc{~{YCUh>>?Rx@Rh;9?JXDf6%-p^3oAQpF5>Sq27&Q&@;e#EPFg-mp= z(fLKg&|01A1JKs#ieoW|^}7DdCvDJWhX8EUt>#9%NjHdJ#N4c__yfo-x)D6|wH2!f zklSz!1Yo;vR6OkM&!7D}^ZC4-)@|<#fkfR3J{n27 zYW)22b(>bw@fP@f>8%+O=x|&)`h(gZ9`S4UZM5;8`eX$ z?Mxc2)OrU3xk_`r4&-WW3p43!v@Y}#)@n&yrPgUpcY|}i_QC*V8#F(@KpVBo5(1mF zz`dYt*2=iS!WJ!R7J6GX!IM(kv{2@cw`*g$-0#pLnJWy_GB!fzPA&W}wCvLQd;!aD z?U4^?d$g_rK!$72xIypLis{WnXqV1_WuKvo81WsuSSb{vQ^`nE8sCkS6OOm#X#~zclNA%}Y zv|T!IrfSXkje<0-D+~MSTGVoYGumNpXBk=x9_@Ih#Sa8{u6?(Gg#zs?_lFmnLZ|el zc7Ut?D{aO>%AODz?XdpNOMqzoRvrO6qTg2ry`y^Z z4q9ULQ@8`g>Ra-#QJntdV<3;|JC6f6u7Apv{e-?r06@IHS~=)EsrO>Am7p)<1mr3G z{gaS8t@mNzm#8oDfLxM(6yLvO{XqwS6upIhPpbYc1FncF*b8g@fh1ej3+_Onu^d^j_#|l!t|v`Vj(yc&%T^1mYY0<%h8FRv*AK zl!f||H$cAAJJf;d_j()Ve?RC8e4(XCzjYnJN4@n?h!^X7&jDu%{%0F3pY(P9g7|0s zUu6JF_0F#WzUcRRf%B{0)(gFF`pHb;eb?*jL-h}RIQ?s1Lq-)0*UwP)1UMHNS~{TT zZ}{m61Of~Pe*su*@M7UK(2&dhD9BLj4UkI=zTQx_)X;!QhGm8s{U9D}c>X(j%MCuP zT%RzEX6h*3uyzS(2?l?zw5JTUeK3d9hP@F0iH7p+K}#|;Yz&ZWXgd)WQVg{nA)ab* z;uj0j4AIS?Gu?1;G-ziG4Ve$jFg%&Zdu_0qiQYNGq-o$hZ`duMGt*F;uI&ZGHpbdn z2J2P;7Yz#;XMJKEH0be+Ha43@J>9 z-!zn+3-McqM-_m)ZTNE-z#YR?y4iOPS2tr~_Y76}`sNr0^1;kCRHXZJ-w?;l`UAsJ z?xGJ32h9MF3}Mj_&oi86ChxIf*bg9|7<#t^=TpOs_ptuV;JO0Jo*UL42QA+qc`&cQ zFgg;3UKpCxLGPtuEJyvyP@V;m*M_UCu)i_#O%8;qY`0lCrGDh<6&#?n2YZ8jD&)xXVH zS{AhJMz?JAb{L=W5e_pRWC?kfam7&>+HI5?XnTy;-2lRk3l9LK8&fW0Drby+yP$X0 z=xYUmbH++P1DrSJp8+k?n8m8-1>=N&U@yxU{ttQ=jU6w+-X&voo~6h(u4UwX**Jsl z))k{IvyoSg^*jKs8AE>oxNcm@#N!R)U2ZQojr%^Jcgwhr3-xUyay9tijMEN4*H$wQ;$!PrN}ra3_786Was>|EJmhVz!M zGr9c+&h@5@wHVn(Q`{Z&HkllqAh6jKISCfFm~L_h+G^^{*={pUUI~`%rW&;Yc9`rs zV(?+6qbx$~G#$0U$aa~mn7-U?$~*zu9#aC}xNy@zPtf+7?%xA4!X$V$ZlCF)3Z0Rr z^b#QVo6cK-<$$RdzZ-MVw6Hu_4w)Lhgm{!GfDy`JQ>`8_8*TcfHHLe{^iNp`95uO* z1}(-Evkb~&O-t4Q8E5j|0+wSYhcW=iO*vITJ7F3Zi(b4bxG}&8dYuW|BD-kd^6oQ1NfSo>cQz}c4rQ0 zk-7dmaQd6Ou@D(xZr>kZvAKF9a0Z(9UIOP*bKL;wTxM37rU*8BIia`QTgL93!)&hXF=G!$vi#AuQi{24)X%XfSYu|7$($&_l5F0`Jv_yn z&BSM_`6EA$H1l?UD9bQkZ;P>qzH((4?+Qp-+$ zJz<$8su1GAmMTRMSZ=A&1IQ3dvj~6{makkDLoE}S-d|}sSq?0#EHf<7x!Mx45kp#I zsgw=QwU*XA?Yhq5#IxP&EjhD*++eB6EqSA*Nf#hDSxOnQrC5~NK&D!1{|;ojrAIM1 z&sdHXVGtRXq^mG=)`C(KJ_k!lWAx5jI&dM&v{a@iaKSSE7Md!ICt`_9-EmPmYP=UpPxzQIE@AVLP zY4M~Z_{uVK3wp0D+w7q1jb#Z#(zlj{hcW6x%YEiX-&r;_gtGUR$-Y2-u+(1&mLkjR zap--tJY|qwY+1Py)=MlpFIfL%iLQg*XNzl3SSYnj;W)ooR=EOvwe(@m34q6>z9Cyg-=zP$ktel3Sci3toJ(g&z zjEPWv#HzznjOD1+I+lattg1c6SdLl!&AA`98h8PmC#-B9!%)1{!}$OwtpsZ*30569 zf%CLg#A$#;D<=z-C0UJTLO$~#RpZD1$jbW?ka<=&`A9vs ziehZ|#42kcSe{y?aZ7$?HS7dv1y-xMB)qU%z&Gcm)wE^ky|Vh#7rob3IX^?-jn#}V z5Pxgcp)q>zt-gAo_raT?M=KUvL7g0fPp&_E!+ zSe0ii^VQ1ZHUz#|RYqRw-}2p>LEvgo_D`T2&S3(r!>eqJtfLzp+|JwHV3J)V2hz1q zJ1DZ=rvh(N5(#{_jZ1K~t-&Nf_gy?d)niF-=&`HS4I1pL^#;|!;R!H3dq0B)m$s*I zbcrCAx4KIxOn1hFr98?*@BEMBmm>c2vZqf+a>w;E-a)Q>Ekz993Xm#x4gfMu4Cg18E-Fk0=NU0@H$aB4a|A6{^kI4RzNpV2;GyvC zhTbDl5{$v;iS=7x;jt*MqW46UW8wd)IHy3_Ga zfR|z+*TPp~bOPjFi_KiH-iUMW0p5y+7onw41kllcC#Kp${JrpD())wZw}#mwF@WB{ zM`7TJzC|)K1#Sw{ZUAodC+9B&DgC$IsI}dPJUQEVVqNO#ryQ6aD08BGR z{%iziEXsWtV4SQx99oXaf?t6=F7s!=-U<1Px$k(nn+24UGJ}=i1nJJT>6CPt0J+mL zXBj}EY`X=tB)Rb}1d`=V{-AY=yv_TPD(hszY?`df!wc#1!b6B(lNns-uFI^8pxu;y z)zG^ot$5b(w#>@`xFf$Z7j{?1xk1Z4>2wpEIr5bQ#0#XGKa{Vvb$itW4;q!=FAVMhT$-DP`%y)y(l%#1GK}cTOhPVtABD2 zJfg-ius^CM@u)$JTFMlEtXljXy*Rb@2?!ihZ?I5sT(#5y@`U=3m9}_w=tqd3R7W%G znV{~f4CE=*HUZ+NRsA36C8~M%AeW>*zJp$}I)EN&iu%h&AXC+9oaQa{-XZ9`t@f`A zmb>almh|qa8-K=Ba@2@K&~nvY^#SgyXWm2LfqL^gXb;uJ{{TEvKl3PUo?4S`<74&3 zWPm5?o;c8+s*U*KJySEeojzCXzJQjmdY9sU7O0aHD0`tk;kRggb&VKh`swDlg418; z$9*|KHzFFy#kx+rFwH>Sm%9)M(p_BvfhD>>nE6|(D?0$lWx563F~DG5^c#TXI!F2q zAv!S=V1;f91N%^&ks14yx;y+hR_P);qPJQX%|K?2ZtFXMwK@+Tv|Fcp6NTP-UA0hf zZqWVCkNBX@^M64&&UIsAhjlh|ouYMfdF1nm?ysvbbX2#C$=erV<4WZn|K(U_fZvqy$8CKs^~q`z2>t0 zNVhf<*7J1B%7XS-S4g-2i7x*rkWY1!8QDG4_52+I&+$i`5XjfP;pofsoU+A*J z!SYgPw8E%g;fMsZyw>INPAt~qn}8Om&1Yt8iI&WmWvO4$iaM z9lGe}v_I*-pVwyIMlVxK{0Y4~+K=N_eiTc6fAj~B@|*AsbKk_dC>bW(tPRf7i)2)03}+QFJ|;fI~Wd@ z&sy;&7%J6%+Y9k8S_JdIU$v+1Kz`GvZU^Ug&A?pBGJRqqdcpb{C(#Sh?_ySIg+8(p zK&XBUvt}#xVUA$gr?2UZUZmdlDrg7v?dY5w)cd4hWQX)~+QCAU{`_RvJFNeeDb#3v zJuk=|(O;bPSp8A@C~^9ULqI#GuY3i{j_dC<1nq?W4igFS`ls~A zQ6ablWP-kVCm>JhKMR0teSK!eF6+C{OSr1<&*a24eLVxfb^VcWjOB*DjX&gW>euIC zNVoJKn9{thKUft4cl1BXfp%BFg=@h*{rO-BetZED$$>zNBv3P zv>$q(_2>BlmFj2GGykH`<1x*z`cQAszUk|Zh4t_HB|9;rANtXB%zO>r+|~RH`@h59 zBE!>;0RDzkdjSFrhcqZ#Y?!A&OQ0c?PDYTy|0Yx~F+8K=xy6tl1o5qgbIf#XH@uqy zmK}ypDWHWJvU`BG(@@n4quym`#+1Wu!zE`}-(x7l@?yB5aT<_&4P~ByGr};Q8|^;B zmkv;tY>4AQjub-*W8*YKVOwZPH!PvEe#S8OHhLL`Ai7j%4W^0^KWFF^3%T=#I(&#S z4PD%!?1JGhMle~15~f=&8VVhu^O9j?Fsx@AUb8}Q*Ut{84V1?N@6az}_?GlYaf z{JP;-GuV4>xWfH5-!M@_?}ecv&ttzdOrH*AuMDUCAotpE{t|}t#&Gj8 z3*>fVb;jw)!&irvFk|1*u&~pZ*a);;#tzqEXt!~DFMvJ9W6aox8&?hm*lQe@2SX9Y z>jluc&lr3k0*S`Ce*u|fe9wwtim^i*$fX*)b5%?;B1eRe%Gml0#LpO=N-&5FqkR}? zXN{TM&dwRTH-f-WrOk?$h=v^>=QDGs=IE8QVMdO>HKwdIBaS_ipcAW{GmyMB3 z;k+=)AJFpBXnBC%YhxAe#cz!3;vo0dIO$K&3XN@=f%eY0nt|VYV;CL5560idLrak{ z;xlL;jZto}UTj=_3cV8J*!`e=GA8k9{cPN21A$WGYkJgQjIDye`4tm`g>S}dOM(1u zY|I_~hw)d2+PBm_LzdJ;ZB5`OnYE0l$2@m`2m&- zrY_zhjMvQ5jXVi1>2Px)1uE2i6Z(7S4y!#M7m=_Ut%-Q>sO z!wu7bLV%m5wM^sRGVL0H-fdGxUl_V$+R3`&UDGXon)gg&nqUq&rl)ika!q?rLg2n> zTpQSXU^;#hoDWU6nZ_?R_2Pz7Vp`r1w9lr90Q5>tT62Ierm-bJel?W@;bwg^8ND#F z?fUV=iUeK9nw(ShgB=b(*x@2>eClE+6M{k7n zRCA4bU`aC@>F}hRfAMa)6Kbc1ue}L^~{#-eQ1ZmPh>{u+x(K z3s`np+H&WLwzOtO^r$6~>D3rZ^dCURTAH1PT%2WiO@L#T3tkXEV;SL(0cKc&_n>#q z(&`olao$pA09Z0Dk*hJz3zm5&p*qVlVi#x^Eq@IM?UH3#4&<^e74-m@EpipauUORI zVCb>s2tVSdmK1(x<(Xv_3n9-f*NDuwJlP6?0!!tl7}*O;9*>2;wA8E$@XB&L78YJx zDmTG2-&h88F45hl`#A(cpL9=7#OR zfu}>Ysu*X-lg#vXez6~lyUgZE#jf$JX;@D`06YqNkm`1hA-?TDF=+R>P3wn86$AfVfmk0>-rgOC3XAH-XNfsfEC}{eBJn?oFs|So1l+c+A=e zjOQ8pabB;_LDswHS>T7yqjT$1`8;MeBAOB3$e6Vl;i$9ka2@?p2mBbz9Po@yV4yT^ zX%VcCA5a?_Ciwgfo{97LN0vawR<~YBYiB7*Sygkg;MueaJXbhBx?_*yI86G|`gI zYPzVz)MxeNuKi&4~) zFT$BYeIds8gckfRC&Q;#;v!w%&*I7Lp>*hn7!@}Qy?|FTh_z0dL_OrIY-ckEP$8Lk1MIp#mGl*`9O?O?Pl@+0+M?T3Ic{we{#};A~Tu=jz(7Wn|Q`RV1``+F4ot|6B_hId<-8 z21l2$&5-H3GY41e_KSeAnZ!hFw_K(cZPPAc6y0C4EZw7%H}u-wWirOT$_i+7cr*c= zJ#Vi7)o~a7u3n4G!1OM|Y*U}=3`P4sqW{-VZ2^n@$1)G(wCX2t4rmjG>%eK5pt=lP z4Sv`4EG-PV#KP0ik^o@b9{h#2d-G;!51ZuyiylclLE~9~d;jkfb8;%Qbo#&&aOVwg z!O_K60@HPI59qSC$;H*C{c*^5dsCD1Sx&dGdjXy}T@NFFmc>rt4;|Q_V>!>^Da*gqQWUb7$ZlgiElrq9I%(AIY{gZ6&u%vtvjrj*kkSJ8H^gRJDgV=B%8$3Ig) zz($L2-0fRl+J<(kBTSyQ4$J|jP5)t#Y`fPNvuXE>j1uxO}0FSd{a{hbTtd%e@is~iEIBqsb7I_aW)J*Ez_?6)3)MeT-zx;9nt># zYij>g89W_*xW0C}Js%_J9L3y9m)YaM*|k<@-m^ig(YBeJ56*6Dxh~lL#^Uj4Y+PyBY^wQho21eMYeq*%z>lOg-^t}q&1Khqs zc3=$mu|Zk0p~3lQ9_bp~nXZLPeP-%ib9oYZ$l}AG4n5}zS+}E)fN`%?6YXL4-7p4^ zw>{AIG@b$8Ya0(qdT)<}p5bdpLCR+mz4;LhJi#+Eokw{_ZDU|P`dldRW1<)ejdiB~ zKklp!?eU}i(Vj4g3Cf8(TVpPhwlbETyssEiQ~Y?(r*=t&m1#H4Xiv9q59*8+ryx7? z2EXAjs}|pm*^+O>oSFt$ockM{&w1{Fz|8lg2eaTs8C(~3b%Rb{@of{B{KRYeS&M{K zUoiWN4%`(2#M4<|Tr9TT21}rL^&Dn`M93-hmWapz^p=VWjN_IGoEqcK_Rn4jEEn_X z7KVr+3`SN68)n@@#ei_ItP}>Or&fvb+;vwAr|B5h8u5+2wIYR1!8+lo0l8kBWUhIG zNO%B&jp8F~gPTMt*Q3p1pFNOU#1wiXTg6@G8McWp|3ExUIIzC5Q=FwIw@ZZcFP3+U zO66f;k66(WgAW%6=(Oz><>>uJh_}xmuur_@qY^2q(1F}9K6V1j0b&0J;Gn4X2f!f_ z&lNUGxMo20VKI_f>1Yv=h~5#AUK?7DipFMWi4g<4poeWT8MHX@R|SA$BAy?`anXQo z=n3&|0u05AT79AAq^N5P)d^xSpX^g&EKi}F7T1m7Ocbt1k)i$H*5uB*V531y%{O*z z1D+-onKf$a+!>f=4L?9*%bJgYX%!m^wXHk+?=|m0N3w0FGLUWe^LpUho7{2jP=6md zJCn{^Hf@h0vGczX^q>nq*qUQ^=@EvjYm^J* zhxB*=zM(k>adoR247~fLzoC6t{CQwJBKASM=dUAh^$Mu~jCc99;2D0LzPnE~)+tBy zpw!5i8PGN=dMGfXm#{tN3tf$|qc?y$&bS%;*VfackUEx0dphWlZhbEosL{k-*fkahD1 zx`Agw{BB4s{N+!yeZ@wuZhj)(23i-1noJb>ivv767a$Tn!MIqAJcN51D9TqxFG$>* z3YK7Tm5)P+sN@In6{4y=h7&5bIsmy+4Cj8c3V#d)xz*x+0OZyPO9*;vMMZv~>&4a^ z02@RQ6Q~=-mL(A1Bx;QMZ#Qmc4g5c?9s%9rMMns>e9ByKt2J|=xpfPEU~LAMgWk5M zMx)&>_zU>kr_hh=kl7aPj(z$1cYWyq4c3R4&axTLOi;JYYk{$y`xDyTOKj2Zq4j|V zyOHzIwqH6Dc!zncO!Um;Tj2P_7S~=@EYtN)zX5!o#9^?~cYZ$j`*m~ywg0=i|2@WA zo1)*<`UI}lof&x9c<@X^w>DgvY)2%a-Thu2$oE*^8soFG4g$u$2IF;yhYUn}e)s~t zj{eN?^a{NMeDAGX8Tz!0pw+Yd*|mPIT;clXoQ4Lc?-Rf?z;z4eFmMl3AA@Fof*$A3 zw!jab%Jc9pa^!#aHG6$m9@BU8~*XkBm=$f_+^#!I?XL?nwt8>X}vw19Z zwVljBpyM(DtDXE<8|mDPu~!%S_u%YW_8hEQTV4WV)0&TEw{gFM({>6&{_ab;s`nVp zXx?r+cUb#Gmc<*X1+N(EA!?p?6w&yEc+a2%(U;72L)nPdOnT~&8nbLI{kP1xa(5{$6m((KQyRL~w z+qw}09Gm4#t96T>1&pmi-_`#5Qt&&NN~8URfPF94F&*&pGtk(n7ut^N!!f^JUxOgk+j9f# z^%=hd*S=#$3mJ-={I_Bs;)s`mzd?{;|UWJvi8--FSP=#vS|$c=S@8Rc38 zJ);krForS1S=$BcSmtmy%k!9?of{(t`x{to@N+hdp?hjvS{-Zl2EJFz|M^$j=-c*5c7dM0WBES! zyK0Aaf18KkbP7BM>VW24^#*Qu0{o!k`=Q6#mv>=shkD?5sn!HMuEvSr88V_Bs6*Fp zK-(>vzYgY}!>wr85gu#t{)c(D;VtN2`xGPrGs0^p#x`;h3reFV9|Py;-|qeA|IXvL z2Krz96EaQ(q0l(s*B6i+7;_NqK`)ssbnd`Fckrwi9ES(p8&?}@88UP}s6+qaAELQM zaeH*%%hhjK?h0Hzj&k|-%>Mwq*UtrfEhQAE68}81JE$RhhEOaOWlE=bk+&@$>u&7!xVewaHcLdfoEEk zUxA-q>nSwP7}N*XnZN!9sacP zo-kB@5rqunzt6xt{#<$g;`v~5N@L7DprkIe4orGr9HVP1 zdg()kNLU-%nNhIY^dY!&?nin0X4vmMqvA1$Pm5>6U1)n1pTgBUi>vVPmh@eHK64%; zl8@s$ax$V8-KYwiK^^TaK^>F36W6i9Gk_WAumIM_FBlJP6G9o?PF#5b{F8d=ftlQo zEA142de>7o55*j(Wp~1L`k?B-&v0ps_RO$yurlk9*5IFApy4{FYz8oM2V6sYp*!O? zUok%&C_j;Z@qhP8#ofVuI;IoT7uw4Vb05p%igja=%8_NY2vF^17cW5F|~MiQ=LkMaJEYyJ-Wl}uIG{c1@)TT0H=hp7nEkUbhOx8C zqCM`I4pQSUw*_Xx5+}4Lt_eVUQg9yHlU*lZ3{!kq(U>}R9IQ;!F9vn`WoyXJI9&|P z%$Osf&YH=*^=w50|D1!Y*3a!80qVlUd%*h&7drNS!dMNwi$pq8!v3Q5+5gtwE8`&1 zzwb;iITiDO>VOaY><2orDmdu-e&C&FMxs5~YX>-8y7Ad|T~`aE7}AHk&d@v6&~~fv z5_orKf2bdJfLSJwVUt1iwC({7UY9pR*84i+mf<)1gVX2JCtOFAe+taVx<>Gix*rS7 z=o~j-#zeDFId&lc8Tl>ax{lhf+}Kjj|R>Zu+V!9OjQ zYyR|WM?jrX`7W+AqxqE2sy_kO*&`ST%qiuXKX=0*P#3OYl<6xRc=P?lGp@^vL|PXB ze=!@o;=k)t;TQ1sZ&L|!PAW_J1MJp7-@xAkfgjYkAKK3E_~{O=$|u?7r!^RtYc@Tp zA?@iU4b2Y))h#atvhII=L3>yU<5Z7-I3mxLpTOf4dmjAW>!t!fylD_@`Si#Je#G)I zXpc;ze>cjNHHFa=__2;@vj~{6m+1kIGa@*`dl!#^PcZI<)Wj-30W-;(PswB--o+_9 zSA&1*onL^N_WDm~nC_rMd&Xl1A~R2gLC>tAzhOqRe<}s%ocF(jI`=Juq=nWd==2r6 z7DCKVG>C-6BH<8+p1-(x|39C)-#8#T*fEUh*qdu~r|WHTe>)$Xj&_%DKWOT@yB@CA zUd*xEbYU>o&4xK%+qIu??HEYxVAbSmF97VzmD)ROO)^UA&$&)~B3$6dPD2HsVT0A@%{Rs@E| z;zQQCwMatSeJr2dVJ<8sd89CB=lRhESFegaLG`w!2R!`bLyW{{Rt_|e7)@VvWZYMb zVU&j(q((R21)eeU=p~FTSOomILoBk4{~48&f1jo2qk!!=`5r8Fy2WZ-=WUl~LCjj7Nst zz6SizAf7>WtFsbqcb5og8+L{{J&&Ippwsg?cQCIa#!BAh>iy@fJ4FH8@k0g3bZWv( zf9JsEkm>SrJZG595`c9T9#*p{3dXhDIO?_a?hgL$4%>0{eap3|AXKb*NB; zYtKC17sq;af$ueq*|Xkbtf0Tojuc?}&YuJ;{W4YoKd>Tw&Bd&&hjCT6iDl)e+w!&0YkMRW*Y270$9wdBfq98|** zBXQotw`p)HV+a?^CSY7gawbEv^Uxkzjq$i!%0OV;e`Y;kSfj?^_bAKnjeBOi#MLWe z1xDpP7e{>lo#D;t;OO|8*G`_!pmm;L!I-+N7=qDs4VenOwKda4Hq}{%?KXuuLfe@d zuHEA=1K-2UQ>=EuZ6Rwf_=G#uWiq2@kQ?|NJ$OfY1$ITd_h+U<`t+#`{=Ua~G_jwH z8P|bxBXJ#MzZX>JAVyDv+l@rqr2-4$uKnzwVaS{vxDE|&#~HSKfVO+*#*i8o#;3#M zTyyC6JX!|)UdR4`es2%FcmK}NhLKyxh89S6%6Nr#XV;bB=(3qPw61p;Us!+s1NLk_ z{=ymNGy1g6RH3VTJDz*!(Vaj3Ygc79IPL2WhLpprJGl1zZ3DD9cI1JjUVY2s+Iwy; zFn#JZ;0(X%!P9R#cf)~R|MN#0HwDJIHQ%?vmAHqy48IRsuFk{I9#YCA=TO_fIKy1} z>F$5icNlhSA+8=857Bx)XI$&0A(qy8Cwu?*lgIxCf7CO~z%;8_uBt=Rf2CW6Gi72Y`3Wq7Uf4oN@ZFsobqS zdc@%B*^PyNpW%OlXGDdqkR928e;hk%87q0C=bprM%ud!R$F461&$x<=CC0}x)|lYS zyw$|Ly+NInLyvOuga}}!OnwQ@sU5ArIqfm)F4H3!v(DHt5~G?~tvB$q)_eWuH5Olj zt}a`6q_C^sFBp^cuZ3va{4xVF-F&Tpu{C0^({;C64ZS@kKoGg^^0XjMr%Q4`qSSsrUapiup;99ax5u<)DVl zBsdQn56&TPjIcX2GZ<~RB>HIX^;!BIHu41U9(NcUd8SUoOuX((V7&Lx6BwTL6r4WG zJa8T1!AkbX)69gA+S(lVW%LyK3}XWR0cLEa-e`}@!#SdV-;2Nb40rknA5qu&G54M> z`75BYt7COst!H$Dyv@Toydyg`aN0Uog?#t28*uGWpSzdc&rNZ)4`kNEA@2b2J*%9; z)v*(wlwMv;d#0%FkWX)gX-O$;~9RNtF=!fS71hT z0rHG!_8kHlqN6Qz;_vDC z*qsv>n6o-B7V|^T6se=YazVUWie8p@XT*>$ipw{^c}aZo0>~EOtdm?8<=;T(6_J{Y z-c>P#IYRua1Ou1r;t+qO>xLN4GTu$`#{~3liF`i7w}s7B4EK%*V)^W@s74R>o|yj& zK#s8YfxTRj^%Laoi{UbXz-)3Fi$ z!kK>l7x9`8`&Y3i5a64bjKn0W_2!z8`ym|dV8K^9GAHXN-ArIvBnPKJnZLY$8NC4M zvID)vvilzp2$TaDAqUBDrZ|?!SB!a=%3)hTTP9mJgqC30f=4}<%cV=u3z5Ap1FVp< zeu1G-If-eZm2#X7daIuc&|0~o8U)tKNj<=_US{nA*dSx*mT#1& zJV4tdFS~(tT zpyjyyz!c93Ig2@)czWmWe@}l2(jZPsY*0X5J| zkyoYyq{@o?;n6g?p$ll~^1c_WpOMKmq2;`+%oBB)GKh7q3-Z_s^s;0k7KneBgI~B% zHLbwY%gqk4_SU>9)87BQ!BVMfk$xN0mVPWLx2p9!w6|6!Lr?pb2=x9ZpD1k)fiX$e zW*RP8PT;PaA{#IeOqCg(&`XomS%yxR)tZ9ytn5=0;G7I?2s7tp3`@nC^2V=Vxgc-x zv`UtY`-bmt-n(_&CKvFrAj`O z?N%(0VJKV~%$0So@>^T5L@0lE0Eko;a2MaNJZ!>;O?lZGy+eu}pSdXIH@@G8l@qBz z9#OJCL(5U6=Unt+lnTsJ$0_a%!;UE>>(M)|2-d&il^ts!ep302BOv%X)`boLr1UePY3RMtL59`DG~DDuAyx&j^ z({Srl6Pn2XP)}Jb=U4VS9fM{cWMR(XU)u8W%r9yGarKm!^fR-ZwkSs(tgxS8)9&iqsaBo9*?AZ5b(g_h@4DXg1Kv7< zE1Jz0`XJqYzY3~t!2sa9Kj13Z(UbhNInk zUL<7uY-|jkzOQCsg#8}!_mBElBTRjYn_n3CtKfMu=m-Uj}B}>Z#xyAMFmQ3Exk`?!@PNah+7Z zAFNE?83*bV$A@T7t-vbsw3$d!=%!~^frc6SKXIK|_Zcv=`rQQQ?0S5^=cHwWf9~5J zz|ZqMj`sX|$)GOC|BK~K{EfXI_E? zmtjS^_%;Z%GolhbuMAO+71Oh#JcIsoBJT@W&WleBVKYT^E?6#zzZjrqiT2B2=%Uy# z7rjejE2~D?Vha6+%OZ0gCVfQ=t_Ja|qCtD~u8I0dV7V^xq9AuecpnDsrs#Pa$Xg=t z8?@XOjabvWBUF0`+!a2Y!#&Y!69jTZ%XkRnifg~3cV8qhzw|)(hJf>-=!B>pUiEZ_ z#d$()i{4{VNPqZ=uu2B!Q*rwWde1~Uzry=m+-n46z8KFByg+Qzq4z>OVT}G#M9hYk zSK@wI^xlZ8$6@cSNQ%G!3&l4sQ}0Av1N7dD0*3h?#JlA{7KwmS03U^88-QXl^c5 zsS)HB$!~n+{pH-3FdHEI>;-MHyfF|0f$}pCfCR~t%yuu49R~v}mEKbzuuSgdh7c@= z@rMhS%aHD{9wOgxeO)0vVldlK>B7?sE9Dd}Z>yxUE5K@bmB&=qNLxBHYvnox%GSvr zozPn^KYhd?HptC)pkdo>Z7tAfPaSL#6m4D}=w@of*Ft%O(V+Ykc zwAr~!UccOPhcIL#6%69i45F@iU zU@Eav@<2+Q9GHdPF&PmC+Hv`mXB+DSv&MXlskNx zS17UEazhoh8fYsOyT2i~N^u$u+G-{E2*4U8nGecZC9WgDIwj>0IM*vnzF|fi6q``6 zY*b3<5pPl)PvTB&RwDR!AzPH5^li2(y2e0mQ@%1#+pY}1kKPXD&0q+GDeIkJeW!9J z3t*RW_-6?0R_wVn?@@xRU?^O9dJdd>mBL;?MksdNX!j`<`DjEcVFt+US5~A#=K&>~ z%i%%AXA63VlzntRqm<#j0S+r?xo<`*KHDIEM5&t#Lr0YiUw|0Jov~`HQmqI&Cz0ec*Ug>kSCQ{sQ?Mei0>HLDP4!mFQ))0$xUPh74Y{G* zXp7!W#rYbha!VPIfE#jKX?+6%ca;C5?Y-mUDz5f%t+jXW8bT5hcp-Ut$p#XTh%wtF zttbiGRPah#b|u+PAUCos8-Xl4Dh5J|>Am;Rd+#;0(0dKNV|wqQm*4YDxn=jRJ_o~?hDda~#0M|tk``T9IX;uq@A`Xdrw#9fI< ze5w8(dV;R3KkQ8;zFhy>jYxc@e*WY5qF3uTS`CS>)vrU(rPu2ZB{TX){i$0c@y+^Q zkR5re{=N&4_jdjGBk06C^(Iv@@6|uW6Qu9gAH)6qgZg`@Ec~#3H*&)t)o=7uJU*^p zn8$#8Qg4P)*{AjGMZEpD{!TJxpVi;KH$Lq1`YX6PzNl{`z5cR(*6QflR|q|h0$`S8&EIC~$Xh{wqklCwR>7QRltEW9j8}U-0TnkavIZ>9z29AZX4&Ee{5F zS^>z1f{&2BdpNkb32%=C_m1Q7pWrRr7# zbnSXNIQcU?o(UeE#^c%Gonf@_Trl_xH2ZvT^)lXG2$~61hxo^G{yq0LQGWeIz zP~hd@=p-t8CHUH7_{>*>Z<7ysEx3SZZe9r|}}KjC&c^ky!0*O!lCK zeT;|NkhrgrTN9A`8yEfxj{}U~T!O>{jTf#!KMyj_d>4ra8(+MQP8?#~E#lD_$8*RJ zGuGMzRUdBrgQoH$j4eA+?nvW*I`MXt(ON+9qm6Z`Fh0guAN&x)n*8QHlsnE?{cO~E zyzyr$_Wo&XxDOytFn;?M-cB@D`vf2-88?rk>XVH(4nw(9j2~d6sb!okGqw_B;}A=NRj5jNv)g___~o=NYe*0C~RgzqE>7 zVBEqPyU_UbEIckUj))-fVx!Ok$V-eXXcW2Bc!f6E%Z!%&0dl#qIqgDM7&9s0TxoP1 z0g$VVFX#YtwQo6V^Pa%#*ufRme-BNM6L`ybGLHgp8+GIy-@&N}yuE9z z+mD9cGs?dM$os}>oZ=6RoyoL)X!QIA#XmCECGY*Q@$LP9{KQ!A1tflI?3hJg{%fq! zh_}xWITpn~H{LuFk1ve-j|b$JMtMWj@|E#=8g+hceEk$4zcJp=;ETRBR?uVaI|M?< z<9j2&1|BOyZx&H*_s~t$KkpIh;|9HFXbe3@wEjKle!q9<`OA>FPiSZIQu~H3=Q`Ri zw9Oxpw}0s7htZ`2LYJR|;s=Hnwc_od(3!U(@8HlgU|9U7Xu2_A=q=Dm!( z!$awxpw~x)#-GF6k)a>_29KjcPjK%)I<(&z$U7$V`GIKm*wC$%wT}y}a|o(FK2&}T zApZ4@p>_4?Wj{T3!g9#^HG}bPCt>OQE-#(a_4!F{`7NmqXpe z@>fHxWNlvy)pK%Q54F-^;*HP-OYnFz^b-=!w?e`!`VTolqMsU+;!~w=)`g zFLWDe*88DL#sTs{=%>F0$cLes7VWu@XLA(z zEHpr3^?7LBI&}Ao(B+q)+?Sz~xbVIT-S#>lzYYy`An)7Ish6U_ccCvILeIX(Wd?v; zVMaKcyPM}x7Tv>KcV~d?Y2Mm`#J$YfR3+_gUP`^&K4#a0=*zxl*Ek^eGk=)HV}CRB zC>{rx{STv|1I?=%(fNbSmnV^Uh=^SlPSvqy|GB8_IP>1s%gq zGJkhBKu$K_;wYVB{+xXBspfZQ;BlInx&)m#-8}Dhyq#h0!*XYuD;5LtEORYNHs_e9 zP|J9(x$Z$oJkQ+yTogFpJnU&aE-**7!M9&%Znrfa7vahPKwfOVLcgI)%pJJ(Txzy_ ziN|H;I?v;Ax%tk=D0hYVhkek{mFDlrJYQw*e>;j_ZGO>!w`w*sx0oB>jjC@oSLd#Fo4LqD zUv4)qIUFE&n3FV{-)SC4x^$O$Yz%LAn>$kMyvJOJtLk2}=L^(%pLyH~NW9-Xmdw`! z=3{?BEf1Q@w#VB;<{p2*;}LTi&(i(JTzNboA2s*-9_1c0e@S`sadX2KJf1LDQjhth z`584XPnj!{MRV(UvtiZfc(r{a6an%-2C=AaX@&Cg3*EDIs2l8gTj|z3Xp@tg*GG}68@5# z+o9owzr$c17H%k_y~D#N{TU!fgqM-6KQjCP<+!85uTT$tbhu|%)Ok#JqaWgNYDy!|s=dIpdugnvff?ZmK2IqszJJ?kU!d&=#N+Jnw?Dz-obXv>ZO;v_ybo{Zg^%E_ zeSUb8P0-y7!gqF{mJ7q{WKhdR;lp1C775 zL*5nP;sYpmW%&H>k$6=&_cIL0)#3AQz}q$9<7h{?Hr(|g-u@L{`$_crx^RSgzU#xc zaPPk%eEn^B+!+4q4dneh{7gF@H;2EWR_vDW+MnX>*6;yuqrh$9kLRGW+rvMi7VeJl zl!S@VGbp?<|_VFZ`DUsOA3f@tffBK=}Q;@xT=t zi%|TbaGXrk!{M*)K!HcX8_Ys2{|UcD+5XY+ean#eSopA&czZm&)lqmn5&kj7h$qAA za8rCLJoYGBcsg89HPbWU*Pch>v*BNp7k)0h9?e0|hd&+%$P3{cF9gU-;iIXqTNys- z|Iqr&;g@IN@k;oADJH!dUdoStEqoR&6|aZaT#4dugojSW+gst~BY=E6{OXZNd?)qKM3!0HX8acJVt-okHV?f@%T8r-RS`NBz!QPcRvlU z_EXgP-|(rusO7Wp|542SJRG_ed0&JN;gEkB-ivGHtMD^qRlW}YeL0GM6K=T!k8i`! zv16%)*URAXefaJ}(TNo^*Sr;#?LPCca{;o)%$K>V?K$&w?zel*T=E?9_MUmn0_5#8 zbJwR)^}aLzu`7!2H}h8y0c8J~7R9myWO>S97%{;UfkE3U{wxQfHGcW%;9>>l+ z@xOpPZst?8;2b~m?SldG&zZp&kaxn&kM==BC(iuvf0R4N$-(W;!3UfY9H33^)Zh-p z%F}|!(EN6KaM#OF#hJl-pT^^?;Er?fI6JuJVR)PqoV_6moE!WsfeLXeFP$3C53Xd> z7Y0`}BJU#H*o(Z2gRdu*?@9Pr605b(97poHb9gYaVS_>Zj%OxNtobm?pRF6v_`K!G zui)?RR&0RYWKO0AH$O@ZNyqx6z;moTAm?5h3e^d-__Ki@V!lEv11FL(dMyy=hjMW9St^L=1~YLLBWY+6*ES?8~RL4~tkq1dwN+nli3r%+0buem;&OUxuCO^$H) zXgZk`zWGB6Vl8)X49HZRR_oSJ>H)v?Zggr&Z~P>x&pbtmD*H6Im;7o}HMh;a5`Wu= zD0Fn*PI6Fqg2%*%R?w>Sk2|OZ*fVg;321Duz{dZ<9)j)}zc`ZH$1is|3oUN24%|za z8ageo=u>>=`+@oo@b>JC7BF|A!|MwqPRG=*-)7W>POSggLbP{U{pxS23EnKb1PRgY zId`##$d)G${G1=M^}R?>9rpt?wD~SK;rAAEnZD)UuEF1}9(@Ph*!uoI<9B-2edute z{53jUSV-2oXAkl!+qKh-wEd5{$qctqhq~jf6qrZ1x)HyZ?oRPwSr0j^@e!iw@*!?A zf1687-QWL9lgU3er6{*&VDptoIv{ZQ8OT2*kR}6lXy9L4qs$S3?axOAM+e@bAaV=> ziQ{o>;Nwn|K0a{ml_>ttz}CCsabn=h}oQj<#q7Z;F-2m)&X3_HvzBiI`@Idw?*i@Y|M!9G zcfw=EjD>fivfXDq!q)eg@xY(a-Mwe*d_RgGFk|y5zWUS|O{d`PoEcZr(c#h=O@BqX zt7pudM6Vy6@h!>08#65G8$X+I8qe5$Gh;b-pKoW(Wea=Nzr`)^fcnR%4>+v;z#Gwt z!|M;`6dqSU!2R_2`Yr!~;-}UJS?=umU(%W9y85=uk@sxO4~W&N((;2XZFUrxdNh~S6UpxjZxQ@MJN33kxLa%^yG%9FhyqUsm;DK~JRe+=N3$z~ zN0WfR7QA{8kZ%Ql&Gr6X@MJRh9|e~IRiR0XK843O!8u0&^4s8NG(mh9JShi|?}H!m z{LTufrjd8FF?bcadyMg;6Vcwe#_ihyA=4qjkdvT#k{MzR{$1;>cL1Um7e5!(`PFBxA62FK|81TDO5to|&z`-*V{g~wNoFRnyiUNg4d z0dKDxk3Nepdczo|s`wq_+T8&8i7|LE62CUip)28mq1i;9LqZq+9dAd4&b~{|w9)Wq z+TON22*!LdEx*``%IC-#FX8Vmx4av98-@O<@@6hxgc?`-j(pIX-39dVC#N-_x}W~% zQ2btNlMC>B-HW-g|7`Jm^y}w&2EJo;_oIuqL91Uo;`${q|4e?x1W!c-*%me+|1@zA$?B6&s2{ZCuZY)_P0M$`}F$R zhXJ+0^(Ud))bxe~jN_-6Y04zsr&Q>!De| z|Lx>o;rD)loylJx6zKXGutx-LIRn)m8(7Jm@5I3PQUG2Yh&G_+%L8-X0p!(zTZt;y z1&le!`*+}oKO*n;z!oMF?+JYQAQB%8oc1GB_Gn8hW{Ab6Hy*DLY&>lj5FxN`J}NnJ+_}RRzCrdPaF9OfV^TfEI`$-8tcA=$J;o% z3XqQt?D*6oKgWm&Ul=KJzh4{AT!_4HjCrf630gxiVn1AKd*uE|vtvehi#-B$p*4Pj z)nfek2Vla)WTtkdT(IRIs9xUcRqidn+n1tKcB5b5_gR5^AaD!7w$<+fVAt5ckvZ=H za-M%&dl(HYJYYwp@AT&bIU`qbQ1=Q5e&DFUmaifI;=r|JU#4PXT|NOO)JNn8bs+~N4cNEy|N90iU3p_!c^ErX9-bGXA27dVxYCbRU?9Hn5YcrPr z0vWH*C~}AYYR2lX|`ctUIyrO<(CmuJ{Z$aDD9reGv z437uvFCcgOWc`P?;caF8=hvZ2U)OJPC7TZ3wG-Z+3a(s%yqALaZ-~SkN9unYN|8tGg9LKdBRwqXTMe&FC2zu z-^CF-O!c=$YaUha6?%pzs`d`OdO6DN8(Mb=kNrZ=T?EMeL*G9SkOM+zt;FM?&>h3b zJ2>>uzvJzY&_#RTacC&?4|M79&@!qzjtE7lEk81J3r!hEg>K;neN1TfiKy(@&`=bQ z<3c}u2Z_gr##YDUve0K#2j37nk#@AZLjQdjz5Y*V*^#K_`OuY%P~e@=qV3SZFGBlK zUjH)m%vDJIDs(^(9$$z0CP8uZJYppVe?<{yh_;coMfe?i_o z<_EwdXzPCT2;S~DcfSR1514;lgvW#CAAXJY9y0f%M(1I3F~y-r%)b4R_#g9Is%{@O zPyQ{ce$2du+u0N5WmFS9Y5tw#@|4+4A@6DPoikAU8MFBY6nNJB2XW~+^GmwmKX0!6 zCGuV{3si2rXx>5U{gQd|5AaxNt`i63%jWmb1M(H~()aOr)!dt>R9-U=Scb>z=9NdG z**DDNsYrU${1XZKTjmp#pWilTB+!X>%qRbhTHZAey&47HGmqneqW8_6sGa!0yvYK{ zN9I~LBk^PNu1)ax#60L`Kz?fOeGowYYyNyU6#vXT`uBMI+`NE3dS95^KLW^a&E0>D z*7pjZNP&Cb@cXnt?-zdOD&*}SzNd@=2ZZ+lZwAfUF)Q&nD4gQ_9U4Bf5gj}t{Pj90 zcVu{&7U-kGf4&iU$A-(N0`j=71}!^{QG0j-dW+l{}>==hi`y>uI}1!UEPejBltJ)^$*vm3*a9*fd7Hnvo{+b z8{8~iZrf~pU`ced$%)~S@y*6377j118{B-mWn)Vhj}0t|EE*me8jm#Wl4@?;ZeU`` z(8zY<6O(}1u4Q&~yNRVsM+SEo7#`hjU~CEhZ@PGNa#K(0rlU(ohc+D=STMZZ@aW*k zJV`G!cCfpFt%)3+F<=p8G^k&P(hJYc1 zL*wI1$7+D=Ff_1mXv`7_R0G5_Ito49pc6X`k1bp_FgCI4X4$TCXL++ui9&7|SEu}{ z+YBGG3@A8SiA6_~tTer~s_~bIY0K$zLNC77`v1|aHm%qm+#)d~1|3$tm z0T!Wl`(@+NJS!#e!=n)4Nsviq=%0iGXnYVC!QvMhcBMs=G<(MLwws>%a6N|(h zmJHx~ci|-AZ8)v~mQ1LW(dA19C&u_~$X=!^U$#UgEFI(6AZu(wwL3mEhQ7;0wC5Do z02AUXP-JpkwXyR;Rf(t`tc?6F{E*+pAM(5SOMDl8$nWA0^z6-y`7swAoNAM=U z3qRy{;fM2Gc)@o?B_Mvq>|b&9i(f-1`LXyVKNdga$EvdOMHQDXss{Ozkk!bSr2C~w zz1YKrswV~&{KTMwofuSb6NAmNz{FsS{-HkrqpNy=U#fXI(5O=mH2W=(R3YY%@y<;Z zRbeq%1Eb@^@~2!#^7r7<(M7|H@f+)BcxY&75!Vvv#UMxlaYoXGfiZ$$71;CwAey=W zWN38Z@Bo)2DUJJOd}w57kXQg9YkYX|_>g{?7+x~8e1HguEWV5{8zQO^u;O*u#13O1 z>8|$lPc)}L5#{hxk|iV$jDW~-dAdmyUO{fa>HwgwuKxYHz#r;n1Or=#W`}-x+Ip?O ziza4-!Kuv6^;+59Qo%|W=BDSDd%CUS((y=3U9qRsYZcS6mb}%Q&Bm>{J+VkbY;q*B z<(83HOJiNJy~`@)(>W_s=*iA$SU5g03yjXJk)hGX$daKYgUfbpU}EE}NCVROU{+&Y zI+wLNyNi9jqS3CtdBvWxRnDdh`CPWAl<&`Gt^R?LNOYH2dUB*r)?|T2ijz%}uc~h- zYZdXQ$I7cBNtDR5430Ix+yZZm7;$)->+_fw^>6wo~=#$ZdN_2wx`SLjAsFX2~J~_3+1jz1BO;k zV+{x*Kw};Il}q=gGktAVPg`3#--|iP)|K+@R(F4wXkOGBY1pKpv~y`_ajbch#^^3d zbR&t5^vN2^z3JY*vQt3|hAfxw?|QI>fQaFS*tuWQ5+jy3RYm(_OYdt%dp`!%tK8CZp5#;-mLm?G1=Lk zzV6;wvqF_9P^AO_fdX;?6ia!5hb1f5*&l0;G)$eZXRRib9L6q;W3kl9v5H!S{sMl- z#S)7(*Y%Y%9F5Lw-pUu{P+^>{%$#T`H{Uf;Q^LvV!Wy;a=I4od>FMp7hoKso9KvW+ zW>{V0J4H6acq;&)cNGYG-dLB%7|%`5$@dl20}Bc{k*Gj|&Nxij)IweTU^dQXuX%vVfh7>a( z`VZ92_x7g?eR-@>(g6%p5yMtYM-v%~^b3O+izEtSMu@VxOglf&o;o!LC>l#fGnfN= zkklB9+3QM|=ZF^C+R#Fd$ek@^#Yo|q$)|hEoxjH<3pDYdt;Q8K)M`wp0+HjZ=(+mN zp8is+oTU~;>9ZZGe|_Z=R!x(tSQe|ylvP?*p^(mI`?|R5It$qHEbceOO%t&~VU8NN zSS)RE1?1=TqU*6(Bo-^!O{TlM^93uPYcJ!`mM#=JyW9Dik2L6pYl3LH;R`5eM*Gl& zH83)~c$8~&7WUJINNJ(9V0dD@A;OeM1JX8)#3DomwHW%!M7vD74;wQ6=+)iepY1~g zY5+=7pfa(SIE&sP>Y!xR>pa5=F99VnBWj0mFY|N)DArZVXZuR!&Yte()&?-gV@qc( z9GDnrjJPsV4H20W;l|b=^1)#cSiH=NG^fCgC~hU5vt(bBK>b|Q;aK9_4ltW?RcE_< zIdhqO@7z47TEAd8NLq5`K1EYNnKSL&5+u5bFVt0&tPtlj_NPe#2D%qZMUy08a`UwT ztzw}kol^v~S#~$qS?X;sV6zbql4a1ZHuX>L(BiYaZ~{Y!m}1dYtb2TcDXV8ld6Q zJgk+vWs?)oS&dnfqZGi07B=kC7-5<#VO9i8?9#~zY&DW;<93oQlrT%V7SB2s#6#!E zR>j(svniKozNb}};j*pBQe9P_fo&z#WmODxapb9@$GHH=($L|K7oWDBxgIZ+f?(jw8LDqA2&In$Ny zEp^V*JCHN|nVwuCfgKpAPZnoRq(N`Al{uP7`Q(c>)b20$o_V0VTx#4Tt25-tYXV`j zJDAdPR|#AWhy!^5hka~{#>qP9rsZ6r&o5^Q_5a50*^SB5@qC8(&}Oa z71|W@rF@TO2dpInyX?Gh+}RKn3qyRgIpbI~Y3~kdL&|n!`pWa0`TdbtvQ7wE5)VA9 zHj@Ef30Wo+OHkNK3Rx>z&>MKvy%9wt(Sn3d$Gy-*hPmnfyj4nf6*ZzH97J*Q#Bj6$ zgCTh3OP%Qg#fl8@8Mq-fZbeO_E$t8=0OTU1ko+vP0Lsyoo`+qtWs&?R>7!a+dbw7R zNAe{pAsP@HY&0#ml@b+@5F%P)u`IBl2o7T|Ft!)MW(AAG>M(RJvYzixXM3ZT;PhRK z)1%=|65G=E?c*-jGWx9Jx zT|jGDZFDvdU3A&P-=7W;_wsM6St} zQko)71)KQ0_>JDquDq4%Dmy!XPo`dQdXuOGQCQcf_B_oM-MatHX;E1Z5Br5dXUs1XcF?42jjQT8(cdgsff> zs#Yx#U~^075?Tc2HY-+!y#f^j0)m?Wt-z2t6gmoakK$EnUa?AG20S*kJm8f;2g+=5 zG)wty#Y`vlhqzW_p=WL{NQsxlw)J&o*)z+Ak{>iY_Gegth9`z08~$Yo_LjkkrDNj_ zjgf^*BfCZZ7TI|R=pY-S*fWLTACGs4en3Up9EryZjOk7IHArdt~YRt}t{k2XpObGn%3UAuF|9#Cay4>WCd_!qZ~+uRDQ zpwt>qVg`~;bu84DF88LmGesIUh5Fb@NzVg8gjC;HH?J$-j?EpFM$-MIRFy_4-Mh<@ zp~V9UY!nHhQ<@4Y-qgGbP_fqdszAk4s{qx!D&0zm_FxXka{C4+Q-ofdV--uZMq&vF zR9=zLp2k?TPVTN*vAaS9a}k7!9Jy{tyd<{^HBCNU>g=6w#cc7+H`hl*^M~VNaI_Cm zdlQSL9MuC>By@oNEwOE(FQ}#eV|J`HGnI;vAo1w*ATfZ5CKKGjYBh+=DYcErpRy_B zXW5P%@(g84usj*al|r@zA0|lwm<`vW)C;C^9|4fW`t)>I-<(WOkMv*zR|Tpyz6wxS zK!l>)10ggE-LB+aqIKDkF{zasSx#m9tR(~EJ3&HdkgtuAjkb#X9cnEkN|`|uI7@O5 zF1)3DP?0Nf*WuB+q;k(*2hpsp^lH*uoKSjU0JWK}37Z(UUuok=Ll@KqMSNdLY)i4Y z5;~(EW;aRs(#5+8Wk$2rjh!31O{$$-stlQ~8IkH-u~leRtk%>^#vBMB7%Xgp+(5B^ z5~J{lDmR|p&ch3)Z5WufVAkNQg|micEt<7>)(*3V8zTde4L4kX|AY9y5dVkp ze-ZvK#{V7ge^`ECMmi!0F9uTg?jHP&G>DJ)&C}oI3n4yENK5Ey28Lf{Qnn|{C(mcT z{GjBNX~lL9HUp zWRN9$`XKf~*&?Nb4%rLX^Q9=96g1p!sN|yH`dlB-4|GI4$3Z@^4r$uxHMYZ80#bM za<}4!;fJ!rhsInvC+U zF+?9t87S3D#8VS~uK9S+hJl zlBSk&YX%l1;?P_`+eh4JmNG=F2_`5gyIB4H&I`+`lQoQchCm`udU6gKpcqHQEz8~+gB9vr$` zJH#%)LuYP@ei8!7^-8C64^|)k$aG9jxh1;I6tV=fr;#NHGL0-DK%^|;@Fh*j8f-~Z zVp^`GDM22(Z`*Ah4jRIPT*O&&dt!?Oagj4y>VfwX2_bCAV)bC;VH}kP4IdCO)a(ee)!SI1DYzQp;VT(GbLj7~0cSlq1zQkE#|>I<1K%e+vl35sPP@ znoC;as?ue%7NBxy5oWL=7a9}~8*aD@{#zDih}%6Fk3u;jz7`*B@3={=%mUloP!>+I z4lx2{>?>f3X&p+YFm*XD7NjmvS`a8fnks~M#rkSlB<8Vo#e}hoi@3rK$uft8OBwuxil9sdy5h6^ zGj(^x`l$dBeXZ143nxpTL|sv3mZ-#Df|vjyH!561W+X}TBaC9jQV(UKwsar3U63Q; z0Yy##9H{6WS>$X7=K~MTrI1vJ38C?Z#(P#Ntw468BHt!aZX0;iW%Co7V?|ov4dU8^ z9NrCPT1l1wAlHCWyr;2x`t$UL?P@D+OHN(!(e7kDL{*t$jF2kOlONKR)x@PDRW>T+ zsP@`=CyG|FrYTiV0)&okwcX_e$kgs~0)$R?5YFbo+Enfn+%Z@A1*4IMFfGU}uZ;ab0d9+*JG1V5Zv3mIVy=?Bv1+i<%;< z%{Ie(EpF17;DNJIQR|qgS{CRiJQKBdg^S7v<+BSy4Zq8XK%S9a&{^en1X6}dZB7xQ zEIy+m37;eQH1eixuwYPKZ=*!kkGY z%G#(72dBy}tJ=9q9>`!yF(y{MwPPH$EI}Q3PaAyj!JwDH0_n;t{Bq(@E7#1@YuE>% z8ojb@@Z$zQ3qtH7Cm#HAfymiX3Y&aazC^T|FEup{;<4#(t)V4DV~dAI2Y1CZrMo)P z^wkkhtx7=>R4F8j8es%QmGlzqx*(;HzB?&yf+w4&DNS{T>=2MtF=@i{!zvc%stKgg zQt<%Ot2bjpCa6|#%5*)fm0CL?rwU`6)R85;4x?~;lztSMstm6$MO8jnD2xyEa4;ZJ z>W)li)t5O}+Muisco;_im=Oon4f7S?3uDIQb%bIUI0x=UsFk_(Oj zM~Oy<7Df;;MK5hgN_~YQ1z%5ZV^o6lWfK`JJ(>=ILzUj>BDXoI&4#rgz^qOO0=vj` zAWbodzP9ELHyihYsnqO|La$`P54)0aTby)bS0owN*rkV}(!-;5Di0B4>g8Okyg&#K z9aNGPYzQbO3BjscKBfnMFRv2;GOd7mK8NgEv+01n;~#qCSuuG z8VrH7;blO#r?gx*Co?gPJ2lPQKtVz^*1@+3at@q-sC|z`<5~vQZgXMtXEs=G$T>p2=r$H952~m~iYMVq88Z&p)m|4g}b#CpDuvkD@mlzL>&WLYb1g5LBvn76-iw}xrk$#-EH>1 z?4RwkHP-+nUV0EJ57!`mS&SW0*y>@~;C^XiY-L6z)>h;TMl^|-24tJlUE&v9$fZ~* z-{5ZJBLrbwm0$CsK4L$|!X-x+=XNPRlWdHI6RhyIFT=8)rESFHC`hkA8!y>~BGwGI zXY{9Pa~6FBK*oxSeUn~yXxU{)^7>Yg%dMtoY|^G>E)pG$Q>CD-4pB`cJ-+UO(q*D? zYQkVGz;RK_8W>+9wB%e3Wq6uV&GI(~Pi(A%>e{uw?D==+>t!olv_U#~CR6=Yh?7~YPHpXq$-jaL74P450qdgkfK19<$zgw-}Nmk zdgsTJ>Ti=x`$1HEm_2AX)+m+-&gfK|3p`$^C}I`zFo%MtbDM$eG{+7ECJ-3HzhW`) zM~th2KN9*!5{w%V&^eGSeo1D>CI>Ykd?-R~SbltEYaj(Y84iTR**kGW+v$lrPfv)q zb`61w1y3dyFwS`9aSGBA1>DIRH=D3M!KPdSt!16*esNBcHnAf35XkA;M$WY_*sn@6 zv6p?Tk*oXdTZ6y`ZHD76LH$2ma4^NN!_iBwC5cn`W3uto-XQqdtu_t(+}8OCBb?kz2;us@Z3a7!bJUD-nm%jh8nPM?YTShT zBX)ZCNmJopmgIi9d1g9x+yj;o(eVcx2ism`4 zC~#Rr=%;AL@r6<}OEa^QB35OKLPSyL9CknW09%H4C(8k|7L6is$GB@hOsoQbpNx^e z7xo!RHo;TjG)QYxuFdNbPyIRV2X1#XXX{nsk!R<1NfBAG^V z?mS7)#PK7oz|WO?dx~PJ#mPY1Y9!7m&h07XtWMIdE|8Br&uxjNl#No5aQ=Ds7kkM< z$HDOhTjR1N&ZQg($tIJBPzmDfSRXw(8vA_m#r$d&FG4M76>qb@xu`3j>%<`+>6KGq z3X*xcErEg+5TY@WL3*zTAleqCZ)$}xY%Xk5eX#IUn$%RfZl=1F{33eZ;{=I4zs-?k zQlHn0Mz!4$3?c1~;I@h4VlK;b(?v-bA>Z2kdYg1Lg(&WBkO#kTLZc))6Wv9GL{QYG zNXJP8u)sNAt*VB&>>SGznl_xAbm zG*a2jsk20I?IQQDpT!MQkh<{P%5&8pdmPD`aN;b=*OytjjDIERCQ%&-0;m7=;7s27}vtyu&c9t_G}*@*6TVfh5?j*1j0Oo zz6y$I^WqiRa(2}+jPeMUiW8yNV^hVkCw{H2v`Wko1IHn6wvU2CTY#VwN}5G1ikM$8 zA!n~t*sJkV5*~ybfh<6~cg)5hy@s>o!MKK$Gio+n6s}FSdAW9;o-H2;F;`Bbf@oBG z^3?+HnYWsta`xN^)73-cKL4nbH26T~+xv6xyuOk`QE_-qh|HN-Ow$(a1Fnn@RTqd5E3oO6iJ1vqs2lFVsmMHipyXR zl1o<{RYEBTePKjDK?Jb>xR*P8{@gg|(6por3*8!J)h(RXg8IhI(%R-{Xx^kdf`pNj zMo)tsh9aBAN>vEqOshN&Ch*LjQn#BCaZL4wmMa4CM5zj0h7-9~x|d30tG~0{sr*&J z_;=;IsE{QR;$rI0w{q{aEO44&N-_w60pmqynn${miFiy57aZ2b%4o*QfIbW3*erHm%@b&fPAxr| zQnW@nHy&$=#KHbx5TjPEw^OUvfM;ao%7vcZbZ#4~1fNa_MDFkOWcdw*1`y-Gl7d5I z;FNh#&?OVf4N+R)LAEIV*h`;?uW?W+>jk>Hz9JECNs_;GmW_*uW7XI`UU~`yZd#G? z9hQzwL=Xc_et#1VpEt#-Xi1g5py}M?X9wQ$BF+|RXXp53# z_`;by>8?@5<7!Sq=>BOkpzfdlsk_!XR{iWN6l`WyIBQ`xNGp;lC}YH-$a(XC?6qu5 zJQSI2XHn3DA^VHBYObqHQ%!lRA<-N5PSf+ zINhhcqQWj(`>k z!$c^jU&P%3H|CN}7#$E4f-)-fB0k6RQaqNYgwa;*ejD!QA!1q%x|e}z>pqpf}-|yXQ9h!?XzZENB zc-o;hl@TD0`-y)oFt1JN9?%*E1h|5!8zRh|`=%Xd_+*vZ($iLzh)+M(iFng=Uk?!2scSEGei>n= z9U=lvJ81%FRjOJQm{nRmz)>RoJ(5qExZI!yc3S%@q)+XZ9V=Z8& z#oFZbOkQ(xdW>yOPK&VsnRYe^GwtvYXxiBT(5h6l3iV8jX3fdz(Tp&w(4SR6Gt{&y z6|KUDO^;;F$yK3f(~35!rr5ODk!ngz4>LWkPA@~HxNfRrZne>G3&!F|L*+>&ouirX z%6zwg?x@7XSuwtrsXm2OTWaTO0qDy8!9){6b(l#+ZEwPOq?wVWz?n)doTC!(xJJOA z0+E&x79m3_k)tmy!yThA4?q8(T@@&^}egA*13fDGrS( zQ3wGI{XDB%v>#gxi z!V7gO!fW*TgfNBDfm5xex~g*u!d#0~iB-0ID$rioE1?bZimt9KS%_;>*WZVz&n1{Y zTxjfD6a^yM9!b9I)_4EX@b8PX~*UoT8g_%AV+sRY7g^MHhnja>}Ep*(ur ziE;qAF65pfy!PDAKkj4+EHroNcwC21hW_4Vv6Sd*Pn2y+iX+k^Ry)b6ickdi&`Qs+ zW`qqPNti3Vu6q%fNF9r6@jn*TqV-bVV^J;ku_$R~@P-P|w-)&3$xqbEB7VH^aFh2i zAeup7Eg||TbC=t0>rVC= zGKtH&oR}u*icxilw=}QZ>oz&MXsdIMR{+V$ZtKDMADT%bh=XIh3roE zb+1nwdmXQD+{3~ht&%jzIun9&M0ep$ORS4HAPt!IU^y;2Acq_*!blthiZN7iSlu1Ql>DM6crV%Cs&S+Ye2+y*PlaJVpJH3x7duEb8`%=vzk|PCF+h< zXNjJ1G^kkBF6cb;?&TIh=M%}8i0~|NWd{LB7m=0&H8MXlcAfg$`&o#tRFqX z^dT5;q8tVIs3JtmwouvPm)}tW=Hkq>a#5`X5tjn-6m`VKDJ;F5;B!FBtL+a1^>jbZ z`AvOW5&-m9*Q`f;J>o6ksxjYq3y2(#f5Ix-9E&t#U4Sjn*dslQAzZk*A$hD+@JYht z;J8l00^L){RF1AnmBU-PMCCFUr}1sVIt7dmxtI!?A1}!kI3hue;4fW?a{T^ef~C}$(=l46fRF<%m$|+WPF(gBYn~?wisSOH({*osN{P;@MWykgf?rhpwk^28 z7r~7Lo^;teXH-yCPob}uha`oIk9$Nb^K>tLON)NmG)y9Ce-2Yf=Mr&zQBD)ZcN^8P z*Ep#@$mPvv6F?^EU0< z$UbyG0N+m6CN$+7Zk2-u;+vmm&B@PKZKQc}N`*7%%9L=fL&&uGiiifdJ90K+e(-i! zM0*^=1%|j;7I8rwjwKy!c3%|$OQJelS()zTSSpL5Lg7D=LEtj&F#&y59hM%V{!SI^ zi`mn}`Xb0QvAzU|jP*s7Ev9>MilW%w&x7QOL-(Z0wZTnN(jO7T5gs(|lV=X+fJ2_* zaD{S1c1~;yb8yKjWcWi(4gLN!v(1=0yhF{o!A6iqZu;EHp(^tpLeZPK50vaNKTiAm z%DY0Ku#yY8D%rh;y_sSKbPbO(>ZAzmHqaQ^GSaZ1u`%+yNCRFr7cYXPa*Yq8nfXTX z!;xmtbh=e_X5qZequ)4b$5=aDkXn4L&KCWUiz1%TP77iyfSaVc>doffamxykOEEFF zk-jA+Zkyo1Xdl`R5qTH;uw0LHFXwVE&KF)*4daaw+%7yhH~~e-4g-Tb)rs(sjNFR3 z>O@eSQhGkzv^wM^L_nib#&rQ?G$q5ZD}f7qgO9*PY*7Rago%f?q{k~<*)a;XQ(g|i zFU4|?OP4%*+V!D16`iR{*T~Bq1)cKA(bMjmFGk!!d;8`V!5r9w>%x3_zC?+PuyR#O zN_3!)BciX5Mh?kZBc};DmYJ4Q97Suh&GUML#b9XldZ@_IpXifqe;^PdX1kqMOcGe% zxKuUDU5qr=6S~liP0Ax10SxK&B~gmh*9Eh!IB;RBMJjUS%9ZO#`umE5NQ^*J^Zo=E zge>mjLMoJ5@}3PPu~p>hfvQznj21K1^_D7R6KW|yKWl$b4G7sYn-P%GU5zLxu1ufJ z->(i)JE)2xx?U7W&QUYLYg`lsNor;i(xqS~llH-tRw-t7W#>dAhfN!x5gI_;R3iZgq=c+=UMnmWvWUX*xAlN;xZjkSu_CEi#P_S z4~;1oXIDQogqRV9D~?|#G}yc-35vD~Q%G$PJ@vKfk`1ZB6>jDBicDnP8cPv$REnob zuNY3dfM&VmF*uKu&TJdO9Nw=2ubd1CEG2_jlkL4P;&r|W*nswl01D4f3 zZ=OYG)z0oVoJZv1<&6rR-Mn1^c;H&TGB!A){>VL6?J!QV9_6Ys;=`3sY1K`fHiyK+ z`y&e$jpI5L(X1Q{sV`)2BRI7xC3);-vT>V8J6gb$xT%%`g)Fbn2e?MMI#UjY*d*-R zeM=<~NmNcYVmMGWBM3J4^3uE>6%)Kk^tCS@k0pdQ(bkq}&7tklX6HCwZMF4ia7e>G zV;8B?PTDz5tDuwv@5$o_`MDetZ;+uVX$HH|)DmfG25SIrV9}DLqa(vR4K*xUGJ#W= zvlb1HjZfgRX8acRl8K42;RTZuL$I1)@h@6BhI{RyW5;h**s^9tRN08TFr*mZ23)^| zRDr{b2-As!&&m}}%H*)EX!byovRJe0{2c?e5WN&>7p!f+Yig_VG@6eN4K2joB%tK7 zH5vRs#6BQSDNTi2Ot(>raynQT(P`K9xX?MsLnks$kiM=NZtX6mJp1Fx@uBzCFkCNE zH>Y*L5FONcbvOk{Y1%hSFF~SsHGo)$Y>tkn`0tWO?X(&lR3*f4YHDt;25fU$@UGsx zl(|dVhD2mw+$@5VBJyStd`ztz{VhKE?hlbC5ug&vm%LH*nIMIGkyrb}JWx}OJ>%Qd z8hqyI9#1j)4(Fr&?!{(?R^jHU2@~0D*X`w6-zLuk+ZGF7_A_SRz3a7DG~4W_SR&el zM1p`*AU1PTohcU(d#3Lk#1ll7>1uzF4#d>Kx$QcxR9T0^Y0D!)9{JK0sLJtKN7XQL6}ge!*2V!C=i4R1yEvIP2IJ@wepo4z7CZ%*49{N+{!X8MZg-3vc1 zsX8Y~r|uI6eAOuiwWhh)9bGMWP{t^);MRR%#4xLypFk*U4YIiJEY_T!(v?tNiWVo3 zd;-fxafmJjIjOFwK@dcH%O|CRE>azy z(@_?%wA5ZIHxMi=fPbP-cB3L5lTAHw*P09th#MWr$;SFcFn+1V(gx{XoTfzB!1l;*B49y>kocPCv> zr*A1SqmsG-NkJRHS-Qe{@8$~ZJuJbxBGha+tQJgdEZrwa?6W}>^*>9LCut!HN zC9=s4TyE4Zk0`rSjz$l@J-m#_qtbDVUfM8UC}$Gt<_}x~5|uxzjAf$Z6@!C8)(cDQ z&{oMEc|->L;ObYRw77ps5n45~mAMjwJlf-a?)##YuX0&ri(;+=n>tvyeSTBhEYfi& zQ~(XIibcSIPyHcU^eXk>26v)N+_hIcUH>)>h=pmrz`D?H+V^mSL(U=7OjC{ z-w~!|+lrMr6{MIHp(RpdT8%w!4oXmc{;`L}H`ixm{Nd!_sHWAivH4aSmr(SyYd1$h zBC+KPA%%Z5{UBq>*;8npZ=;S-Or_dC3E;EZ;{s)HU7^z_ms$mPZ1-lNUb69L3MdyY zRe2hz2p5vISK35-`P-rfJZvf0EB-hZ_s|!LG7|X__E_p1w9w?f*N0SAj)A?;x~`_x zu0z##%sN7YqDGJ!ns6~3`eQHow7ibbp6<#FpP8-#k&_HfibUqPboS=e@L-^H=va4R zg=y1Wz!4MlF?xCHhcjCq^|T{p2@){FSl%6Mn2zhB|Jy2CgbvlC6PrA|};V=Ov)d(Ot30TLr1` z0T2{I4qPS}>7ofzW%;pNvF8NKJJT0E1%wSVHyQ`HD zK4h;pEWb^Wc=(-wH?`D*lY%f5()~9c_f@9FWB#_>n5|4xhZ81w?zk)uD)6^hnpA+{ z%0mc+()NQx6~uOsz4pP8o#ZY={y8fT)~l_~KItOxAPf0OBAq8ry2L9idMs9Zz*GhL zK#(8`Jf%a{a3i$3d;r%P!?yv>^WLLFHl!RZ&FIO4@TIwPZNof*cY)C-`4(cWa5bvr z_325O651~bi(rb%%I!m?6H+Q5BcYu#x)5hw-Om=|?FU)xlr@nOxwxNvN~{D35OZ1> zVCJ+i(8B@-lpK3L74iZxm1bTMR;>vW-%LZ%w2mzvAW0+Tz$x8<7-Yv^)n;CxJD?}K zVsS_qs<}sdSrjEjWLusamPsu2z|(^!22~JtQ{QAQ)K+H-`k`>j6T(YtOi~P;L)$PA zQ0f$tEjSAz0s(p2N3;uufUWL<%Ba-`A@M009U-db@7!hVpY5|MYXHhcsaaXI zwe^)taFzlKCp~0U=vZ&2L?+4IA_%yfeZZR1lAR;i2{#K53D%Ur&hhDWHH_@AWx-2c z-~Gue3e|6y)R4)|m5N{l!x$eQ8k@ikUCYL((qF!mN3$SwDy;)}Y;UkCX?B*k z8%|EOhv<6}YdRke`7)9Eb*oFJ4ZICP1SLjCCy;+iSPJX}YrPL@^W3;M=MAPa}< z6tQ}$-Q2`cLp>7Ihw`FRr4Q9A9p&j#14=Z5n5N420tXWvLp!hz$0C;w!!ADxyE|AK zZ3ayGaxSriFXw_}H=j)NIUPf(=pkP~x@V`!B|@f@b1ycSd4(Qrx@i_lAF2IK7v`p6 z)Uo|v)DoiZrvgBHqCzFdTPK4EbIQ7g&|^?a78!)DAfC!j34fnBHg#XpUr}T=-lZ#A?M0R^O(9s-m z{;&_nX3-bK$b*B#!lje2VDg^U2K>QAYcdJ8KA?s0#3@^CbpOQRG~%3V9oUOSiMik% zV{)v3)d4~g0PF+Bs4%{>W0jQ&dxTo+Y zcb2O|L*x-k<;X>bRh>qYurds+OLif*?=!7Lt&`a;bO$GpDu?Ph!Ou z;?BcX1P*yFj<1*S*PdK=qR+&7vgM>&R!U+tFm5!dCJb|hF}K(>Sk?{z-_PqyTsINmDj{hOq)Ma0+-kGmL>_v=b|ah{g*;_}cPHy1t;!T* zO3@pbE!YulmQdlr-2_2tbrLaO5B-E@nY=p01iCHu4B$Tq4z7%Wc{6FB*v;`&lu&+j zW#=Ifdb146S8=*a`dOTCiA*}+Qz_x{*pQX}7ITxvn8 zv2kvN5t{{ON}MN6h)Bbl*68iq_DR4l4*Mb)saCaz2`+|_n^KC( zSg5WRXwzFeo`g-mJGK;i+$1Mbfd#jLM;}$fvhhdv_lg=@Rr0+rl|_ z$-t~7!}Qp7B{MOCR7vbqU=5NlAUDIYgMuV@!CEbvg-jVgu?DF@zR;2B(NiIJ92Ow! z8U0ckM=-5IuEZPd96V8WB+icq!Vgnw!b)6`3|qMu&c9vQ!YpfYbZlr~a0lK~)WE@6 zFgZLjF+A!`7dk#D)ObZaaR#ERu#8yX%I&jI@cpy+9}QvC#DL_|Q;~b-#*`yhjnR`J zQx32Infk_c2{L^xQ*S+@mS)tV)aOOqE@-Qbz$J5g)%Hzbvx>OTi}+rhbc_)K<&-dT z840lm(q0}nrFKNLF*cf_N(L$qVJB1qWlE}EZSJ3YllRD=^m##7C^o2U*^DBm{$V~4 z<-_;JM<>jORw>{zHgczwPJz;{Ek|sMb4%JCt%MQbb)ZBIT+Zqm2YaOYintTl#Uj;& zksp8xseEjO>RC`(aK~wQUB_Bww10b0O7rwpF;TbEe}1l9f>x{<76{TVbsE5(A-)G> z#xI+zsm_kNBSfO|ocvs|BJER+yN>q`5ALKSCXp?QX_q3|bDn#Hrc$~URZ~&hq=i`r zyP{YGhk$rSQyfm0(=9PiievFQ_%Y-nv#{LDh_{>W?digHtYWe=ptKfYcuNXc3V8Q9 zM|GRDvzJs+X(e`|ElQ^;rDM%P;mecKnC3!XP8@2Lo1f66NtVByEvQ?cVNfLRtjfx} zK|9@nn|yNRv~zq^F?$GFgcPcs<=RBtjy6cq-3lK$aCnn;;|jN5=&qFTS(V}4Uow^X z)s6|3H-Ibk81BfGmr}VLB`X=^3XNE0w!j*V@hU0`u2>?ntC{nvWEFvkfh_qc z;&CYKeRW9*j6tm&y#jplMW47x#qmHIS37V|flIiBQ;Qampe}$nyfi+;V_fzbk@kFu zS^`>b{iPmGK(1}OL1n^m+QUumj-+i<70Gf|kQL`La-l&;&&l@*#k=$-Rme&+rIr}E zX}XgoE@~pEZth`S?mIC2vwgPg8h{*Nx045&p;lUdn$5ksoIJ9^$wO!almnOkP5&*P zXkI=9kAu<0nDdxsPerY2O_iad=!HdC5Ng~tJTzh9D8YEc$Z{G>XDt~R--(Jk`3ga8 zs|Xk zQ5zymVm5c@BKb)6!H)_6i5Fa2Zc`=0U}#@$IzQ+R3gncw^RA-CXa7ux!U}m(QLPk1 zRyYMzo5H3iHy7wf${j_V2$|P_i!MijAHWd&o5aQfz0^cwgZ)F~H^TZ3Q4;2b#!XZB zBMznTso5DFEd>Odp7x*y*o-7AY07?fxFc+*LeFC_A19g=A|5efn#MU5 zgh85M`y4f6jeMVps|6`%P|0*yev-Ig>rH3p)I9agInf|;raY*|86x^LMd*&>TrJtz z4b227ylv3XmrKeFSrf|Ii=m4;NCoXlRgHpiP)IBe|2BzTPu?k9ovtT`a=kZKEEa2E z;lc`{O->aT4y3a@k_T?FMXED1(Ui@afOf&kj7b&BE_9qRB`W7rSkB^NK7}B%O>G$t zoc%V_3nJUZnx+#W05YZaN;I?zwM;9BY=c|0xnA_Lbg|)GUAbIGM^{%_TN)USKr2a~jI0vg&8>Qq*7O^Q>#}m9GyV9f%3dO%-nJ7XIO<7-s8}z4S#r@h^OP>65ri|Bp08&hri`F|AfiDZ)eofQ zqFfz_s6@J_h%zO-e5Y78xY5+@m7{1muTS%uY2%=PjJf@5Mc6@;a_a zbyxy>hpnVOF&|o84a2XtJudtrjwzPVIWHyHcB;I9*S4hQWQ$?sv{au&wuL-y-NHQp z=g(s}fKDV-$SlMpWq3=dvsmh&4V+2Z;jUCMk%Bzx2z?qvbi(GM9H0JI!^o}^rR1;+TuT?kLB@W#`AN3P zKTmJXex(JH2-I_#K{R$Lf>o6*3c-^^-;dV|MP?qi>e952VAb^37#*D)8DWCQnM3+= zh&*U%cWK0`69QMoVOO4lb72MhU1|CtMUtn^qq}e=QQh(Td`}x`Ou84R$Y_`L@H(0! zu1uEb$+e*OM(`PAqkWcuiXBQ|i5l{fDmnW$yu)Y07e9?9<7qO7z|+JLSPxkbKTfJ! zMfs&TOzo6Md$PR8bVUn0j8|32S({4Z{B!}{*Ena)`1J*B$qoji5S!K_1pX6#KLTrAr}uG? zP!oA+78A$!6QuEC-1P0^Is6ba>Nx_TPk&Jtl7n|SV;g$60b4~byXgXyOHS#A;&I!> z&?VHvP^7pMAZyV^b-X>Jl5XiICPMIwOb=ys94?(B{!(&(S(CXLk)6e%^Y$rD~u zy=$XLb(Rl$_y9@N;B-({6|09Fx1J0~%L6BI-X^Z;lBDi9NNM+=D#xb-)i7143Hl?Q zkL_)o6iac$DpSsxy}efjEpU|(agpc*C{|jtQu0N`StqDTXxIP~&+q4Q#_eu?)D~C| z7cU&VP*P#6#T&eMA$UY|$x1Imux^MsDafGBR+3CYKGzb2Vj6X- zS`qePHCF_9B~p2!!h5ADcb2USlWHjru%yZs&ay*ongyxApR}^fX0(M?J#*?=z_91r zF+6Q)^te_y199b7JVhYNs8T9|fF)1#OHXZi>`^L+^@!y&+JBo9;Y#%ohFDTte1z)~ zH5+m>ioru!+%^lY15=dJ<_&diCM=v|V#^ilg<1wEtQZ|63-FSQ?g}bbo=bk2pa}iP zpL&LBEwS?9so5sd_0&A>IEm?X)!XqxTVB%(Mthg9c0wev=WJmmO7 zJU)eHin-HxLF7N<~4`DQpr_#at1x zwlYgD6ATyzMHJ9_2@!P-!V$g6y?P~_g#a(CV=Yx9jtgBh^C4DJUnwJX32;ji$3g7b zfy$L*3dYC(wwinuLfyN)a!+-ckz+GTKLa(sCCP{Zumvng3I*%}xfUCLn2&~&M6 zUBX&4IXXBoymS;E^!;f>v~4d72YMi5dlSie4POjow#N`)N^NsGn3xkSr$)3f7l02R zB1pYYU1GFB(1rbqm)EFJ$wI~A1fjBdo{DszmMS4Qj?y*&f6y|dgnS8K@6~U>t2>#D z$H*`v&O8)1(r}Iw^gI;WE6%T4ZKXU;2-_@SU!f=>X14W|ve+!a(+h_gu-wBVLk_}8 z%@%A@LWWThawJKHlR0eyRe0pEfH8TRTOHU2;Vk9TU7122E{V3aO74n&AOeFl2dZ0f z#hez4BUYE6M;WxYuheb9nLUT`AuF%UUTnPY}*Z1 z-3KLy#J&&;(<1jJ?Tltmc2$ngbXUX32}iJJ#O3KK!}Sz*atQTx7bXdKPq|33cS*8$ zvj%73(3NEIaQ=ZT-mJ(Vd?KX~!nq=U0FJ=wf?rf80uriy2rJk|2VoBtjI^>_Vk2?3 zS0GrWSyXSYt&UG)&F!_d)n*5TURLo~rFq@3B)J4HwbfU{NTNmqW2O6x$9Yc(i9+iI z$%ME`$Mec*#<#$KQbxCy{2Mm(d?gnw(qywjm7hs?tp#u-MR0E-iZ)brm!Q+Z=CJwk=0%+qH9pwxU%2{p!boARM{&r zoGzIgW29D$OXL=|D{bOV*fBpHznpl&JNeGSl1gHn9g<=9qu}ngDp3QxvHPXqvhA}x}j0aB8CVlIM~Xt$ze$xBjg_3fSe+xPpd(Gv%$-&&9>t4 zhwJ#l4 z$BzcSB87T6DQ^K5h)}DYl#VPuV zV(%=Pc?Pg*2VlJLm~W35=7r|tmS!lypuobk27{`}EMvYyrxJYn%l`gvpYLLlOtvC; z3k2)-e_d}kCMmf+j)nw96U2fI^a^Vs>)frqu9p;UKNW81)jD_W>IeZW#6aYRNa|>l z<}AeHAk|&}4Ts5f?QFDip6c_sT|L;9mjot|pfWciQ7stp?XQjt4L}tiLCDFEq2T8A zSMnSAP56}j)`n~FgNUx{fJiJ6Rs!lOy*zy*{w(FVJcdc3;Y{#)mJMMN1vsmy0@~rL zR$kXG0@(}`4JG(#X#|=&fp(Ym6qm^RQ8G6rrNkt1Edw&L=-^>^Yv4JrN z_(*HMAOCpp@Xz0FzeSmEMgjTza~Mp-G@-gbK~3*If4YAd{NwY-n+GWN_~pZUNNZQ1GZNP(u^>j*}de1+xd}6GgEs`b2}< zX5#72Ax*~A%E|mmr_BVo^0G(Gevs_4Kghn@FD$f*a11i)6jaVpq?r6(vUw1krndzC zB(A;~2fzbT{E=wHZ3dygJ3(-eq|-Vqa5zgVJP`S(D++?;il&4fR@7o_bP}y3>pniH z5-xdhA*ryVijx6o2~c>lZ9WHT^9SkWMC_egvTnk5BG_MmzpnR<@kTsvxCgSQc|w9f z>Ts@dLS@nYc{)>h#)B#ula-eHtG8CE2R>phxT+FBXI-j>sad|elf@Ab9ANG^w_I8Z zXFhJU5Mu1IWpy75B@woT$|U;3eZS&h%}4Y|J4f(Z0!ODvIB;DR%h`A!&25T zDZbdDY9Gp46iRz@UPgkgIM0x}Rxmv8!nteSp^sV@9^2(^BPd$Q` zXlV@vuo5%5zYT7YK;fN{3Tb!eC{4rj z(jG-52fx*U91{RJOaU}r1tgRLT4AAwc2%!odcdK7iqci?o;zk?3}Lp?->7TB^Kt|8 zz0&pwmp!S{Y;t<(MSjp%@ zsqlJv{GjZEYL#&x*yNU@`EmLL&)rpKR&~D%W?R>f zBs|2d7J}_KM?~&)dCGP;*mt*})w-terOL(du4dNyxZChr?3!~BF&9~ep9GeI)6n(@ zXbwgP-rbIJCr}BDr$%6e9oG_6`4;)0E_S4JgGs_YgYq|aoDKBYGf9?0;}!0mR30%F zdPkI6-UUAe44q)1t3$rvJP(}-@?CbKqXU?x8^pdU74FhOn*&H@$Hsbai)su1E3(an z3OfN!eT`wzgb7kZ$ZW@WaQYCsqGEbSs3%BHB&0e6t5f_{dnPK4Npn4H+bME~@a6EQ zqn-|NW2Z|vYtAehc)U9|CCPqUBhtwtd`dOUE=JJb+hCyI#g|dbK*$!il;8b@RJXBv zEw7Jrj%ZI>nWS_Cz1Ya)^b{%{QIHIte333^Y&vjdSwH9e#Hz9m1WGB=*vy?Oj2 zxx`Mv`}549iIRL$w=y()T_uK3Us>AGlV%THqvGcp>_7ba+n2jrwsA9dw93&7T15{B zWv>w+wud};_wnZb{#yp$o)2!nKHT1Yik&2R6$B}fIaaXeFaL8OpBU&ZuH@R_FN1$8 zrU^sO6MbN>CMyQARElOv-^J07G?OTEJJ)pwXqh6)I^KW3zDykA`Q?hLQA{AA)cg!% zX#W$Zl@&JBy*rx3bbbk24el4zax&|vn!1qo+ZL;elA_3Lz$;enAq1?7Zzk4JmoUK{ zf~lfP1{cIo1;LkR%z-1LTt8%Sqe5t8`lF1?*XPa$JdAi zid*|))a)C>+$T%cnI%tN3j_iF+>;;e&s_VI+)kpm+-zfWZKrLUu(?S%n>m{cHH<;Z zF1n$HtWQ;Tq2n?vB9gfTZ$bBY*inPG9(H7IlytTZ-CcsRO~s)*TsZ?h6Zx)Mpij&u zN^JGlHkR>9$0#{U3m%XZu_NwTyhsv%hvyaMKNJHA9M_889URrKav*w(iP!6MTFCoCVxjMlR)Uvtuj(~mEC~c(woZJJ{w}YGC|g# z%ht@0{V)TNfvBYB?c2BP3xz*wrJ68d-8^1o2~&U8nLv@7!h_QZ)Rioe?{7d>6Lh1e1e*JI!q8Is_jN%un#sB@^r56P*s7q9sBx28$ zyAB9M;0tXih~?mu4J^WdjFp2DM^w~i;^B&QD2m9FJ03WqAA!SS)Z?%i!(rhrAak=4 zaG?TAF&8D&d%P$UKZ04D{103vX?gsWuG$#Xk@wvy%6c6{vX@zLQ1r^SUceZjJ5?>p9 z`DD0O1SEtkbj7u2w-{vI!_xr$2!fEu05G3&s_)lSmr1RkdtLAn~#N0xPpNHkLzFwfMu}%aNZPBa3DWW1@_bJ@87|qzy0)pALIFV%oMk%50F9V&o==;K~@k< z7{CQOuW9wj`z15v8GpXLyZd~1pbfdhAF(D3(zfN1O=|-)^_te@0KS2(4S)@~e!IV1 zmLDXyr8MVJ4W$hs+nb{H!@jkmL$MqLF8NxksdRCSNow>Cz z)?Wp@U4T%Bbbn2Z25sbgu0Ded%|cT_i%cm9)V)l8o3G1I8!6W zPdkyu@FKAmt-f^kTjGH;ASDQjx>)VJt+Z#ZI$9|@g!s5-FalD-tIyE2hP`?F^}|CC zR4b$icM)0`@%a z0-uWES=9dpst0^o>tY!nEDaKznaDD&0Gix7Fw78*1!9LN386XM&CbAzPzIO{;u5cp z={mXR#`C^UHmHjNy+uPz4G*u`Du*brq`}d%(^qR)dZ)ntBXN7NWh#w@tSo_z9lQ|T z$3O0du``&gW1Lg2u;(+8<>PhOO%vx*-X!^aZI-@M6!S&39H%~{mL=kU$TOB`x2U+A z4HtL`Nxn7*XYl5Oyyz{=%#YOJ=7+Tonn+R}GEZ;>O~?*r#xuWxg5MD;Oj?|Lab-Qa|G=bC%SpOeN^q!@^j4C9#;S44RUu5_;DMA)(}BNf zJRdW~o4bsvro^qAUtl&n0tE$hq+_A1uL_S7+RHdCTPhl54MN@?@I{X7CcqZm&Sq#6 zdC0z)W@P(ST}XHI*rOaDFU2K-$00B2Il#fA;QR>&^sq3XnUpCCih>DgNoF!4C3(xiU?j$=+0!p+pw$MSd>=Sh_ zq=Wh)GB2*1awBzU=3Y8jR&4KM2V*`jbx-R1^I6bCvU4$?xe$ZN2;Ke7`v+x*SWtnd zAxDdQBOEg^_>CDE^r1FGmb47ks^S1<+B4v?qa2o-6{^qm)>y|#p3rlBgbEer-ohX5 zMO&LOqCBE%LS`(Or0E8FIgqokW%n`-1)OD2@jt5So2BpB4)ErVRu3; zK1FRkxM7@ltWIT?80uq1JwXx(f$5|Wx(pR%Cd_~oq8ll^U_`5j^B4obIjeFF&=G1MkK*R~*L!653_t9#E+$(k91Pza4APNAL_nH9r1 z$E+!ifYti#C0!+xq+Nn)VR1~KUSzDvQ1Oezk z&SeYjK+ferNzTQ1Kki}%GyvlWtiA>*h2EIa zQpk=$Hcxhy!yZ$Vaxf_5hB^|Jt4Si5sb8Sqr$k(m-Cv0v0Q zc^e&!B9Vx@>l8#0P%7PzE1##s|* zEKy-Dt-z9ug=L&dw}DjdIRTJ%R4_J2Tc(WvL1-VT`3>^4sdFD)o#usJ^8wwZy{0px zP&=|GV_5|58Hyi-mFW&u)}}H^SBFmpegY^w{Pbg2T@_+3AoIWoM~!eMW)uM`Y%)E& zSTMkJOm!Q2P5Zt_RYffPDL4wy153{9(qfyDz+|4X(yx)j4uo$~RLAFIO5SqGvpu_@42~vG1G7ugTJ31W~a&M-H6) z=EorUd^tmpgzL+0h@nC(Tr8l?lOz6OVvkJLg1XHp>|~7SHt@ug0Pz2057P=8;;^WU zlo@$-Rf@~>K{J$ysIlNzIdKb2WXkdfeqLM^7!Jl~;)`Y*&&XJiW0pC$0t})jATZQ` z03R(~qC}!6mL znyAzDr9KLweE97C%TJ$wd$_s#CAifRNf6pvpy@_Xg<2H zr6xJJsav=@uJ?Dg5pLdREmX8=|BBj>-rbj*Wt<6uU|2q)WUwv6XR44DH9uVPn+&VY z5gA4rQ5zTc_4wU_1W6s(%^IX(Cirv~POj4ZrC*&n|Odvr+ znsPlv33fCULKJ9mf@(cT?sgK_(Do18@h$F)n70b0C2Pk%Agf#niDB6hM2mk$Sd3Cm;OS>m7 z?Za=*R=Z0nbSU0>K6>}=qiD<8PzXUbpfEeC$hP zPzU4x5+GClpU8GGdlbCUt)P*6?s<4+PUZ0*xufivVzS&_#s+Or0l)V=V?hkQIXwdR z0^a-L3MPES#B>vNf}!vS>;y=|6|CCJYoN(Pj~JKok~>e%>F!yScXO^)URKi6vy-AB z1}&WFX*f>uVH3r!JE*rpz8-4>qx;!6AHVUF7pn!KF9=&e!<~qtz&O*$M8#WJ4Os7E zz-3R}zG6c(5&1{SIKlkD0Hqcsl#TrgEKUw3^v1DYPqPG2wIuK#p<%8oFq@6-<20Dt%%!-KCM0hc%5O~{^_98 zqF_xR56R5+4RsVYHIL`GWi5$ zHYzev3P(j|YG+4GYrB$`jX(eX`L|nE93YVD**@!37UL$QsVx6H;q8&?vKhMOIHw*DTt)5HqWZ<4ybXB`t8Bq&K4zd&?{q>xo5{ML*;w}tL>J6TPW&epCLQF^PR`b^LAOllNLHRpl1W%N9v&U`L)JSa*6&Lsg zwkf0>b_aDWQnm2ymC)<;5`oXKSA7#I8`e8jcP-_`tUds36}3pN*IGYPRvjGKXQ^W+ zEfo`2{|o&Q{S#$1L=Qx{VESm%2cvh!wQ0tq+@5)Kj;>V{7ERMZUIi3*L|^s!#sNOo z1n|7arK+h=`*OLu}+@l6cTB#?b}vx`9+7w@p2eJ?~V-c4I(=T79t@7;>QQm^u!X|9xhtO+<13a0Yda%Z(46(pg`36*m=2ElTtc=)Y6m<^(l_5XCGm32v0*}4q+3Ula zeMspV29LR7*c7aQ7LP>E+THE_Jqk$vNiINc`=!A0BCf?tHH8yyEU%nlq^k*NjipYl zUg2CI9dOT-QQ(%mtg}^h{`ndaD1KtmM7cdBD2b;;%$abCt}o%P;+Mdjp^qkQ^%(`FlI|*0e;s|acw?&st+Mmbt z{{|Wn#M0fv=fCe!Am+gnAK$FD=p}&k0ZQgaavy(xhmZdHlS;NgGy?Zh;m%ZJFUO`7 zsAOa6Nk;Th?S$tp6l%AqKL80yQ)=!KO&xWw^Ea}NT=nSA(H)jVGgc$(_u`CK%@n4# zcyR)DDLRSVG`E8Xk1Z`K@mXh1F%IZ)a7HDHxSUp^{BT?oiP<|xZ^75GHAOnB8~}l! zVw(|$Bpj906rD=oQuGNsOBFW{AF)0y(&Ob~Nbo2a3y?mUrI4L&AX73yr)r4-c4r>T9YLaC zS6N*AlFt_yJ>6N7H_#CQaYQ#Guq8@&0TD#%fMeE-kWm&zW$QXSb|dK4=C>X@P*?J$ z$)d5*G0iGSgb>qcRUpW$&DHr^Fm}95#GPqD-{EYyT+XKOxITOL+n4>r=lxH&C_RSW zmiNEk{3gFWJKMd9#V6r(iq$8FDCXdmktjQpvez#CmcyZYi*Ynl&~s-XmxG-TY9KZ7 zx4E`Yicc@crFSo+Dv-!+Ib|6^r*-68s{)a#SjAMKcdVP9C^JDc2Hpg-NSeQC!zJH2 z=BM(x#IB;oKocKrNC0?z$w+nonCsM?8S*IMDk+WkyuJi)^s;7&>8Tcm_|W9n~4Wle5jX$x9BC#53uw903F5>~#=)k8;)){3ns^no>iCF0Bgh9)LwH8W1ERJX0 z;cCa|+Kt>Oi_=+O`lYs2J3cyErs)@4DhGJWn0^~CdyMnw>MYbPatiE>%VtMSyPb@B zoj~oAnsz&Z_%68DrtBY}wg=0933*E{M{t+-A1aB@{1!M-%YBQKxLsX(ty9f3TGaZ2CKw9IJLJ+J^bSnzOz~qYS z6*gDSh>XrMv>}kd=CZVx>G2T}NcgBZ^dw%bNUvIF3W|!LBB0B##Xz-=EMes9&1x|7 zW^mFU0&Tn`VH<2uXXjU4o>az!$=EkU9aRD64T6~Bgx`mpeTp1lVT`6kC;$r;Iw1kr zW1mR9TbBYRj{cs$DN1j=spqvobikoW0%nCu?3qWsyoAul&!2ul(YS~FYcr8&XM=VT zXatQ9K7F~reLv{buhZ*R!0zS6^-7XrS7^@zU!ivGk>+8D2f<}#YFl&Y1wW$3#ytAS zwkz4(!5tHeU-Y|UQYC_`ZAPIy%}xX!w7gQjuAUT@H*>TrS(K{(?K}ks&IeH3-LjV>Y^`+1uu2KGT!0u!KibOQ|O2GEq@#r5F8erv8NtL%?a_Qx{)i!Ohc3f5*p z5K(3$S{$M_Lnwk4_m+$?4bDrkxf-)h-qzaPz_FOmjv;iUC&LsJo#pQ0h|Eqog zef(&%+9er|r?9u6+8?N=#&T*(f#|i}gue9Rx!{JwA~;%{Y|cVzye&>77U+@LJb5^w zQrmwl!cy>B)Q+eRC%PvXOQtx((Ki7wG=yYOw2SA0wcSPSReQ$jrzat#6q=A;R_aQ8 z@KXO9l}0py&dM!z$K@rQ0CN3Wpm!*6*SMS7itI3f9pDoSXqwm>KWBFPby4Ef|8e+*sjkumpgzyOvXq) zp>`R~D6cyno~|7p0^6n~SfXhK43Jvqhbm5%O_6I#h&oRnl?(7-MBSH0vDzHQ@^aF; zuWV+*k5q?JIa^GSM+FFLy*ZXp5*$A22(wfMXg610H#=Emks~PrwG)I(2mSlwHpI`% z1CXQWSp;6r;?dN8180fu)ZtueHM#pZfiTm}$F2xYlu)O-p)LVL`%L+6!hkv*If>fo z8Ss~>4abcikAap2z}d*MR;&Zr{f`d&7xhlky(3Vsr7@+)$cugh-yk*6lm2j+q5J}r z#b4ILz#6(k72Z&p#78g)FV3M7y7BI-6{<&@mxGjP6o%t&AB`Zw#LUE(`hA#Hl1hi5E1>lhLep|5Ej3uu&RmNN z4!Ps=1vesE_j?2!t>~*|-1Gn4D1GGQ;=HEYlGr%v|cbjZZT|el!u-YNe zjDQy+9$(%8Bf0-%|CUU70E|wl2hXp-_ntk3>{ZsaTnYB=+Rl&OqTCF2~i`Dh4A1aT1ej!Lpu=wP$~G!NMGvhm$lav#;g&d6~=Eu8B0lKr`>{JT59JsXdMfaCPoEx>BN_t zByp&=5789@0S%Vi453co4v`6GV_p0f@JhjUFs})s$DK>g56c0iOzFJX3}%{C;u~gu zfyY--fS|;c6z2q60)*9ewZI`_qPr|DYn^A3ImnwB=uhOf5|gQW!V0K7@qgY3jrOKe z+OW-{5IA6}26)^+Dz?-D3Ob-)B`>2u7Q@j`8m~%A&|chzD1$AFB+4UeS@4()Pp8NZ zuoWHjP-Ktd9PdKQ^#`4zG=;onfcN0sjsjn4NcXb$BlgjQ-gj58EMdM!U; z$ljx2A=95f3uT)By+ZwH0~%$W;0!LW)dPT~XOB>FzdYosaD-wS-xPRB1OZ2T#sTFw z8mtl*M4;&*od(^j5rPi2Is=bG$Xhu#9!gg@X9F0XHqF!cPR!{FG=vIi(rA(@%%$|p`f_La7*Bcf zF{hq^wtUq%q+}+HQw}Az3l+man89O)lnCSZk`opA8@*ETkU6@Yna9L9%;{iX!u1P|`ie>CxEzVHkMfsCC zA3B3_bOX^zwA@N9IlZOV3Q|jku)*v`IQjnV+eaMnoX{}V&>xPFDUU}iWXe<=@xE>e z&J~y_6{%#bTJi{W`%cd`%r9xaYYt(Qwe!QtWH_5Q?d0~w>{v6bW)t*e2>#*3;NOAw zUv08X!BjOg^~@$E3?GM%WI&GQgiF(~ayh!$dzBkX31?gMxWWOkm;afuAJ7-INkUKNT;o~Tug@=0 zUJjSxlMaCb(MoAfY?uVn!i}G3{3Dd-4rc>?4qfZmg#7BQ)$-DTNp${$!BtkJSqbi2 z@mlJipSycL2#t4tySWQb5z8T<-sCyj#1799_Vs71jk<2qH%FF*ii0O?zt+rbc(T** zYBDOHNZdib?fbLK^WF7MGe9)Xiy>KRWYoCr8+DOnP;MFWVJ^3e1U-muAJ6KDmEUge z9ssL*fBQ2U;k*ZKe!-+3bk^bkeMYE3_r?MWX39FvUSVJM=ciOgQ6eGlPE~|DQXb^frqkp$SI&UK^ERwRqlx- zD2ZN*o>65DK1k=HJd`-MToddW)sH%BM|r+X$;XGS22f`pXY!p7hK+1yE+`G2=etRS zZh8<*&FQ*UyY^Y?TZQfH;p0FKCMvJncGwOa;3qxdquFaya7J*FSO;U|Hc)tRd{@2cXaUIygjr? zcAMi~Sj!+^l%#2pEEA@C4KZO`ed=c_V~vDV5(8w46NSnqxx;z8g;lk_P(Q;cCJkhO zf?x&2Q{x;GK8lJNP@l&Wjf0?RJ=EU;Muw&s;*!OPp2dWlru z6??`j>)1EbRx)^7vR3lJ>RC3$^^!^D!;mKM{ed1)5eejv6%LY7!|Y_aohf`2^-j6V z&SKdTJBxmrOj4y$+H#<>44op?g4M$j?R1)~LT;&C?*6)uMuw#RvEbS%5W!})#qQNw889+>z;mu}?`rY3Pbt7$9Z-SIRED zMbU8bi^^W85hJoJzTj92FQ~?8#k|@ydD95s+$)X~(KRoCRvpu?F5|`1eQf5NrWWaS zU+?axbhYtv7UXACrP{=^p+OV z?F9d%NM281(8m0|xDmC;psR5b`-Ic_WhOilqYZKagSxb0ZUT?GT><_^YY7YumC#Yd z90qMg2r@{+0#1%NbDb6by^za^9rg2?UM$aQGNxfED2l-192(99XUc2Tn;Hw~-k$&S zjZ!vd0d296eTM8&6uGNo)X0)ParRNeA=GAsj{;lHY*!$x&;1*dkENsX5iuA&w`?M( z1Tx{r3g|fxru0_*s@zMqOt8zth+UrPuc}}fR0^J5xKYsI=dHA|5kXcPa+0b}kk_J# zREU_pQ&M=!T*AdN%x_-%jKxz;BOupEv`?=pgl1T16(f8KA2N?ilr%Y`nrG z`(|=2B8Dlq?Yy9F>7(hyMK*iQ=fXiK=gL|KpqEpT zYnlf_%Ch*P-9iEJWW1zg3yv>qw%~_4Uz5sc3>;})6@J0+8KA@OkVjA5<(|Lq?+(;< z_;!G+nIE_h(cLspBf2ri6?BAbp`bG`!Y9xGj?1UK7NxU0t1a)X3*>XCZX9dJ??L$& zSAiHhBNZ~}&cV|#cwRx)#K3%YwD0Z(K1cW|zJVtO`9Y#g;wLpTy#8x=to6Lr$I|mw z%;19y{lE`lvYWqgb*CwV$F~^Sg$DA3rM!lrpUb$iRDxyd7Fv3*EfYr0#@?R`>PM{{*K!9XQxX% z7*MPu4gi{)xmiL>4s zQ+Ouig6@(xDUQHA7($FIZU>!g5MGsB5V5PZej^|l-wptX;6D@ogU)-%G@`#X zwJno_0QgQzpHff83Gz_nJ1SxqA{)F?mz;HbBuWDb&R|Vz8uoZM4!_OAZ;0e*_*bJk z{`*mK{RliZB<=c_CyBeZF?w{FcP}ldf~=?ySL8*{L{f8%)*EYJrbyZg)VW_etegxx z;`nuy)@YQ%CgY@0QH+n#AfY5AX&nN~7ZJjyOto%6i|Wt;z8sE_^27si-;QUJ5dp$a zk6~6!o!)k+e7UPkYN<`|U% zu(lPoX}S@7b=@g%E7W(MwQH>tOc|&RojwF{N3Bq8Nhqll9JP~8VIg%4QDedDe9Tbj z;~A}|648nRt)AT2?yUogvrjYgscXS+8i$2(W@ISu22jf7hSbE;s3BK{PnpKkp~i1A zv=L8s(fLsTdUSVJ$DClH$$6$n5P^ubSsh%|D8cX*q{#Kf>G3HdR(nJ2EjzU8)WVWm z)I<=fYsUjw7d*cg)<|phsCS_Y2q<%@d0hkmJMk*<-Ibv9_&`wLyo4S#LxJaJKzB+}McxhbP zRJ6>L98>(y=-GGQ{rUdx-Jch`<3Hcu{5t&emxm7@@Be)N@czT+KmUIB;oX4Cflhn))0pg3BLKlMSK>&6B3%gZK9j-{L!|8ROUIgTD+8_-A6^ z%d=8=)$F03N;lL>9)3kx*LT9wbU9kXws6S+AIj7j)(z5hBH4gRgHpbzbVOLq_Vn^Z zgByc6ISQhr!jg2k zNpNd6hiNDt4Azl-#r49uS$quMjSw_TTd9P&etI##6;5qv;{j-%Cra`ZCPJ2MH;63l zT&712JKj@FlJNv2B0xSJT;9A>Jqw%1|E|#>F{*z}(^=<`bQ;&XKj{=SOAc&DU+}gm zu)8y+$3Ff3KK+wV_B6&2>Ita{yR9H6F)JWjwQx>%M898UxRt4dL=g{+5xdp-qA1tq zBYcMp`Rk%21q|gAM40e%3P6VGwe)8yuEyu_11L(a;Vr@mjN!Cq7^Nfw;-{j4tqAAe-`u}@ z`0(p3joXAfN;W`$Uw}Cdmz*uJ9;Y4ftX_5iZ*EjhVJ0N57cT;vF|US#))W_kc)yZN zF&=6O_*R4|tbof!v0=T_WPv;UQ(P$`kfj%$=~~>q^=VM_v0d4Kd}RUSE~oJ8lzaF` zHRo`NQ2Ehfiz-$m*!q~0Nq2@)NjQ2zCzwKsifl)VNV8%$0J_&`zDRuT)m9-Jqia*D z7L6p5OL{q2sj1(RULk;!GPce_`Sqy#RKxB#gq3l5WUn0H>o2~X++3s}6QKEnFYK6oaBy%?;s- z2=R~~F?b(U!dgPhj#~8A&>1}gR78!53k2RZa)4`_%Gsnbrm#I91fq>8xEm1 z#PC%_g=2LuUra}cUZvidg1c+GRjbxjj5!S_rgt_&NLY{HlJtp$-lYL!pav+N(uopZ zbK19k;O|eVC)1=P2imt{`zZZljrO<|VXVS9YqR(sVx<^+)E23imv6{4oo9xNzABv| z+{OGEV{nKC>b3k3gksc#M%u2MCSWJWvYwr*xose}+Gk`BO8HcTUcRAWBgoI(2mAYOJA~Sw_v= z6$`$t>4vKl5Py}EgdZPE#RFSJl49hEI9TaO&P=T`j7D_xB9+cd%PD#T z^~Ghqcu>PtH&i^v_y?J7wovr%2}WnLLrHVwngk61{8C&8;|cOAlf5SeVCmrw*)2E7 zFFDsmQNrj9rfXA7k<|%~CGP?fbfnHm<*aw-@TOkg0-#eV-sC?$La1&*JwiU_Kjoni ze?USYTqY_M4CpnEKo+hv)QA1W`VB*)Kml!53(|jNKHy&7e~gnS=CccVdFtwbCVJf9 z|F8>u7qpedv9kIX%Tcx##aPd3l(Td_;>l$Oua?#IJWfhQKcHUIF}h#%oI+89?w=G7 z6z}VtKt+C(1O(NJDU@y_1RHv)K9KAz!loMmM6O2J|@{Pyr~ z>da)}V&liqInAamo^3&zBKW6tj zq-9CTvtXLx5x^+Iu2H*lgHDGkn^u{md)9R!0>5OZmNJ*)8V6m!(L)=)xI0w&;>slb zVtOyIF19SRs+_ zrs@GB$Z`x zHJTyfo*&E~K3)%)cRf!^Vd2LF(2SRy6ISJ@!c8a`rCZxPo7qdCF1UKdw1)_NHi4@6!cY_4q z1GI4pv#)Q&wSmgGP_nC?Mg3~mK}RvT89PN>fpi7`fz|Z&fi) zoNNUI0GNNp%Qa+~+(;k2B|ZSFf6B<2z?L)OAlk&EKk5fal*8URx|>-Ya40X^tPD5~ z^yT7oyCX`9nz%D3Ybt6r4vj?xn3-+9ZFQM7o6?6)ku8fFj{#VQXw^A8o>Bv+N~2^9 ziHWuwvOS{?&lN8zT%u684oZF;$DDSmGyGA|pHVt{YAs0*5V(_w(%9<^WeSOOCLyXU zD(BU4U3*fIIFa5!1CLBrRUY~o@E_=fDuEja3#3DSUzkI(m7=sp{RTu+)|6vKjte3xl zf_sJD5fZgTq4^)uUeQVJOxhnNQWvaLuC?o6b~fO=d68G6mNX_}#e;W4f#pRoG+h01=d3Wb6TIt4> z9@8u;6OS12DNG1$~ir3RJ_yS^b?oHjCYW-0ZcE>9%c*R#i zh1u6GIY_YKnvyziZbkv_E=r%}aTiCWK1pv<(OV#Yc-s3${H#~TWpU*FiH)O51rLPP zW*%7L`cpZ)sb)4|QR zKRq9u%P)hUY9f?AQ{Z31nGFcZC}nL*VER$tu)l>y&;u2VLw z=R!+AO{wr{TL2zn!?OL+>fb%{R5I<}u#*748D%HIJRguaZUpFkrWE zD4~p>Mty7j+~#_6xdo<6pQ9{Z>Jv{d>ty%0b#!O(w?(}<_qRn1wfaABO5FdEWD|79 zDwJp*l=KerWYMlzbi08VhM_Z%TNs@W(jm`U)^Gx#1rl{E0)|Edp88<)6VpP$FL6jS1ED^ZGn zolg$VB|lVQp+L(Sjb}l?LDVzgZ&?|Z7o~h!oh?q!`L4nFc)W1C<9hcaQXdSmdIrrNCW5RfzL)N$E-VtYp#(u6#~oC z1w%JNmuhsWVTaNr#|e@%_z1`2F%@PS)W}RmT^&ug49Qs1+()z;=RkpwbEQXO63KB- znrea(J6tJt+HA>~6Gs9dtRZs~?3$%W?{5Ri<0X*z!wz8po3R?2)@rawP`PUjcMMIU zp-NVpL?`||u6PJt%<-}P{`cFPzwht=`Rh-gKMpz=Y8l*P$#uCwHc6HPdRj))O)Yi4 zWqoQmf+~I3)cg&yv9uk_EWCM%NX5sP8>^)=Rwrw8Q^t-<&7qJ2A!-roTD-hE0Tfk! z@MBs*4(kGwwV-ppxZcu=im)WeBaP4Q#`Ev?zkd1n@LZgsmi^4dG@seoP7Yn8-^3bjam_lfB& zF~fNRPz=3oW@$th^#f1?-Q@u925T@m0&u4D97xU@5{@elj0GJS6&4IVJP;o1zQW!@ z@nZgqbEu}_%gHGG=CJselSx*r&J>F?;HUZPdaosJhhOl^ zd)WVc^AULvw_hd0)2mb9&)ato2XYzZah^Y82QPN=LPM{-k(Y0Y8A620%T6$8V%E9X z8x1*~$DVv?PAI(iemtr5L6uFug_759<_=Izs8k4^fi=OyX@unPyF>YJeK%9?96WF98u9-Dz?xyXpba!(8La zh`wL~#?@l)I8Q-+>JI9M;(PeJF3uL)a}Ol-4cmv|Hf16tcd@4Q9C8|r+>*x(J3L1G z8_-l~8VLvsl~|F1kpy})VOp}_+-ze>J3m3lVJecFc!b8$shORjaR3pkRue*-TA372 zGfNoY&mTYEJgA|~riDlW1HETK0~`!O`&E!-y=VBB*5@AAc{IXio00?@QH zaF)du$Z4n^L7`Ex*PT$&YkH@WmiSQD$k(w(uG6u+ijdLj#CAQrkA++KLi6z&FI4Vb z1JA(5Thh~0>Le1f>4Dx~Z^B#Dhb!;UGg03Fj|pXja8Ojk3x6O{DwQ>&W{IOmlHc8F zL63SFJ&<||Jp-=xcZTD^4EE?TFq6qCvqd!?#6dkMLf*?^1~xxL0aT@M)hP5|@Cu{6 z1u>w}aX;>0K`gE_j&P8^u+A7AmC5AQbU?iM@k-Z2MMGnlSR z!Zr4%gDxnBJ}8osd6k*(kcTOYL0M}T8Q5@3YTvEq=Wck63>kvZd+=09*;`}Yz@N;) z=b@>&nVHZsN(s;oVwES=VdyRO@8g-1qM)v~=-I`L{rmgRsQUit{l{CJs$ag`+`UJ> z-cSFeKy^pXr%#{RsQn-t)whR`fKxK-Y$J^k6FjH%H^Z}8m0tI|h&?DhtoRQsvv9z4 zQ?-XfI-zpJdZ+3kBl$FAG!+=n4f3IGQ>n*@W*0%2m?`Libf`o|<{Ay4w2byJ$`3>; zZb!qCPa7Gx?P1V&`sf&QU?GtQBV%t8@+qQr#X`iC8OGHBVoRPnAJ8YZi~^-D%~U2a zi7ull1=&z`n&*RYud(MhNlr=X;fCcZ$N(<*e2o4J-Jb8!aO7Mfb|jS?mHv-5*X&lx za&*dXXm{hctfolqxJ!~#$>|Zn#(C%Qv<6UKuV*1zw0DJ~9__zN6vqBQE=%=Psf*Nu z+4*6E64U*REQqQB!$VO6hN3thIsj}rWQi$tNMf7A${Mqc3OtuW$ONDS88O-PBwt6tUpl6pv=Ehb=Va z96N|c^)0p#>t}e9veiz8>RBY-_~9(1`=R2&S#mf-z&%YBoTCr>XMK`4lK)=7J#Wio4nw971$S?lIKx4#I`B2zS|-W~Mo<%aJs z3a8X9#a}R~rCi#65PD)}fZ5=ek@qA*iib*%Pf+OgM^`V^AL=1oDJAZx)6`uO*$)h9 zRW=X;OqzRl3)qy5sQ92t7pw%ypV@msYvd!VFc9XF%n*`uYr?Ag(?icm0Qn) zH$~CDA%=jewAOb0<1La$pj_gA&!mlE-dw;g$t!ozQ@_o9wQQwoGvuR$yRRmL*(@Zc zvd2e~n5u!@GLa%wqoAomp1{(lh`*5vGtYc6Qc+DipO!NeB^o;B1ot*f3>9hl#hjF~ zu^`l@PL~tXCF&HOxdQ=B3QEmXoN&l-QO81_#TV0%ZBey`B*~7ikCgRpa6QpQYjJm1 zZAFmapYm*N|6je$3T+CMJL_|R%1&ZPX5YTPq!YeSBybuioUjPmvZqo9r`5U{val&~u{g2a zaanib6-fZ}ydl>?Nl-LMoMcv?%6#yuY3weK7-rZXd(td59qtnrP%OD?jNtC1++^_z zr;2azBQtcTC8AAgLq$^Fb)1ElLC|ag1c8ytkI1xzPIKKzXi-d13yTcr!AuYpRRzv4 zB=BtCBFbe7%rtdkOa~*YEips)s-Y}`pjz1W(LJABnRrp($<+rTIal)06;57|r8^L` zhDQyZt1gaC7Xb6XNE1qkVwKqgOMZR}2SX2Ha|A&WKHQqf};abdx- z*xGs=OHyeBg&wbp)gQ% zWi^L=9$7TU4a$9=D+_Z{3kF_wAgbj&7N=SKGXly@y?5sH0Qgf1<@2T0ui@+ho!?}I zfb{f(?4{|$>wwWyOr<74@#PRMNP;3OaTf&DX7}`m$(bL5YOo%T;3ZeomiyyHnOPL2 zRp?gM3VEus#1eUD?g9-fgh<9Fbw}F5YG{NcTe419s&GMM86l-EEEj-Da2Dj>sY^={;$RM6pf#Bom=g=7Qnw) zBr|r$=HVITEs({tFJIb05eg-#oJ{=p=5LJdb5%*Zt(Dzt$8riu9MUQVk<%VjFAG}% z%jgcuE}3Yb3`Vnr#)E8@B2vn4;|a({b+Z#@ETc3P4Spu?J#!%tJC2h_p*91GQbmh* z63mlWH@!(O=K3uB*YN{dwoBHYmKb_cNYT*9^4$heSAlVIV2x^~2`)R!_Vq9&I{CG!`Vz+t)?ZCtV^~=k}ZU=TOk%cLGv`-G75lkpuC|P|K z@O;$cSRV~KK%twDAAUjetNs39thHa)uc%f5gcJQf7Rgd(SaKtEY%jo#$OPQqyIzX# zUAkft1bKG)3a)e!v@jo zxjl!BeYL&@b>ZER8x+r2_becj`J{G$c>ReJl;|*|`Ze?+4}NIzN{_p7L{Cea{=}36 z7?J*&+K_%IN-p?5MCaVC&UOHqgoskl4E;DaJglQu#e&f#^{}?T1EUgS){|yUVHGBa z=!NgfW@pJ=73vJTRXtwsJ=fkfQf&4G8r#0%7lC?-fWp}eQ{pSFemLyB<*67pAaZ*p}CPflQt zb>v42=tsqGgUf+AiiM8!RywWV$x17ODZCRLWTc^R5|lX;Dg|UU!DRydMccpM{c~?9 z{hr#i59N&1;1xeRJ@KcZ`EX)lTlcWfb50$yw!CXcS1D6fVa zElp#|^fiv@db)-HwI~Yw;PJ{X<{Cow0YwcqLj>oO*0rb#POw%<6UwbX8LlXdy%Jfj zKa8D&WmZr-MloZV2!cin^Hb#fo$gK%az8!qbwEsY`n$U zYi3oQ3zz+A_irSnHEl@kzHK0wKvt_&&?L%%ivG}_M&NHxm0V4tR&vB(gUGt zC|3}Ua7?ruu3Dy!MP7dBln`FlSoz`8vg{DD?Ca%jyH~`@sJYIBlFIvnYXu73zx&67 zRNljTs%h!04C=d=FlQ1zJPBHZpdvP7F$HLH5RChew>S6FR$y-(QgQynS*W@{P|LY4 zE`s~RG!$J|f5@$Rguxm3SV7w`Ac-&ypj%&_ZI+1mXj=#X*z9k?i@*2}D?5(uuzpi9 zo_lLLbr8G4CmkEj_Gf<=E5&KeL;sbAIKeN^>^v?FH^*$KpDr)jgaLYHpnDXdXJ z{F;AtUJ`=k@%lzwC#{Uj0rcy#np8`vusG%msf5unucRd*Xma$O^u1SAndv_E)@U$Y z>M7zH3US%&t(#eJm>EM4&r#4YzJcjaqRwuwp{O4%L%~ejo9?*0q53(<4Wtu5*y0Qx zNI#>>P2>oxGoWLayLZgrbn2`$9AkOY&>AFv(`itJ$iPj5pmpZwE{aSm&e3aVHRFbc zRw2ago+SfGPLQ z!0V|`UI_^u5!;p2+FlXJF3(CWBWQq)J}Q<-OS;9=32&eT{Uskn(VK;LsT`IE!DbnA z1?;P&%rFw+0lgl?InsQ>$?oXl-1&Co%r4t`T=tVK=@K}seUSK3&8|wm6%a9nUzT=yIUZ1f&weiMk*1Wc2U|IjDs~ z-qkgM;O*$ijiR>$`?{XtrS#|bmhps37xC90;;*5I24{Fd4g4-eG#2Nh-4O!veI&xs zN4vgrLTvgDU7g?|`w_UKz>RESWEJvxvY3n?pRdm)0=C01ms~Ws68($?X9rq@$k6E7 z`R+toMZvl{xJHczsaVU_QBtv%F>~w?L*}g{UM1d&K0n6nNl#AOqVu}jc-<0hp19em z-Im*WoeyeCYV6qfask#kM)pGvhqKF0mz60vXW_Zkz zkvWAn*F=J6s2Px!RLYtKcgFlxDxa3;2&CGM9NC7|PD!;hP>^al4tb&$mbjE_CKe>k z6Epm&d*;cL@ApVhg@+yLcqjJrj}P}Be){n7!^1xj{`m$r{0%atzhM}tD|y*;vL>!W zGfKQ~_fzr+Dlnlj^hrBP$j7x`&R~Tm%{Ru%calnFMkDQQBcD|K$#OK><=n1g``Q#e z4Fwy|;8D=wA075B>78U`P1G71xJk8@U<^eZ^=LdiR?m@GmXoU4(M(*DR=YRlAz>EMf{DYEV9}~$3i?`ADyBmO?Ob}C6O8~Gj`W#+Kj9^ zeSnJm=Kx{`%0kj|LiN6t(#uC4m3@eVj2xD=IV~}&yk1DiVmLZo+Nxs_rIhN-AvhKz zCBVI2H$4DGe~Kax!RNxV;~kMAOPyZ(e%o$a>5txNVbA^G3-ds>6U0eKg6xdx5p+qS z5N9Vql+a|l*Q?PH{Y?uXDe1}1<>mEiIzAeUIPIGN=qYE2*^5{vWHJtsP)fMIhAH@|Gd4q zt1?NtyOYPN@lo-J%hn!!xWD=7ff zMOasLv|>Eg=kW{TVOln`TFg4Vm>;I>1F%FjnQ9!EgT(!$>?&DOz$TiKG*mlDeYw;;HUgMPs;{%5xNJ0ku_cb=$hqkO@Luc0m}qyz(io;PO@D zp)=%mefe~cnm`|Z{s3GZpei@u3?DxI{5hZ}^JKXYrgl!B4_9caXQC2S8cyQ^1r2t* z%CeJ!#w@KRToG?N%9{i@8W+L&qBH@*5Mx+FbK$$BEIrM{3(h4Gz5`KLMD0krqQSQ; zIu3ozfIRAuo89>$g8kJ+yoN zwZA(6M@Id_ZwFt$9bmu>5(mz`-a7b_rmmNg&T2!5eG_uGki`WYlIC#*P8nEmby|gE zKJfwNoXB6m!=nm28W*0h1_aQmmprc;M>#$;V5)LN_q_!2(96Do1)~gJd?~90+{QN_ zKYo+9zb+&hwkc(*8a|KyA_8&~q|sb}0g;lB&0M#5z~G;LZ!(kC0FmU-_9##M25>3U8mA8Ke)zyWjo`V7Cf`74y8eQ(g2 zr!U|df*Flj$r`|7(4NSulp$|PmwM%;pI1_8Fg#6lwDL6ddFgTjub1`O;$j5UVld|O z;}p$vy)HC;fI`_Wi^O>cROHdAMknb4N!@hCp$h6D9f#0>SjZtOFOgl>o%J`9r$=TJ ztGbGcqUxVI$kwtX;1%Wy2o5k?WPDQ9y0%gIeT;MmY3zlD>c79g`KQEnWD$7m&Vf`U`5<%D^`$Vz zqGBirB;&#B)efs3^mgc6JmX`y9Oz4qDhx+dnAU(EDjMLMH8~jXsV58-8?GvfF0#+1 zZ@6nYWo0^7bjs_6j#3Oq?i|pR*{Ny9&a|_)!exJ}{hn~Tmzu8*{2YCFUE zg1aFEpCW84H!z1xN;W6(EK~_Yu?JveU48`2WB!C8sUv^FQLraSL3G@M#7iEZ{@+eOd9A+q-J>b*)g9xoEOrQ94ZgqRz|qxQOR5m z=N_*Xgl14!y94<~cE(eM6Q1urk^KkI!42WTwq*oq27X~?-kjdTparRE&2E{AIZ_$b z_bxrZ)4-WJBNfKfox{b1&p_)qpD(vpn>WTKAF1U0>rZzNpMQU+%!nR`>yyx9&-2Q) z;393bNF1$IZ|mv=JjStQ2HA!foZ#xj;75<7bC_a=u8pV>R?}n2Lt zd$WFx0~JC9nL-8-AV&2O0|yh^fd7QW?haMfyD~|aoOHupG7 zx`!-$f*}tMIMe}fUV^2yX2fr{SCTW#7e`sFj=I5sJF~hfBl^<%Y@=}O!h=QkRJ$6o zS^%3k`f9r?SVXwM!x7%eq*?-uXWS<#ISP)R>_#!74+W&xV0Cynn0`0<4&54tNZgsW zShKrZ=8W7vAN(g2kU!u4`qS-u0L5QSU;K1;^Xc8+26wl_qEnk_ zxA<}Y>HY=p@bUI9XbA;R>Gjttx&75$2SU zhf~65i!+KN=!C{%NQ%A4+t26+Wi%nCaPM&I@OjGoRBQbKjv-ew>$O@?s--FqVy%`~ z7#SR1|$RK;IsH4QtgmE57{U2v&ZpX6CY$P9N;~+YBaX zS!KSY&!p+FTNtq&y%dQBQdo=0YBNI%I9FsUh7Rrw| zdQ}EboFA=hOlDKc`1|Jqw#vD&;ZazNoD}lMW!Vug0hJ}_BX)O;7DX@DLHH-CUl^=N z{^~S7wdi1h_Ob&fC5ntz^2FIAxOebj`dPLAd4MlV)D)`O85w5GF5kRHb(Xn!M|eZB zZs-S)etYTLYzK-_D=3pn^62(?4Xz&4^L)S$h)p&yW>^)o3X|%1l_GQbXXTF38?zX; zY0*LG%MLdyuIIj(@?*K+c)e782%d!!O-wildJv^GFnj0d%ZorbdnJn*N~H5*4=03j9r2#f*N!GqmXs%bKqxu=t)0G^vIGgdxF=^P_oo{Ki$v_@H|hpJP9v# ztGEV`XSBk}o)5$nf3Av{{P8UqdWs}#L&~*4>f;7>28tal$IbLY2WzqaiX#$T|5`7) zsfQepv}A6$oQ=hhgI18Y{e-ctlEwR^vz?gJ13gA)P_|zo&TuK`5Pnta6yX@B9+**H zLncFiwN1FG)YNt)DO;@VuYTAcgRWqeH>gIIF*j8@Nj%F}Lr17g@fo@hPEaEGhwD=e zUZ993KAn(^S2l3$P>>2i-9k45<`yGAh^@tum@NgSc+!S&3WTD2BLmx^zT z1A4ZD!J@_x-Co)hCouuc+vN6EY?`B$d7RH;3z<8Y@>vRO(Kat-WSu z5w}zGrPM3SNmVJR7MbQExi35;ra-keM_0hLf`FUKmb(F1LIcsoaSD-Q_e%=uNdzh@ z%E@UNn%kjU4;uxW#Uarhaiyv_$;=pTR>uT&)=@c@y^-@kF_asK{IKq3iFG2) z3|>N`DMtxbCh;MXJY;@8oO$!1;VqGb%rlQhQf!I2T#s7T*uMY$<~R8bkBiu~bGyki zskblx0COjW>;?z`>6uxfVz5Sj@Y`S4+l|nal`38gLzNBFgwO!RRH#t4NJ^!I7q5=W z;^*&PpQo=6@2OTUmP&X#wjr1Eowy5wAcw$$ET=dT64Y3hO6V+}kAU>>=-|r~Xl*9s zl4AZ%{(SzQv;R`HhMy9bJgHqXxxzUh%ks;9cshehLG&xV*?JLJYLp)PRnim3Q$9gH z7+6F#2n(cnokfHoY_R;*@e#--oJGW0DT}CY%nt{1$%hR@ilk?TgMbxJDAz9f3ztLk z*uX{|Un6BF%bUX*o8R!@oA1y#m)=B4nd6#)Lkb6z(g_QtH}<^XgQ4puy!Pv>lg$y1 zSe_Z}JR-1;fclC6h(2WsJ*5Stza7EX0cak6exJq_+iv&QZ%^MY z_ouVzXg)Aw9Lb^MLnYtL`JV#3%u^*@P%hAn5^fu=Q|GepLopc1?sC~=?o1kLN_6P2 z^GsW$r)3JFhI4uW^dsxFQo+IcoGgZj?)5=fbA5jMy&B9Sy5a6!&_YdFR-@W6J$FI)^XzR>BRih9=t#R#541v(% z$VMu>t2>8Vps%2;N80NYkDiR^BNLUQZ=%`OZdP}$>vW!P0&k9`Bt+Tr17#tlik7xZ z8YA{8{7^#gEe%*#w-qv*)x;A9S`@{D^{sP+x`friNhUp(QasCyhLn<6caD72TuO%K zG4IfRgv@rS({+l(1I!zscD4b7bC|!=F9LUA|DAz^m=UVth(}X08NrY)Su+=vgH&CS z(yuvJzCJ@i)ntDPo-D(<>YkbM;eLPniRmlv;q60Jk6&&d2u`CM!iB&5g*|QgfR5jh zDnWcP%}ZC5hS~L4M--6)ViPND&q%<&3xEK(35-rur(qQ|*$ijyISK;61z8XQ(OQ5E zaQCmT;`z$;SngnQRTp5tU+$28ZbkPJZ271O{ZVlPb0=gM3rjQ|%d=uN1h4*dEs1SG zdWT)f8%Y>nCJA9hmDoIc(1_QnzRcA|LtXJ*#Y*p`H<6WNYTBiLB48}4oz5JX1p`t+(k0QunkudH%oxbEz|^C@{Se!EWl-*=yn(w`sO!U(s_O)=Upxw4$LOQ%pC1?SdhF9Dd3NxG7io5jtFOP_ z{&It&7>kie0_7OqKtzy)bG7=tJZtbLpxB<7B&^bv>L*h5hUX7;u}g;P3YigEXE<3S z1}qOQdRF9cZUt!@xRvhy)$Z#1gyB?1^|)rEN(+5Zjg~tK>4PGe_5ojsUC|z7VkqKdViEnq*Ipp3qhO zxd~4%1h+#`a`cFSkVI8tWQKD)M74;r2IiNzr*vO4H{e*$V{IfolI6J2Tk8MR!lEb! z9n7lgXpd^*rzG4(R!fl$cB%#=X}MyI^L&LQTiF+MVsR(y?s|E#LDIB>XnfX^w0A#1zi8A%Dvrt7=c3^~H8{sWj!|2OOjzo7*Uo=WXQde%1SH>!& zQ=3J~#HJ}!q6u21I0N8fban?Hyt5O2yH=aK4%Ox`jZ*dz`8_};%)!AwK7V-s z`~bhB=;+TMZ+^KS{M%m!{~t6fkj3_Y^T+0xMOI9!X+2I?Q)=c7YqFIukQbKXl9S@N zI#+Lx)?$6`>G8;8?fIA=+xn;F;F8-mBlOM1nIcC*Gy)t6WESg90X9HIA3;cP+tZ^o z;_46W)sxM!LA9FyVdc~%)ieP+j5^n-b?PKIbXvg4KAW9cocw4U4 zD}gz?uj2Yl41)S3d2fI6BoQ-p)Wd&%d9?-Z23Yt%m3xzL(-?DB*DBLU7id$(bm+%s!G-dNrX99_WSZzJ_)@3P*&_rxT8VKc7LpXm&@QT4ECY)P zK@(;Cp$n?8p(f(zPxqvrBbAchVy=Ue1-zCQ+svI{*PO7+*|N1-xfl?>hoojP52CW{ zHYTsqkyAO5pnj^>@)6g>pR3kVM+v&xDt zx<@=Q`4e=GRCt1?!*+OnRsJk?9&{H4cP6ZyI$(X0vGioYC9y55_Zi%Juw=M`x;3#! zpr};a45Fz9w z0EKxLp&rOs;%(MngMWtdxjUN6VWdKPD60sus-Y6dBCTJR4KLFtlX6|KYQrK0NG!GQGXKXUEqs?9P2~z&0P`3C|CbVFVnK z0nU6r_>cc!Kkou*eYKh)Bk3(Lpwox}xrCwx2T)N|y95)cHtvDhHZ7+J%$@Xgdu7TQ zk-DlejLo+u+$llou#W~}1~@SQh_2t9ogz2u6q+tnStLT4e+{k%oFDk2uh(kMi0&(D zj8GZX+kh({p$S4p*)+Rx0|Lj<@PZ};jVvpynmSp!MO{D^;v2_EWC97JKzLJHEANxe zAHr4NB(KOgShHqMRiPSd)*=p!rONv;daVR2i>})#+*0XcT`A{*S zhecJ{HtRM9-;imtG+l(xwbfpe6$zH7#HnAR)95SuM1J zYI*=^K?qa!7Ia7lW=e3{SHSgA;CSp1#XSH3E0zNb!G=3a z>~ZE*2d8M~GX`2kj}dudAR*E#>OGay8Jfj(w}otfAm+K-bMzmhU1ywttD_rW$$RdV zO5Ew<&(_pF+j&FfOzSdoT9=X2AS3B(gvP&FT`?0As*=6Ch4Fdn^TaTiqbc{n5jQZq z5#5Xy3fptLV*#0D%6}(J}8dHL>nN|xXf$Bxy$mp_7* zq5`kYD_uRNibbzcjY3*dh+5RbO7YKn4;{L5$=O&rAbd=8EYv5a=CUWeS`XJqq>XS> zg!6^$7=O?Qh4}=Q84g9GN1gB1U+x@99NqxfR8=AUJ>ZNdN4r<@4N#ck0kP%`J*uij zps7HWNq^jTc%Q&Py)tp)nFL?BhH5`Wvjn`2;(4h!C2xb{AtzRItd=T>gXeGm+US=$xbGCycD~cxS0_Y^RKZQ24Qz4 z`3CYR(8!9ZN)-EKJLzTluFfeJEd&hg%!&#zmHYMHIGcD}Lm5TabM4%)=$^SQQcJ*q zTij;2VHtcTrowA{(Qgz{n!@{wDh{Kt)YZ|-QrGA4JP9`7sC_Kv&Cy1y0fI(5 zQ4FD5%$Ph@l}+veUAn(+n|{lqkrkOTd3ws@@fo?x?8!%&)4`!CU(unpVUt|=EzjWH z$4QhXnkNu%tFvp=k3(*`Y4Jn?8_!_=j!QYq9qF4TOpa9H1-;=PU0;NH23<$74oz~i zuL|uq1|n*L8ifn#TSm%cGY4frk<-}8DjogB!OMr8clS<`_Y-=gCL;!S%s&k|)P4|- zkJsQg=+LoPtxy@5S*=WG9lmWYN6p!*@Jojs#HZrh!A#NY7&+W(dsFunW1u^tj3Kk5 zO`}f6{C7sJrR1>OGN7eCkkpwHe6vvJrntMc_+$3>;!x^ z&xRF-3Jn2)Qp7O~E<2IRG)j2~=j71;&yPFITf?Mn=Tc%Zz9` z>xu(rMz5TXtGCx%N?M+c&UL+MD&3+RY>EJ8--xq?>bSfsfa0)F*T4+od_hsvY|c>& z4k1szHfCH>>0o3T=B8GmyYGXRg;qh9Hg!{1)&@h3ug5YL7aRT?kw)SLcmv!Cyya4n0!wU$ zroKZ+8t5%kwvsYs$)a%CmU}`7_;~PBY2iXv&f?d>PZc;&XQaY>x^qYdV03U9=HenA$IJFv-nj4U)ruENbJ&BN?-Bm)Fn*( zEKr&F7vA0KWmzsPbvY?@ zcUMIut5T{p6TFT{kw?hPOeim3pp0II^lsSM(E@?fK0G}J1uLn|zx;@}I9eoqxv7^K zq936OE0b!iTL=H+e+e-WOc|<~ zO*jn0+PUug(fyR{NZ2NpUNQ&{v=^MR;nyk65&ZWpejgnJGJ*ea?jn_#Z^Eow}scuPA0I6%?d{Fi=1x`4)61=oSFje~Ac7qKD%ZF}aNnIaFttTJ?*o%{lx6XPb+c5^#bI zw_Zb;rCN+5AWC?xDqu38@1e@0mz@SMv0=clSP+(*DBJ**8S)Z*H#vjM-w-4hqA|e^ zsEpcU1R(9i!Sn%SU=RdyKQH-9)pq>6e{qx`VRda@h?Z8aF&CZe3=6 z07JF=9Qm{gBd7-4E;L-+B5ga_uiCJ#TzaOQ;Eo~?z%X$2@|mcf={u>LZ1DV8KGVH( zT?AY5aQ&0?5uu9ulL3sNQF4u}uv5Go*ExLKF}N80FYQWDQfH{ywP($)gPZs7(KYe_ zTBpF~4p?Z0Fv;gS^85hYO4M@4R57yw`m(F3A;dcy9#PkmT|+*UAeilEV4bzW3g@g& z5p+%-VJ2|5h{fAzQ!W=O7H6i(!1ZMj}NcuMkmjR{s;4AH_uoR{%<#T z%;2?B@dH$6`~2lWSF7V(n{ZKi+Up>d5hufjM2ms z?_0r5z;9F!7hs13P=~~I7;DxtinMUmpNz~dgm*}!xlv{Hzo26Xxpv?xE#tvlA<;?^ z+$D6eD~iKSRcU#R_~!-~kihz@O_koV?VcYa#VcDf0An_>Tz*Dt%R~v7$ z$QK}O$wvEl=*g){ULvG}h$gy;ubPKu$g=#U=F|^^{9>H=5%HD`>wXD2dagm(5G%1& zggaHTu%x2;^O4nt`u_4Pa+~OZjHdF;Qh34TJQ1!Pas zmABzu#q8bMU`3`?r}4l=?2exJ?PkN8yI0NY%*h(MfYfGHzRy`jb-H|Sk}rnsGfl}} zT#k(k4|=I(v02jwfXsP@(N4Kq=*A_}amKMV?lXfvZq18989f6v@#~+X6G5c{(!diW zZ-X?5F(MIk<4tGq)J=KrP{IZXGXz&tbchLglPvczUABx*V1RklqOn<+hc%VNgm@dI08@=MD{FtYMukHRc(r0y zDt-oE^UZrtFF}s07N!Yy62aJjpZOwXs`WI~tI4zjYzZnN3-%Ya5S%?NhfVP0A(hJm z3F*b0L1iC!{_Nu|>MTEe-XlYsx)alN(`Z$Q$icCwywS1234`gtX;qglv9v8!SK#Il z6Bx|!23fNzcp-!OQH*d$VoW|Dd`>T}0UqOSDeBBg=KnDECdzFbTehe_1 zAuoxxjhj_U0%mKg?W7Zw01z&tRabH7MQ5X|0~H8>BAd2wXhpOVMhZm&WW<|J&*;4LZ3=~eqwq4HdJ z7dq#cfKWS!!m4M$w||!BFj*f}EIf$u5-EUB4Emirr^xFG`6W%Z^|(m}pF;>SGMQ?d z!x(u_0f|7Zm|(!s7jx9dJD(xK178SfDttaeV9j2tfwQS8g*|G2R$hW)jiGr4TVPYl zL&5Zkku)YG5z?HcMgq1O@UL)9k6`xlij0pLZ{_-Ih-#vs0@8@n3U<2uj)6^JN$3pu z_lNBR3}jT^`2PFv$qjgI`{wTM6I<@=>6l*hs^#E4@H359r!Y&%c97gibH5a}mX%P)ZZ1I$w!tf)=Z zX{3q7Do1Gl`9hn_={vKb42sxK7w4}K5q*t8iLRev;eiqxIu}YsW-0`-{HiL%AS9?J zYlEs#(+$e6VrNv8Q-_g#LQEMdpag5){McSfK%P?Fll0s{)6t0SU~0k9Om2m3Ryas} z^90m{nrlP46&$$)G|mObPYFG!bo$2mNQ<8IK-2ozBcLQBZ-54^_#9dsyfhQUXU7(2 zYAqC_m94AKi+d-`&aGZr#p*n0U3Nalh0g|sH9)m7zX5)L@QExyWL7Wxomzu(r__7E zFe?{smgUwOmJbQT0w(Te1JH4XzGDoWFzWSap-?KU2JANn&!^8~j_3bC+oRki_hQsM z_(34(^tiGTQ>57UP__H1wWcb-jjy zAP%k03I0}@;DYPRMNQCa!AjxEL{quL%V205>ttg zWE%XG^;^da6mW%3WkHNd2ZVB5Uc~!t5#VLREgmhSU{KWrZoFVRltYz=L$Pjgci9nZJwgHoFNND3N;xd=3lY*&@h`L zDJ;Nkbr=!lM8vr}eMKf6Q9;9ALRO3UXeX-_KJT8S~}HH8mK-gJ!y$AvCVP;p^MdsQDfC1!NR+oYI4YD1|(}vptwlRzT|u+fB_tycth93zExrv?gIf zVqVViMn+Up2U~-n8@$taiP&a9A?{;gP^6U_;Gr|SGNC~?zuJ@Z;jv89DQeQ}J)nT_ zNM99xNTx^@2g+7LZ-9D5T{KGBY(JL_1+Bowff6H>Hdy2P=23PSe|S z`6MHhw~=6J%}x{q8rnh9B~zEvsY^-ID}VZ1G-rB1T(y`(-VBzA3x~{ zzU)7lw}qQa99Y!qywnOemdr~;0O84?*}<`%l>bont}A&yLAk5QjWXUIXBZ6wFoavM zALG88lXnDXM+#Y7%9xtcQ|?UZ=t6N652?J0OH)Z1riSXSHz0)mF9B}O6@mJXf8=M|O?kG;O9cn`!c8~IdHWW{Rn6g64GRbSlpCuDKC z=HW%Fg>h8N&MM;steVhX5@VON8y!iET|heaSRxL)SXQC+3C2k=-W-ZyGLS86piyS~ zrEvTb4Z^w4R-YD#5w)TuAv9Hm04dSf&ifz~6Sx#=rn@}{H8d+#U1*^ijFZOVX~URq zg~40W-0vQ~e0T&U-mt7VL3gVeU=diTwh0c2RW<&|NEgqG)3ZCohT-U4qF^eajktY* z>Gx;6ZbuPavqF95Agl(%)MSR!-2A}h^+ISB!6b9OJE2Yv^ykcaGLZSe4 z(Z4_iIcOSUZY@oL&!LZfCtihcN%{nT*F#7_0%G>Pj!S^d9mMPppVhXSK8!WBb~hpd zV+_Cnu#T<~Kc3Zbc{PZz24+12;L_NAIy&Tk(yc)_Nh`QQu67{)u?O^cv&2HphkI{c z{`~Uoi=O}4d-JmQ^UK~_2Ob0GL&P{hJC$`YgkIDRsTN75O}=9Al=KN_zyyyh{5wvB zV-$u=f>>}%Gq%g?IT4m0(?cli4S^MqH2<`hhB;!5aKbDFi~MEe4qz*%%E%eD{QCzU zg$j&fj2ceBkyvv6ZihC#d%Lfn?_WN?{B!s4^6nG;VLyL)|Kaid-S+KopO`Di@xA0S zz4Z@UbmjSs4!Edbzx@pNn7Z)gUN2tu9`PUV*TW5SLsUg8mvpu{MR;E#f-`lxoTq{~ zQN%(co{`n;G6gNU?j%_Ilv1EVc2Ctq0lQJ#Mg;MA0Zj}n8Qt4Fn323dWpcmRb$HS) zZvb$ucaO{6-8*zKK41Nab!=Z`K!7ndh&N+uqaYwG%xiv1pQ9A&at2ZcjwMqnvcUyT z`+l;ziMB2(M4BK>{X%+iLkEWXo(DG2hYQyv0vi}##3Er)O-5}nQIuou7#8aicf(=v zIMM?wp|Aak-hJv=bRM5QRVn9pe>Z=$fe{ z5$z6mG;ut}d9m#JaOGP%ChC_^>gXBR3iPBgn<3OgVVcz~+SKu%@Q!xRVz~Ru%Zd8s zj-E8mq-t=Z``+v+wWPXty5{54?WuK&Jr+}*116Z|`*chavdKx5%4m4U_E6>hDwFix zD0D&%z2=+8_aD)4j)led9)Fj*;{5U5zdioGMFT1}{0V=!L*>Oy!Ta+1IvXU@^p|Yq!x%pKN<=;cI*2jNfj!MZy#clwtwECs{L3 zxGwSDplC}Nmnsj)7slhD*!lETfak~A#Zrw)J|GoGq{vVaj??VFQl3vfY7OJa{pG2U zP`~+&VWW(~dGi5$?@|5?J~rs}<}5?WGQNQdEJI=VVh5%4%=kd^MG|nL(j&7 zojgN~j6BsqHkwNz>jfPq>`Y`-C~!ySLlngk0|^3|U-6k8MJb1P|DqfOY>v!MbHk2r z%1{C~)JRIEk21$4ITqRV>Y2!A=z)ka7J(R0kCwD44o*+`5xy(xXh;$pjkN!b8b)mY z#ft5CAmpI^Ps*r=-6QJs<4>gIzU;9}&Zl3XO7O}H_?69~@()Ta{W)tP-P7sJSOXSW z>9)5~e^~3Obz0<;2Ehpes6R0ULhQLINPebWZ#s+8p2QR(dj*l1@Pfy%A`+*E$)Ej{ zMVOXDN(IyeqP8Tz=}r{vvU{Eo6DfE((FU%{C)7WwUE7_44dKHgYeV`9`d6Wx+AO0U zDY4McT-#E%mstJ~UAnx=pO7;UjD~eg?|Im3Ro@W!8HOD271spIOTqcpdv>%5MzmHdfmk z7T~`uI9Y7uy@&FQxl1){1fMG(s_a8$l5WEmG1o+6wh&S<<92WV4j!6BQ!|+Qk2m*s z<}vWujBPYf4@EUD&Nn?AhG_9x7G2f=AY60BFYqH93G3+f7)Q|BP$OGI7LwcqelVXS zAI+@7xEHMD7LkY7+Wumar>BY(xXT!R=G_v5vLg1tn)K~!_!~o6_`kpY7 z^)X8*pmgLg`pf4W!|bs0t5X(c?jG}vtc*61nhCTo;d8tP&JY5h>%Pon4Prct5-kVe)u8118K%t*E{9OVn7q1e(+=0J=U z$rUE}~Db=4*P zI+ugt+GwA9F$$Rqn_E_d!enPB6wn1TDM#f-vZ``3RrDELUo0!I5JchcQDvKK)}T^5k{yXMjI!Jh9XSi>;fTuLL*L zAJ`DMwXw2*0TA)$Ksf)37&!9M7K=105M9S`WtC!*;W(S_R!1buSxQ+Xh*azpX?u|O z9cg>V*ePs>0*8%szTD3p?8&vI4%FWtL8lLuYgAV(Wl%kWlj?jqnH#1Xy|64W#recnTxjk(%FR-VmJL2P>g1^je-a)r*Aiy3m|LV&9?i)>uqQY| z`H~4r^$>oagDO(kDjd<)NQM2h=jZ|Dby3(Yu#~0f`zI6nB!tgEBGI|f(b2&s%Cj){_PD$s z38gta=rxD?!A=lLj1d-=y9let4Z4mAPR$+DjFlj;_NeesC)+VS~hs8^EjOFwMmgrCO4PHaO?~u3yR~!8<$d7E{ z@s}Ny{nQ)X44LAmViGyqR3#YN2WZ!%B!es4VhvA&DIEj8PPJhw;EF|!8UN8H6_J9X zR2%GVRrUj~PE_3Kkjy%YrC}@b$V9~8`Q)qtjCw7HeS&nW>lNCsEdE^;p)9OF^H-gzP3F_zqQ2&%&E$JO9QImTpllFt7Zs^L58hr zE%YC6C+~k{KCM-vV1&$(Spxm9tV6;7SuG28=wnMlKy+>*uNMgD-V*A^u}LJOGKMj+ zhq$;gEHxRfylMSDLL3p@u#jY)joIw%?BZg*w%1}(sxpDB(BTM`Y~z^$yqT0Iubmlf zooNSt*hmftnLeXBm3W5$If(A4pOZD0#%OKz)3zx%Zhel>Iv*&d_h<+y;REb2HfN_9 zd~Uy)O3Z-D^Fc^X8TIy&=9I7?kRX?5epOUE&8Fv`^hPtB&C1BMv;6g@q$q+C-*gxMZVAQsMaNa)M7v$(=huwpWJF{4TGho zNO)(dW9K?j563}}{GzfVdwek*S%zF=Mh)2sbwt>2$hX`S3Rh$EIr6ZXt`W?3IT5K! zr-wy(Nj^&-&HQN!u_Jp|y%dcVt>Pvo-a#nj0`!RdEXVYIT88~#l+`gZ0VKiyA|CMu zl#kZ_tYzD1{A!1CcIfe|+h5@{vEZMyyAo!kXHpsLlbKB7lq!dv-1B+k5meeH8uggv2w=%W$tWo;wCz3o_UZmn z3S*GoDR8>A!BKxO#=%G>1^ArBmf`t22Al!ch9g>RSgTNlSh=X2o93-#+%KOMwzE~= zfC2&AT)FNK)fyF6i}FeLpYGwTqkjiU*$7NQaV5QqKTK&&qI0u862dIg`dyHva@$f9 z>r4JjLP|V3s`AGA?O53AWq*TmP`-)D)4eZu4@gx(_O$3}@9*Az0}s(VW+qLa?3BjQ zX8*|?5t(xE{FKAzryOAlc-8Ca*%-;Y+cTB2M#ZdBj35iiBuz5awa~W@q$`npPM18* z)WuTurH&cY{iDoS9Sh>JMmj)lqsHt0C8=quqw+l}ErzAAh;b@|X`hEDpaFxtCm_5< z95jbqx+R>Y z=B8;3+uuI@@$t>wpL<_l{>g&U@Nxe0-rk=tzH45*_!sK_QmCOpSm6B_tp%TC3}qssMh# zO!JT<8Dx5UP?uaR3n8ifC`g`(<&(JB`Ry%ydtIq=2%H5cTs)N$EK?4ipK^#PU~{MB z@T>8X0dQC|vb&mYt-zL67Ys@~&H|dV$l{GExJl?^q4EdG#wso7C7qLmCN8Q|^hh+kkmik` zbe2AzFWKQDe-<91`aF542uU@aUeM+*xIr;TZSer&brn-aRteRkcC`7C^Q!u=JTAE? zV{Ka2ft`0j+XoGbR>a_#XrRNGH{^f`qq4o0+>W)kWoyiUEJo$qE%qpsg?x~@kRKm@ zrCRgm;p29@_wDzHu6=y}>8|(vuXkU*XRH$PC%*r-CzT%=H{$c&JiLAXU%mhT+i!cg zLGN4qB4H&y%*4g_pT0bN>po8FC%|5E2@jRW^nL^!Qv%I)eM0J$6-z$YF+)FY<$AW; z-`{LMynlF!#ZM`AIsUoYPK;b>PRQi+zy|#M=@WvEC-^r}kQxnx zK3OMG(8;w@Es8@aV13k5dknBX8U(a~hi^~(K`+$HDSy1|9pSJE*?0^tb7%z8z&b;I zarNnm(4caf(2R*GuIiE)9(0g82Bh@g*Cj#;Y+H>M?2_!I%e#(@h(M#kvC=WILaxu; zTAo|<4En*3Y%dcFMi7fjgj)pR+#b}IPY8fG0;5MzKQ}ykeYo<(9TT}w*m7*c33HUj z*Bc}yu}EHcu-2G87c5@cUvv)(aA}NJ8;$Av5I}f5h&2|_Pj#UJP}{g|)zK~4h#-f7 z0=`TXKSVX4MZ49b9(-hBxD!$L>?}5e)W>r|LVeCTB|u7Ca?Pg!0!@n})(#l;qquxy z>6a}3;%sFB5RgZWW?Y-*-F8YO3)?v-E^uTKBI<=(K@Eh~Zr_{Y(^9NgBypl#oDhcs zR_yu(_(gmbzaOkb)+B9#B*nNsmq5W(oU@px2UH{df;>yqB>nZ%{rla6!%VsawZg7~ z?zu^aUV0%;$gR2TTF$Z3q0~Dul{12hr&pJ;FL8X~boeiv5xtMRb^>XtZXLEDWO5CT z#NQXc&0PF~K*9JEyDv2a4FA!H*2IGluLtF*Jt`b7h%nj)EG!m}Z8@ZymkV;QiKSN& zQH)B4_@;{`@iMi9JeWB6p4fB;z;;IWaE~1Jk9pwz<@+ArATRIb2s=jg(R?r-QBIX>m-%yicV&vyP^F+zde`?Lt{Q*peJjQ9i z#3Vw%(db#}%;b{*Ro{XMlSLE@F5Nv6Q=wfAKYZc-U--g(H5F>h-~n9(gjLuN`>C); zV+BS5NaDqy39)hra)yX7HVV{1npLO|13hHpQRtYVWTEz+qLEq4vAkG7Hsr^$`dOiw zNX*J|&d{_JAiI%-wAC{&fXXl1foamqIApDsGb)VB-2$ztd>F|0@^NP4P-x#xpV}1T zF&Z1C@I&OPcAh47z4BD$40)LFEREbYIgRikc&u9g>oG_{^7w#DP}s0D_jvY0va6L* z<8hXtlTi>HpcbUyzNFwZQcwV0R>AwyV73?xUJg{=wKYXw7E9m(Z^h{{-&Qd6i($OJ zt1#4%=OL>vY&8YDd)`>T1TL|yw3$cNPF_sB*hk$4 z?8PNaWyHBZ1RDrR0t6%fMk}nu(PgOF>h_WzGrS3UA7Ka6P(5sCQcJ00$oWJ0Sy`L6 zAO36a3t>t8^WOmtg!&bF{l6iCN^9US(U&cFLFh;*que zgS`IsYRT{r{b&LxIbcXVa|3LXFmu?iAOYL6bajPkj|U?QtGHl)OT2s#m=~;0WIA|u zRX>jOt<47E^R(T^m%V0yd^Fcdf^iKEilME zpnZDkJ?Un2n;yul4C*!9Zg}`iq_m?}_kwHDS}s(3?X2nAGn)!PE3i z3Bri}hM14Y8h!jrL*$_8&zLvBSeFY1KKqmmy*h85uB3fur)@zQz_+h_o zQHuo)B>YsU;iY^5(aRSBGEf>bq(6>Vgj&~3?mZ(UPEf9d^{X`|WbeCXKqb!@Et8v8 z>~|>VzPM^1W;F%J$4CgUY-4WP|TQR$x{&?yt^_yNm@sZ zwE>0(0)1wJ+7{6LE_RlPN1uzmUYsQ;3kN5tk{>Akd=o@19<*ej<(GEfI{ERy$0=k}lUtbMUmMtxx9eg zH$dX8Io;bV6uFhLm3gd0zLZVB$O*aN;f{dzmF8m zjusJ^S={u8P*N1LN3D6ka!aYLIyI18?1`=c zMcOVWp_k+^1eRt!b;4o~5Ma-PNK6WoEIiyJc`OTXGVEt*uE>=Fdg+Qy3>Sv?2A@ox zpmUDXK3W(2!dhS--v52K_shq}H}}83{N?@q!y~E@;dcpCh|MWkPUV+R_aEOpB9I%u z`=__tmp%J1D!s-}6F;PB>e(ms05I9K?E;vmE`U&f4XW$>O4S)H%|yd8D@G6l{YF~n z!sG4+)kMU>z@hIv?rsng5z~a@LRn|bhW* z_(q*3U#aL)6N;Wd2V%=moE`yF(D?;SPM{!|NW_R5bU`u&$t!dsz|s?GBp4aByrf_r zqI+K;LXcJSP>|-$uMenDjq;rHtCJVK|A+PR(s+ob!`$jW>;6D4f89Q*)&CF9Bd!Wm zz%;MajKG8`DHC5WXPg%F*{%r{g6f>g#dl(14L9`EzFMt&he_?=e$sX(h`HoiDdpmj zF%{W1Nu{(xb+By$-KM#eQrtlJkbGoh_=QWgaNdGDwmFi*nvNq%3P0LYKGI4y%G$G|=txYU5fsMb;+3xMhVLvEOO_DFs zvk*1H)opKI-ZS|mbP8!74_|>xHO+ea1MOAPsDdeZR{BAmPZKm~e@!0{R1%YkKuO$R z{;RtVk_qy};SbeK%hr@dIe)>d!ee%HeRj?F*H-!J~w{D+~FJ2iP2$xkxN3{LR z-VVx3L8$i5f2DM{)%Vt=A+?J&VmBx>2+j%AYK!LpRm~*v5eB`b2uUtUJE0^> z`e59VljV9}_auK#2JHh#UQFn(q7E+=%hF=PR0zE2yJF0s5~*yvAJfa!!p3{4V-97= z2vMNlDAL1W>aLhG8ew#DOqsZTO+A2f+bnZ*B$`Hg7;h$ic#Et4Ho;R>TlbN|-?1(h z<2iwT1MT3EEV^8V!uXXT{W@oo9-0jY^J_xs8LQI=A+J*+;zxn&W^=HC>kA+P!bmkc zahT3<_R8{i?2VJ0(BwYlEPY7h1`--I^h{Vc2c$3{a*flf^sO!MXUzeS%S2%m;dZl%3zPsmZIi^m2v&(kz`pEtV(xU1lp%WV zXCsyq&Y;$o=YwouKUvd;EOL@jJ0_}91#wuW#c^{zATVo>+1u+Ja#f=;LF9E_iQ>oA z?BdoFHxXG>?u6tgA2=YE*=)@Le6kWf49=-x*H&BzWEr)+##+d#1X?#5-nTFLJMQ3ttSC!}{Eh4| zXbuL7oOEbUsYMK7(Sm;6fk`xl9;mWc+v7Um4Y-#Fvt)UAQC8YaU(-%W(*B6^fv8O|9afU%rNZw7G%-$?qSaWi8;N zLBn32nyD2?4*@*u^M9YSf1}D03V3-TfM0IFLGxoV-D7kLr=2-L6&49a3BT0!$@*L& z)rxRv6hsGJ150ncSph|*n_1YPivv1VhXA{iy2Omk_eJIxYDFCT(U^599Za!D&Cp*- z;ucWC0A(U%ZT3f~Q#)*N0cAg)2gFiaf#KSA21_9`@=`@5%;>Q4>T|hF33S;`XD}7E zHIOTH2>;07EyAISK{pLxedryNLxP-VNo6oow$mPNr6Qh>DKSF{ z(_Yo+uE9DK$fkIW8X@z&H}Brvv&9j@Z+`#u{v9pI^$$=cF93>`T{7-IeXuD?%Du47N!}`lEqcrZ^k~y?nqj55iC* zj5k?#)LEsm%ejG-Aes^!A%U}qC5`&53#p6)4an#aKx1A0sPPZg2N^SPHAa8rtJQ3a z|DcO*!I<^8ED8vL44!16g>zT0Un9!bajFpiU!5c;KM9IBS(4M(qGU)wFwf}2GWDHXN5IYh-duw=_H} zOVz?R&t78}ll5wI^%@;}$-m~>ZVX7<<2|vqyWJn(LrkL+gLdkJ z>+RRwBa{L(bGpB8;bxlm-^R_7dH~o!a*k9j5=bG)aYlpI3qSwiy$@p@1I!3g7_gEmu_NRp$MT`ym$hSlnVcrHh1AMZs1ZZg%sYJ+s z2rgfqpqEsr#IQ{-`*To9SnE&8_0`|pDYmE}kaM7L;Z&w@a`U!Pe& zhzhtuBMKH7$@SO8Y&E|P+@Tr&}lYSQmd}rCDY6t^vQhA$~i=>I&P=ypR%t2-pC%{NgrRJD6qUI zexCA0C!56-Yv~1VGYJ*eT!CFoJM15&5rK@rR0`CHZk8|@vyql+nKWOGiein2+4=Iy zC5gqR)aM8hmb?UIZ9zzF4y4zepxd94o25tj3a52-t*Ub)1n7`YjQk4kAA_~6c(6)d z6y-pybRH&29BPP*nsSYxQz%g7$D|ZjqF-Ck1OslC;3RX{VOSE>Aqi^Hpzj8Y zXED3lUk()NQTf5lVRq2vGHVW=cbZ|21LA{`KOLATU3@4jSVPaAuZqqk@V+-fjHCdE zw6I-kAJL6#z~yB< zNa1C&rT3S~E*3A7j>T{jJIxYr;$a=f-)Iq?@o;jD;PI!*=%9u+XEA_21B~mi1SziJ= z-B)S^kXZJr4|+HRJG~Lmr8sE67|jG zbz(z#e`yoymHP>qRPA`kzz*FYwdQ4(@DDqT{gHwkMCGAfn}?a9b`p|-U`lq#fbRgj zM(fB)#a9O46qmMJoZtj^(w9JnQxGAQQ6+oVhUu4*06qP;3YvAym3Iob3}_H=$(cD3%bBwUd%5h^A*uoPjvEe7zCWqvl$^UCIk+_Q`IirSB&acZr$zy zn(*zx_rvc|45r^4_74W|N8azC?LO>Y^!|nxn2iT`Vj6%lX zTl0!#xW?h;_{mU0P8swilrZqS=FpW3$Zf*UE+<+81>Y;j!TY3#chgTD)-Qj3`P<9) zFaO7j-Wzn*`WgSd#eeVc-wyx%g8zQSf4||s_xSIB2%EkD;8%Z(29;-FZvuPBOncUURQy;Ss@#ubt;PZFB&Lm|A3 z?A7NeX-JY6;^bfnqj&iXN(0Mi*RJ~Wwa4TEt1K0H(~J4+{OV@Pj7pLF%WEwyU@SYC z;a^alC*P6kdKAQ(7PVRZg>Hh`)beJ_=_~QX0l?fK;t8=MILmrPdsYksx}H2j_ow9k zb{O@G^FX9>NVfu~Zg`7^Wb$)lc-QdOk+0!6TpOdw1MYzW?^^%TI&6_U65?CJ?R6Ts zji_VUP=(!8Ch;Y-vyQ>WJjURS8Fkn--@1f0I8v@N((qLcp1kV2(QuIayedWQaJ09m zqXVZ?QWX3f_#+Qz8nA_^m%jcgHj#Y?K%kqj2wd^xdSwO58T$H}Vy9;;z>N(W>n7yj zFGo3Gn#u`{6nH{@^aerwz=W)CK*1I{=?~m%&pv{*tHR;bU_l3ZgN7l-fjB@R zVceji!C==4y&{56f%g>rQu%^Sd`cf7qP^R^Ou0XK~FPuW3?AFi8N; zW?>!fmq!M99uN`-oZhRS3skQOJXnet4h7ZYDT6^^5=86b-?`R|ucV_acMo&C4CLWM=K1bK2O;U zcmx=uoEwHL^jJLX{aaMpCIpmZCN1VCEc(JdgPKFs+czwnW;2i_L zuC-yIBjeO)Fj@@=8#y$b2ZW7KwnGELs2HjAnO-69G5#7>xN}BjrMu_qimR@zG7qXD z4w!JR^0M*|Cz?oqND>0`sF9$K_-eI3DQl&4z+mEVP?ZCca^s-PHOYw37MxP_PN1lV z&T<1}Sp@VPO*M%%X$xgOBA5s$o60Fee{aa?xg6CYf6&?l`Fc8(j?f4lj(+eh_7qVB z3?WaMK~F)h%%<@)3vta@vWI+mpvJ((nrWY2E55SD9!Q3jnrbjJQ?`QFm%PQ*tvocM zxF9hIYYA77SEdV+#u$I7KaftHipci;t_|s)>syYS*sGHew7nr+m-uErjWS)>2EbbYy;+vKua?C`u3sY$ zJrUitVciC-auCCgkTEop%ZB3UBz(s8d1;buulXL$^@!~4gV7BJM~C~n?}kSwEj)a* zU7a&;j}(wbj_7q?*0{UGUwG-+gUTDFA=&Y<7(Kx-9 z3(a(>b&M53O1|AG(~fyWnA}yFHKiO`(4BEUMj&LFuL<9iIFexXSP7Jyl^3%|*5dpB z+HD_ubg-drKA@N`sEVV{v>=fwPq!eEDNnZ`u_^RHca}zyIc!t%?3Cwkv;QQttMByu zlxJHKoHoz4BsgsjXV1Qpb}gVN3x|Wn86qW@LrTWdZ41mYR`F}*-!=QQ8F&8X5H^+m z{K1wKmoDS4KgM5wn8e>Nliz=wMDQ3-CfvpAnCTH@TvZUjQ&@Cx+2Js*z@j?_oIkdQ zg+EFZ$^d;%2chC#=1sds3AfqgC;4`C2u&;yEBpvk>cm5Om?aUAimtLyVWEjp3NhgsA@Tylu20Zcs;QZf1P-v2PGME;*0b^1)gp9n$RoJK*_+Rk z$4n^mVmiN4jcec`8X4C{Jcr9CtvAPp5vZ+(`#`7_s2_YIEsW56W<$^vv*l499^bvc zgXdQQWf2T3f44G_0ESrx5^9s;$g2l}X~JEM-+dI~tKs`v5o9Wn6u$tHq7uwqIayyA zq1kUJgMgA;>uUM?T%PL#tgj4m2k?DWXu5VP#|NN+qyCA8GX%AX5+)3d%Lf!Ai#f350bK}ZPyP?Yt0spX6GMB99a~?)0mzh7!0~%u5Sj~yzjw{Z zOe9a^&li8|BYE1W$@ zB~2d%D$$J=tG9U@4)c(Q8G;gGD@{AtE?+OHcC;=!18NP?fk<;ukdI)OA{<_wTxm~Q zo~FY?mb3^$${3;~_A%>M9Xo*D0|1c090U#`2tZ;_jYrkq!N19kz2n%`=HgZkfTo-a5pT59d0P8_g(e*E1qu8cR z9%|6Cj0aohMz;)Ox$K)zzPO~=nMstQlD!eCwrE<|P{YjF-I;y@T|{s5}+D&yR-*#W3V+I*nn4(fml{F3iw(eO5*ZfYSsuNYo%S zjF?{~pDc&s2ZG0pmry*6RP~l=wh~!|pKrh1KfM2ax5vwj^}64&2-WWK$$3J6UaK)r zPceDRdWdg7f7!mj|Ih8)`}c4Xy!o&-W{o}xU+x}v_j0sU-wSm)5z6J*i6Xk{HVRGN zNUdTxNC1`qE8gXeN;5;}G@%5iHUVUnL|ZeeDg<0w7qM8rku($vBx4I-V)#67-4(Ey z&f^ytoG(B^Up18qvV?dHhx6erQC3Fu7wvJlb6VXE4ou`}v0VHgZ-0~JCGgB zqFqmiWAHXc1tBn|47QR{c*jLecw!0^;pe8H=08JfOzsiV6HJ?ri|80F-nP2Bxy5(EecYgV9(p^dz1qh>IZ#L(5`Y>bdL5Fl}Th zv3!>2wxr6(gp*fAj@$k2;me1|?cYT)I~%Lvj-M_{@$1~|!eeBXAA8aB^Gj&Qc*~6G zDbtKYdN@7(3N1jfaPXb>iO!}kd^w>7y_RPli0xu;f`^`Ou$H-b9m7s%B@-}wKD$D2*W zA$;-@zfY0s&%dlDzC4KFj(Utk{D2?vbj%h_Fm?mjBY?+nN2miM)a5(w4!Cs7bdGhV zqC=cf)s-NGqt-dpzP02S_&WT{vclr^Mnxu?zU_vH?7G}F;=;B*F0@{;a*Q&jP${Te z1@4vv2rF+u8p+iqDx7NHRR61yKZQ;B8DM&qd1O#<0F0(p3`@7mD`MqSV<6z_YC2!9uh6(%>CIHNqP}Y7zeCuDLeAJ@1VL~k_P@E_ zoG-L28`9L^RpeRI-_RzZ6lvClSYbWLTe4i(Dau2G;H%AYvhJ9hZqZ=Bx<`XxKxWQl zTy{&b^o@mnK4(ltZ#&oWaeu?g&BtNrxX=>4+ z$&-R0N2CK>D_Gh@XRC}VlZ!W%rx6F69hDSby+BC2TqedOdo{G2BE+LwNhGH)R-EDU zuQt~)4_`^VIz<+RhM4PkpdZ?`C!jEd?19g9j`@ODX6Rkpogc?~NrsVAv4-d3`2 z4pel#))YQEL@ZVb%IC!x)dDm(ME_!12$no^kgs$ z*8*QJ3{neoC#2RMR7!(c!(h$5E>seOQ_p-N8mey~(lW`hhvLfIUSKrY%9CV5buIUW zmB$eHW?1;jSY_>Nvvm0*6H3~NwRI)%ZUZR-(O@I|&p6F6j;qg0)o2Ug|I zKbW2cj><>4pk>XVk$dXdM9U#qM5Q4Bq|{NE9@ZDnWA~~9rwu`T;Y4Knk{SEWAXOim z0kym6R&EBZz|BxUD+X_Nft<8BT=1m+BMAekOP;5v`FXHiZ%vjp=F<#@N2qRe7!_bT zJKB`#7*T_RYNi?%KE_?J=uH7DLJJh0%Hm1BSwSZA8^TFR>#Pk3lk-ICnUB#XMl&!2 zLgZ!X64%IRQb=S1{;cXIA_wgCPhe zWo}A1a&oGE4(@`}FeV@`opcoL9ktM-whty{YuFsKf6~^Q*eCFnjsZWFm0=-XlSHa1 zqqi8zhAn?m3_%}VZ7mH%arkw%IiFvub_F@FC$`Awc9VBMMP0zut;Zx^FDyiaT>F{{ zlO5tS^v7t`QxmS+r$0izJ$A^BwhpSN)lN5-4Uqxr#G^9`3Ie)RSZyd)AN4*^kx|*< zP4MhQrUw#g&(gEVhhT1l!wY>qLHO#()1BD$sKo2f^K)?X@B|=VAsg1QPD@(WC+O4B z5RTQ9EXRg+l&(-S#d8`M3s;_!1RTHPnPw>Ru1(ICUwFmV3gyqp0d^7jV zuOrD-5(7BlJ4U(Un0Nb|`z1H<1W#tk5nA`B#kjJ*eKpdV#mmNdWu*5|drTYU8jQ}K z**T1^xrifAMJ&<}g}f>WTlO$SUJ*sN!pnLSlm?{_@m}N@cra~7es#Gy3mmLET484O zdCJ#}L#ZHkk_ZdieJUzhV-L!cg<)6m;Am@p2vN z=X*Ogpir-m# zNA$V+pb~l_yagh^nH_O}xH`_0q%4j-;~j}9!M&1S%v~$lSPSq?m{Q8d8Qv^QN2^UV zs{P&}eC8Ir+Ez8W@TR3wVDXR5czPyJ50pq$ju3pSg>W?#M71yd4zGvPA@Uj%dFa7o zhA-?e!YxLF>VlF_0keDur-*E+gXJckFYLs=3ISlsw>Y-e>KQ8HFj z%+HJ!o{{&4V7Nps<_casM}!8$u%6_Hk#i8`rNMqKj?dNj^kTfHJw%rGZ+`vwX73;P zS1>u1#wS--o5abWoN3&QLLm_lhRY(_ua-ae68&I!-< zvB8w5qax%k*2e}ZBjfYc6zM5w@q_0!sUqro6C3>0=E!*vqXg-R1B5U|RZYTW#BlA< zQ5uyUB|V+cqiE!Wjq()zw0`6Wb!$BIR&__Zu#)VC{?`$uMsdV6zMcCYJ)7bD*`S{A zL@eOo5d;<`!Mbx!`ytZ8w0%0Ewc9!egbe=#CEyl$(?9RDo9}b`4K0PjN{mD&0O;gHLt2CgKFnn5ELv$}$kf^VALKB1M zHCX}^DwLXj;Chl!;S^*ggrr)7Q_#@aaU&7yX>#wD4SU;uUDH`JX^Di z+*5qA7OWatB&?CtqnZr(inoX56(CtgsJ1J zj~b$(4}X3!pg!}k0%3Zt-sUm* zM4Mu!OQajCSus-AZ}4+?f9XnM7o*C+cG>^EX=|M`KpCa#z!&G&fWwwUvM})-n^CLY zor@1dzCQPs%}BCsRm7suw1nm|5*-t5`qNAgc)Z`2S2q4UPgaGx!JPC5Wa`MU zPt@u8tsFdo#4k+_`^RX{V9gfMl{kpuL*_NQ7#8^pB}^Kt>%OIRcKFzk&dJMEMs+lMMGEL@F1$<0CkcNs2|c)K&^B{xf7!LWVzLf_fdU{ zc)SK7qq+p*C@7vgUYG;@6nrg+)ay%>XOD7MmiQQzWRFowR`cP{#_R2m*XO7Jaydrl zc^rWN*2w|bE*bzTNG6b1gu0AnklPbe2zoB+7(4fp8t>3b*f(&f;W&dnNNNsXU@mk6W2z1=?E|H+_D z)L#GX&He7(-uK^s&j3z|?|gH2_X(vL&_zYYeD3y_FL!SrQ85qx=^L~kS)H!ooVJHR z6Xj5q6fI~MSuz)6Em+Wj$y};bx%f^;eBIK=_i z|7T;w?~xm5hf;ns7pwxl?xPh72qs}C)Fa_qJ&%paS=vQ56?Wd#@`_f#Z-O3{_A+ z3XcoAo43oJ+Xe>=@Oja}KAyESBqk*VJcM!fRmSVVRH+Ab9{<8yvYC%>nv1T8WeKt<^VSnWM;c$+0zvIs~aZ*G-1> zT?0bg1{tmPQEQ+;ipz0BvO9|(APR=y0HZgLdZWX_#g22%9Yf|0wh$*s4Ph)JEVInF z0lq9RhEoHT91-aMP$f*_Otf-*E)H}oW@q`qQu(`o4&_Wk(gAf~%x()IP zvgJ-%RxKg=@}azPpCZ)NHN$DD^G7(PG2MJ+xp*s&`9!VlI4+4VhM6j{3?}?@;4m z0H)8OK!0xvzCItU`_q6hrcMXq>n^T+eE+!rI!NKS7*1RX>Zb`jf^Ss zDB2V~iX``-lWDIqJP5I2C{L9rfjI-Pw0g#BJVR@UEg}%r+!w|yXPtSe1z?a5R%Bi6 z9`cBi@5QYZU#S)G;z;_%;uuDJNYMB^awH7I*Oj~|!m#2#Esr>2M~2!MI9oU>m4*rZ z1(^ehZwOv|dag_^+o)eLn2*oFb^{vBXMcs}Bvdudk^MftoX({)iM3{jm&IDS^OIRo z^w7Q?b13PwX*)9(1Lqx<#dW>vTx+1$6wsv&&K0B1wC>NUhZ5?a~=`^UE(EK5W0-J$&B1egDh*-Me1yP=S#rf1 z@(SVZ+?59~``WRI@_mb8eR}zzgTgfCdEp@0ZF5%_8N2YDn3JZ=YysYv`p)LbD zbbU`wz?>j65c?1~IW#eH_M7qQJm46kU`3MvGz@q-0bu89WOmsfp)yFQMuefcB!i(o z>?eR_rO&5>c`#<5LmSZdGu<#gT2Z5nPA*qgYM>rMLH!*%r|DuQLABtS+$M}sMa5|~E&4}6HA7txbhqQJ#KPUOTqB5XgRaoyMOclF z@ye=n&D7Q5M%o!P*WVGPiSjnBt`Ihd)=9)qn&9&&0hDI=yz>roA~i<4THF;T=mFgM zj>3LmjHN(N*)`oWSenR(hYGE~gd#k+7>e-VcNXE{r?Ka9&Jo6#+%vhVcNHuI*d&X^ zMd%DX0lBts?ta~&-NNIapLZ`&O7s5l zaqRU>u{k(j$}8q+KRZTr%aXFZC!R8E8)GJAy0e4+LAjo`wS)ftvwMpu*~P*ZNyp?3)lKAd5jeeGcBfL|JxVHnC%iU@ zF6>w2wH1MtkE@sh0bHQ&*9R0W{;=!4{dD*6C?OJ}#Y|J5H@SjcHQ`|KEf#(NpaVIQ z!O7#iF&Wj5@#Mg}LgENdYx&-NL}X9<0Af8RGAn#B3c1zm#r*Z+{33QoL^2Rls}+Fl zqohv!9jVn!v>%)-W_vIfKD=Yk9-JV(36=nJmcX=nSp=oYK4x*uX%55kg+thxv6lpVMikI zFeIsRe^sU>%?OclQNQkrUO6hV%t7gD+e)p>ws=!*GFv6={`vgY-LoRKanneVCP9))MPlpB1C?=e)H56{SI-+4pBG) zHg&4hz&xdqgCAJsjsahZ%CIa(m!9r%U*`DfnkM(i3}-mPaeUj+BRtb)4VUxfCJ8D# zj(YFN629Cl=iLPogma?2jSW2cE!HUsIQmmvkYMs^9n%gxLg0vl(el|z!@=`6!koW! zqotN=d*YD}MkBAmf*}5)Yu0$fr^b|L9~yI>e;`=pqvdnohDku(#L?#XwC9zUni!xD zY)VBFJ7P;iQmT_E(h8|S#vP;+!G$QzNyLS=Mn9g zr6;s-382WuRabAp=pdCj%8}T=Yjr|h^eM7D7;(;DTJ-0qpcjpH4rNJ3(Ud?wQc*<>KFGrUIh48w?J{b5-Pu$7Q$h*}C7C2L0Sonww$zrk z4%M>lyWKBnqVZ0Z5mWpRyNY}tK40bg5GJ_V>QaOW(yY0mau|+VZLo6cs?+#x(Cm?< zD@xS(dUM4{jAZ{>W4eKvbkr50Y9SIdSfFB;o10>Cjl#>e#W5^@bkwI|E?|Z!$gGj2 z;m(Nazg{ETExc)Qz4DUf41K@sVOiP<9U5ReHsQuy6Dr@(IaR+Z5H-jHF`R@YKnW^D zS5tCDsK13xsuq9{Q6BXJpH+ZsV#PW1H-*^6O-^tcTvelg-$<4UsnFBD(#|SygZ~@ZGAK?(9goVl;kd@~Fk!A=3ft*HT9Zzr6>A?IDsG3Ze z%p*o5It^v?GR)TxG}CW{&!tUegc8VmRG|*3{n;E@frL}wtcG@MC`yE3e5WZ?u9XHn zbu`adnsFJ6t4a=J%J=d~dNR$vlD0M0Lbw64EPObLUldMi)>UgPo^j4z`0a9|mW;+69w1H=wUeX=Z<%5f+&ZlRC-=(dbZ4UN ze}wj>IE9)UP`m8+@}r|stNIzanICCI7gp0yuW0hqW^S)a>^xapC$JOE;l^OXOaiSW zz0tu6&7f_EClYf>ViOgMkrTAnI~dd;I&;jXNjVy}6O78x^FV7c_JD4u)JA|9k%KW@ zx;9v0nbm1r#DFOc>O~;XYN`f7;>bn&gkpR#eT9H0Wbf#S>>mWD&Oz_M;%yeMgnlrU z79)Oeu0p8JhJ-LETQ8<=wDbGZ%lcH#>S_^4j?40NJef#5eBpo4wgM? zsvCD000A#nE)=Qcb%TH>i}B?vQ?aU-{YbS2Z5s}^>$5RCf&yX!F?=%sd~ zO1yR1bzL5cp>}ac?+eiXdW1(bQEaPs0x(gYZlJ-c;y^^!Sj-s91Ob5q`}~MI|*|NuNa<0 zJi8kSG14M6%&Wb=M6mejB|@JpI&3u`&-U2xRrXK(322FU|K;stZP zM3<1bC*`7WCw>i9Pi3qky=${bjHy>ai;=A7a5s88ZjhXvN-fRpvKUlF<+(L1^!;sr4w~KT>k|+&^^<;9W$(VyDyig0MiF2>wp57 zS@thx5n*V4OKfHyu5*oFlY+SZXh&p7Ru2z!n2R<4+f%OUYl?1Ou zbPX9ah^8)+@ikDg7P^6SeWDx6{TPHX-XQH?Yo2%$BcAw57Z?%cCQV1QBLraD{_^Ib zM48munUd)2spR;Z@>UtumoT19*wfWtw@;`f(zk(fd#H)WxEnvp^BV?9ujcH+bP9AM zYO0Zo9}YVV`NP4p&hJAFwxDfu+={f2t1-+Xvv`h&ZV`Y9Nvk0D3`rb|Xd89js+)qX z$L=N7Fj*n2869Bvl8@O2G6svkqNttGnv&X6GnMMFsQX8~eQmJizLS&n>+{*>43$`< z1tU#|>W~Zb+61}1YRpY2v;~WrK8s;pJ0gWg)F}?_u}J&gV9esjUhxJMhJ>0EdfFMm6I-+8Jz z;Jgx*SYFnJe~V@v_q+T1J+!TY5uW_12FH@2_##i2Oq8S6^W%?RTaZN@C5Kr%U5^kM zxH-d#{wa@%h4ZB~q4Kg__mT%q(ioaFfxsh%l50?m*o5hS^(4u!apQ4bYqI`p&PyK0EG_4_98gW1{YDRp4Vk zp4JE?FziKs9G4sKz=VmI6-P#7Jdile2&J%+sljdCCmhI zj>4)QHrD~~mLOWOet4|%lp0@$)*L0%I4t6#mFs4FfOSxKW>N?2mi?NNHLH%>w*)0h z?SE0%@g_lyie)_k08kKnPRqVW4iOGh$=g8k;NZ-fJ|Y~t(n~pt+Q{Ok#pISJ-fn;{ z+Rsj*vmOt0v0Y8aP%yYbn!Rke#zd=<17n`75k_x{VF*V(>`x`L*GrzV_4f66b;%9^h^WJEVioDja_yq)dIg|N?=uiWGK{lz?`*1vkjA$c@%Gavz zm(GyofG+JKEtGTsJp>V$Tx`j}IF`yTKa_~9lp}dajLpn9XdD3C%CU+Su(UJ|qcx^W z?#g_uBu4uMA|J&@FX0vgEb;6VU#7}LSth+sLmJq{Jhs>2ra6kuZ0B>7 zErp*Ku0@G|Mn@g|&yaaUIgHPs>&zg(GL02|D5&SU`K@-O`{aWPY_s$oieMm=(mRLX zjsS)L9t}Ba^h}`NDBux22Z7JT;1#G7bQ!fHA?&#|h(AtcTpuT@2}H=E zLV$`xw6tTGfc%C8Gp)r0{$pZ73i;|&Wp!9c4Vecv1bk5br#VDRVYVtKQFKBI=_KB$)&4}_;%FBWk0Y_hRGXIX>d;Uvfr#6 zlpC@8An4+W-h?BR*rSgOP&J_8GU!!4e=u4Z4r?8IsujS?YJoQ;DtU&z!t=E#>DJ(5pJc!vCg z5mTp9tv~Z8Q69m?UwN#lRG?s;EtK3znBho!UJBub52~FaPFm@9yF7(W1T-GUWi_L} z`Jd>$AqOr&@U*~-#C8tYs`;P_p*j+ z8=|@&v@QhuL+etp<{Bv7nL%l24|R&g^z$Oj&5}Tb%6h}x^J)$LV$-quLvaoclvQ$(e5Q8#;cFWH72pw@T)_RG76?a%KY zAO40gjDNX2nW2~D`agRA>tA8ZEJ(=`kn#WaY=i|f5&}IzVgK!g{;RmU3DEi+d9mW` zp|)A{!ZT3U6CF5tsC#*#wqfWsm#&MHM90`a)P%zrg4ivs1>ROZkMwqDwfU8 zr5qsoRMd;dgs)ZuwIEug6{&kZvZp{iMfvSqierJ7=%|5H zvT?atFc63R5Hkgn-wed;VqqYrV{p)WhGI}8L;)^Qa@``J^ro?LVZ$Rd$4=6MJfwDN zF;F~8`9W-Wsoxl>iKB+6O5zt9``;c_tj`;bG$cgrraoRFf)^xH}y#`VjI@DJ?RAN`MPoI`rk{i^VuC z6;U16b5BvHyuyCKyX{a;bxc1dM7DlSzp8wp{;Eo?X2TeEXGVE&K?cE-@S}20g{40= zo8;}F7EUyHI9z&jDSFDhgQt>K%`Wj{&QR8bWmZP*?V$%Z2S>mi0E%R8epWz%=Sld5 zlRmbViu2Jy?+64S*`!(0;DG(^v^|LmZWeVPGM6wMn8Cj510neW$UR!I^36M_BY6O1Sf3^qDU21k8n=Lw{NR`YC(vqANH z^%w%ywFq0_k0Vr9WLf;ZE(lU4SW!-e=*9pgwPjM;Dq)D?#cxrh)~x2ifO8J<@Cbok z2q&-kl+i?dkF2OZ<|N-Sg9|EpmYEh8)A_jo;xz{ltTfcP;MJ``6(Kg1tm`JQjE%7lo|C8H7tCMkPL)> zQ*&Y_4`mmAk_Uhl+(2R)pQE3h&otG(57_C#>BB?{l6G3-<1lGw#&JH56AEy&Tbi_I zR|_b~T_e8ewQ*Zw~K%x82< z@or_=lAN~STqV_$q4XSPl##{NDHcO)Q+fdeUF8>}_<#h*VC^+Ieszln4u?IMKEwlL zsB+jVlekjC@itd*pW$m4<6B^{dHt>yPVN~`2N5ji+f*T<12~mb_zfF)eiK7|UvnZo zoI?0R9#B1P=pWI=Yq^~3YnMV>T>)MV$}T)tx(0I!)lbM1ohR~OKUG6jIgB%uR8$v+ zwRU-lQ!~GTX&g4JGFI8K+AQ6&x*|pfP5ZTDR3D3MN}S;*$dQp!JE`uRXk3XhyID=q z*4;UgvXG}{+6jzDWwt?sc%kDol6t=jecXQ@eB1~2h|>Vn&N^U+KiB|UNv=ujoHyu8 z9_3+I>cf?d>6mE8X)-^Bx`#lB?G(ugmm5@z)e$XK*h;H!s6)GES49A zRr16v{Yt0F7_ZEN^E`7yvoip}xJD#)2F11-Vc-a;QN_7QtRT*iJq;!;>hUu96nzjk zUr?(o)gGZ9D(cX>o*OUaFcd@@6Hq`}Md2aFsb^BK(aBL7@xXggg+DRk#T^m|kTrSa zou$;YnPOQzT<}u^N(ROC{E^W_Bz;q8$NtC?l)&aQGYRcby47AEpr--C{7zYiTvS{@ zM*0&W>YlcP{!g0sE^V^{qo!`5PzRI+%^Q}Tp!l~*Q$jDKSr3~o3>S=&q$(<{XLc%t z!>R#h5vzcsZbnK$UBzEnO#qRCUp_x>cXw}o{;+#jMv-gSrX0`SU_Xo0w+B7s${4?n z7!{5V1AF|S3(LScXQ?Hgy7qw59?5~PrO{ppJKI{C3pfZ)Y^6Ymm>}UJ`iko%n+t3F z!yuIQNlNkqpK-M_F7DG2OGs5Oui zBqA{K4^qinqmcAUd*<2W?pd{32A6+SK z1_~?W2}#|#x#6C*8M4}Xpqqo>6p=bjW*qio-Y67Qe!HdQD;Lz-4gjeAH2F$lw>+Xt z?nKdwTI6s-^}b+$QnPmT^Wch_D|iNl>0uFpgAKzuluV^{mh5OT>NLbq z<#GyFD@f-CfV0_SBq&{I!h$1Yki)Q?XJuIH!Zi+ehERl= zsrVlF{w^j9-)rztc?@z-SUm>#it?r|G4S+Yh$N%34Q(Dh;qtG58^p-c#4Fa!hai?j|FP48 zx_bUH;02jwNk4Oz>=Z$#Mx*YM+j%ul5|d~;s~=stduEo?gK1IPy&~B!kdHm9_O+1L zcRfu=j^F7o!HxsqGx91o+wl}yLj%WH^kneBPk7+X5Hr7HWrBPWA3k*m1sW{}D)iZ! zqO{3a#VL9buQ#tGH{PRTUZrwc2`<=I(92yY^wtTXlpd13j4w4jf@q=0Kk@hhtN9AF; z#HOZ@Qg%VfVK8ukdSQ zFkE=i`|r?e!;~+agAXQ?cYtoX2#r zRY9R{Wk7)?+~O-bXUY30xa!sFPg!cJIk+|bh4w}X7Z0RfhfN@OOA(?g?y0S2b>=3%SGww=p^=lgaapks@$ zRo7Il z6hPu)vi@P79w(qs9$zR;SLSAK6wNrrA14#G=x%UiS~Z^P9N`}b0-6A5imigPuU5sm zoGRb0X34M#&Z&l;r|ov~YPsRF2;J_UFHUe(Zhw55K=*b!E|;`Cd}V*1RR5 zg_X0s%Ak{2ZHhb_2TWl}n}=Gn!{ktF4xvR%SfnvLq0Ojakq2i=<0fZ5fh)BKa<QnzX0 zB;MWZ6E-(Hzr2~_ASjwc=9wBb$nr=ySuvu=5DGt3RjO01LiT}40tWi#*WDvMt7sp@ z>VW7F`l826@$ZMnckl0n*)S$@R85>8btKM@V&c37UWA|*(n0bLu3l&3`X)}7Xg$i) zHxjq63(E9bPL1jG;P-|~lhhe+5SrnLElFYFnKEWfibm&@?pRIy1k-a3QO{UV7dh z@(DpZ+zIQ7!eI&F@S_(LhjKziP<7o)c9Ee4H-vn}Jc{v(A*~kpe`M%XphG$#9(;YV z`Uzz<@f(o@B^ zA0Brfr=RY3Qyw8hQhysojFl~TZ zcNSVDsSYwCEDT4pGA`dKoY6B?P$`AY(Q&k@m;GL?LAev;3n9Iv``jM#z9o7XKfe37 z?cMH=@3;56-Q6R8jE=sCowY+1BLf$kG!Q%Rg?+VI8stMZ&2gf_?mwNr13w>w6=g-& zSJx}F|1%d4(n1(Ss>;S|1YV0zJjg;OL1}B8QlC~{WLcR>P8kUv&VSHAgB0m={7TP@ z*H3HJk82O=i6J265R{3+)I+`$Q;T2KMCaJi84wR3r&60h^*45*B`ctimS70{lQ8;n zNWWV)lm}gl*htCFz=LXo75-D5M#6~ZQNqOxz7c;*lrSO57HiGwCPB=U1Es7f#!aO* zfgh@OGH)Rf>8ZjYsyAHw;gA{@SDho|?(hVmrNT=T!rRd37J827-J84+04oU>TLch{8JjopdfY^YpiVe5C<}#5X+4>WE^c7TV14@_FVYMnF4hvBk)B3E` z#jx+KPswh?eUfAd@qEql=%lVGz7!66WY)Q6$aM@kKP3C-OQe~)@>Cp%HA#aLhHxcc zr5u*e(3FMED~saQgD?QLMVaN|2!c41Y$A(}DYOzr#VkX`b)ZB=6~T60q(0vtOl!OS z?ajk>_w{iHBmUDJsFHvGvU~IR<$f0g4;4f}&gvd!&8c0zD7E&6|en3%!6F3vPMw|j_o>_xi6^Q0FmkCF}RQ^;Fq(Il>RRz`H^xUm8Y2d7BMlfiE&&BJH6NE<@SONoM3&^Q#c-@Ve{JOXYg;kyWZ44A3WNa37u;7|pkh?t z*;xTkxhkk(&D%qjm9I?F^+!3H%O#tl_K)D1OwJ63(H9qMscW2@xcY_ zz=PvKzfNLC>D7UqTQ z;KAsC_+`5F!morch5pJTef;TaDw+*`qR}fF-L9ta!|NGgLP$7u;T8-kN=t=y%uoUo zo(7mG0f!KhtYDl5MnL`Ue`Q@FFbq-J1oA~R${NAa$$_ej>Q@+QfMXxt?PS(ZUSEsc zjI&C2vNw}{27h4_khXOGu>JMJr=Q<^Xh($s3q)b>?fd)x+`flqrlDeckq@%K!)lBi zs#4Yk`-9Gl>%pKI^{@tyAGaUh--%C#TR=Q`?Suvf!+epE1=Ed^U^--wy!d9ZK8q!= zQ6T%DUi_o{{o-$Z)W9Yo5gD|4E6^$fmBbK&p=JiU4%$Sa2K!aGGPqeQJW0rasvIZD z`Q`d6YYAb33{g$%GjzFQp7+MU8B+w+vMhQ}rHgAuA$}$Hm?5WW4|m9#%0v}8zBY-S zOu?F)G2N4FeaOFtz0tr^AH){gF!MnJ#L)M_1Su9*1_e#Zzol!6en(W?2m4)%Js3T| z+?bO%X1LAfn3J0=DzsV-r5wJTAXLItIsx$P!K#h+O08tDLe5o_r=W{ zR{_n&BO8QusvmM`qHvNlamtca@Gx)$`K%cBNV~Fj)nBYVCa-9}ezaEtZ%8o~|O!8HrQp6&sN{PtfzeA~k>7-!Vs3H&M7D+KvnA!Bih zi=W@n1Ji54F?t>GbHFN5&FBnO{ZOc?rM~t15|rySn}PuVKCok5BfjUgaoP1C@@$os z4AF%`2(%UBKn6;v@-UDd4(FO^a^XFuJLm$@xXlHOeY5g9DOzuXV{+uNaV}^K+yK8w&i-FUFTh zAdGfJw7C!z>l5_7v8(GdsTzirIz?qeipm3K_5Ezc{kMW{{`}?rhsXDK=~Y=?qh?5U z2P(O|$yHlkuhut+4Qm55JkBl_Dt0=StrZI3uoq2p@Jbe^|8)WdLJ3-k3HdaAbo0sx zi$EkVK+9Hjm9d~Q!_hhl1zrHL)UW$av_@qwloZP7QIdikC9@mF5g@9Tg$}9nTZrX+ zM!y&KC1k)F)L4$nH=Zw1N9-K`;jdo*I<^XYjr!-Si}5P22q|3z!%&5h(2Of4@q5T{ z&({dG-QJw9&k;ck!{qol2t^g0WvXJ}U_ngQ=T#oVWoO3+hQ*t~!HcSKd9UJ^1y`NRExVz6B=5DZ}^Tku{;g%5bT zkU~tJpZZ{hg=(myGoz=b=+VgqIl_lzr%}sHgE0D`X;p*z42Y5dzhOjjMYIVzq1uP0 zVia>S1$@|*{E8Cv&*hK~;ml7>NoNOFSuRz_jGSS#8;<{9#@>WEjw8(y)K5v9v26pp zsNRe`fW+2JttAo(0!3Vv36NBcjio^psA3lnEeV!X-P8Yl-}^2_`)We46dULV^iDU0K7Gd5= zC=Db97RlZsQhh5CENgH={_hs8VT6O{)^-wYehX>_Knb80k(-{J`&q%ns{9cVJ**I_ zKbb$RF=CJ>O%1hO$I?KQtAcK_-I3%qn8~t zJK2PTLVm~6D6ybYCTjr~O{R*WfDfo*?$ovk0vx`HE{eZF+M`Ke==VsGDq67sd+@iS z&q8FEx|EZXNL9HgvD7oxRGFLqj!;dKS1O(p{MN}$G!W&<|?>434e_L|VVPQ#grSP^@5bbY>hsc?(# zedH?B!_m|_5H7W5CC!X5@WFs&q>#xQ3Om~^(!Ka8hatqGn#C*6o^laZu5li|z-on< z^@mkMQZl8~5K$YCzN!OCvoDUkt+A?=6bsya{PF9ThtI#hMcmcH-GireL9(KlM3qB> zymknq!k#Ihip8o;pm7%#FBld@9E-h3Rb*lG5)*1DL)>@MC}YsVzsnPC*L*qWjz zr)~i~5GliYvRST9t|gBrL?{E1_N)etd3-)!3>tmHo&{H+#a`qdS}_ZWuP(;di1mg? z*{Q#aF-46^iIs!2Wk``{E-hF)(@}Ogo+c^9147D+C9)P{YF#q-&tGogxF%vq2EYzx zV$`pZR3QNxatE`jk15+j3}QSJjYqgo?SROw1WR*_eT9F-3Q|Dtcrj%Oj*L=!vQ%a> z9`6fg;}ejx-;b^C99^4ZhPL_P8@Xqn!(4qEn4%AGK^b-Pc+Z#w$vA0k6VPg9NUU;~ zUL=SfNah<5jGa@qC?JLu!trzd?BwTU^j}V+B$M1DZCp!7p8aM`e`(*6C=sv8idcA2 zXVE6spj93&lopX;=rH8swwaWNW(gVz^{JzUO7mZHirj4CkNX1=+`f7P`Z=Fuab_9K zK`j3N_wDYd5r6C+JaT3-jlfol_saihoRIwnq{e>FxZfn=ZbrV`tQ}bD%SQa7q;Ms) zi%?&040`ok*x9(EFd18QBR0K-Zjx?E-ASe6T_5stZ{a4o;wf4h-Mv9+CnNUS$wK6p zpyQ|8t328|=km%8I6)VhnWcv^r6%2z8VKoodeY%g*Q~?&lTSLF7gh(c&>&Q^GQ^-k z9t{ehV%2~^S4Ks!B_h{c^moKaY(7J`L^aI1A{ih^L@2OgVMr8{4cxulM{iudM%bbT z8)URB+|$Zo{3|wZ;Gs>Hq?{CGQ}jE_WkxxV%O60L@n#6{gl(GMQ8SAX8o}JE>kW2R z&)`Zz=mC=j!i^vT!H5N^24-W_LqziTvDTHi*mh9QMFFFR1j`XRjAhPOGKx->* zm~|*wPUDff*ho!XJW=$zd%B=?H}59Wqb9`K8C}n;W1fk$*|m~G9(8HR!f#OqFP$fX zKAgjaOj=Qn1%0)~3To>GQaN;Fk{6~pz}3`kqLLuWXZPF~KP96UUGs0qriS zq3D*{GG--#G|LKFUQ_gAfGj)Z!BQ)kgm5zNP5oE@D+cD- zGy2{%48YheLXFFD+mlwL`vE$ML#Q!c-Sx_Zkym%AL|DPAyJ%Ujhc%vgg-*%-!B(ri zTlvb|^b6mtkg$?38PVNr8bv*v_fV$3c>@$)@BX^pT$SN^*?`m8#(lCuXk@nBouDQv zY!0ZpM$Kz7L5W4E@JN!DCbh8s?naY;LpS5`!E`ZS;1^5Y-ha>Dv|&J_5sf>W5J>~} zb2k-q9ub;Mr-^8S87Q4>+Rhkt1SWc=<4bQ zez2%lW@+*RljH%YpSZe4Vu(~v7=66|`1Y57x@_R;=u#KK%}~WSBlNh@n;@gO%Rm)_ zQhib%(kTM^cOcj)Y{co6`Y|MecL%3J^Rn+sYf#ojY`~VmA#@}Kdm8{anRRObpq-TT z{*D72Yr!7oX)DEZkM%n@&;UkBd0{`~${^z^>+0oP)2Fz*|hg#mqBrp}uf>H(? zIG&)hiSpXK&I(}w0*Lm=NX=75c{h#=Q5$paW`s9{E@>`SEeDIq1ws|UMT-q_fcA7d zEkdyGJOfyCdTicIH7wcw9s$TgjwX^anVH)dc||DeI#H)wz)&MYWX&oK7AeX`RQzb5 z9P_rDmmPk}L4h1@auUm5dEKS@vc5CJ1YhaS!c)1BlnfQ4($Qu0QvEedij#;h1l{io zQIL6zy1G5tW`Y;ro)78US=ngw0+J3Gp}S=YbBJ^i8Pgqiajm{ zxceB=)b7+utMafFSsL+H8FR95Ed5dgt^g+Gpe7w0Q7%AY1%)!~1`_YqZ>~Y2_r9MV zn12P)U~a@g*68i0{+RVJwx*PD`RIfI)BtcKy@7-Gk3=C4%gMJ-h~H+Jc`9ELD?Aq(k-iN8 z2*aj>Z|h4Jl7UCrJars`nBlz&rbc&;0VjsB0JLjxUHOLDckH^o`{moi+r8hU*TwhW zOSg;NucQAl8l&h3nz{b^yHXDxm*-mOXyZN zi&9YsSy+QKdJ44=8vcw}Yh$dj$kr@dT`$4}Z9ZJTP;*lF=^_ZlJAtU<_Xb(M)j^A5 zCdI1h+n!H>vjee^<5)?~?IKii+*r)OL|u=Yye;UVNXmkSY*@VjR%Ztvr{Q*70Gsd! z3_z@T1ICcrk`@jl-IC)=&&}495F_KQO`*1G1To3FnVGMnvkle)QBII%3dKB3A=QXt zn=7{`%ZoDvMq?L7$h%of)Ne0DI13HdxL||@o6DlCXuFeKcElFHe?aQ(+izdKzW>Ml z9uuXRclhQ0;qD9S4KyeHi_8KKc*{TD!&tfd>Hgh&mUj_i<|mYVE;V@u^mMZ^8A)c@ zve=u5T_JQKK>OVKCE6)TA#kA^_T&2d{TU{0eA6>?ZzgL(sH3b2$zOTZqq7{v2j&W% zn=Zh-C&+`f2M>8qwDfBL*pfge0YKSN*F$>NxGHerU|InapjAaw7F*38mcd&wMITCZ z3Iqs&8lCH0s40`iQ}M%noIs9?FerP4VZYTp67x@o9%0rB+F}l4*Z6E zL=ON=n+M#vWCSHN6$ zJu4p@Dj6SSeIS~OET$|LGcZxfdIrLx3_y*?;X0!#eKk&3;s29K0uMDmJ`gT$?0|Tb zaXEVQ5RTF~Kp)Wqq&=waR~#`O5;XGWHlETS(1*B0kd{yRiMg`)ZnhpJpGvL`KDN~M z*4hropF^iySwJF=f~=w}D^Z?6Ic^*8Q3j@Sqlyk{V)4_={3ir+Vz#%(pES~g_OlBxn06d}6c2o8_u*eA`b3u92r;Ha; zHHkFC!*pLAIeJ%D^?;mKfR@u>i2hOAn~b=&hV@21jji6w`sk4&>K>D!X#%$7BgzXT zdOQ}SCJl;NGwIuqHn1Do+3x9$Wf6$P)wbG$hmKb=oB*T284X!&c&>t%@16xQ)Z$t% z%Aua%JYeuSvWrBa;o94S?Pl`Y+#rDiPmwpsAp$(A=zZvCLnHp>t?@W|-99h7=Uh6V zAb~ZCfl*#!64Lf`$slE-##jU>zYQ@_g1E3QFE6H-0Qw>K6$Y1&1s7uc=!x+a?TTER znVgT#mzU_$tu=Pr*Yh1I?y7beV)fPet@HpRYPn3$R9TZ{yNNS9=ulD+#608hf5}Is zykqI{jTgXfMi$`)7%Nqm_G>li{ye;49PQ?h?wL&4e|pNn(^D3hl8mohgIn3%b0+1c06wwrQh9uewXT`!4v~a4X?rOq7E(LXeg=8 z5InVG1j3~VEg)PJ3i7CLxW$7=iXS{1Xwb&q6vBBPipkRT*Ed(F(qM2%$-p2qKU_Bv zX#l6x;dh)LFc2|PDxJq-=r|TnpT+`*VOTdAyL%2M_2n^{s>P#V4!<$;pFy^&m7+Py zLEy7E$@lF79#czcMpSS$f^Y)Vnvk7=)2+xZ}hc8sf9;&vzD5wuhx5SKcHCO zb2nkcjQslJ#?}P0#&=OXXO8~?Xw~I=2$%0dWN#%K%e3D#X=GYlII^YF1Te+4uC5-a zG_QI*9P9hNbXp0G8wi4%M-ZH8CA#(K0WIj1IzhC=G6azbryl=ySWtC1`UhnCZk)b@ zJJsx6HbzQs7=$77-o6R-&S0n>6#&{vw&qe>s)<_|TtN;OX}ri7GI_QW0}G3VBWLF| z5{ddT|E#ccgDP}e>o2NaV!^hS1LNlDC?!l$V9hC)*JeVokrUfT`ZkS*j?tIKs3v4_ z-bWFTdIv(qufUFI#V7+6vH_Q%diTjzC0Sg2ICAC}V3VLnb-;nw0Zf^0^n>KssK$&! z6Q!HPV@;^}*pm~VDdD4U+zaN}H~aO?1^qc(q?E+S&8PMe;PFx)^~>F_^vLW`Vet8G z_YRpU@Z0bY)EWGeJU3$8^mz~vFcF>_@!$NbPygi)e=zrqZY^`R`d}ZY47H3 zc%`Qx4)p(Vdp+ivo{dyKGd5;;N<+SQWU_8m4wlAhMJ7q{5w2F1^*-_aV%ZuPRhZAt zhk}~5=r)ImTybcvW(uqZsFfSx1|MfDL(}9LJJgLvljftd^RpWm#y86s7f{cxuGKt} z0>WZ<-IQ)|VttsaCg?8GC|j4SOGZ*w-xTqnu1kb;KZLef2y-u3RH*knEFnc$)O_xR zpeWT#Y@^o}KrwKvPjt@yb>HvaU9wvy1qiJ<{lQXTKqq%U-hI8#1mhyi3Q+?g$Ysi? z0(x;ch4+1`-W7?AT0*PP_U>~D>9!K+sJA-6O;9%`_GO5hV2)DH5M*v(iW6GESacH# zt}bCo8hp#a?|2Ji1?a@QI^M9be(l1feTM(hg{gnR%hGpYCPY`4AL0d)HQ7v#5EMj7 zr3%nX(+dc0jy6a`d!E8GjRS9hu0ujI1|jrn&os#Sz1UZbub&t{rT&^JGnJzZC{vqj zCrmhNI9>I+Lw5fz0qyL(2ao%AoHh=dT8vYB4J;wPv~skx29k@tD2LUF1dh@<0t<`r zJ^%ueDoX3@zP|sDdlvL$!qfY=i~=aEZ~?r4F546I zW+&}sxt)Ns_HYBx1|}+`<+%}%Y_27h`VG{YfdN0}=CGW!Yr@V(i%3B{xREs^N~aTc zR`kFTnH)@E9=o|eXLW+ut?1+$f8f;&uK{Kjp(K~I-JV?{RBv#|SJa+B<;p#2r4w#{ z=5cYPq$KEsLkW>$$H3cbxFvus0|Wln-f--p!??f}HOJVxkXpv833G0HUv2?3GkMAQ zH|c1|dK4@%0N~VCU}b;-cfTB$4V(`yzN508E|qPW+vLq@x#=9u0lSn+yuB#OQn3)CJa!3;vWJXZU9bF^g{{lW$V zRrX*Ebs0PjNez%{5PXTkB<#L{AY6+&mea6AO4(Y=!Caj>nW5F(Mr1Eg4250MwTRTX zijDX1;h-!|G1VRB!wt$ZFp(P$t$6)nxqI^lOl&D>H!W8+(AF^+Tsz=_FrHHg5er3fIH61%q(J;l`<`yY<$45V8fH^QD0jLS` z5~y=&A+&~ph$B}7ls0uZ5D-UFPbbQyNbiD;5F@e1H*C5qdT3$dJ4aF_GHm+AuTxoU zoW6g&*Are{EjQcLzN9_e0I`9I3Sz+z;AkOkMRil738)LH1YvNZ&<0b=BT7~9t1l1b z8a-}NzxMHkTVfatQy7`jmRO{&+e?%9S|vusX*0`gay*AY*x{1Xo9k29$|wTLVhMs7flDHWR>{l-Ai;JgC`Q zX>|*rps@b#)7R2$C^74hK2Sz0C4})^B1jNx7O;c$p%6ZG0Qacj7q+3XA02l5iv9z8=QPqR8tTJsBT-7iUI{DP& zAgZef5lsaPNtQ{&IvCQh4xZMq4xnMVSAyq|dnI-Z(1)r$`VKZ+`GW$VekmiGHaC|? zry-9irCMXX@+fOi?|#d zWiBu(z)FBziI3^o)(HUAx^t2qp0DA{$h zLLEqHZTj`wkI~xnALw8@`sp*`@b~y<>TWtptxVaZ^!u!jDgOP(Y`SzhMM^{2`ZT|R zGyXVw{|?shc!}JF1d3<%tH1xg_wYabPqeL<|Nc1oFZ|!He|!Jo9?<8#EFhSzU)_lM zqJc13ty7_OW9u@gy0vnjP(&JxiGoELG1r*H!?OlL?#9MG6Y{(v(}X@H9=Zpht*H|h zQkYhcG_JcN^@eZi2UPFejfysKfWT1v`=o{MFz#}ZiX|M_s-@^Gc>`k&RmXRoN=nh5 zU%k+VMre#KeA!1S_#k6rMC=f4SsRy9DI|TvFT(r4Py+hi1Vuz}Ps%$fmLXa*z)jk) z-^|#r+PweQnSss)1CoKbF&7LZ6);}qDhs10ZV*}kT8-~PkfS7Aj`lR~a!EDK{=7|p zAEiH6>CfZzCw%d_eI3-<%p^1pVXM4ARyN=p)f%Q@h=-fiHOL_-zpOM@Mc`>TLAARl z$U7@IEl_o2(AtaP)eh+BNF_2OlLeWlrQ*sYZ%9XgPhdP(8)l3orJfoDmqMn)i& zK8j-65cuQ*s$>|zit7riv^>@RG%U7x*|)7X*oGOkHP8c;N=i_kalY;$x%IG~LH%7k zXEpokQMzPAmmkI09V+aPdg`u#h!*5@oFx&;jU)LK-4n-+lrzKbA%X!&qr2E`R!e9K zJ9<+2RrA1#T0|gDq@b=GB}VX4$-lqDX(9z|3G113k&wRuGUBgShDZ0Ua0~}mswv-z zyA?Zv?{RadZ;5beDRWJOdbePU-#N-L9_`LntRsu8#w}YV>OpiUa!S3uKP(54F6_{UDW|81f}{2e1wsl|jGsO6KB*X04`*9i7IOa!am{B!qis zdzK+VW7Lkl#|&vZ6P;aX^44kC>j2!~gDFKdj+z&Ri);S4DS2z%ul37yg)=Nzuc3_g2f3SuhWphRh2WmQTU zDkOAsm3LVxP&Qi4U}V!MT7Gr`t4oL;>Y#*BvwyOT(99WgVjVfmpxsy_rM{xlZ{i`7 zehcl0RGIz`b$glzTu)}b&TsLs)ctLUA>mV!kHbl_L6n!A(6Y8JvmWCQSe3R*6j3*5 z%u+{T7{BP{v8mGln~{~^K#3q0Kv2mW^$1m~$OGl+Gd#UI*D6K_BK0xOs!&aFG@`cO zo%%|pzTodhhtqeTzkIxVz&AlOkz04W=Oepw zl;4_rfx>ikjtJ;PWfZiWxTmH_oF#;&(!9i-52Ul|hDShJT4tFxId@By3W8X!C#bkD zLSN?K5Aixpk#}*#=tgKB<5h*Es2h56Ed|$E9+~zEl(l1N@i*##o3;&OO#M_tAk#1? zRYAVSsff|^N(srW`*+nLu)esy$=!iba*7TZ8PqLuDz59Mien3=tVbEHKS7pTXihh0 zD^y}u$+e5X_^NhtXgloMo}pz=Aag`YQtlug!BPY%LkVG*m3)h6?Ce^}N|xDl&w$OX zNDXnc5Nvdp3$&1;nxa}+#-%*3B5M?r7UFdyaFWPsN|hWU3rLtz#XY)m9i@#N%A2Ay zIf>Ru%rbrSWaElZd5F3KMtWf?38gR!SHu}&S0Kot!1_ztvsWh%-@@%RKg!oUDxvy9 ziF|JNleW2=&jKU^d9rgs(^*rIL}$s(e#jRytKUQnDo7R4cH5130~%an+#7DU;?k02 zNt$i7f+N_C)UuK=Thn;N4osB9Hwx>NQ9%cgHM5m5e|3T`6clXXx#LUTip+YUE_W z;#Lu4R+h$%s{$9mInJ)mk4|9D5~q;)P`Uwq#Fq)6lNX9ETQYfkcsVSu z1HXm1O3i5pYt0t_)O4`DuZ;Wr^6l-zh@G?F{@pV{x<(244kd=2qbeyc+TVcD1-ONo zu|2P$+dTeYSvr6);~+5TIlDJYWHl%Y2gO2Wgmgo!>ivdVQdo(`LUd}_0iQeaVh$)< z2asTm^^2pMtJg|~*Lz6mV51TKLNdpv`(OVJb!zTEJ^UM53b3Jo_7lj$TAW%1nTXiN z$yj0=No;BAVn7m1hejOWsf_sX-TB!CJRvA7gk!ZR8&5)Fq>WQPuUL4rJHI(Yxlt6i zV@jIAZg(YrO8*|`Pg0L!I}k&unXh$0oZ5!6dY)A6s@@@Hmg)_QJ5kVhiw zs6Q`Ji`si(+!b16`Y`j#<;ANJ0C#(SOBx{iO}9W{jMUeh!W&f@_pCA`>L()5<^`}Y#o7Q9 z#mjTpD|(@qU0^MpKZgr9b-TczvJ#7~1~Evk!r6Gj+6wl0q2lF{9wxiwMtl|VReUKK zM4xq%lZ2onN(qp1D*FIG!e%-~JjhTw21h#$>tu|I8$?s+4sAG;ji4Nmg0@mR-9$yB z0Qfy#KGC|^8ip~MA(@%qF?*y00!QUL;31{+qoykM05orZEICA;@_3a5ebJ+N*)rwe z=_&h|Ld%jyID*niq@(@}PTC%BFwlXCL?OOpKdSgsxAp;)L1XCj3E^~Q7RpvvOZ zFD~g==ub~{jd?;b>u9n4-O<&}X}@NqUUw$Yze^s*F5Yi{yJNTxPk(fvX67a#3Syj8 zDb)x_Voc6D7Bi4ke0~I78a$xn}eD6I2L8;UmoY&$qAp=Qc;>V`(m%Blb=;BadN1 zz|Zmee3=^sNgV2773L^LD0mj39u$WLhy24@6MCCCB!ckUy9rupA|^%X8tVw8SPqdZ zoJDHzF0cbZrHog~<8aNYMnOZQqH<6^M@6n~oH}TLBKLJoWY8C#TTDIm#QI0{Qz@?5 z7w0W-2p+GVr0+w%mF)=(9#ST+9}o46{XaT%jO|f1E5pyQ5D*UrMXBt?2sV+2K=?Jw z17K?aEB=2tyBYgPr#C`?ph3OzRs?b@k7<7b$+dHvB>+Z>E0>}YSt_iYJ}4V6=ZXle zn-^Jlb_ZK|Xl32lgMQQmG*=o@Ws^Y2nn0VTX3Y7G=_d&xMUdS6g z;PDv^nPYe^k63l|Xb^|SBCvAxQq?-mJ^_eOtj(+Q2_RPGl&kG3_@t65F)xTwFzFX+ z&|n_X3~j76k7x(;sY?S)WG96foF@=VEdwX7pO}IXGjl`H(9z{8y7UI^tRi{Y3?;hn z?mm3IhegkHD#nS>YKKCWrHv4ePa}G3s9|EMrseGlFg;>mpL{w**TI$+)5VxQ6@(h( zE-5<%r8Hx7Lm{TiyTyl8w419&^rPtAJ-gxABm#aSInytIMON{}t^Y;Im!#@w-w#yy zM?hzNW&^X7#)uL$Ubt1X8=*^!=(omfeIXTG608=IIlha#IggsEr(Lfs2~L- zj*;im6(Sb>NN_3&^84@lRTFvDFm3O~Q-;uF9EG$S93?18f2cu68j}cNYA1FLA}c_o zc|45)7i@FQBh?7(XI+3A^%JQ`qD-)FW>JX_F-FURv8A?qPQCq(wF}Fu1{3AZ@4 zDN8^(5#e`|iVV!+GBd%PW|%5|*26VszoWtC4DPS`$P~65@@eQK$+J+JXd@Ayn`mBd zihOI25G0I8-ki4qOc%wTMSJN%ZnQ$^+5~P?Jtg#;&m@@fVG4Ub+is{lp^v(p!~|6# ztM^CM$Agn8dO{y^eT2`&!DxX-z{GKnijWvD#8Q~rz(N^9CET+~GU3}`q`yDz=Ot0i z%`9K!Q;Zr36{D0;VT$n_L{o$nc+QsaHh~zDkeZ9|Y{`VkK&9SD1CRQ16p46UiFRRZ zfZA5+s;g6-ri%aSNa9M<9C0)6UT@AIDjuP@C5?WJDj6||q*l?U15IG`y3?2bU9!PA zHPz$b)%4@Rz$jWJ2_usO%TLX5&0wUOBu{ps!^KlsQ*N_qPf+@iSkRoT^wCC7)=4pY zoG2s}0Raq}ho%?rNC-=zjz!q~%)K)e-$2rj6ewn1s;LzVF^Dq+Z%he&_v-3=d4twu zqknwhZyUhQC#ELqpNb z{?J>lk-2W1)=WHZSHfg?r=X4=gS`wH+RY~2a#Y2fbesf09THI@i&3J8xEOcf?xdF~ z@N4ZM;q_&EXsK=&80SQo7M@%{LB&moA%8{%uNS8t?Nf%IW=;llL%CVOQXUyXX(xKK z^phM05hV|!n6@KFajgYQD%RYBGEqv_CpC4(70!x8+ap{-FilX33bk3$7nI=v^xIR= zH&|RH!~u1p5}7(jH{d16$qzgAeH2wt?7*VW8uVi!oXc(&9la$MhgeB%iv^P65LF(8 z5`|I^6l>MiiF=c`8$d*L8)Ojm_0|ZJ-k`5mkB;pR)K$~#Lv&0GSGnwMZ5K$bLS{|Q z-clu1-%1d^x}SobcWaiOx32Z*5jTG(0ien%%L_{6bLRS9LIp?zzRdbQL3!E$*R)&@ zS^zQnXhA&QzIxO%!5OHT7igcmpET~Jj}ZMix;^YFxA#3HCEKU&Am9m30n?^C#A34X zv(ht!CP6D?UI!G+gy2$)C(xlAi{vpMk7kEGFq-X7f!xM$S@J8#TIISGYoMh>LSE$) zEG|x1GK@&Oyz|2NH#dPEuOT!XvyZd>CeKvODN_g(N!WiRl%_eU0X8=|N)Yh@PJcrQ zAm7>M1l`u%YZj^mGM!xOv@CN*dM>W|M2ks6N4d{ zV-He#JDH5l5bBvAe)1;L@d+`K$OWWlX=gbOsQoucO|BXD#2x!Ta=KG7hVpZ zAyx>iv>F>~^Vz@NVuY)Mkj09w&_ceG9#3$a`e zX4!Sc)@5`HbS=sIASu+Xx(SUiD#%l==)XTghm-}BpB{rxd_MvU+3yoT`N}jKBu@ha zzWe&?-7oty&5hdI`v!Gkf3|ygwsVZx{b)1Nm`sKN1ZHgLs1P2|nR>MrR1Y~r!zwfm zKQx0x_0e%0?rGQPObsuXrxGTacB@fhw?{O6XcmnIN`sx2n7ub04sF7q+{AS{~6fDImmmVe|yY)VoHWLDh6`>DLM|88Y{(wc$x$RK-3 zsG6zt9!|fKFu2#Ryjp($Q8B0sAkFb8wp2V-g;h;C&U6Sd;;$JSN1V)%TU=@Ufqfht zayV#B5I`VP7XcnyCeq1%1V0Osm>;QVb?C}KIYH^Ea5z!fEH1Rok#%T#CmKp0ZISTS59-F9(Sm?}H8SX`$Drpgw}x~9HCLYxRIbwNzQ6su zWOto!nB!EAOp4v5avi-Pe`M?4pa*7HTP?5A?;5H$eoLA#H?KYBk8RA5r^>-yZ_Y^2 z#!Ps_-(A>_nxhT-QF|U=P^8SG46#(Nq^bw4{U{d@bL^<6=@E%t;;5Uu7XWd3mQSIE zFL)8)3<7V?@=+(KYqP5lS(4pB&DTu)tRBrz0$xUJYcsdLZX7@toHFZ17L{7Q|T=FV>pIss# zB$wnf^GQC%@Tiq5lS=HiNA*^MXsE9CX(*fPrO;|z8;f4lkNeZ>4)^`LyUN6M%P_zQ^;Lw)E71M1X-C6;yWY21G?(dQ6M@g z!KjJ2H!E+E1>bSCZE)ia1t4j2)IQi|O;bTfYOoD$@pAo|35Gk^w5aVJ&PRuEo=A2E zoXKcv2E&*-pQPKh@M<1pa!KjQ*CAw(CI$w4a~s3DuRVGo{El*?#o|@wC7?@a` zpLsd1Sk+XTX&6!OzR}F=r`(&R&p?TEB!3MXoH+VKq&=qmb(0KA6lb^hU*3NH7&Z|Y zf2HY+3rxfk0hafBOp0NRD6@pupov+rL z?b+2O>t+F^g09YLzoybvXM`19ojP6h4c@?SCkA9@lBsW_rvrCT_GLSd;87H}e*A$A zg|eR+!BB4WrS`|mPO~|#2g;#9!_6D7BB4A+K!d_I<7zIiE?bQSc-awzB(=Cl&O6DB zPTWwzD*L+TxPIN+hyU37#@s&q_iz2{;7Foa~M08=C82eke`gP&Cf1{XCsi=q;7+(Rx~=|Ms`P{WqnDu%IDzPCUXq zOi&^8oRq)*00Gs%KIdMVLR0lGIbB6^pkdmV|MDx}xek+aC=D%{4{cwRJaaeJgxKW;4MQR)H z4Qbw|&2Cnl277m->J~UjOT4d8R?I zW%l}Gv-Ln_t&DnD!EYwNkol7g(B+7351|7_O&BJShYQTh8gHP;zI(-6v*c*$Jk!Gj z5SAX)dPv`g_L1irikYIjoX{_No?RjI3#b1jpE5ZljH9KaIYFntZdlLMwKOaguRl$$*7%&6M_Eqs{<5&gbhBHw<%q&dIIq1%P66 za~sV{J+rHH0{J8XC4Yc7mVg&%O_%}mZFA;0$M$gJGzTW~^|{Scg9Hciq2Uo>V5URB z7R$5-{= zC1)5349l@()kvZvtYT)$C@xlJjC@S7DYx;%jzwQ4?Dnen(bFK-Q zRZ-Cq0w5fu=&i`0G{Hhvrt;P>5n?MWP{}c&Dp@nco^QB!jo8nvMm48MdHRdWYwy$5S z&wdvXaD;dG8JH`f1>Mx&(Mf~%sRU2Wq!(qF>3U|O7R4xLLZS`@UpFjQiRa1%MQd_DUnC5HlOr8BuflN~osHcQQf z6u3hd>mo1Ht~nf5vklo(^fcl_v+d(Y`_@HU^xf{&{imNkf7w~FyVd7U@816$qJpx| zFd>7ui6|>5&(Iu&gOH?>{xQ|kVrt#oqKZsPkLs3)`MO4z>oB0jxPnTV$MZ0rua?`!194+|-FLBnml8pSo+C>!bGObA z9xV0=vFGEoGa0zL-oUteh9X-A_UiDH!1!YT4#pAQZ)abx>s=qVz(!53?|J71F3MO``DeW>6l@=OjYJb~TVgA(GAH zPgIN100H}_nGW@BmU<@)Com~YpkwXmDEq7VJ)CH%K1z7BjH)DsI)0y`Y`y>x6B@zH zkhmW)at9GR)ONJM&*EWFrOh!MEgm|Q5)ZASZgRNgU*@iQYUa{KIOvHaknjUd*1~4# zSHlu-$&FDgXu{ZOQUEREZGqAkAT4JAU6e#aKpsP<1#Kc<oA;`%WsV1RSu>*|xsrf(yihENOh*R;?=ncKh*d4@1yA59iSZ+5ScthNRYS~ zQToQ?Gx`Jiz2>wN^qwAjwuj#Tz|_^mJ5M<*9kTgP_>pTYXMF#f^ z8;C;w4D?3XL$#H%efK%Ge@EBY_4fy-FNHrkzI(fpGXGUFwY{!eD2LI zyjRXk*suhvYv))o_5`#wM;p-Ao~O_TBta1hz}K!?<>K~qBVyzN$y@LU7+AvbEd(mn z=90sM)H#`9$G-mf?OkY^7G$O9D%1^5@tX?-E70TwL40H?0m2qMAVFlAWEqiOtPr0A14&mP#lQ$W1BXb&O^w?}YDI?D6$q+wgX_M)X3kW$oIdJD7aDK^r8)q)am#D%g zkd3Cj#aRenq*0cMF}ZYsze}++`zKVeY;-#-{#D$$l{A5P(3W>fGI7@V}s-;^qv zuHk50RJo?EpmAhqK;T3(*qRvv6zb4OC^Gr?30`dZ^1evMLkx=MZ1Dsm36pV_U z;xj~bbhr4S5^Ob!n{C`H@Vf|t;QA`lSwxV1*CGpw+wF%4$!`*G4ys0=OAdZaq@7;> zs&8C*hisZMbr=@`Aa8^sr?}$&hPqk5F`@((1$4ip3c4U*XfyV(`*45vRU+M^=0yk3 z%*8e0+0h-!I(6SQnmAamZdA>_dj{r5b1`lc9s??4MXU;+Q)yCmu~B6wvL>-K3!C;Z z?Aassld+U$cX5b8FWTnzfYp4&F#skD@A{Cv3w`ep39`I+)R@jW)88>?#yJrpC&J@0 zcgCw!xv~K}kKHX$!uoQ7-M)KA$=9u*5zH6DxsNQKb`W<9c!-S}7QJDdj37&=B7}$| zbJ4glqF+qpuFcaSMv%RvXnw72V<;a=dvYX>weAO?Mv35Z_nLp80F)$?AmDsp#POvu zE=c1z-w-KDa5@ydRQAdGNu&uO@^t4IZf%2H#88QYD<#bl2N z`aPLuK~+Y*^J^9D&W8MS;VY1i#_LxMbC>n!T^>!1g;0k=J+?bwea8%<)!)(wQ~x~P z8uP<2@XGQz@OSc2idV?$kTlG?v9NBeh7lC~z?*Dk#l>oA`IyoM1#WR3;SqIJvDs|J zurl}S@R;32l{Y?=*4x@NC!Uc&w9^tyA$+^q83-vBv*;yPumUtUbKyIOhZGh989*Ib zbeHcwzkB!f{vkMfRjG_w6X*rVLR#KADR;!7d?Tpma<@HRvT0AiYm(}!84aKvo~w{c z?qn(=bH@Z&G_1^F=me3uH=tt3q>>d^f&R)^Avco}o@xs{bgIMIh4-QwQIZ4m`^^g*dn{2u@~FQV3QSb<*XD)4R*hpFVy2@L|-;Dc2pCgNebCq({@S zW^Yf_bSl{&&@To;L#c!!o_Al&u84;<3N5rH(*0FZ;$wKGi_m8lFwB|11!nfFMas@>F2UTIia5nh(Zf`zZk$LL)FQOX^}~>cd5p3 zRzz|VOgDW4EyNtN-E3A(JE&rQf8_Orx4!-$y)}M*jmxl zZjYG9Ot6#=QpSUO?K>@Uuh-YJ6w-TrKb0OBB1j8k`N7wf(bg10cBfnU73n8x?#d}` zK8@R$uUr9nbsBhvj@~Y@>hHD7ZN2Ndzoz6oYB1=IJ5%&&c1SU{FVHk~w|HY%Ln|I- zjYA+~GEd-Gn7UTlF?++Zu7p$|qlyf4lFLDv`rJUdKbMZ`fhtysfmxf#5Bl9omdZL;lY15=O z>B6KYB3jQ^2FP`K9-Bq^7|pq4zZNkZQZo=?MVT6KIH!upzGNWmyA6NvDr^LalQGc^ zT{N$g*xMLupnq!?PdB+YBj%)_R^8l*SaBq{>wP_KT zw47y^s8c1Zp&ha|KS+_HcoCr5Qy@^UzCy~OS@JC+#F#7X=8ZJ~LzvZHwc07Sgi>rV z7$4pVeN`duhX;{`xyN~l(WEkK2B>EJ~7 zK0*dML{$m1jN*$La>4?PMjtIjpqK6Erc_s@0t0>EcZ8ra0yWIF3#VT7laOiRu|h-o z=VD$}xTCF(0um~9h@6B!RRZVU>SADuKII&cgSDuP*LWE%vk(w_1Zujqz zy%UK{i0&j+%@*$8Km`Oq6BTTs-K+Iwi>Sto!?GWwmJa!>Jt?9c z-H!tUzP-(1d7|1rmRY?V%7iLtGVEx3G}VrU47c^Fi=q3M;r|Kud%nFKj+sMEG8)LI|N|9xV(j=vVki47vS>0KmYii zVba(w8Q3wl3LVBre;C;n>?KIeZuF=BiCMVeS(>rOdo|lAmZwUYybZ!&U|Ca!?q*FH z8kb#QqY4j;iXWcl&N$N=qIaapqScW6rULWQulR0{I7y$ZRrAv}#&)@>zfNQ^IMY*pDCBl;l(vM#4sS!xBG`R` zzJ&>TGMh5>jrITwKagbbgLchCx$NlQzem0|v_9)Y42@f&uY1>Zd1l%v3leyZ#rB}y zD7oPxnZhw@<}_BGR>U1gsm_}>AfeoEv#MOI)@Na2sIE7(i+Tpv2pAUPGa>DHHo6=A z_y7K5CZfP6T-#7x+F7LY8WK{v#lo!Q2)IRG4!C1(UMoF8M?10eL{d?HCM7OY{-gwcMpag3hTVwM$52;#6?mH%^}`wjhJ54i6xG zU?4uTU)V>!RN*{mFbj7Z)-*;G{6&a?caI5$$i~~ylWek62n7e7AU78wAu{|yk@qrH zVWYh>(rNu0ou91Pa*(S<)HR#iu7MlwM$^RXbY-UBn21Xe`i29KS{NOb$Ma%L$c( z&75{`(C(fE8N-f{gv!N!!}IY zpalFfrd?=TZvMpWKO7ZAurWSB3|lj~P=AlT#{3bIr3FVKz)WF4G%3)v!wiqaC&wHv zJcq^VnRS3xUWlZ9b#=)K2Qo0bRXJwaNfmp|!|afWk!$;){$gO?yeZ8D>AIg+>n=I4 z0)kLrZjB;8`UM9)J~;yeDmu@l)0scjD`r)g4ae;i0o1>5YEWULN-vxyWrjASAO~5T zh5GAFbUr90XP22#I6MckmUAEHwk8JTGj_WxWXN z2!&oWs;PVP3aZmh!{JDrYB)%RUkH;0Xc*$|A=H;u||YLNxc9-A%pO*xpZ{ zzy0{({@Lu`4rk;2`TlIan03f^na}PKPB5dgf);QvLL;28LnoLw*Pve0FL*BAJ7{T_-k(_=bpusm# zD-0@}N3%1Blj8__DarAqDFk+t5CZYc%uPUZN_mAgl~NG#w=<7hB?RXivP99w)xXpb z@9HVKOj0ogYw40$z2GOX5Xd#;RdlUH$#Zr6GghdiZVV8%PZulGoE^*w z)fN$DsjK3Ma7}q=Sigi+QYpNAXM;G-(A@^a(bueT9d<%1oX&WEurN6_a^Ts#G~G>z^z_1%hrw)HTsY&$c0VfHVzF6apox zpw-F>xPu&`aQFJl?#K5J@7~{k_-XIMKkhz!yMO-i?(5&5`$ZVMbjnvBhS3G%qpVaw znu~8?p*_wZiL-qe&pi(fXPc+WU>gGWnld2dik1wB%dUX=sZ|2(c@Oc_6*kTvI>&w6MImg)m+dmY3ALVc7D5 ztR)w|&^|*_YG8;&5pM{W1qZtl_aY8f! zxuEE6loMEYrK>K)%TI8Ek0<$~(-a-kovc@~JDG6Q zut&^hha@ug)M$7Ii>WodgCFFxv~l0veY*Sb&#&*lLW=nC`R(8F?@yl}kN~=e2@ik! za(@SJ$=$pAhkwS*%nzQrLne#;7;2^YDg}&0Bw$?^sQwK^>CH)mR0&NcZsJi5ifWD{ zmzX4RH%JfKP$}tA>X4Ua%08w)s9(`W3RsTMQ-{Du<}?uavDu2qWFMw^z|nSr>Q$P^ zFpthX#GI^gk7IrWz645&gcWhbCFW1UH3;EvviA*6p%MR5cTro#5ezwII5}!n59m6@ zoTrr@<#TSUphJu$^)kWQ5g*krHttot2{IpJxcM~?0!Za4|?Ru2NH!EqM8R#M^v`BzSWYPN%Lg= zU*zA9e`7CIfo6AM0#f|jC65v$U^0~g8+1>hm>1krs)P4};TRPak}jphM+D()*bq4M zZ;{6wAx{>xP@Np_{<_{=?fn9VzuVpa_Hh5{D>NCX?tB0E{QjqBd;d8y7cDaS{y1X) z>e0XMb`Kwa8Nu77|9tk#A4ibh`GEJhI{qUl*!$7{kQ+b0Bc1r=*|R^6{_qD}er9+7 z;SW0VzaTEaUc$`y)#-Zma(8o~N%5j&&ksj)Z6@$~{YJk2_~uk&ubA!O=6=sYqw(cB zjQ!3vo356LX$P~*cfVaj$XC@5p}xTg zG;mZ>RT0#{VhADkM6h>%PJ?_pXK73p>e&ikK)Kph_<~ol#li-{7o4z0a79U2$4*&F z5;BhPKL6N|rd+X+!`;VmoJNo{?BPcA1R8=X<^d%nNiiU`uw8q>ml_>GczsRpV&rL; z*rbC?Ovne_v-n>eQ+}~=F2!)-W64M+eXB2m+kLr5^YKq#cMre5e|rz~Eo>~+ zFw|N{n5k&IOd;FPqg4Xbz4h_BA>tL#Km|8++hK)@v$awVII}3#ip(h5RY)?)Ma;_gzR1$XjOaTHV>DOz z=@rt);R{0L(5zdrqD3**IIPwzJu|YNZl4|l1eq#-&CJkS1X< zYI`g>S;}Xy>T=CVVif&eG^EnzGBibBB%-yoU)*F=ZLk3z_W~s9=HNp5K+iO&9I7tFn77dvnCHsD`$dP&kq$72DZ=j zG&ghL(!dm#SqGjappZ79-@6$TvfP8C@j)U&aK&0?aCx=lt>rBY2f*pRxPQ1t(Z=_m zeny2v>_z{g82v1WT6)NydiiRmu~U_VJ?zEJ>7bve2)zXH0#~e}HT9xzP(5FEMi>F2 z9`OH$T}fP_dm$T_`MH>VBIyL&Kg}|L`U5j^kae&O+BL<&&L)*=m0*#R9ubODFKt_b zMhe&E3}4c~ljKX0wyKD>S<_X%M#e|~1~?$~%&o!Gt-@GTUzU z-hKP@_8FRozyE2(KTS^Nh9G=!@8<2>l8eAE#=UB}ko{QHBr!BgKF54>T^`ng%m<08 zLbfsu9smg`)YG97YNFvPkTNnLwg0h1XWe2;Q|DPU0&CC$h7P11Xc{69Ucu@KiI~~K zdeBt)^c0VgJJqL_<+u_`x2GCyzuj)YT|&BPjy5=Fdmi`7B8gBUOY9E;w9|z!ApP99 z3WI22Omj&xXrsw&-S3FC#vCECaf^on_aF9j;&8Wsqfud^ieeD1rItBH%^KYg=9f77 zbTM8V3rXT%(o_!x1;)QI5j_O#o>nZDi*egCJr1Lth${<}Nj>N{ex9Q;4v3v<#ZraH z^|me=t%!jbhH}pas|QNDj8twI2?Yf8MndT7VvBtB7r-@?!wS`~n}mi9&ghTNFV>IF z##GWE!A58Gl!_g}Mlfm7;0aFOto(I=!e5bfNVUCW`e`hs(ChKvP8--KpHBZ zeF-gP(!w`Nal?7sHC!JzbzEE+WW6GRF5PJN z)^@~>!x5_ycVK+dD{pDN@?47^|3=>?HzN#oEaHaG2Q$wCSkY7%um`9P*Is{6!7(CmGD#l^=poyIM!dbaxP){x%BcYDf})qNlcAHD2K zz3b6=`Y55pv-zl-&D_I!jFOY6c!_XmNnJw@iw6ARx~ zzI-4^uX6s~!Q4ZM7dSNBeO7qHkKnGAHVgr6TGVB`w zu>Pc*L=X)zC~^Z?wyX#M@9vG%CQgno7&)k-Fo>nWWIN45aghrqNE9>BYGLTkP}-a) z{q)o@2|XR5yCvB|mVX~-wYFK{)Fu+l79(!nRl6Ak*&Gr54Kb7hs@ z5nxnZZ-7xfL%~Q6fpP6p(o#q-8c^pl?}Vux!~>6@VIlf>Z#~d>ac_#gx%eJWkpt$o zuM2E}0Q5%rFa`W0H!yRo9eQ zeSArX6l0CM6AMvb!tM2Dt9jS^87AxxAp&YIK7|MSK!Zxn(B6^S&KP!LIe&>RM|2CV zpz5ozliY4dNw?xdP;Nw=QB7_l-HX`L5^b^o(xe(>5bACWGNY0vkj?b40^lHn=_Zo0 zvqt`7qfL;-69i)UcE%!;gZ9$>5mb$K5;P5F#(CEMi7I1^k^xlVD1hZkjSVJ+!c!LLj60 z_^8`2D(d^ITQ=HQgY;^BY^JP2&K&8bIVJ06BS|sctSR(OrY`gqvfyOuwz|Tzfy*8T zBP$CJWg)=U>iM>H z1xjSxnj|6Nb79{y3MpD015NV}9h{`!n(^rY2T?M`4)JIhk>NtTv=XXP>l4W+#E!kY zpMLtnbj3YM8XX~?^4r^o(M>)FWW`3sjD>&6P0zmn(?5iL2|g^xQ;4Nd+$yhXakWyO zi(Axqtz9!}xeGuopf74vtA3-> z=wzA;n|m4>gM7N?A+Xhh?dOyMum_uG@-$q z2d5HOTd9+bM{e~3k2qxjN~T^~!lhuovRJSpGEzg--E=gaDpM4(pNLDm&3nhQW&^XN z%}u&JXGd{xp)gAvkN#8g2Pv%5QpH?C{crSNbw%Mz03!|*5+49QFE#7~PQY5j6#B>; zl~Y(wKFX$Rr#Cy|#spbDj&PD2a3%0Y6X0D2f_a^~YFQ=#E9qtu-Ea0ONCB(!-WFj8m& zb~3@AFqsF>;_fK%8UxKl)3YeIWKLs*is=+pJA-L=z6s-(Lj2|m#XE1-Na}$H9Geao zpMw5LLpy}$pvyA{Ga1c#lpNtVyVhIpox!d9SR8${HUj5%^||T)|uAhN%=;E>b(tfn`(+wGF&C z`}|yOBUhHU;24!xPiDe~kn+xcL7wfLU#vKFenYZIPJ8N-SR~s$K)PuJF3M`vZZs3C z5=5XM5)?=~n)V!71|-$#C5qz>N~s)OK2}Se6SU$FSUEk4MvkO}qXZMfFtk4#?Kw?t zkNdd}&XUi~1h{@oV;FSiPb!!bbcS^y!E`6swe}U*BP=0Tro~rk$mP9=Rod*$Quxs1 zYvKr#AqK#SWK5);DH885Tx^zytT~KLri^3(xN2;vtYt6dZ=uqg5^j=h3 zgHb8Lc&WixX&cJ0!AjuZL2uH>%7}_s1@knm7RgqyNK8o08Mnz?5$wrF8q~Nyhg+3O z1BjYbyb`83*9e6I>>!$~udhLTX&Jt{L@yka>nk(Qko?=4q9z_qz-gt)Y1_1lw4~CB2Xma zE&lft{&)Xf-5Ck0?QhUieuK{`2jSSy&70h6H8@AXk4qBn4_8MlJVcerY{muXGZ^}@ zz5nv|vsK$+(m1>P!BO8N=ZM`L-~`^5++^Dxr;y_Tsg@;Cf}mg-;&?sKfW6)n1wCa2 zaC0%)*7{NaLka?GVgSS|9GAoxMH7n!eXyMDEc9lBc(Bm3s}bE_vyezNow*d#nW-IC zB>-){$EhQ5X(1GDpgqAKJ8e{D9m^Uc4(I(lWe*u|96=31VOA?x*Rl`qksz6%-!FSZ zc1%o_{7>&FC9jsni}zAeRf|kkN^EhpaY*I3o{;G@USJbcUFF`xq^0@htG6gyMqp++ zBdx=&Td$77^slMexy-ASCmBUiv&lH4^nDUTU8d?nbuI{9j|v;e(C(*RBd@6t@XRgl z4>vY!V507vIPk@KbJH)QPaT?;YPF_z$M`7Kr9qWKAf`djSpks_909-LGy6l0Pi#!m z&oeqRHfw!@)S!?cNKM*oVTOemvas(b8j4kh8S{A`fn0Sdis^<}EdtfxG{G6STw9M0 zNn4CfXbm>Dp*c-ABA_%>tBmK4mx49 zXx{<3X1D}fHf_cGa&G_ExJ zmZ2&7MJWJ8RNE_mFO2!O=k$vACdhlmF+D-Z8e#|i1=vNkQ?=^R5O3fpyJn06-#nUR zCEHW?4OVSeHQZBiB-9DHPbslsV7zgHLo@XRK|m!nazP~<|L^DsONWL7l*6)a;tA<2 z8-ZCuNw(FEQQc)6Un=K?us-C2!Kd$BTO)Izt;@zRejoH2RgqRVBgX2(F%SHpnJn*T|zuz!VECye2ETDtj%|V z5>UHmBbgZDw$Y;VtEHv13mrZ|9Y2XgshAqbFVt=**$Zvq>~e|pHh0-hC4-#QT7(9r zDpZ30i+;Q}e)52Bd@aKo-6`dS)IQJ#b&o~n@zT>S&rMgbfJ;-@*l5IEsn-~F>Q)Fb zH!dO#HotxP_7%l6zubNLsZuDBSl{_6>sMg!HL#x}`U%#+JjmOhKIH7FOPb45!`tKp4^kxDSPJn|-2HBPMUxq-f<6)=v}co-ChV z1f#esPD+}~bPSylo8m~dQK?Ezus18%GY0jkDN?z>>_Z00Tmf#Lqcp;APtIzB{V`Up zb~>*&d4BeCji!03w_cqf#I}G7imaDcEasLx$+f!|yVv&tb4z&(2y;DO=u<}1B=_3@ zFsoh_RGPL4=d2!#W5J7NwGcy67(jZ_Z80+a9i|cNTW)9ZB++n>#=~a6<6$Ukr*^Vw zQ5X=IVZL`@S=V;HLp_|#q#Q4Ju}amz;!Tnd%F8XLQrst~qA*dJrv)$&qr}RrAs7^J zujC`mGqi*5n?W(~qA!+?XB|Byd|E-~TrnFMaM0-u3+$BK5*y6oOPY0jc7?oTgVpW` zl-BfjvGW)e3>!_iq&?aUnO!skprS5<+H#U#tPPpj7)}{N7GrgjFp3b}1_B11Jz<)z zpjz}4+iavVt&xGLC*BItyhXW`4J1$_FCx{<5pFj}d%uRPHfAX1_Wl7SRNw#nNh)bP z|MdLs!_P2$jPm*#sH=e*vq%nNu^^0UCg)^^P}A9dUto%G{tQxjQdLY+FwxUe+TSP3 zLc>@qD4mMB6b(r2%mAE_G}ylytSy7h{%{UDL(eEE5Cn{@{6vV~PN2%+2AT~_>`W^*2n?%-0p>0Q#~mEYZBIzcbur=QP2XTDsK9~7F_;-4P0<68&_49KIAx52 zh4?`vq~(7LP#OwP!QkU||Lp{3e{B~jzP2550MIxAV0z3hlem9HY!coFHA%$|1ZJI3 z=P&}b0Fnj)>LQ6$b`^F7Pa3EPDneA>n5QYi<}z6g_JSO*q>y<={#zA;IU-laP0 zVqVxnq@ev16C7a%rwJJ$F%lumc=R=@V_cpTXR^`VFt zg5i`W*E{?_9!|#`u~k+Gl>yX~ZsaLtH+cK;G)Uvc!I<- zroO;vjnXIhj!`C&b&Fo6kV#~S3wTl@!<<~aLo?K&NGQDKsW?r1O1RN9ibQNeQY&)T zp{|D3FvYG2QBo^WXlAexRU=9Ronw$r75>(s z8ZN=q)yO_8Mokw1HCW9$_>#=zh#-;8D;`!~xSMFZW1QLPvIC7DBBmTg5aMI>Ql`wG zp0fY+l-cr8%4~0Xdd@zdqrRB{Y|EAcT@&*K&$~CK$4H_v+%#DC_8{49z_=~UCV9=K zE2$HgGq%l}t7K8G2O6}oH$^FUc4mkUPc`(4D(4A3<_l zOWdsJp#T+H#b05Z(yU)KQ3(Z0bJAY|o7~2{BEIU?$zEq!q>mS%Mw+Qj&;zKoPDshi zOC(aXuRA%cN9FtA273ST{!11Gh>d^&Nxw##S)ejjQyUh_vGn9F-S&u{AQI0LfI=V7w47 zLsF`?tjP((a#=Y)oag)=H5Vg&Nn<6kO;tR%LyUq-H7Ez5+Ss^Df#)K3Y8rAfbHWRh z2k!FaVFKlt?glU0At6#eWR3E21DC1^{4mv)<}l|^B+#HcK+B1P1954&*zUF_BN@4{ zihO250BBCoA%m8bkF;qkLkpKNQq;#0Umly2lqq0JTcTUS~N)D#s%}jtHi?C22u2bx0p`W{Zau%bEl5%jVq`7E0BGpQjr2qO#!-_@wMHD98{+~` zI7+ZJDb7wKuWcQ)P-bfBuhZkYwcapt=l-atWPc%cALlTGv?>T-{d+HVmYDclY(-Ey~@Da-%qE7pD;^sYAdv zPZk<>T$%j=+P{WJSkRkFcH6Cm`6vHAFkCeA2t0*^N3$OmuJTKoAe*1BIGE=#1>0nO z8l0o3!o4Um%Zq4P)mU;P-jI|x>7JJIFeeuoC;jT0VG*1>DKbtvv}EFbtHpsJ=+*}w zcwuo7j!&vjQQh0LZ{1-p zH^fEl!>5q^i_p=Ijo+1j}RO@@cx3pB~g&($(P7DQ8P z7Wd`cUF-p_fk;aMDN(CnCEaHV@1Vqtd%`aSi}eNtQa0Nix;Aei^<=7{D#yrYc$r~& zb6lY?wk*6Ozbt|zw+0(TxjBtHuNIQ*?ODS~BU$Gg@Xba*+P=hdsZo#dT>t#~_TkHi z*m}rE640e5I6lY|uMTMQr?J*(t;mP#vur>5gSr{QU7{iY=zPCBPdHoZxdLgWVXq81 zpedQiHu6alP>s`YfCikBBTS13Wx z!l*QaFfw}Hqs($OQRN~}VIEm~)yo+l)_%7~jwK6rgunge{E6^4nNTy6q53xSCwb;P zH)v{Rh`_5W%n@w~YYwqf0q1C1)+|bi3lxK?aaQFL644H_-IydtAFv1M>}Gp`#suOT zHo>VG&6#Ky9@-1oqy|*BsNe_orYT~G_#kp}VbOGbjsgBjpPM5ffNH3cwFb?v3f1wH zFK!Xvd7G@T&JVJ&1|GL&=?Cw={rK%2#8GzXTOOUE%RPd#H_I0nFjwAgQ8cF^%7E;! zgi1ynhbJkhA&3K!&eBO9RvOe{IeWF+;jP;!u!+=EL&=GARzv_S(f5S)8bJnUhiK-P zEb^0>CJY!R8g~oU1C>R-z7a?i!Wur23iIi5yvHxmIO z2Jbdorz;*t6iUNf-*oDCE}%xNqnl#;%>_4m{1G594YVVs}YUt?vhF<%_Y zU)r7o0%;iq)B;xPt)_UE?J%YLYi0Fy)dee{Q4{B*9j8v0^!Z7zD#}5QOm439fYBV& z%^h4%(QkGMOf5Ut+rRF_P1U^Yd*2_Fy>~~7Kw4HQK0`_s$cZ5Gdf|4RDT^3$~ke%;N zPcJT*#^QQVZ^*Z%F+o3{HW>|kgM2QvwzDHrtJbMnqEhWs1&Q2BJ>RvHkM+8LL~Yxa zyzoSLJsg$>;}bBw>KuIEdedYfuowct&L&?&1eyaxnNWfSD#b=6D~XyYkGD50k271- z*qNiNouT3aUoS7S% zr)ORG4CEi1rj*G*qv$U*hwV`n+Q?D~21-pft~OX_InGt&Hn!cF6J>`_0S|?ez z@my}!@m1Ww?0Vz7>KXcF8TbSNgYA8}LSQgvz|!&c0hurlh!&QP0^k1$-NbmIh3Ak< zO{ul74YcR!$MKVelvBje45Q^S-5v^2&7mBkiV1wAIey`sgaE?4rO+C3*W`E( zRV$=8iIK*afEFXF13nNl@p)`Ki}LL{^a(93w|3b-r!goWM2=#Wi|tp2;mVPT<^5@L zH#f-OKcp%2DG-oGLC+BomPz10L8SJ1+nXzOs!Wreft_R#M}#6_a^_Q$0T_azEhqQB z@5U48mhh>*I_MBGG2{;CXm|LSH=Hw2OY@QVBAFqzbFdE4YL2?1U>RzEnYz*+DAkqT zI8^9{_mS@6_H{qL-e^FRQhn9khohRig=C3l4EPAPGR7Wnw=d6-_6yzn;b+z$a9mw^eL#J?iy#J=r)leYanvh%P!0wW;W>{86rWbi`G${w~kVl z)Gwn-!COEpYtuyKpNJwUDW$nL(%7p09Nnvsg(-rg z$eT;9+{29VXD$LT0>oRC;X0D7z9Ix+IXP*vHQ8nYlw?H{avg34V%(Gj+`gd?^OZ!g z+t#!27ipD*E~u-}lV2n2&b!kM&J)n@feB4{Gn9DN&tkARWOE<~s~_=EDP|)aXY$?i z8A8rcAjwcxIxD+}CU4f+o}hGqn<_#n$e#e}?GdWZ$cfQsrq1JmNwOLhM!S6)>tY@* zs_?F~}Jt18bq#QqUvGj0AEIoW$EIou+DyksqeE>KsR7*_t zQHpI5t!o-qIvT6RPC*gNJZtmJz>A!xM-d#Y@$yC_Ns(j=R@)^%aSI@Dmq2}4k56nj z%pB(b5PbUE!MpOmbMdmM#C4Rd^)UNtEB;?Z8HEyyAWfz+(+1Y7iU;>$`?`QK1e?~V zKn+%dg_V60SjJ2Ghkr$u#Qjfb9L8T6Cq(e7)G&Zu`RM$r)qD=SUr*9~5;2CB7h!l^ znD3Ht$MdnJI;Vx!FCq&iGt|P}#q4t|VBff>N_>+^HXFJada9kFfyT|=r{`>|hVEzI z|LNUNU)gZ=>;FQY$NznKa!l9k|E9B{lcd=_rhoijBO723)r7hYcAR)|PpEAx5;EaKA0}%3;dd(JQ*6pj~6&t{|;~# zDVKhFh17xTi?oVrz=5cJABCixBX}gO2?{5Ya}$RCqPI*~f@v^7*yZn-<=-+eS9X$F zN7Cc%H8NumZ@vlpQAf21|0y+X%#-^m47#n|#T^Qv_RcIW-Pb6ORC5n#r6GruC)Auy z7Tp$30oxtvhwv_cbm~5>&YBZ=GH+q&S#5r}zPZAD5f1Q^$=4wUm6scwy_&$4rK7j% z<3$A&Ki+Jt@z~ZZeYTmT)toNj-qg18h~Q#KL09!nMDBRJ1b7~VJ1LM3X9GfT4^nY| z(v2<32%2>lbpvfP6Fr^j2AdB^bD>X=87y!!{w>6|qb@I=0#8>ic8Cic>9Ht@lwL9wvS5Gkf>r2XAk2Iku~T@?Ad~xNGnnI@9gA+VON=SK@=+o6&$dq ziajYh4u_8B0CE)Vq3k^B334LgDxm~pt`ZYxI{}~qSlou9B!ci&49gRF)dj1QEKl!4^(*ud4@j~O3B?uEN6LeNUx4fPWxV2gDsq*w(wHb0@d==)F( z$p@$CwYj&BsWW$Hhff+2XNREM`RZG>^Fqf9*P)^Wgt7T}j z62SyH1T1v!Q0&ep@$HmT1TfGG__qgrqD_7n00u z`bYHC7HxPsnn427_<~;lc~D))7dpP&;DZ!lTC;plGHnXpa6e2lo5-3WyfH}!=W+(C z?9hm#M`K*JA_onVVMs8JB?Vm3H#v|@D-LcPbjt=BjI%d|Z`H6@qcGB(?$S$AG9%3Q z0G{o0#&K-daJ6YM4K$dLc*rhGxE^7zeMg->QKtn=WVCl+h5}R15N0vNz`nsD-`VyA z%7+{QH%C6R{7lMD1P_h)CO5|Ai7H5S6VhBb92nR~LsWtwL6yRc zn2M=O%B|{JXsxb=417o17b2(XsIaQQdCN)U@G!N|r6%4vx57flJ8h?g5P?wOC zZ#LT6?_Y%)R$jxw)wEX;9$yc|*P?_qyK{3CAc`w_E{-Evzvx%1| zR0v+YUfsN0M>G$QD`gayQv^JXDOco-G45v4?7ApRl!9-WOrt;=FrY=LsvzjzsD5rD z@+u~XLB|-9#~(H4$S3c1pWx@+{mR;64>@rPFeO0I<1i&pIc(+e>G1(l3_JH(DjZvQ zN!p{T6uM>kH%QG(wK_wjbHnPus4g+Fn7N0`n1Jbk(bWSLWYpu(Wz>kdf|cmS6~dLT zUiS4}QY_m^3#e?8E+tLQ2FFEf8Mx{UcI)fa7gocHBSGa6Lf|q+(oOSG`37ar;)dLv z{s1#8uA&c;^As0n+)pXPhtPtjQBFZZ70^-9AvwVHV1x`iO3xnMb0Ix_LzwFiHRQR* zBz`ww1KI}B5q?Nj!wNs-{>cf0*cmI0-Ly6-P@Kt?JtG^$94#>6N8QmsYAkaJ*lo(C zXIC#ENh{gz*mIR^EDvOxNuShy7Y)7cjH-W^f`U}~u6sgG{C#*`u7)rsNZhDy`*v@> zI-IEYAH_DidJj#5*Bg6W&(ICNU7?B{*xd3J%XUK_MKpLS&LX4xGgN0m^_EAbAR6__ zIgl%#oHOsV5=<`AQKCtC13(+G9X>K6NCVxlhdE!Eg1dv{HUc(6)Z!lRkT$!1xx2c) zIlDOf>+Jo}?(3*abcrYQCJ|u{3+~-2&cquu!A?+CGndAmi*u!pgXfZf2P=*_0 zKAJ&ScG^0zK0wIG4dM!Wo0HFpi>XGw7chEbsn`otcRk`oWd3b%YZNuBhjd#7K;6JY z2vrF)Wda;^5y~f|Sma>3#QOs!q3ew+9xLf$Nvq|C$q-rKIk3Dp+5mhadVijRzml`@ zVM-ZBgCLEgcE_~XtWmF4h~m7k2wQ|d+UjH|Ogs|;Oi}xS$Rz+Pft2Hkn3In;=k*3Ax;AP1J9c z|v4MLi+iYLiulX31hT-QWZ@kiicmf^Rp%&ev|N zaLd!!rrzwtaNI_!niVHC2wgEv%fNTgQ!6jarHUXdOFEy6Ih( zcjB|J?@S?bAn(oaRe^Yys%JOl~m0Vv+wqQ8NX!3EXvi}j1;?#&x? z=QH64sVtT3v%L9u|MQ(_ks|vH&(JbU5RZnYOeZct4bQ+sKu?`6cl#k=hoXSqm)XUN zF6U!S&pWvht=1wR6hvvD!YL-|$+er=P=sX~w(M<^{(NuM^ds)BdM+)QU4f!^-?$r~!yg@Z}Hi8l6pnq5TQ}6@EGzc5JykXHbu(Q~*>Uv|h>KVFG zB-R0>03Fc=DiR|0{a~@O`0F$7=5fyJS*)A9et4oRSU$rG(Y)Rq;ZMt78XECEY>mq! z)8-m!go$GfYrG1F1EY!onP4Ji4~>qA{7z6 zl=v+G?~jk7AEINtMoy;E6`v#<+vWF^MX=n5Rwo2FwDdI$Dp2eXoG=UCC$FMdfhapd z^e3-F!i8Wh=+RM~f?lL){oEuIYHz6_lGbMxuc@_y>vzjb_sw}xsxK(@XGi$VGs4!> zjT<=nHDHlyG_GpVn)V!d7Lh6e0S*Vz&7lF%5?)ZrsWwSSiq0B)!sWqcxvI?twOovx7mUwxyt>0*}i_Za7dh@ z>Z#8>e+#O>H^6KR+6i{w^7PSSJF5ho;b7t3a zqDzOA=F)=N2up9|kkrrD=P8pB7v-EehLIp=(z{B;Ba(ckmi+?wN4RWJXo|w4FL#!w zE^4-&&!%Jofrb%>isS{|l3&;KC3UEN-D#yYDi3`n1}4I*_Q#M8bo(1A6Xb$nn^aF# zBNe*^{!_uy8nJ{_PA9eM`Jo^h&ktcwN+d@VB*3?!j}hB-k%v*&DlhBqww;df)Z$}C zA>&Z;(zXOu2##^)Ai&F@_|%2+jUjdGL6)0J;78sy?$)?0lR`s89E&VXy+0a_`=foN zs}GxDbMtL8mhyw&-v8~I@Uo~a3oqiKX&6nKS?tc!Fkp1eKqL*@Qd(({yw!kQ792JD zQb~aB5t1+`a0gy(iIuoG@t#U_v#5Y0bjozI0qkZ=`xx{E7cKcLEB%r^0FW;L@h|Wq zU6}_M)Hn(zwwxAv^OC-Vlu?-nqytE&z(fQ$$Hx#^Tq19Y-XY=M2|VPJH2NQM`xrl3 zB$gmA0=tk!dRO`h24oj8zqc88CHOYGFK75-4tNi(h2aRkNUihsN3x_yiWvZ2 z&fpgc@|qm3Ynr|v_|ssEOeZaKb=^<|V&&uvS%TzG0*DA;R9Th+BfwE?@_v|3wEmap z_~@Xw2bNpTs3`8;b4fI^xP*}d%7Qewe;qQXLK}vAD!B`93B&_ z1%Mpwc2)EUAZJ}K6g8Q+2+sU2M0)7{5*527T znQ!EIC+ckGu{%j|t~+Mv`fPu=KvOy*)x0}fE#L{@YlowW?0Zh@X`czE;7P-}pp+mI z!ubhkhGWQTwhbeqH&f&XKQZX%Ktz-h06l4@}L%IJ97YdA$^F`EAl8gbs<|MYVtMiy$`9 z<&@Drle2&OhIGHFmxleOO=PU65#w9 zf?(Gi{q)v`S&?*Qr_=K3&aLJNk-`Fs}muw(Dfni$K~u-Z_6dfV{e`P@5b z_U6QV5VBMtWqCXOvvFT**RU;{teUEg2gn225H$|41l~yGXuSf5T%G_uP!2=cNhBE; z0;5b59;rR=qdO{5X{6XA3hTWt_|LuF7X`xJu2~6EW+<BW-PlQC7|l*?MHd-@WBG}qT=JNJ~Pxmo#Z8%ZGG( zQPXp>*|~oB`SDecKP`S^p2D-s*f9^tpUAj_Z4K4TkHEolqTw}9{Rx;9P;|YTi4TPQ zvv&xVOpj5gRKM=K)*hAZ>Ry&kEyb4%WLdjgJ{Hko)!?TAfgkSvpghp`6*ExaWrIZHY0ye*cjL0tUEembFg0DsH5!0$NbB&P~X zF+<41g^JjKSz*T5Ec%fqHL{v?lM98p0uZVQ!gUX_W+0FW)g&(|9?3f5g{plt29JJ`XM7q=c0|ICH zg~Aa8Jno<$WsV>Y=vM{n&gJ06`DzWUgP=y&C+N*a>v`6o8$2+Txd4t7fZre|;|3{r z_UzuU`L)5y_E)E61P~nGTGF$+ngQwnGl05O+D`-Vo5=BingbrFF3KrRG1Gl(*(zU| z6*C@9Q3$R%!JU(k6wFyUYYM?@iFP0w;3)06gCcMaWbhucXh16hTp3BOP z{S7_RKixd+u=wQe%jehp{maAc{i~iF{Q0Mw>xc83yAQW_KOe1r*g=NR>W91S=AXaZ zys}$f{Y9sOX`JK6huf!r)~3B?Zliv*-LSkPq(bMXX5u?3d<%MB6bX>t)bqAmeg&TR z@d?iKr!-U787~#N&C&cVm{D$3)F#B8%7lo!zT4tKocpSmW9NZIGoL{Kn_oKUQh6)Bxo?A9pLu{5uQH1wZxGy4#*fsd;O@=&`D7lQPS1-hTx=X z*p`wh$Br#y@$3lo`B5ZvC`_KzP%DS@yEM^rPBzLwY$CCWkuV*>T)<&@hR_~?cT|AQ z`luP;v%`}m*0HWTGPp}Ip-z#(#$J4PkDY1*%oQN zS=v38pI^1hWtP3x8yzh%(6W$?_meE#z3DSt4sj%OcCZpj`@ zZh7v(fex6b2RX5O`8(QTLnw)MoXWQAb8PknS?jwIC;?>O=+~&aw z5kIb%C0Qo0>qhKo0n4l_)XZ_IaqsTk&M8YRgXb6F`&A4E&k;Ok+ZGhleMz9tq9Rzeh$6UlR-7lP#@r(ZsO66CL7 z;40FYL@mH>)}9x?KBkO z=O(v?k|k08<7Z3FWIYK^wP%-|=tkm4z__kt;+5m4BJ0IE$sez?Zad|mYpR|13n?jC z0<#`EmYacc=0!2`ht)ut#>uRs?HCT?v4zZXz92lK3EOCg#F$+FK*9c?n)ufVoFja) zYu26upst@XdpTyZAb7?NbAiaJlpSfS4$n>zEO5issEqh>2)D||Wg%?y)S)1`+?GS0 zaA!QUXsU2|ph4%Z>zPR=x{`e#j+~*fOhxkcQaT*J9Qq>}$ez$FQyZ2ilv7XhDHi>t zVOw%*NlQfs$KcxG;|4yZqe@l2_~Crh#eY11o1$cN&e4ysc%q^eZszEDJ--5nMTRw> zv;Zh05{{-T)yDJ#iDfF=qh)~r9Ag(-6h|GaqH&;wWkbOCB4_8>&hi|6p|iYBdA8lV zOnJWfyiR%fQ=acnFP}1&w(@DH07&av$8~^?Dw#5mq+;UB`tAjJ9}PkDZ*y0<$5XZW zl~94k7b*izge07NSZI(PJh$t^ql1mOAyBJI<49^$Azo3h3j1IGpqJtmJYs;9g}ZGC zINjc#dK04RV)Kp%Ff{e|_twk+m)^ubm$0Mpa@x!l;bUv&T3%zFoa5&S8c)%+vz+E0g}l$*gUbXE0eU~3>Z=UO+0ER|ttA8X>BFcDgcn+TW$Tmk`1 z;=8E93U5Soy{i_7_{V4@oe)U=Sgxxp)ZBLIGn~-uV%59#8JU7a!H29&0_4@mc2Owxg*nabGwg!sjEyv9z_-yBJsGU~a5uO1O4`h46QKys1H>PvqKTuNjvVU1?P`(PQ$Zwzk3GI*#m;Bwz{0;9`+J2S}9<6yV zk-2kkL@)>xcRAIZqt6)0U`3vpwULON9NP|s~X!d&gEOpx`9PCfk!YSFW|O`a6lJxLExEK!F;)k@Tv<=vAX z;I^q|ns-*B7T^xXL^X|*;QJj@T=oDylY4B{rZvpTMXtE@AI*$F+%6EyKPRrQz}u^LfZK!PKCQu(`r(clCnqO=&% zuaQvDn;74D^Kk#=7es`579Oq)1+*Oc^v&s}?(RZ0*Bms&#-$3)OVG|IrWOGG?;MqB zsz&f1$Ug}GSdWswlRHqrmJ9$BpyFp=9jz;A?0Z{Y_rp+nI>c|H9G>lZqIf?zh`Bjh ze<9t>>5f5_UT_J7Je~HOifh1i@ycXUE?fjT^V5R76JS{+``I-`H#QlSUeOW#$+I(! zb&I}l5)Jk?|I#x(5}#8N?NQGJ93g4XaW&T5r@G5**DW09|M+tIaPzqRaz}jin-4qR zefJ$J-`m*hj{snK|LMyI%t4R$Df(W#Sxf0|6x+@2q(g2uyL&GRZp=|Ht<>1U&Oi@U z{26wRJDCqvHmov9HmZVT#6vo8h_}!RSy)NGhtw5WDwi$n+Q21>y2Cs>F9Ck2=26N+_rEf{ zDY_FF(I5Wy2VrjO1yiTG45kr>pEcxV2I`Su!dgZJe3xjJ1V_>7o8=atUBB+@SRIvh zRGSY0TecE}l4<3UePl@k!ElLEG%Zp(gf2cmzE~h!)$_<^63%2$rR137$WJxr6DqhF z_9ye@nNS&+0i+IG?$oY|4WnU{mVyDB4gCSpv^a z54q2zAmeusI<8Atz`PigPY~7KQ@K&aB<4}j>&-L56EPX_L{#|d8X|gwFnPkgpP3aI zxKwl=g6$^|VEz77Abk8t2f9Xrb(*E?8IdSvTp3uU2Mg3Ii^KuwBEh3)h9Q{%a|%RZ z!pm6p#0;=VAj3HtLh-LZ)Axc_yyAAzZ%xBvi|OInal|Z1-&JkPwa%z;+U~jB3yb{) z5MZM1{SRqOODc~RARL&(Vg=`y{H)}2lS2Z3OVDSApm!>?`+L28ENhnLRB=+&C+IeA zw*q2}QW!+gw-AB)*YVM2gJ*@Ye>6pJA(Iz<$x#-J@uoLI-x3XoFHw%mx{n_v%Y*$7 zg8O3-dxSuSnCm0ESZJ^5nEJSM#We`Yvr|&GZ0?V#HqXR& zx9^cP7ho#J_*q6ehhDr~^g8G_^GZkZ(3)>}hwvD=Jy4$`U#kk2q_VNS+41sn88w00 zV1+`f)08-aaGIlVZk6F7mqYQ8hw8pyCunAL&`?TMPK^m`JI7c5BX<7R>rY>9#MFFt zx<03!_0)NU0Z3OUU5w;4`+KFvrI$VKrv-TGGudhO#~$EIzFQ3Z0FeNO8#)D1gyu)a zvkJSD3W1SgWlohlWov0~xpR)WD83?6{*Vq-wzRxgHV4cPRm7(_R$Y=Eg9=-QiQt(z z?5p1VtlY=_D)kc%YLe;XcS#JNrNk6nQ2m!&Q2mKC>7z9dKMd4HX-dzJLFC7eY@kO| z4Ex+TAJpv)!UyOUTO7sy`7uD^0P7C?TaUaEl&3lZ=R3SD!k;eSD+X_@Pg`Hipc?rX z>+xGI&sS$)`}BQC=8+HcSc}J17ZUsk(hrMi?^dB6xJ?0>8+EKa(G^24QXbqVBz%$9 zCYA#eHMbwzb@rtYp>;$&?0WEA*!2MGsbzkh_sc2p1KjEyDd#t~jm!e{&B)KMs6Qdk zfi5~(x9H*ypa$W1`ND^h%K2)~;lrlUYjxYAGGcS++}t#>=toKC$jcg|*U+jGve>B9 z0yUb?HPhB)lINF&MkX2>p{XI1PB&^>M-{^h!j}$qgb#T_97cE$bMYEPC$)ImxVat= z-`d0V##^8A3}Kb~X+RP!!FO?dm>%b+h8SAtZq^5mZU*{zfht2(!K6t0)p0(kBBO*; zEUq*4gBeKXtl15YMd%wyp$PTP;b1qcS^}TY;{=Bq|5*07(;3R0s;$Fh#HqD%r#u<1 zo3Q`~!}2UpQ*pgQOXUoHTr@)w+6#}4$c$PTpkqcWmI9d{vQW82c3D@8{4;m)%PG#> zpkw&@%{MK_9g3Ja_XIAj z{e1KJr|X9u`cSSPKjY`G_n)qxFtqcB-XD7ZD*yKfOuB#g=O5t4S|6fEGCOK9!xWaP zq?qF0ul{3z6jORLuA;K zSpTtyKB)3s$hS}Ztw=InwqA~(d(4eh6no@(&Xv&@{G|#t`N#@8V zZMP@LU?so^Qsu$5@pvJM3?@L6Zh7YHnlC_htxx2h3u7PTQyy*CURn48;8$f@mGBj2 zfW^JH{w1@)(7^x^up?#>Y7AS-sYB$UNTrfgn2fr@(D@1S_D{D!6}^3Yym{Jr`c+y^ z^Vhfk{`4!d@82^rB-iD_7hKUmO703vd|v#rd{D_kYPZkUN9~IgVlG*Y<8=)>5x;Lls1PhV}es-i7YYTnhpEXbbf}cP+=>W;2oCT_7ivSJDy6~B0K2Dn=&q!)0 z0=qyD?@GDc*8^l|McSyj`EGB2Z@doxy3u3|z^UE6n?JK%`ZwF3uRnjj-cs4aUy0Su zR-a%xQ1dR9u-_U+0aOPBb2@_Z2SBPBE0U~g!nL63#nlkL>2V!%6x?QgvDUM^uPBF^ z`w?Pgg_MTBQp(0ZJshIwlPp1xac|Tqli`zN(wNv>!RdoCCpLM_nx!TKPDz#fWVtmj zM`YZD*@-X&`(O7yLhd0a2MLR5?PIF>v>>!xrQ00_GNOiGL%?cwk)C2kfxHOJ0!paB zu_|MgV_BQUcQU7a$n83O2Pu_sVF^;@qe?}j??fKJ2v6DyQ@@69&Pp(&x(j@F*1>q# zM-zv~nBu^36clz%RI&hcXv~gz7-dRV$RL-)mB2|!T5U2eCku*<9~04? z^)3nR(~Zy?mYcTk;JFY`+}!4(Ss?fr`@-2xSVh)hdnJl@BRJV<5TZz&<9W;b6~kI#+CL$ zUZ?2;$rM=z*RiYtMp-IZ8&+N}n$!8}h%Bv7w;TTM`^p;^tk03}C4&r`wz;}kDM_J2 zvdez;Kd;`s12u&6QX8xslzA*sDYhHrEG7YIlbs@fx;^@N%mU)HS%p>?RoZmW~l6iurS0y7VtnV_E<8x zVlA?IO0>6EJ0cG9M);V_Z&%lE)IgOB@#7GhcWbnI zfT2?$CATYYqmT5xB~yWgt9!H^65%*}LR$&gV{ zJeq!17lrt?<35!G1!F016i(0MG~O?r>vDBcKm6lKIAlqOp3m2xkOB-2&)f>Of1kii z=;Z7&0fq#5>hCx?sC}!P90B%owLj0Rv~_db!W8Oc?AjdGH0(-rb<6gn$-QYwWc0-B z&pfQ-ZxFG2xCH_emxvv+MTom`gZ!j`q~5!#}ZQ%${E(&R0q(YAR3F$W)6+kM)drh3 z%l`-o)8OJ#=tqW}ERBedbWFbiybBj4ph!1B1%%kLQfFI{?yK$bjDN(O7NS3sZ59)J z-NKfX(}bo{Gg3d~xtu!%VbHuhxn0?LKUy|Dn8bJ=59H7+rp8%ZVhL{I{LwE)c~aWU zraG=`hG1%nBGdn_fALl%g_^t4;X?~lA_zlFB*Eh&fi+9uvsGx%F)FaAPE4m~axoSF zF&c@qz@>-o1)gp0ZMNVmfde;COX9g55%(;4!2`Bm=Z_$yYl9UTU7g0Ap)&`IPOrp# z=l!c5HJl!L0N9%{iw480IiL%cybMa@QIC4LIfE&Toc@D@V8V%Eba6}zx|||p5INHn z=qpx+z+#c;8(ChRLo^I0E%Xv`xteWmQFjKtmSg88jkgkW5pD8Rw6 zl5+%ypu@$q2W&^-ql#=JY*EbjMr@tk+$ftJsWR+uf2Mw8z>&FvXqF?@@$y8W9v|*j zmfmN1OM=#)fp3P-huY&tt2o`xrzjK%qX<7y;RMdIW)>>Z%4SltKzBN$OxG*mh+~T> z{s`n%8LLoNZI%)j)v^N~+bN-p@vW`I{-CV%15^{tfaxN2vU-fs1`1`RyirNPNMtid zfw2ofK23IAH<`v55JvzwLN@M8s!||qdF0_EMW{_er!cs;&DfFAX4qV)lOZAtXCnHY z`WdmTpvnX}Az)eLfz~!!orV3cF$Ka1RaItlwj*P%Hztmg;qwSPrB&1krl2UO|9iixa0tIjA=Vzyd8@ z*}CVO`kLtcQme0uzF5D$&T`UJ1Fz4M`eF&KLgR+rL8isD5b!adNnN*gkY$%sf60A5z$H4Qsm!5b+5JO8%XeTz}_=-ECArhD&C`qm&h zm|8{GLVh6s$F7C?SHF)c4IVJ(7MdOw<({1|%x*@KnXFEdJvd3mDn4mxv*A5f1cB}J z2;s~y>jBlB2;Mny8;PiYA@D_djZI~b8c`S>&BLfh4?5}uLN!)xR)t0SS5~KUhZ!Mr zJd44|VqO4J_HvGRsbGI`vSk9H1Ih~RE?|ymV^Qm~qRNxRksKmlQl7~~>SL}M)@BG% zstdCcE|<11$u@A?m4b&aWu@ERhl2?Rw%mO&LyCaxyrv@+iFZ*=Zztt7IE&Q(RS9iI zp~Efe(?3GZzR&67JIYQGYLZF9^wEI&gZi z*vj+RRiB&G0FREti`(%utH%nTh_oIssjs~`2!waBQ zKe^Jr)bWV#vG7{zWP)nWg#&d-qVEU3H4 z_HdDbcO;Eqsp-_7MPU(*q{*HqV^`De(KRAE0H5W;?Gh5W?vesR6V;}`gmv6fw3>p!li@0$vHHa4KM5KB>oaZI|OTHR?c4k2h@gk1(G=2 z>_1zv#VLdS%TpRm0qeTB0@EZcGxZgqUGz|5L1D9T<-L zyQ&CBq0>2Rb=Rx8Fx-B>tdo_hIo;HVy@UGzaAd zs>F4={h;VId$jhNam-xLZm}%~L`vS{u%&#E+VG0W-U|6J`p}vyOM&v6%>i9!NwY=z zc_J>S!m?N_ZoT|rJ6~@QN;STcF3|=K3uoCT?z(1SLxZL&8A*~S0Yb+KH%krl%h!NU z2M{ah_;i$eFO%yPrKq@5wj*Z;X2Ma@{(%ev zIcf{uZ?Qa{{_yI5ShiMQ>%7#KgyJwl-9S;aqHODiylNSr#EEC}98ng zvodio?=Mw-56K{{tgkTgGX7q(3rvO}L(C)O=Du`!TP?%Kb#;SncAH=?kpI?`VUhYt zWm(O2)2&hP$PRq&Ae1U&I~O2*OWrI_C(b5#pz;*+OQU$>9Sr;GWv7_dU~hH3?XnTb*;U}oW9c8pifX4gzTo}@VuO-G9!0yokj{_3jFD6;>} zL?HVcJL%_{s!wv9MdO_n9d~zoW7M$tx!lXDdl)THciw;nS$DVhKRrr@9`~0P4EkIG zit};~pkpTPwJ!Viwgz>3i%9Ym4a4&AQ+0waek4QT$^j5#nB!mO%Bz4K6r zTj{qWAiK~V*o6*JQ%`+I`?WM4%w?OIlFNTHfW`aGr**xsx)$Ki5y7W5~fJNGh4|TA2Dm3#!-|AZuOZPRJT8$BAZ8LJ8K(g zS+tXH7{`xLTk7=$Qx1_qwGhzbYs;ln)o;Q&GCu{p1+Isx;J2K^nb5U0c7^7;eTTH> zq+m<64fTX3ZUpQO<_67z4`n%(t`>+)SN0|0soaq3AUatPXOnse#Ew2+MbTd$uJD46 ziOvdQSUd;4H@n*c6b5(S<**Das%t{!xjLunliAWl@0~1Pb{r9!FI{}?NzO9rQ)Q(| zjjAR<%UYeKKB{s^j}s2{^4&4oJA)wRhXQ~D#Zht9%b3 zCv5>fTaekuNQ7d6ABw%3<>Vq0^aV$Q7penuGNS! z-XU8|$DxNhiNqENJilD|q+)^+bLJ@Z3gcly6lIcI_`Y(W@{O%2`ki)wHT1Yy0lN;W zFAYuLtg?Foa(RONh_s~hZ^t8ytWwvR5pj4Jeq=%4q?jB!sWK@(5F))uE0F#S{4@LH zVyyC}{OEF)ezwcVz>1kXg%D{)M3z(ZNLTD6+Iw>D%;`+@i>vU&t&z&}w&&>6x^%VL z52S0mKPWnA(_*Xv#VRBli%CwAk+C{^C-o$+e|flnLN7vAmGtCqIvQm>XbpOXxf~;) zAidA~Z$-3phR3NDlO9?4da;_nd=cjE!SGcGZ*vg{z|+4>9i+ zPVodmU9XT(1%c3yJk%TbM$!9=`MU+Md}W3UM_EMgyW;amtEmG;AaIqP*g8w!i$qNf zN6W_hXrgG6$vg!rW`VTMUdf)o6y8Mcr{0x7tlAxO0Oq_oTLHG(N=W+EVpcRmwS<67 z-_%0@6(Fg575&od<8oLOib?+*Rao@{+OuShT11;60ES2l@`)IW<9Wb~iegpGKlc%( zLL;f&5Om$8uheM`_z7Vk#7OgtIgMpwBIQ&$%_3AmuV6NGcEApN&yu2{15g`xh9%(J zBNX8PY7wqlI_Ra^2kkTXKTm`>2&{=-W!v5aJB{gVZ%!}f$476MFvpRC3AG45Tg;^2 z7F@=R%QV^>tQpvqr9rhTAgk?EV7=}~pnaF@Eo1kAETyD3g^j9=>aK|@Hy!MH{2leyJNikjHV6!?}pb6CHeK8ooX_}N04Z13c1}_&9(P>8N z9}M?1tWTQ#CociS;z6nGQA91&Ypnae@eSeD+43$EcHfFB7on zkmon1mz65X_%H&K7rI<<2n2>V7>&vBXoibrj81qVU{;_ZG-fudeySN%M{p6ttU^aA z+T+$RHz*4zwKfix^_@sNO09XQ4OhU-qH15@G4@4T# zQ!r4t7x9&b$BT}1>*yk%GkZfiP7cb_{VBl#U@4Vse8?|?N}4MoB1M5#arz_l2~L=> z$rMAaY$%UV&0nZim;$@S1GGEOzfh%_wm8P1vr92JCY11aIddoJRHxkJ}A z4{rlWT=%)qrGs~dju&2}@bbhN#XA)9|xN$ z>7okVHs(}W@s8?mfE&9VxMPYg?P9l(!mx%zTFwr%J)TSafAO)Z+Tmsf)mJD_yn|VH zsme1U1Og2t=T@HXYAqieOV3>;4h$aFplVUAoZxYWk>xMFU2NVQ=lJlIrSVymUpeSxnS4P$MJW?6!8^WM(A0%{+J^m!HALfsIkg#UE= z`1BgT9}VL|{!B?KoX=P|VWM_WEr=d;6hsd~L39+KgVY5`7-GvSXv%?7h!*1!Alb~4 z7PZG?A`#2*Qd$2~aj}&;OsLVpvC|rzoo|N|z(7Jfl8>n$+AE2|O-I~a$Q+ad6cE|d z&DYO2KVLUv%yG%oIcqrhJ+sE6-!luEgG_t%&GGthpiba`nMyY!-b%~UAZ*j-XkYFW znG|&vPwf;Lx=P`2@%A{ZQ%Fu((T2xm6^o^S;sKX$v_Fx1<*tBOQPrL#CzS~X?rCBe zAK!0^Z)HU3pI%q$DB38QPmNPF$LD)L0yM}zUc%>1xXG`94^n~!s@YgUm^#kPvzpfV zFv=ZVuIYd?pmJFGjwnv`XKu(`a0$6EM>~M7IMbKPy%6A^5`-i8f1R}$X z`CI%}yo9J&3s1>ZEj-<;m`sJ%DabZ#DdoG(xE28&*GqThvcGs~u3JJFIBr;7m<+)- zEUs6~!g2;z5;}rqvZ!oigp_UwR0p8Li@Ez|ee@j%GIk1Vm0io3PCBGoB8vHcKur-e z7o;EvJ4Q@CCSnX7&`0qXl99pw%ZV5Rz^l1&8iT_QD-R8$@wih9ijrvDiB90xl7YO2J6}l`a*^&<9Z-T)GBT3 zzAV}|$#F>oblEXW2b|g}_vuN41&Y4uiQ9Tew{_@?9hM=<{jtmw^G*z1fK_+C53PfegUn8g5i* zmyP5zI_JcXFnThdvuyPW$+=ybvYH_&@Zlm8BsyrW(|$~PfLZLSL3TAiqSGcF6sLh@ zMUA@7;_&68IK)Zhiyy2{OuMkZmfOXts{FP|0@VoX*uHv=q${dbXB!!%@@>Aps zf|M5?5OQFs%yYcg>Se=o(R@=V?IVsUEH8Da{E?0)IrB&?Q!8Ea1aONS>h55yOUXWQ`Ay;;2Cakuv;NOBdHj9&Fuc zpJ_^3P$SBL3U#!m@TAb$u%4culh*MJ!LcBD*~+^j(t(>rZNX2hm;t1I>XNknLAdN# zG06dlZ+Ny^!!!g91{|t3o;p810aMTLo_0eqSW22IZ{2|fALD#4Kr~rqtN7_EauBJl z+y}{wfWFU9qGx}5z^IU6ueYu{XKURh>`2@N2yg|Q%)QjRB90~v$0k;A{r2?4+2D(awq9GC_K{2TmWJ3wMb zp}rSSCx>VKj-g&%dHGWdJy~59o#_gJqlOmpAs8Flh1+4Y@7@_SUdg-%rOga_7n<0< zUH+Is1$$zeYJyBe3VkWE04(DVFU*zgx2gz8gR|Ai_5^nJwm152f7BoEjz_zbQGzSv>zHELjlV`7s`Q>Sr+34f(%VfSlRq7bYin# z5h~ykWR|Fm%Uf#<2ED+U;wV|_5G!VBMd$iZm2dY{8s8!BV@Z{APK<=|6C;3ZWE z%acA>4n~yM39~URV12%1>{o4Xd-kqb@&+kG@y}4Vl=5<+Riq2(Sa`XZAT!dydU^&* zuxN3m25Zg&QouWfi=yX72VW6&0_^mdoK*ex!(>oTmWv;IV$prH-bfG$K@I(o?Sa$7 zL_QR*B@3Y|8Xb-s9QtPO5*dHru zb>TB~dkIp8!tNcI(jzpj?;FVEXg*2)2Ia;9IE+}RfD?Q^({_$~0vSF;CM4-y)}B2y zsbU3!h;E9Bbk*ep`R<^uF%4y=myJ;(yXq9Z6tldloj*xx)ho@dN{sSJ7gG3S_@YTZ zLBe(5AA`pIxe`8szG8}2YoOpC<+wU!Oc_L42@~n_Gsxx0$7Oqjqc^*Ns*Jb}DaL)! zeR69;GmI+wPjwoWPC6z)Q!|)3<5g^2%6cVQRC&x}=1fngt1= znqAA&FM4&*?Mi{m+9iHjcn#0lsWEhhc+z#(SfR(+k{D2koui1ThGE!@s(L9dr+VoR z@Ig^W2~i#tP>E6jEK)#`I;)=_zC{R(Ib!w~77FfFS~T$L0S8Hc1_!SvESD36!g(=a z-^tq+Bf8VPSQu3tfR~46tB>+2`PJU-m_{tw%x#5OAbhJQYWfBq#7 zvZYEd8NK7b|1T(A{r+Ei|I_>JZwNu+8NnnZ01GA6eHpC{UOPtpZ; z!!9I8Nhphc166dIU1eq=(--4R8%}oH;C@Ku;|w8uy5O;I`b@!{r%alz>H z4J=90v*+vNEIP+8rzp+1x^5l?W=tcd0H$4uDGV4>kcYN35_qgpjx3Ljr)HE0k8G&G^71Rnlk<@{&7ZzTK9T18g9~U0a z0P-md5dSQGXrFt8`;!YoM6UdMI$y%E?JdsHR6CWv%Xs|L8H*{UCmaR&Znzco8@o0e2eHTJGQB7zaJWmh=m0> z^Bj$el~6%{HD`*@00$~6T5AfQUeke^(HNKm(qR_3u=L|0q0lKl@uVkdSnw()MWdub zQYySN`UpT;yG*vXHKy-Ozv0$0AwgAu0*B9LcClIRT%+#*G!OJLjSUETb`_>P0U~gU zk4{kPu{e4Idmi5B)(KrOXPcYMHZxWv+E247sEq1SgGNF+4*a<||Mc>RpC_mx5*~I@ z0{^}WU(xo^Mh8t@;0KiiQk2%yc>!&ODPcKBXNA4?+zG^mCyldiQm%%C%YUwHoQ-r>qgCy;tK;1sDuy#-w) zBJNv12&}H*1=#2H>%JGQQROYM@|o!2%TxX&MGu5+rEx@|nywbZ9TVj{)I*8Gla!AP z)s?d2EZ5qmHOT0Z2Tgs~yudD59Rt}5ruWI0izc(uHo1rsrq-eBlDw?jN8?2mR>>$x z{*2nQ9_Q|v;afvS%pAo}TvFr;fJLgDXr%&DhD}c{_xgCzu0%ABKHmU@GX{P7;Q^-J zEIh4fHr79*D9WTVA8z16Aq`Qn^*2-*Km-9Hh%3p10gVntuZycB-QN6^wHdM;rGP!# z0EkM>Rr+h^hp1HHFLyGjhbkM18zj$=V8YqfSjxW}rL09}I^eO2;Ye1d3d)WU!5I0a zZ*HE>A8zj-ZlC@Mflyw;iz7V-)O&_LOIH-1e9vTe{i(~#`p79`)vRm8s}bXf-kOdX zdb=w&X~M_hk84djm;}8?(5WOT6`Hr-lU(#e(jJlxYK7g1A|dyv>z9uRC#)jqph7O{ z1+9^aV$hz$FV!F?>Td=E^kOhRWKDF7cu(|=34 zjc4BDw?J;VHftv^P0kNfWVL()g)Upo&nu()CWfT4yMBxH1W^!JiTwd{CgN#H_Y8wFkE!yUvIC&`*JR4?6Na)&Na!0UDc zvL+MW(>X_%o-ECEC&TheZmx{#ZDc1|FUk5D48}#V4#6>#m&Vs-aSfD-{9}Ty*)NX zvXYkQNr0?L^zQBU04oZw&7#-8crio_G$TtcNmcPFk-b8Er;5ad!e^w3@@tyL< z;C`R90Kmle*bi~1ENx>F+CGw-hl3!!=EKIehbkhlGRe0z_dP&0f;i;K64z{I1BeYM z?gE+M8;N)o_ZTW1V}z&3Z0k}WF{u0x6Cux$x_A`a(-L4jojeOHiu>nee3EO6ZPrZm zGy<$mS6D;@(4(S)o(iLl__@=Fg@lLoPA-ZcXHhhH(z zVfjL#Yyp!0oxuW{n0nZNHb$TYviDdx8N87UOOT+|H!#?x#kwoOSU)%exa(c=(XC6Q z-EcAokkdqmI9lYr$e}x$Bfd2(@8cin6v7Wap1;i)zbRbh6y+A_Qdu%U0q@5zo*ymX z&PI;x3DRTGw>20^Y9rOA*#3%C5pgL1k+8}_?9zlvGN_NFdQsB^H|9`KPm@Z(pll>< zS11U!TXY?Lx<*rqhx?B^Utd4I{){B1|6{#Ky(bnle|Ck(jGdiFZXlvhnD?jc_ABE} zUtj$NGZxDqE~dvv2S0KO5}&l4Y4`}K_x=-9rmx6{+HUVZetf)n!Y4ky?tOms>M#GJ zm8L=sB$()ckHL2-9x>03fhTr)cIMJenG0sc56l3w9w%8+c5>YhLvdGn?$E;1O{c)* zDxMtfb}qUqllZwH@P9sTZr}gi8odIU^CUDRQJyBXZj?cR$A&Wix1H*@=J|s>(v!Cf zzt;+Ne$S2i+m>_Wb=gPyA75_KLwfrN&(h6@o$tQ;jxIs;hx_~X`tI(YEg5%oOfEk9 z9Ussv>TMs3@YFJJ?S@gSZSBEXe*! z-RNyp<9s;Dmo6IuqA(-4)HPKYMcOZQzR@W>T^3c#!hx{ekZ%=ZPo}I{yqxbE1feKv z+=})b{d6h@C?lmyEmQR*9H0?_sB4T~fB={jSh~A*7P^!ZK+E79fNNXA#%8`eN=P#w zP{PrW1EI7LN(pBxW=akaZ@_1!h6ulX1|KyPIi;!06iG`@jBGo{^${$1l{rWTbdWGI zJsn?s;7n!6t*RFcq)P*+g%r(4T- z+@2n+ez0>DJz@O}p>W8J-lBWo8(7}VLRfbMX_5Sd08wfj2eLBDSz@_1O(}~CNK&VV z_)l^g+JkyiBBF)QSC2BZP$Gwv?LW~0$=;U`lwu6gZh}8tf9>0esEpWI2!)R0ahXZ8X9jO<| zmfOuigo_n`1iZ6!BfBT*6KsEjC;uklK_}_1Ce@cF_LK!#6$`SZsBIvdQ~js|ab+AE#bXeRDM?g?(-WH%9}iU$ z$Ljh!FnpyZ4U|fU)RSjhq{`^?s($(Do& zNz}G2?4p`A3H;pPeG6gN-F&>v+i(;}mCj3#!L)N*v|+i z@sN$bxqYN&wA(e+U6y{1j=;9n7 z=`Jnm!xSRR^Ud~jwgS?UYv;x%JP8E84@~vJpsyF)u{y5L;R~cJi&4bn$=ic^lO=c^ zPESv9yq1eMJY_r;Y;}l#`fKp;1~_nJK_vEB+RcvcXVdvu&&cm!ZZHEI-@cUY6pu?( z1Ewq2IVWF0+f8jg>Iw6{y7{2q*x^5eSmtt3X_6nz0FhS1SR><91fHZ_=t&|T4h@co z+F*qzRi}|pvLX{38H!*Hl0-^iljU%5A?ieUL;6D8HUibtE_z`yzst{J^s{!FN|}^h zU7aVc)V`+b#q#mo+(H;bQYN%*S`YGYU>($~78Xs!3_8`k@VFsbX6|+1cLwQaaSej) zY9kVJ1NRHlCAflBpdFn$$P`38TleC~0Cc>w^?aj9*e2B4cXJ7`SIkJlf7a&Q{>2XG znGar0pYM?cbSo2NUr2=^4TAO{EUDnvXb&n;Z@2Hz=?^BdM$Rs@P6?O+?$(27pDrNk zpy%^5Kjy+p7{<1P_~hG072Lg z{S})1z?M}kkjby!y<0Bd!L8&RxiuuG75mnnAnR-C@=)MYq(#3P(MYq9)p+CuBiXje z0|_viu?Vtj(y!^{LfpC9Mi+#lZIBHJ+a~w3V=%=3@DT)S(u_CPhtP}|TMLt~A3XJ= z-Uz9s{FFd0IH^P_!h52mI`|Izlc)g0iRD%E*;TAp*54`}jJSbF@t39*`ZM*%1ec6^ zMDwY#^13Kx>bkcJ;L=g68jOG=JwgkdkDso8euTC}C4vjJzBu0=_P*ht@Oq`$@?`1C z>a{Rf7C=@^y&BEL!_86o;Q)CGb#h%4RH-=9_G}n6tH% zfpUf(Z+@dju#sqsGGeV`HAYel%2I=uvy4<)5}v{adq%uJT;F{_KcTOvh%ghU1?&yJ z5$o_Y)jR5Kt)99N0YD0rJ;>W@q64 ze(9_q!DbNnwl77pH8IVO=Ia%4Lg`E)9Sb!QR}qJ&Hm=KTtDp!5mMcarKVh79NF)zK zxw<4w@JOtWsh35A(ba120SU|bbg?|4Ka$JZ1~BTE0GG0IEwBL=eDOUxMwJMiWD*|W zhdRa{)AWnMKAg8s2Lo6)Q(yrsrV-_(GFB15wOL%;4*NQbRTgG9UXkM50-QRi`((!< zA&*k?f~#>Cx))waV0-B$Xl0!hBf4(s{u<@E5jI}X2&4Oe8!u_xP$hz5T@F+hr!_@a zCgKkZ1;J_BhnJc==&Nasn8I6{*BxRSUCZgo7g_*jGWe{Ks1lWGsjrUYP+U#~xXxdB4-BYXxss}G76q89o9_ zm|{dX2I>ad%mL^P7zsp3L>1UO)Y+q)RfIiKHkL?TsPBZ^fEF&Pq|BZFt1)V0 zu7;koiP=!LMxCPC*lTR`m8NAoe6>{crE>k%BrTC6mm)1Qh^)5^Yr^^TDekAb*u5PbZ60-b`F_I{j$*6x`i_CnW(@rLD_FV1G`8Ms=5pWRhozwC8YHL^SlJB@Eb}&=WvG zLKh6_NaKCO41ZBPb(pIeQbUl_r8Kryoik663Zt?G-1$Uq8=YJt7gXyVU1(bxdJx$O z@pMhm?Fk|ah$zU(nI3N>&&ilB4JR^o6*K+kqqOLILf)?SL4OzxBnAj{oyb4gUg2gsoSNqcWnmq)Va%cyS&mqc&I(j@4;OAE}xRzJz1H zMUl%9JaJtkF03l!LI&w|D}7CNxH78mnedGSU~yG}XA8MLu605EHK(U!7T`5xm)eX_ z1xA0|Ll+UXM(6Zc5MNpTy5y^o56D88PXRED6;H!3#u745 z!!Q))Ln^Bez$z_sJZz=%QL?i3LR6{j3`o??^CV~e{WST5K~S|N00HTY!JWu~b&eL9 zyJ$JnnA}iQH7lMuLIW72SLQ}3)vEggfyQLO zuIJ(SXfY5{O_1LQJ&on5l-De5-+BM4_rCWp|I!0sPcTPpxsJC#{&;e-SR5Xn0Ab!} zfZ=1(Yw7TXkHTiqX%Rz5XhK}~@JOI!P9Ls?UTVwj-m4J3&z?HEI|EiL*N34!r^Krn2GO%UbWBP@Bf)}}c7ZN( z7%f`ByIg72m+9G)#ZH*Cbwx2mW&7r6Q+4K~(nLesQf15yIdhhI+HRp&8`gJ|k@eXH z8oi1By)T^1Yc$*(g=b9(1-mlcmPv^uV!NszN?Gq^uPC68DU9ubc!OEMbE1Iha;ch{ z+T;ZD`IR;w46va5%mUa`h0J((n=^5m#85-yuk#tsWMoeH5+g78^YLSPvYmHP^ zu02QBO~opDDL|}(PbKW47}2dwog7Li;(-f~(lK6npstzvSbp>_!K=;rEp4(D%~yyB zG-?fhaSI!V#xx6!6YYH4ziQ5h&GGTu_?M*cDshUzvq85Yx!;pEWhKtjQrmPP@HZdu z8^-!}zOXS-67`cx8U@`$K@Exu@IplCha{ zSZhuSLgi%cG5_+il3|_e#m;WRfS^K{`Y*>2`$q1k611Ek3(fB;5-Z-F+ardY!%FMY z-k=Qtp$eXv}fq|Z-e9+Fgs6~jE z2I2=|6V9NY32MB4wnf>QHbV~tN}wD-Fv$3WLd!muC>(5QN-D9DnwX|DhA?bPJ!a4s zlv@}nNFi*S|4I%rqzmhe-gK2K%Ixb((YG5$P-DG9$3+ngUvPmYdbgd@LPk#@xd5+?b9Ig}z3 zXPJPVG<9ua3W8EZ)f>xC?8uLr37248%>eYg)U+tPiS#3baJ25dM`!Xy0q%!2qLC3O z8{m`V6XfV9^&p2_;NTC|0HKEeh$htZ(m<@IY(9$~lUugz>>BYCS|69MDz@1(^@QS~ zIrD7On~7IHJI=E@;^oS^=l2QKkhh0^?3REquJjWTP95SVnw3h6d?cY!Tbh3G_hW6K zq?H;U{prfvJ!3BkJ+UWqpjR9qdZnT4EVgsx0Z7D?9`O32xg&?-d5bhRLDxd2PVAr; zx*$T-FV9rV*@xnC*jI!X=Io*XHYV*3k+kcI0{jUQzO=_ne7iUxqhsiaRBpiG+U3B< z^TG4=!kF-EEdf4$fQn(&pE|x`^wL+1UiymBOJDIq)${TE`HBdd8rWrtc zg=}#X62FOa8~`*eBd<0?j&<}N0V1QreZ(hx$$x}7qCX+1BWOCJ(9KS!(4UY5znZP# zDlGHI_xfy>rcU#iO?+{DjzYjN+XC4XVbL$f^yQjzhJb$?V ze{SB#KhA#pw7!1&&&T_ZPrqG1-2CTaz-q1e_P5)ozcFp6a^dEuFF%VMcLkd%3yGpf z;!B70t*JNxG6060Lk0{><)t>IU_QIU4&Jjnc#-w&0$y%=d;ilT8m^w49|<&=486_%k}%e?`UW;xXpkT=Wd25VO?cCyF8HNuD*?^sUED0)k%lq`NO*P zw+1C0*ji9m22faVWWn=cE3rk$^^O5 z45vi(ZSvYGiQP3r}!~uN^O%)jIg+@+&_D(U^r$vzk5jzirRwl^t2~>s++N@7j zKcZ3ze>uoN*RVcId`Vk4$>8y{p^j0#)fy7f0qh-roBjZP^>~*s5xx;ap%G4PAf^~X z%Q_(Y0~bx@K6$~y*#XrM8mJ^!Rz~#M!hm`%FIS$EC+7akTgQr`UMW|DDKS3jDP&RMbqD#hlek}JZ*38c+Ur9u6?}z^mvmu zKM)$UuvKAeP(2nOj|Ke!zgY4I0)fDtWS29<4_x;80>mnzM%>=tG5(w3DDMCr#m2T< zj6#Bnd4$N*dIOXQ`Wa3T8wG-tG-Q~lP5NR(1}C|&`dUba4YU5W_DaouwPd2%_r5dP zE8()g66jhL@e+Q~Jb$zDdhYluhwC+HY_!h(2RqAT1`zq(g>XQQsutyR(1ddg$TW+V z@)%?X&sO39HLyS^{o3^RS@WX}r0Ml0e(tyG2tQs<*KBpAo^bSSgDXtDXG4g2gkIiF zaU&WYAD^MXk3S?0^^!UvKN${6olZVYJ+TskM_O}q)Ato2%cVofBM#PZJHJ>XV(+5A%<{5PIoBS0pl&32LWCWk^!bJK^U|0{mnKb|Sk?wkze?1bAcvD^4Hm&uR@kc0IIr!dGp1say@UePUgE6XKj54> zJgr_R1?nC;YF};9m}0l(zv5n5Y=W`UOy6_A1b(wVK2cVmo2FdM56!f{>!vMiO|RWa zuH}a%oi4tSG#Fs#gE}SFO_;1kf!rBRhALVQ!wrCkTeATk57j_qWgPMo(MOqrpYCQ) zU419>w+I_}YHxZmf7DreL&!l=r3-lq^1Zw9JeoWfdi=|EWgb)pIEyH_ru~yeb=0OT#nb)Yx1X-rvdEX(M4Cp)HLTUobJCd~%}@&rLOi4gvE`N1 zA>YH+1lhao{oVHF>n$49KK%SB2~o0}up2j&h9gVlGK&(J+vlQNT%lx4CbkFs`>Mn8 zofXCb#V8!9`f%kKbxh=UU+?%e#Juc4CC2AUCBvhH2NHCriaM<0orgJy}NRUYDYEi5}<* ztQr(}s4CZZcNZ-Q(lccza4Tp*@+zi;#?Zoajr6NZY~g?j_E+M{Myjv}$(k$GMPSz|Le1G6JRGFRD z-yWiylszX~H7R)wb|v+-L97FI2l`CQ3rfkyQLHCPFIJ9DHmnDRRjSlM)-WycHyZnG z&cU~qdpp;!e|r7?^@rCtuRp&2`Bm>4`PDz+Kjv9~z<)RR?<4;ESys$6Pcf?sl7ZAm z^BpDuC89uep$(Gz=WkUJ4ef$NhY~2P!?k1Z2SJ}XK5H}O{4gl6d=A%n$@t_mQC5sU zA2C=?mJ-JZxQw9?9U6DmnYkRuRpn{F7_VSjoKmYgIt2;RB*_3Pe8{*KCox4pj{pKvFnna#n_%8-{kzlne+y>xCKB^ zN4Z&G#Sf8rAD2?tIW=<$47l-GjlN

    ev0_4VWzLq}+u!$39{T4UhI@@3}s@*>dkomLnRsDvq)M&^H_SVFSTF3`Cjx-b?BNBfpRU&yB~&%kK;2G z`mC1niw6+rKPp}be4~r_7L6S_1oRClO~HY+Uhs0+3&J!v9g2#~#lFO+ZX;G#MtL6? zBm}Hh6$hL)k(?@ngYH9*j{;Nl(X^U7g|KDtpaPa+Ywlt>^P&76hYieM&LdcjE?CC= zO?F$*u`)p(5}zG%bKLE$8dEwld@*F*42H? zgG^6`bj@wU6#-I|nL-Qpkb*r;8??v=MlZfH+W5HTiGn?;6`NfgJY#uYKOzqC`38-O zK3d_u&|mR{IIhC~vm`5=W}!ud7S%ovLFzz9gHdqrO4U7*uIWY|nH!mw4=K-3^GV0b znqySZE%NDLH>(9fbjaMKt$~~$v~D!-5ja<;+(NohTRPSN)FsL}}AXdHXN8u3k%h{a6n2~bA(`;Wc<4>3Z3d4Py8g;RU4*b_o8 zieD7c8kk32xl9~Ifz-3MiOkvk9dpK$n}gwq$3vCzHeqd=n})J+ ziIqpDRse)A zF)g=RWQiyzS-IeBFm`Y0q3!M?DnAj6E{z!*@I5StW&eU{!3TvE%vS8n6+*GWZ`e7b z;{WKL%P+%mH-B|Ny_9817@Hy_EwBY(ywd+;x0NlVm-lMf0L|{6yM0{MDtk)%7J~V> zq)Z!#TDKZmGssn%H)fDq6-nzrGU}2{gBTGOUsf4F$*gprhYzJ=z;~@OEE|_PgsdWM zB-CfFWf5@d`YRq84{Md6q4pVfP8UOBb(^m>ZDrhykHaD)V3qDNGLKQ_wm7FdP##mZ z0bnj=T!5>lDw@ECx5}{bC@RucXF!p~qBfUkaHF;{6d>khN*AiYK$?Rk^lNQ7&u>qV zDWEd=GMAtgo}&!)rgE>m0jb1`LM;ai>S^t&Z&_Gg?Q{_8{@SX!%nOU)h!wS9z(~Pm{LJwX z8IzZi5qt)+R9xrbgHPLpg=cR|r9C+td$}tmCXe}W{?V+XtOKT6%_7LAeTTg0oXs2+ z32M7R?#gmJVp%N1g>|i6?WTJ(S0FYB<8a_|CnQgN>Dy+)BBGdBce)+$iwE z6QM|N9nq%0+!@|9r8s4vX(*Ve95`?(JhLhn?^cF@r#WazWJWk|p8cdFhhsb&}g0A7*r)1=9OekY7imdy1thJ6-DBLfm__Uoj zFWaHb0EVao^vQ!JJ-n>sCnyC{FeXX?wf`imPQ)wz4F=vd;=H3Wj@QkN;my^>%8dq! zJ!cnkUAN9K&k5qQev72Pq-Ic)i~D^RDuKVe1B}>+fGgLJk2eobkBGv*|McbY_Sc(w zGd-OaXGs@{qM4p=cm~WI^yBJiMK`X`<7$LhFD$Vx*T+{@BsJs3vmK>n(s1xQCXGkG zV-l1j&TeG+-7flKszyVxJwXVXuw&Q^YQ+XMPJ+u0hj zcuu7D&DL|r#e{^fIpvP&C@t;}yHdUOF(Mtl9d9YI$-Fgv&e;0FA3S19-_e)kkOzWZ9Jvslnsd z4~>c;i}N_D7mC_I!MDpB!O~3j$Jz$~RG-8gu8#4_(de3~ha(;wQ)MB^mS>gomkw9H zrT#gxlTP9yu<2<=3b(6-q#_khsLf!w(tC%w_7z1_Q2Yy^A%Vq+?w$gtD5X(Jv@j;u zKojTIDMg!2BXts}1xN}X8&2A8>bBV;!bl?p7k>zBk)d3oGq{Cgk0VRc^LcRvf=LQ zkGD6UKD_FMX1Q{)r&lG_yqO?OJyBaCYIW~@hPVC0a7V3A=OKRTv1SRp@JBz&od`I^#GJe zY{gj4!GFb+Uwd5Sb@<~Fe_yZSfFPY@*ebuFV~GD_`HlY7?;~w0EYLX%Q_hh9x;za+ zpf*?$0o7?LW%QY5vAA8-Uv8Z;?jbS!UE+DAE5t22jmts_%!{CI?R0r&INIz#mlwqu z0}XF=cz0w*L%zy)DLmnnTzI6HT0AGyI@YNBbLG6Iu>=q)MbiXZX%dOdh@mStCQ*Zo zwj{!zh$SF07R;t(Of<0-9N*80N&XPXbUI@4z`{)u|mu%CKK|O(Q^Vna4!seY5DRoLc;MlsdtrJ zj>*Rr-@GI<PNuW7(4m8o1Q+t~?b5@${;S|0)vo$mw#Vcx`SLVsB)$P(X5g0P zK!ppmrtlMubHiytWx;;wqR_H&LI~uJmr2x8Grbfyuh9lKYIC)kU{JiLLrOM0V)$%i z!$5GU-JckiV-^+5Ns%obnAguL$!eqpego2y%!G?mU`@^eB7hRm9m`cfYX?c}ivN^s zvG`9(53}115(_mfix}ogR57q+R7a>bR>ZrS5leR33+377hyW;+_IqOu_u4;`I>tIb zMIEE){C3ntA;PC=f}Yf$!3K;H4_`=Bxgj_Xa-D>7xM%c&YY|@Us?%UOCKM=K`MG#I zviA^ge@-OIjNKP!j9;AbJYUnVd6xCbl;?p?WXj%)kAm_W%M-+kw*olwA(NM9;6pr^ zE}g*&by1`Y)Ln+S(wg;gGlCN^(H^9eZ~JCfcKlSc%ELRcS~`v6G@|A(@D`E!$v}m- zw5BL;;n@Y-iAAU3%!cs%pf9x|O8-j!DVs=4lddVsNu2)&uM}z>K=ouhypaJh{MHQL z#R*Pr*N9Vpb-eB9#QGJEF0rZvfKiUS@(xu$BtxQD1M0)0fv~M41I? zJJ-ws;?Mux>m$eK|L%RufBb6?KUG_BHB%J@Yw$ZvtO%#;3grvGOrl0;zJ^vUq_?b{ zmKBefp)VfjU2#CW4cR2u@;5>^LU$Ts`QcorzIp;%;#2}q&o!0(43vdC?_W&Ny|sd>{F<`pK_*dRaP1zahaviB_0mMKKjd(}}RfC|adQJ|uD z*Uxr2ERs#$8&$HzPOx992vKoz$QHwpCYH2(n84-B`AC3^1aR9_OWvFluAUu44Kqvr z*su@)6=iljgP@Z5EI;@tgG3n`ZRy}<7(VcmRK=F!p=fnce*^zZz)JdG*{*?47kmVz zpS(S&tQL`&ho86fp>3b8f8OCg{(gJ+;Whub{qXg*kMJL_Xhs!)@an0z9L5+nuDl0w z2L@22lp^I&;>U73OO_sHDcHs)NxPqG+I?$}30GdZ_$*B%QM%5g=$EsNp$;Ya)st2W z6l2Ge$&1{00#{3ASJQSKX{B=5_H=^3aOHF493)zTy84I$c6O%xRywJ&_3D5XuRhg~ zrV740JYW*DQ<(;u2qbPMm5^7wsw$q%+s>$`gr-Sty%KM zW_S$K%;`OX=$p!sV6?u{>$REVAIyqSVpyqMwvcD(S zG#ixn+isu!_61$bw=l92Em7DKP2I%B9hW=!kVYs5dPa0{wAp>ui6T>;kDKt{snOki#yFpO?nV$P?@~LSBJHNm+}uH z<0eGy{orZln&VWh?pW=YyS)Rs`8Vdn6>964sKlmmMM;8CoNvW&-;=Bs3I!Bh( z7z2+_IGqJo1wXY}Qjruj1p5-H;G@f`K1;r4`)NG7W-ZG%+tcHt)3;dz>BLk~;|;f< zH?Q-0uY9(D!;SAGVi`Yqc4x>XtRSMa6G64WV}x$rQc~wxnuq9aC!1{;w;`7bj@uL< zQWS-3kauOK@d44tizSsXOk`h(Ukv7!(-V}E$h=UM1Dzxif!LP77KVjP7q}b%u7Af2 zeIoM>S$qel34n6U&_WqL!cW>-$ofcJVMhOs4-5k!e!S3JYYlmJL7Y5`UNx-{Cr12g!nB5EgEGC0vQBAEoQB75b%p0|;htn` z3L`1Ug{V)Gk5$DH%1ZDG5Z_H7q=CyMXOwS%m<-MT5=^UOz?ouYSiY~^)m?bFFIcUk z8b&`PVu@d_VRm*wc7{I+sT?RTQR_znVz%9$trmz1X5C0B+y_I{ksU0gqI-v3zV1Kv zc0PXCYB$_J^`Jb$%KU&@@$G}8uHpxZ#Pw3xVbyk2LIfjOowUZ`S#~Oln(wol21I34ej1A3~V@MWogg<||e)w>MM!)~$!*3<8YkPfnch8=wsNKsa z6xJc&UouvaQJf4G2a{BYbJ<7Y$UzSat6K;QH)*vrppvNZtE@@*aBv*B;`tQ$vx4D< zwgGghYUc#eeS+*Mu2)WGIfE-{kK^ppX1Je)1~3-sdADI>cf+6M^M1RxM`Wyab-xopy> zKbUT7FS6$^0uDDtO*3A^7XhiOSicqr0$J9)cEIO}X;aKQG-5V3gQQrd5HzToYQ{r6 z;G5Hn`SHTV<$Qbdk$ls!Uzy0qRD!I z=lJ4WQE3gW7Ak@606PBRZ#Sgmpp|2~HAp|F9sxj1l&MQAM1-qMvu9M*GK)RMHAA5b zyGi#CIiBMoK%=uR9w0)fs)_c+FFU2`wLDuP;>K~T=*Lg@@BjYs7Vx7Ve-S4ie&yg4 zfPbRLuMc;hpX>Mk_;UMjvwgUE|K&lFJ$Wh#nFE1&w5eNril2xMLfOeUbG!VpWC3e^` zsyjsg2P2UvKdutA-yD7DP|$-7-7%^keQ^p66d^(^xi&2SRW%_w%ZJGOfeH`zWWdQC?hq0c0xgLeFSN8v4iK(85_-RS z>XkNKHzj~GGUT_YuLLV!zL{>Xt`H4`sP9V8)$H8;bBWqKSG_#m|2-i7FMrT*ARm+k zfLLEfm4{kM!o*Ck8TM0QMBu3;vxOuqt^feAD+vSe14kGBLjDZs7!hM*5il`o7#oWz zm=?8_7z_Xd%5oN<09tTgw3;Nf26bNTxqSty#?uV1@a&!W4Oh=MU%|}+EaY2lERuMU zN&~{(M>wd%eJ%j zj{{MJkf{+l*RKufxu=wL5kh1B6uC3>8?~aqDydTSg*)MYx?RC zE47<*y5Rq+t{5%GiDHFXPJp8e!bVbyL)Jf>G+k`P6Z(hG@fDebg#MQ&F`@r=%-SFP zj#=%T>}eUNvtS5NQeuJJkjSJ4$(8t_Zba0_hG;d#MI!Bsp{_k+{)us_vxDCar51 zmb2&KzoS#6&>?;Q3N7T3esp~Nx@Z4{6g%3y7R}u1wy4D=-UI6F$%rn6EEi$s`RHX_j!{soE(g~&IB-0CY6wuUAe*@j2K?7vlIadMcD&_w$&f{)a z1Xy$Sdinnudlwi>v;02jj%U4oWWC<_ZO3tL#`d~;r)Tzl_ui_ywd)=GzN)LX>ovEk zx_j1`OU-okOtU@RHt7$-p}F;R#jgdjpFAd&zA6dno@f`AZ05FtPzA_O6VA_6EN z3n4%eicpmB`~4s1^_{P3#@?=bzH{z(zwey?`D|B7S{7P(_W|d)~ z1iLz<^B4lWoitP-7vEzd$#^ni4Wfj~FC{pCc|uc->JekB<3PXgm^tDMpYH53gdgQ= zASqg)<7joy;jp}{@8&omy}S{0bhbhRDb_0-YfE?lx?*RUfZ|v6HWl&M1r6;F} zxta!{PHQ->1ApTI(UHynQ9nX?DxVYOD$a5X@#cvUgMx-Yhn8Fy#SKr2CMySPqQD8t zhhu&Fx}Q>OHiZwRN>Z#Nh!cZ(4BKmhM?$Q{45iUP%GN{y@#TDkddE+3fiAG^cpfQT zK+2Q6c}aXAJR#hN!Ohf)(Zp|1)ruFOnT}vlD3qwg*jck{LFIHhm+DbPnKrfTd6%G$ zSp^~*Jk`nzW+k+P!F)sPMF=bral;#rN2TlR$v=9;%HAd`1s)Yg&FF=mK`| zNOPc-_X73wApKWoD{x<5#~(-{k65HE+&SzYuU77u&+DB?Vd+*ye!?nz2zRW`R_upaIOFBD`UoH$%S8d9m`p`}vr6w)cBMUhZ( zE^l7qS_SEjuzaW+vWlVzQv{>|a@UOGLu+2P)eM{n+ks$vGz*b1PGDN|;aefDB|r<; zhN~4Q$>(_^H{c8#my_)T;GX3^ncU4_-g5+AA&Hh&CZ(`eT3ISLqgXQy$EXht6IYj+ znzN`gd0mo+EV(|;OqN_9XJ$WiZH%=2(#>nEpe2b`uz&?}6(bKeAy|T5oqqP>?D2cw z6#z4BR?)0EKY+EHcL?4Y)_PGC8LEF3DcTKFwAC3c!N_V5AHbNLxX{`V3L|G4)mmNP zaOjY3CEa6POo1%wh`TJyH9bE&rjjU6D7B;I!O55AcU`H~g6AIC-ByV+Ey?8`;OIes zb4ZM2XV)y0$FdNDqWZxI)dh+YPAnQne>DpW;RlTa6i+5f0Ch{1Z?#bcJ|k2sf%>=4 z>5~c3O2v$l4V8oUxZyJ}d}L|DnSg(dJ92MrUC6GrfArxW`KzYs( zse`Nsl|}Q5xg46!6%ijnp@&pksxqn_YU5Shv7DAi<)QJ-Emed*0%fnoMStaDy}oYf zECz`t*=- zYeM-@|FmS(h|ywlreN>l*VS_nU13O13h3)|x~n2co)EZ9ycXRUcInggnx$=+BPzw& zrK-YxEvKLiHr(+Hksmptq*MAk!Q3Fb#H!|{wINLC7r68If9-jM4*(ZfP~+qBnGu14 zymm>)5%kbY4sYdz09-1TvU!jA?Dp;>U@Vtt^Etm|_1kh^0i%m25;Mw2Ps};e7?n{F zQwHVgn+$hQz`w#Q_?D>c&pVj@aESWJ8r93tM+U70_0Gz((#!-^Ty{uJKthj%RKvT; zYKwF;s0L7-^4F6+CoS{u%B#uNP8$itk#b{b=5-L^E!tPz^A|udnbJcg0~D-ag0Hsi zw7ZAAbc#aA$b|$F_6N3Vr7(sYtT}YK`Nda2`jC&L8k1O zuSl0&E4k|uvVrQLlxgtC0yY|02|(v7*Bu^PvvP#?ORxAmd+ z1VTT2duGw~xQNP38flNuZ_m`|t9O;+43>x=I80y}sGCWap@e1DG|r@B0%F4$5WpDK zmAvMSMq7=0TKhUHpQ&oBSwlPR#IOz|%t8eH2*NmMB5$C(D2ge#5-a{p2bn_vI=91kd0_`6tGK(O*&?3)>LOE*`Ar=9ZP*yFZ3IUR)NB#tkH?vRB5~robctcZ? zW(QN`39R-{C6uIc*@3$C9eD~X_lVxXtsofNy9`Hl5#*53ve{vE6&jMaQ7r+An4XU= z7l0rco`cgV>(TZwTt!lWzl7C*@R>!+^1<6C+uABcbe4{@OlYeeH*s$doAuy%BsJeV zVPg<0C%M5chQ6TL{J0hp!|T4E?QhA3`ej9~J+vmqZ(BueB2T=nH{0pI-lqjl)Gw(n zkOY?j1Ue@CF)GvY90|@EP={o2jwc|oQ2hFdJ5v10i_ttW+YFg*r}{g zb9)D$&T$IjaXf|}mNjW$`ftkqfFPL&d%H%N=j;s`VY1|UO>P+5=pY z;kVi*6tXEk2JElQRakv(m0~}EU1P<7Y$DK~t;E+J)q}1j3g%L1`e2EQH&EO_8YmW( z*VgMKOt&S>!F$8!BXMIBiqc0y!5}4&%0l*M5X0~aNaG5Miu%N$sldgl0a(#5DmoTs z^r2f~3IrS&WU7}Fa*+!@LM-gZwS5|~RF$BN8%DRX2~6hEH6eML1CVPyi|1{(V*nrG{13;ic!c!`RMBT!^e*vt+Nv0Ndq;U z-T~RG>~BITTTAqXqJ-PB=-@3f$kNk8WZl_GqMLRt$@i<0;27c8rMqHLN$ce_1WXX< z5ARE`BPDN{uhC~n#EvyoBXjwxAx3-52Gcki1qhU{r3US~X%3-MTs(n|g2o5sdw}(Q zw2(kf-wYnIQ9$(SYz5Wp>lE3O$PI+`v`&PAIG%$xw$uBPvAzq{XOFK5K~0a+EN6(m zVFV8BP}R$hGp$M4bZ7L6$%y9-FWH)@ykL8czFbuMw!Q62S!!l`>&C#UZ3wEw&HKv- zf`W^)gVXSMV1-6z+IS-9aG})T`vi zNDpB~$;A`z?glN^!skMp4A@%7@@UkiUhS#)^>-M~Wi3m_a`Ax^mf~2D*ztJe^Qix)o1T;5lTS{%hVYK|7 z{I&otu$`@;kYQmc5YHpgW6R*M?nQcTcw1<4%g0vV12`v2>H+jL1Y7LSnFfwtP5kBk zBRIXW&CT$>S~N*rA!sg26(-|rsupPR7JNC7*d&Yu&IC0&4YF2%sEXqnadExW zi1TSpzI^^M{mWi{6Yg!)laXWRj%$cKqhH*dnTuT6Oa~dr*AT2ULWo-cJ3Rcy7SD%# zGJ@I}a?IZ5r@pXv>X%S3rmOj$C=OY`!`AMMy|g6{WCuOxchZ`B!)puaX!%m;3!_u9 zw2V%@zw8L5|J2ID>YV;*5u_!go2aP46p_^YM&0?WK+l_EhT*3GCD`t7y6(9H10 z-khUW*p=iw^9-e&>%BEg?*qDEZ;1qDkkrir!Ohe%tjrp$3FP4)^p!46*SO^9kejZh z@)Q|~;{p_RocKBPS!jXP%YLJLl2BuNJ`8qPEsbdLsfkiJL>aLIxLHbis@MGo+OzT_ zhG0q4R|NF{AJd?ieHwJ={cByER>9+IYY<#YXQ%UcyPS!@Z#GZD}XyVPD}j~)!gtjLjJsSs4^ zRnYYCa|oh#d6bb562h{E99OyMPu-r9$4(9ph9l?cX7DQ}fSxPZVhm&pv{1 z1;@_DYcF2&3+g?b2yXA#osOMI6L1cyWw{|?#GXq||JZ~@&?fxEgo({&8iO*n zfB~`F7Cr!qlX-r5Y`YtY^mLJZ_Q@gZZ6uGg`nXK|ner(wnlm=Nn zg-~I~qx864VNQQlf)Zu}fr>4ykZQaPSRQRi1mj$RQJ2R}D;#(`*U3{*INI9kZ%J7I zp@EcFnDUHibUR7uJ=I|ehM>o#W5R#6I_C|DA(Arqk`B3lCAxz9yRq6M*TGX#E5}6!b~Vu5pi7H=jTvpUN>j;7jMO%yeLCHt)dN(AJW2Ruds0D_Pn2d_lRamF zK*T^i7hM1Ia+82R!^v1mpJ$vcllmsxXy`YZ@bLp$4920(Fw@TsFY>DeVq}ww8R74A^3;AyJA9y0@vvMB(DdjT^DSiisJbg<)6F@y&e z%L%%EIg!6>>x=rj$;$)*Ne>5wcf5WPek7-cLgShpJXbhrK}t~c1%0Ln?MmX)%PB{` zj_(Sbiw3`qIMVH*YxEya&O|g2IBUqc*2N3KFbLWh#G{&bwOIZF$ga zGBXWQ=~L~dobz{$8ctlH2#p8yxKsSqg2GzIf$vqF@|#u{$X&zMp6DwIwLD+V?k33F zxklf&)ZFtR`*K!(HFg|jQgUKdU9UfW_T=IUX)=BPkW#J4ccFsP%f=@_d^|9tk-||{ z51B4`Kj;!9Azzcc5>>3K^-jpdfg0!W76@J+O~Wr3gn&>topdaVVYF{m~tiyE}J>iWK$03sFBbg zZ+Zq5XaTes)*zn^gV4$_d1P0ma}~l=Tg3;4eI?RgBnGsl*Xq0ikF!Y-c*+Hm^I&!! z+e5rw?|eRDV?`FwfESO618W46p*s)KOcxt6yJZz&u33VZadfqTS?-!Oz|tGnplFG7 z$02->u>sPkY6n1zqUk0&0RzxZ_i%JeHVrD&V9oXy@_r9&j*2}(xl`jGcV&uS06Ru< zR5-EjC6$A_X0e`MJOoFYwe-4GX+=YfjIJU!Q>((mgi`>jx41q!Mkk6>KrI|22S|EX zR3QCzI0(NYah#Se9!p2dGzpPSC!{F5L$XAHsZ^{Q2~JAjC^P&hw^=1V8qdgMiuPsQC?v%h+&-=o;3PIys(mYym!h!%(>w$Xbg4< z3%rza2(+fMUlyOu6d|zDu(A2&%BB_zbVC!Wy_U8t;eM@|$}QV#^sd|qO2SOQsFb2K z<1P5ZldItXO8p$V0mYE5wbY)-xyH#VR=iq6>GL@*Nl}0|HRHBQ%l}%R;2^f*a)Q zVwtED_k4}QPR80*mw`yBva=chr*%wm5THcHGX2tNo_hCZIy(nS|laU5`Q_#{6JPDHkuV!46V{ zK;1QRby5h(^XYtV$%+cHldu6AW(5l&Lh+Z!2^`fI$g?{Y9t{Dg?P zA}a5%lA9MZdRIw;+l6lpxzEz?)J1$1O_s}()$yUEF**F26|#Gs9H|&-M(C$KQvMN0 z_sKqrJp*<@6@Zy7^AQwvC|i6HLvN4d%FhL+&6LQ1w$M|W5*cbA3Hy{E(6Q`m@K|JgM|3H3b$5Z4j zYOwwq(Q?QmLrFb=WqQ0~CK3=?F&b77&Z^R(3wR%K6Q(z9datbR7qX4iBejrv>2ABz&ZhNBrb3b%>kDN5FoLsm_((;o801KG&poCMv|l(dxS}7h*h&mqeUcXPfs;Mt#>?14$L$j zCJ`wPN!u|Ex@s)_R{r=c0~Ya_lMA3Mif;5owAdMK~98r=6nO5>gmZ&31!-aW%25#_A!hFj+v{Ry|@5s4xB;~9?TOPqOD2qd%@Y6KC5 z6u}z`^oc@>#_Wi%cH#(V+MCDSfFv7DC^rusaS+#&2p;tX`p8o91GEhE8WGLFZHUC0 zeFxVBtmbN`l%grZnviTKd zhbO2o4lqV2u8Fxx3E<2_D@@CDnvoVoBM}@1$HoX)sx(rgO2(K)F{Lq5Y$f0MZ3tud z1fra~1#I^E%I!>tzP@t1tdPp>5_q{udsTo^0HL~gi&v7D;wlxK&sNi;(<3QW6q7KI z&rZggfH?y(P%A|r1`q!n=&3{cwdQaUcM^MgYT>}vvwKz688if(feSHYY^^@ICzls5 zt|pmJE-}{#_qeCA6qDY=#~-iXyL|j)fa| zLDuVnU65Jo(-n=gVW^F~z@nQ^`%jDQ?7h@yq zr{$>Lx@I`qypj21P0qphkM1pxT=w8`IBVAlC2FD+!t&HKS)(#RDV~v7__-9u1#JU2A?=X^#MnikNm4{eN^- zTaK=;Q&{YLO`y8Ow%sq3yk@$IHwE)KJ%Bj?g7N_Z^I>I;UOt%4U{b_3P=-N9&ENrh z)lJU#Ruc4Wn2XJXPjo-oAs$~osas^a+N1@c0{0O*GZ>$Qdao`Vg@Y%3$pwb4CHnhJ zcaqbC;4jq@0=Q}bxwtf>yr6s{z`{(1LPE4(!|KeBtSPi{_3`bi7q|KkpY{Kd{sun1 z@z&MH>lc^r^}mJT$6@%*IQg`geaZ3HV1^qEzS;i+{ZBq%pu`6GqonY|n1LJ|mj+1E z2HO-?h4O_Sh`>d7x%h7Vp|+297VW)?f{qbMZ!;FZfJpHsfTmKs1Z+bCP-(N=7keU; zgxo-Y?qF`Jgrj-7fKkP>k;;iefG@v@_qW&x(i~L*hagGuy_|&>bRTniRch)_FTIce*C>|3MnLPt*< z9J2MYSCG<{+XIxR=@jBP-RxXj?0`##gPWH$Sc2CuK|{(BuTLmJOM19h?N|aVoMtM` z2SrEv;=>5S_Zm2V0hP)e|X;w5E&!cTTh zi7_ABZIGoD$76#&ZM9e=KyHKzp7)J6G3_67Qat`tPF-->$XGrjkM!vIvyU#HA}RE} zXV1R-`11C8{pjhf{-c*q^UJW?J$ib(zX5>+g!9(Mty=?(9)0xe#X7x^OeeM)5p*PZ zM>bu{OFFf}{^4VTeFv?El;l)SO+_pn&5R$TcPPVzdRibk=OHT|zSx$_A@P z66VGTWv9b1iKY={FaUc*Y;irO!sXY>pz+twW7U(!wvRS1(lqqli zY(i+6emqC&zx)Yh32Rdd*e-}=fi5*sQ^O8jdrAri4L#<9R#$xkk$I@J!TWCTwO+sY z>_b5GhaX*BZQ$eW#~*(5yKhOF8Yq$9k7yGaM zDn97)=!s0>Cv!_ev^J5Bfnyp^23xe99<)*`JVqZ7xMCs=b}iA}^4E`fjYJWvvEc2f zx!EXint)1JPN)b(wQE6zsCF(TNJP#eZi_nz_rxzby-u~$Mv#V#YLVc~z;jO-m zl|nI#WuN_N4`Gp9E}(r4K3&WdtZ(Bp3}7RUKr7S=25yrErL$ocFFDYQF)g)E)5yC- zWx6)q#r7HZMp&;`ryx%_JtOBAY}wS}rvga4xW9NnSK1skp@2BqDWy}+oN5aMzNk+l zCrk{%Z3IefKV1a`hM%K=0M?`ytrkL=J?rMcB!nd;_~IgvIp}4lk^o(hB8|@OQ0L97rC-DF{zSGm{b~b?rJnGi9=a>3n{UmPibFT9dN5{y}RkWm)l> zBCpt9GM-FlKJ4d6hh;Xb>%RjHuFnEA5nf<&G1H(G=|#=~debJC_#e%DELPh*Obmf0 zgZYrrenJ6egC=BFp#eDz^rmUKW2#jwC*_7>LqIvQi1%(1L*G0ovdO-8EzvE9Q&u_B zfmC@Wk|BmwJ)la|G+W;GJ12PT<6vC5J)!p}#)+y@V3k;qrEmG`P~`xj2snhr5@KSK zF_&Ir+MMt_%E}NH^$#4@c;YRo^RP4Q??iyn9Bes8G?@++2eQjf7JTc|Ndd?RUK{T{ zd-?S0c53%MJE2o>xDglK?#n7rR$Zqo5nvr6PALYLJ=?R`Q&C&gc~+4sNT%4p5nPOa zsg3jf2d*^?>p>brL}{c?qz>v0Ty`cM-=S$)$BimA(L&y3WM`*ywXSJ}8!G{p0Apn~ z!b$F@02@PVCpDF_ez7Ac1U@*C@xMUHS~C?I)?UK{PC5*`AnX|nU~t$J^5o&TYGFcI zk?N+7gN2FeJAx$Sv_Q}n%Y*4VOoCCxzc%9lS^cI%q>5dw_yfJ{@=lKP7QH`;I)Jm_5HEN3fBaJ-_1z?OM(mN|y`xZVLUx z-B3G5h*1!MgX3+e5-@+!#GBpe`N7#h)3_OH34EXr6|n+GcczCMC@n}g8~>o`{nN`& z-bBFA<e~S_ORONN`Y4X8bm`2ZZcB+R>8Hr_Ul>M+MN@2u&chvX+Wjof6cYLk&`Ptj+edULP5G5%& zC@0f9@SUJoEsDb6DOgE}|B2^7y@)hwz$}KuA|wg0s;%pS^ryPL)||31*t(O4;3q&r zb(of1k!nGISxpCNz(cS+%GV2O6-W%%!!8TuWGiyub#kO`2*a~x;&@g7Z%Dy8x(CK| zdUDcH6*Adc!gr=%c3yg^=%;G7&R1TlF%T8R$+-Q*L=RPby?|GUP#giepI^Rs z`Q&Q-UD8_TGZ5HPHk;1eEEzWp*{A$cqy_{zr7{%=laZEORGDB)aXcop4c)*iehMIr z+yBam(g0Y@2W{SD^ZYjjk3~fCe%Fh4j;0KNg30-K4x(Z5ma3O0Fdf}z3A_IGC~~b< z(y4VD;>i!m@BKbWAvztW8suBXv@KM(zY6HvtR7 z-D;P^y~;@;xG>bSlZE%j*n=mAMgeqkBL{{nVh(TL#;3PGyVd`cl_)<8d$HAmKiP{d zr`-8oYz4Uu?-aNni~?%XNlU1@Ar}4;W*U2CMXg*V5wr`PE z=y(MYj)f8AFBiiF7ORd^+Jr`~;5rD@)9nc;j{waPG28f~-Wt#vz#1&)V`L#us3mvB z2Fil@dO(kGpft`%!jUMYaki0T*LDb`GMb#ViadLu?kF$ZFdIl9xrP?F*AAf@l>c3h z*j+4U$_h}NQg)$8xzKT@A0pm}RYA*o%7+rM8HbQUs0LAkedC225unwF( z9H>uh-iTtGn|e4@&FNu)bc9c4;fJ-imTaJFjK-%i-jIdY(g7sB82f-Y03uPekP`&} zH|rAkW{|)sYoDNpUM9)0L};2vFk%>JEW9iPBbGD)0Y)V?5@5!wtFD#2FpS%D$>($O zqDqC(QKqv?-N?u$$dzJ>R&=YV0`d^TPav#_-kg*QBXyW$1<}afT?$S)oLpCIaDhvM zJs6ya092OEG1f$K(e}!E>|$j`Z>wtK7SzTdLNzEzSv$NfCn`Dh*b36A9^PO_vl0&H zcL#k$3W;67dp&7n1m1tM72>eT5QlaNPr2-9PK-{OGr&|hqEHbL*F1Vq_ZU_HWJDk{ z=HM9d=JL;)mRUF?M+)i`J)QOVsf#HP}cY~jXdZa+i!(nkZE!WG zSpd(6pnChn&2n}n4cR3gMsj+JlEkI>pRpROFksjC zJ5D-F3EKwMlptlsEy-^<_0Sl~XM_>iYzgw#$P>q{>YV;0vESt#^)m!PB#RzoQPZ}q z+xb~#MsJ@pC}frx+R|ykpQbph}XAc=4LeEeLGWdcJ{xTXLL2jDN@X@S7DC-qsPP`TskKq*urzU`(w$(`KI9W#|- zuR)J!Vnc8pKgcnPhVX>Gg0APO#Hinw z+H8;@;UVDpscDz7X8t7VYP1%gAXv>XI`M{88_sa(gfx?laM{gE1SIzk239s;pA||p zD7K^jW=ND=??9n+g(48?J((}5vxcpPTehbvcdaba8>^@hVrI~~MJn=b?uh4Mv*~Zf zO=_Hf1i+&75AQ^ktu(>76O4W*FupUDwT&xq{8)EsoL1^e&nFbr9b+`Ou*-~_7K}#K zFa&K)f+8Ihmh4TM&AKaZGr00h{*ee9=Wvn-lptv58hv=Mz%=AAMA&6lOOzhMj$#r4;jtb8h#`+9oX0h3(ltqpnlR8>qcGy}O0^8N z?1ChM5`S%@m7gOOaU-#U6}#ij8aUQ(B3D=w;(Cc2-trwVaef%mNwaS7I^_&T1Ut_r z(5CRji{6W?hmW7F-@SNo+4G`Gs>_FwEAy1{+=0Djts;5t)hDPu5fX4wtH_JyTMYR< z?}Z>76P2pgCwJ~`hhrDK8oFYZ0qJn)i zS`ig9x*YkKP+C`j9MNC_7E`ckXpVC7qO4#m$rN!E>Wr5Ush)H#)&CpV#pF)`vG2r z>_wqauM271K+cEbip4t;L%dx$!PiYR?sz(0@5=iQKBei152Ar^>$}Vw=Ql3xj;8asAtxLl)ivFd)dM8gW(> zi2Ir(9Vw;ee~dChb~4{Zm6sESO~=+zDWyvnR9?SxsqR9M=EdtEU6(tG}IK}#(j{uPu6y% zoXDferh{U>BL642QPmCHf75IRlHK&T^K9j_2X14}**oFU4M1^?puZU$)KHdJdk8># z&6>*jUAJ0~&{>u%8HIQ)$%ITOn!-2=eG65XAUDyw3CkSr&~MHK;4~S=h=?)H1?h1D zL4qr5~gP@Fmv4A7ULGNRNEM6-GPA|~lPWB6LLVVeysB*9gv^{ww#Q|scz%9)jR92JG@eNR70o~9 zm8C}@b%_QHW~Lptf(u|!5 z&EW=HNOW++qiBx{Xi6s#tg2=Ew*iZ4e4j8A7ynPX11ZqsiqR#-!abnhuy}RY{Bok) z{9-78r>jbe1Kc^;zEK)8^N)FcJ<5Y7NNAxS=;(5>_rEl6#0@5ORzyVbuyaPPbL-+Ly3N8PCvw0FE#mm6?J zrS-U0*lor!&gLU2Rkf*(GE=-H=3~!eJ_xiV!gS+^!VgQc{0+bo`xv47QtEPqqwoCS zgiUB*)`inw5ue2aPWC~CgNtK#fymE9^grKUEn&aaM-7pUp)<4zWTv`yt&+EOB7r-e5H2@geoY5r#*khlR*ycm2{9n*~ZAsg^X z|0_?PD8iC`M#aNlJW<;1$ifo&?iv{pdoYc_>?)@}YqsfaVzW(2xOd<)nwEtope)C= z(}FH|@Xkf@1hn{3+mw1m4Ojz>gHJDDh}d7f4R)Ac2t?MS4-Qd&2Q^W!%cwj?0?2M9 zi7sM#s21VU_$xQpGI_#sF9Bw&DGcLk3?wn*e*|aXw^^{D|K(;GdRMNWFL$7oH7z@k zMk*B+@9-SDOKL0AI2S_^Csk=uZtAs1{sS{3ULdLO@zcvndW6p)b2!n;odRcsxf!`V zFeA*k+)0?>Q31q5{LduB%wu9p_&zZN0mJ}Y1~NP112uyewfEgolNG`l>fxvAhgtO! z6pL=;VO6+9TtQ8^#ASaoIV2A%H#i|~@;|`I`a>jWB^+B2MKbZ_Q!Kh*roA}jFcNus z@?CC8DqSIxlhv9D?hU$$$JjjK{1nLx0OF&eOvwx+K{7x4YxJdf_u|PKHF#b=zg#2p zQ)Nu#(Sg#!3=bnjjNpYmXS9pR=e!pyWkhtHfb}PMUR;v#DLqYjCkd%wwDUOPmuwsH zt6-mjKNi<3Y_VKH@PUGl+{NlVU{B==clHj6$eDP8_=4COJ!3H>&ov>|CMOdi zqb(;Bu}NG@Mmz$lg8^fAJEwdb>I;Y{Aa$3Q4{1)i`s}027iwZlDgc*K2#M=Nq!3rl zLs(4yK8@3i{C%1bE1!VWdJn-cMVJ`DOvA)d6*Y~w4UZO$iVghqz&oe!p52EIh_u&7 zdRkwgr)LC0U_%v}QxvR8znlP1T%ccWZ8QaW+Ak}Ita#S0J zyh;pBvu&a>Ey0CwFg-44>_XR>H?S})S&wsbWGv5Tye2PlJ-&`=;Ag!qqBrV24 z(lWC?2r*iWGv$wOLK|F61j2J-d%@UP`3llV043OV3UqOJbm5K*Wy#jtQ=9a!z;mBLENnXY-$a4Ur1b)ZyQn$0O;Q#LCg?=9z$8fOIxXW1@Q<|`=4-KwqBU2_T@f{hB&QFl!@!8I*1j(37& z#A5Uk$LPwz4kJy9rPEV{SZ35xVjE}P3B?#@Kpj|45ZyQ*4!+%;9m?5e^V$SaS#o{N zOIdP#%}ZILH7_YSxp5VL#SjdANd>oSCLN7xO9YE}a9)84pP`)MUr3?&cZeJweh*m1 zY#;lBZ^3@fuf>oJ^wKudQe>Fvto#z|swl~vBI|xXO&2St6j9V&CcR@2!ZC%G8UV9B zRe{OMA_d2srod2-w4j;R<=hI61Qo#3KFNg$?tXU@!@)7ts$(w>TwNZ!TRr7iqER8- z5M>!U1ZR}Vr`F|bj4a~^;5&F*d|VR1=$lTzA;lCLj*1oGh>cev-5mKEI6-R<6KZQm zSAP?3t9MNdniRgjK@WJzgF99qhU4Yvj?~)-@D89%B3fb4@^>?FGgF|cJ_DKk#>n~Y9W6P5M^=SgQYu*;S+YXKkebaX zFgigWwA2DdXEg&4LCR&pLCf*%fdeQ7bzX~w%jWf`>HmX>doZ2R#sW(o+>Y#p=0zMK z>`9mmIv@vfQJbs~jOsGNz^ztMZiVR)Y%b6ij8l8ICzEnj#s*7_!g|!hM}vm(Of?xn za-RE4*A{7Uu!-Oa#QV^Wfl8J9Mi3L#X6v>}HMI{ixpSLhCn>e);k3fE1*G-*;l;y; z&y!NJX3c{2*L){JFt(JligLe+BVZdVxorWz08)={({2~7y6uKU06Y|9L#MFsP-Oi1 zPlFDq4Pq?1b+vyg&BPXWV4!ekOVw>M8*ZOQpayq;#T-VPDgpt_9HzJ1Lx>k8=z9|5 zr8g@?nc1ndx+!u>22;v@!PC@C_6RVf^X|z;Z-jWQ@G~V-6d*01{=WKefL1j3-2nhu z6F?F+@jlau4Ob-$=v#}8m+T~q##-mxs;|oM)~_|c9%FT_0*%_4WT(=?tqx7yl^L4( zh#L>gmj|$Nr7aUqyPT(1Rt0LHTAyJlEyTez>2bndNTEHUG>15ZpLUaFqDK`ox;$Ac%i~O1%qR5Cz?F^nw@p$m6nqYu0F;@KLO%zbn0M~Y zLdcRcNPUM&F0)4~?!Kh>aN}}t-8N=;TL@L{#>ctU{r)lVhT9Qd(Q+Z!48?N@48; zkMhyO$LK}zb$ocu{b(?ghqCYm?-gHgkfZ9((LvogItb3uC<$x)q2wgQLkMaCH4}Gl zd)`8nVTF&w_Bof%?+JBtn9LU_u=!koS4gO=ptm&uu|6CMVvW#S9MiofIY&i}izmz{0>Dx(ySkv) zX|4ZUyub0?$4?*L=IB;Gr8;ht4)y>(l~JT(&iA6SLUw}!X6i;9ts#I>@~U3_x*4li~w^5wjoS?uDu%8=d}%6HD=2AKW{@=b*5fx>;Xi zPXvjb$*OE*g%nMCb|;%iVGe!Qi(;Wt5k$hthlBp>LknmbY{yhReEUFHqCzc@oJwpn zVBKInP2ugNB-$9#JTRd!`Gh_hI$+dXp;eDfy+}~8GOKv#BtR+xYFwBVRY9fBmIztR zOCBsq!U9ZK#pw(Y%>ALIs7YYj#?owwqQUg!6k*?L4zP;Uc1ezdPsgUgvUQM(18qoD zCGF_PXY*P?PTZx|%k&9qvRu4StMdg1hC0V zJwDzV4R73-!~2kTL-t`aAd?;9x5p@cf&Hu~YeCLz>v2}>3dYCLxnx+6&Kpaw9-R-v zCuDRvj>Pyj+mRhIy4HzDn|%r;!Hafu>ty zba+*bJ4quF3JH}Z0XU@j^?h_fi`yJt0>Y?6t;)2g_hBUzIsxMrBV33?B1CFziF;IP zQ}$-a_ebzFXfGPJte4Z-K7>9KLf*!9^JWWt2$&&zl+ILGM0<_m1`s+>IR|M*JDLvS z?Q6Eh7rT}EVz=DWR$6>ecJ(HM&(_GpNst7;SRkrR{)RVF2`HPZyi;wJzLgTLko`7= zKz4E*?p~d(+`qm~e?f_cMS;@Cl$cl|4vwNskwu1i0txui0W8q^B8U$}DIT2eEF_r7 zyz{rtRRK_gK7r75gz&Z03G^`m!kHy;F!1CfApLYf3xV1dkt0o(v_0x?Tm1m9NdZph zhyyW*Xrt(9H7z(T&jQU;`?y%$`iTj?dY}eoDilkMS=1sdBn}|i+(QF)3QMvf>2=?a z)~x(?=p4j6pCrj=Q12YHcYLsjF>Es$MXYfUXZ)u~g5^PydHJ`mB5ty{w}6hT7G04+{aNuMI}SLD85@k=A;rUEFTj`q zhSe5o;87>B#HfO!VQjPuPMQ9RWpY?BPXW@K&@fl3qQRmG|951{n>Qzu&n1~0b|A0B z2<2=ag&##XZ%*DA4W%NZ$x0N&z!E&O7HYf-WK0(TTn%De9?Ao=3*W}Z0&oyG4Dw(^ zlOawHhnt87*61RWX#uT#J1__WDS43m!(6Dm&p~!so&osV`i^XrD^&#fX$-qVpk1Qd zquhdmYf1lyFvs7-0I&uk1S=1pfOvu@_A$WWz?{2(3U;sywRoWwUa(+>vM#7R?1XE_ z376!`M2Co33|!~fGS!-v;shn6`_KKJ(s}ab;BAFKc78H#7`Ln87+xIcRAhF za1;_k0rS8b>(dq9*s+lNiICc~ylEVOCf+$ZpC9bsU7~#x>capZ50{7YlXn2U0t|1I4VCB(E?rmOSBn4tmGvMu z%1ZG>QVB=9JpQM|f;Ou8Je-!S=9S6;#W_8bWGfO|656y4T(8}du6r>__U=;kT|{dF zHn%M>L;N6sNc0cY$m&7uWfn9Z@F&%jjQu-3Lqis#`n>M4+b9WmJ zd=GMG7wH*$@1$vDk!X+16~ye3AooNutQm{oLrGVnE|V+@bGHiP&%!T6LnALh1g3}} zodKrl0!2vhE$9pb?h!2ovoqddJ%dj&Gp5%jD<@f9ribbx5ZK)PRaUC5n9=7F(lu*F z{c+3s0UqpCz}jMl)dC|(8P`FO%{}!tfeP}v$5~jO$u7WFn>-pz7TX(-#{pYF+8su- zEDJs4KBlSZU{=l6t^RNI)y0^8A-Z_bea~G2d97C`SNQ_nl{T2VB7~O!7`H5TfD_@L zY9cEYIj_B?9T+pUY;XV0o=W?z%g&JbFxeyz+H~YS%_P$Jf7@_a7na8fdq~bgrVJpd zhk7lc5>(^`vPu0?iW)4xyIR6gdgl(yY<5ohYm^K0Er9V`JN+$J2Snk)fr-FM(h3rr zyh=i`ibeW-Bp|5R2SL%RfSNkS9NTDbNUS)MCeeQyX)&-V#RpyWVSOld9l;DLNDFAQ z5dO4h0zF6o60|yEmA(5g|3O;`i9nTEdty=pDZ!=^yu%q?_94!KBm#sVQXj-V)Czv* zbR9ZEuwt;h_723>9gr7p!2l)DcS0mc(&Rb~-s4K-pZ6ihuC2yelm>9xpa7Gzu zZgqXn;|hbIEb3mYf2-F;nBD-9^Te!Rxf4&lM8vp0R+~}~Q&|@FWqYo`4I>&1=Tg+g z?xEgfEn$u=?FhKhFn4;%?(gnzFqwaPdKhCEHeUPW(c{-B!bUl#kW})C3Y#mxEAJD% zLlTQ7|2UkQTtqX3D=cx5fU8(_gGB9%0*hdJvx+#t2}vSD&gkjt?D%j7aw)CTy4whT z^4^Q*SI<6t_r>}J93+6#tH&Q+K1UGC#?{9k-F}Jxef%-19z1-8+TTmY{0RHcj4;Y%V0dlxjhkr({lj{kYaHVobZe2e2{uih#DUGo{-4S zH4%z4mQ5%vK^ZS+J%;>l{2`CJ5+tQ?B3)W1k<#3Lbo>3=A0+wY$SHq_|6SsLkMO_u z@xKqkOT^GGt8D4eX9fq4_d+2x6%%clz#sMw5vyv>*m7-S6zp#BM5T5&7RGfL<-Mjt zQ(!qTk}_ufXfkWBP#6tqi)P1E1VQ|=%9`DPc3sOFZiX=!Con%`4QFJL(U|Gc)5XVk zVFgbt&q;Ml8B;&=o~`MO^P2Qzd|sdc^d>ekmL5Ek{}r#r);6iAiLcRsadv#?&VdK_ zQLBn3n5Huws@eV=HU}m|MI;kxr{#XB&MS_Re-tMk3d>gK>}zWJbH~CQep6J>MY$fd zfp&dSo<$3?El=Pim9q}bt}VS#&76pP#3n=2avGo+8gSOoj(D%RYp}nAowLrgT#w*@ zULvNkJnjmyL~^fSZ4~7%h@NUoZ9otuV$b6C0G)_dg3pJKFg-jN4iSdbWz6DibA3F% zEV(`&UzTV*zKPk4gu1spN`PO;fL*S_ezrhC-$?^2mMV2?;HC*8Uo-L&HDV=+Vqa^b z5dF$|r7~g|5Pls<3Vz;u!=Mkmg~BIzJP!ck2{okmgA;LnPR2ix|r3kM#N}BE*(7U zrVBOc#S$TsIrX){>N&DL4aVu1BYS!`Hvsxprxh`1F0~WLE<0DMO@=pT@Dj|b3`0Q_ zt$L^GbvseE(@pxBwi4@h#=Ualu}IdgT1tQja` zaCabnp7A5*bZG{m<63mM&|JbTuHfc56I{^tz#p7MDXpm5;Ok~?OLxQ0?x2LOqI z+~$=#ur;~76{s6j5lun+Q}t( zMu0#$5l&3a_7o@0lO*s6t5DJYu)R)h(U$z@Co421s$ch~Y0t{dj0tT!#}-XPJ)KNk zQRnLr|6flEVxAV>R_`KIvju&3W|eya)QcdR&%!$ujXiI|4yR&)fXsHC7+fZ zMkM0dodbYc8N@Ii?=U911ME%@P%v3dtQDY?S>L*jJJ@-`9xz=X&kasE)L}}V9wJvZ zrOzD*4R&7bnceBr!X|ZEzf7abvTjtlgi%EyZrTije}rZrlh8y%KRrH?0`TL^Lp%10 z1HtZO9!V5_cVbSn;D*fK(n~V94F`cnj#(6@D78nB`+zPDe09cga#`CQ+f*|Zc+l(+ z4t%({t-%Y_8#h~n^TYzDt?Rlk1T_4=YH0iy0?s>*RqQcG9$jA~r8OC@Z^;6L>!am;)^zhWPOlB$+s*gU}MwC~hfaK8~m1B^| zodqwDo*z+`nZsvz&a%Cmi>#Y-Q-5Vr9MmOP{u;m;dytsgzP zc>e_=PZ8yW)UjLrU+E9}-@u5aPGMS?ym$P4@8$Csk3YWjZ*dksDa6klg3Eiys-~7q zFdm__p+$&jD0mKX7>igj%T3$RdX;m!{m2HVM~EepRu3M9u-&pkhnZ-J?ji@xHd?W< z1n>C}#VYgrdXi;HWg7hAO=JdZaPA-{9?iL@HMX6T<0rw%37n~R7g3q2Dv7`~E1blh zXG{--#HYV&fw! zzZ_|=BNhXSpwLJ-W9H!wvf5ytMD`)m&NXC-a7wrZEIz5kfR^C*x%Ef6g=wvo%Rga< zNn~Vx5L$+`?M*b{CAOwaH`oq&w#LCqS;CnZc+Gl!@dOyMe)0G>FD;Da>gxI9cVAvz zuGbqN7jYWnPj3N}j1bZqhk*g^Sc^cZ!rYSdH)pOgy*uWm7IdRK6>0 zhm_Hy!~hs z@LL0oxK9DHOe2CB0=jCYBifYp{_ActsAY)X>z?y{sjr~6P4a@8iiqxLG+99^q8=dD z3z&EIj`X4A`$cOWE&8It=*jBaTddFtc1%NsqL7uF3mi~_u2M)5LUn~czc6l)4oYkz7ua0TD~=)AjgA$1&$eX2 zhZEm-%ReuY#efOv?l!HrifTgjmfT^`1ZpEJP=AG+#7B_~Vu+Fe?XZrWD=-Sb;s#Zbk?%rkPk^#Dr%$VVZITojh^h=Lf3IhE zKw-1|RtN8NT5p!r+9dJoR+faTH-Hbj(btI z5W$_=9+zrxmV>rHGkyzHT1a6lXxz(obj<26MlaSdl<6oX$l>5-2@-44IwXue?utsD z+$fIXQBuw;CVL^2hp2Z|YG9dn=uo^v_;RKh2aY^KW}ou>X5cm%gwLhkUkN>Om1?@a zPZG04Wy0CsvlwjGrkr^DG zqo_aPpJK&GfAeg%A|}_@@dqFu;5Aj)@oGrPwznr0l7Q^fJyhjlKbCvaGCuiIds4qd z2W8>VfUX;YU&<-_B|IJ!Ae74Oq3`kT`O(JHiw`evfAsv>)w5fDe(uZX)I~e#%93g( zqZ{E7Yi{*E`rxw{7Z0EJ?eF>u!t&|iY*$1Jv|Et$^Uoe$d=^vvNE6jhle*`f`udc< zRNJ0F7uBl91Jtt=C=Axol#nQo zP+l(}P8kbtdQYEyBv!JcQ)}SkAy6rzwGEDcsPFTk31dOkZs^)swQZU7H9-xQF4I0*hY)o4m7}d5C4;iH4MOcCAV+ z+fwD{EK8%cjxyL9keJS$W9SET?Q2f}3qIcofQeYjjTpRh_L9!%yHft8V2pwgch2BS zDm@sm+A$2-4Kd2s(Y8CeZ)+~zIeBHkVN|2k7K9^)MUc0LHB~KMW=DM>;gb00bA?tb(XQ-514uDIq+4_W0=& zbjj$$kQVJ~&?MrNcFE9kZ{yr;qiBk}5nd*lh`f^#Ldjc78|v+jHq?6E!KD2yIR`aE z3^&y!u{e>6C2e7i)U``mYs62L@j1gWQ)}jPkV}($)I;Lg4_)@d+A2Fr2tO=q6GB9! z;HG-YhJil8=&SV`WHx}M!KI^gu`1b*q(B;FsK63J)Tt|M@HIEvbd70nI-V`nDVV&9-MQ2Mtc_!h?Xjxm`H49$_fmOBlJuIFYfTF-is! z@bw8sYWkkt;o}B^8Y9}9u~cT`7f8dnDi$b?{w}yjiBRdu%hI?>gKd-zqPly)LQ0V6 z`|x}MC=ka0G8&_bb}p_cho9?f#0xQK39XoAPG%3@$u1W1OFF0I zRUrbVbh=9o&TC*c5YFn@*N(SBaa0^vG;NGpcws)bQA7B)&m`oPNj;2jAb;BdNFaZm?ZR2b6d^0-bcLstjO2t0CAxhY zJC%TzV64toD9uU=z;$^Yhl88Fj#%TW*>o_89%X9kYG;M&R)|U`t7F#a3@o@dt^1Xd z0YN*yWFcIW66~LyA^sX^4*Sz1#;REnhjB}>mvHv6mr%>C)8o+LPmgI%)h?+D;AyR- zJ%Qc2rKm`AC(i{6@4daY2p+hDNcO<*2`v08g}M1p8sJHiloXqHXeuuUXmWx-r*&1} zWPn^q2n|y!P5`>B;e}B0I%xJNLMp$kda6wYT4ID@%%y?=PZW*H}EE5L5=;B+>)zwvT3ywyit z(2;%&j5sMz)jn$HA8dfh`qqI2q%1^aGFm+7pFwCc;s)e@c!ji|X9&HrAY~^^O*v5z zr*b|MVQ@1oRc zKNMkQt4!zk!G0xj45GWzCr*Q>9rCe6pO}!j0PhX_8fuod>L}tgy}m2iHe(jDj(y~A zAs@bdjLOaiP3jbGymqpe!CcNtEn8J;v7#x*AYmgNu#RP3?JL#Gd`jO=l=BRZdBr4F zY2p(iBFc#h4{t3oel6@tfs`9ToL4bPwvZ_lat)E{Nv~(nGPYSCdt?sNI5Gz;B4B}l zxYtDEwP1eKmxr~RcDMe9$W7&C*Fsv8d|3Sj50#h1PEAfupi{heC{1GCJ617?{yC{> z0=I;OHIFoIk6ky1{ms0=dfHSDqFHoWI|L$(_EghfGzeeb&B>#bqO{1FcM!IffIUM; z1k1Ih9->1Mv835<@vaaHGzv0%mtX?@f>P5xDaQa=VTf87QRJb7XNTEV|AYor)M})miG(7LB|Tkih!*`=R`Pwa5ZQ8d$>X8 z8odP>ig0s{W-X015c@`ObSzxUB(Fq-`e*Cf}H@XSp#_BMbv`` zS1FB~ER&nS&w%Gev<&QM&Ffc%ba-qI{^s4WE3^7Fl1_OJp2FD!h(qDc+fx;iSXrd+9pGmV z-ddyyK-bimbQ*0Aw7<9uJ)S1f#MVt?8Uv!5Eb*SIF+}w@i4ha+*SL&C=5BkOM}i2# zLHE!=eGS>cxG|+t*&GX8q~lM_fe$2HRpvO-c6sgzs7op%NTgL4oUgDG|}7fY`rW6UM)x}%H!EXAT4P6PLL zOSncjF^cn4aszb2sYC61g4m|Rw0)CQVG_S&X119G6-I+hEy9Moi!z2PImSG2(a!U4|X}&M_@Z@28S*{v+7&eKLR{@yFr9G zPm9-A=amv^AB26_3^q4+JOTr-YzEHx9zGk=v2Km+38bi6u)SW^8{2ja)9pY~+Sure zznHrIi^C#9Ooq(Lz^~`^g|iE^mq$rqIOviThJ%|W1+1~4rdc~76jBxd@hmFHIJ@9? zR>2#Vv5zK!iq|G9biBGOQgUNDcxU|$D`_L7Mf2!J|d? z!)GpOwvr2K33%%*dN5f%Dw(RUy~PkKE=~nVJGbcpD)Iu85CObX4RE^4K!nAV`DSwvA0(+QfK-4lP@D`VK?@2z~ z%9t#Y8yNjm4<%(zCTfp_PSCL^HXtBCT1z>Iujp1qU}KR?^MtUGIcWyFyGJ&Srtai? z;B8b6_?Klg2B^M=4yh7ISD*5iuYFe@UdwS)>_rR+LM!$eVzJcRX;<=yP0;oD2j3xo z2B(ICjIvuhcyv#gVx6c&i_9k!0)^gAhq>S~>JP!%Oe{|G{KbR@?*+wYSVUlyNX=Q? z2y40<*ZBK(t(13;x`jHbv6&J990+;z!J*+eyL#hYoXOoo^<|!ml#44b`p=i^^=EOF zuLMvKb!0JB`!j6BFb>?=gbA!m`N!m)X6HKd09GK8LF&ndxQ@WKMpGR@bT04_g8QC*9Zh{Uxr9{wue4xqRFrB zAFu9;5=syk^j++)x-yO@q&z7u0F4m*)|r$CT;MmVi7>XE9w%O1BS>Ics)~{t&FS)D zprg`*P$6k=Jw!z=Kb_;V6LAATFh?t#+(%=&0+wp(sq~s%G=uAl>JApokVSR7?QXn9 zGx{8BtT?aQ1Qe7FuU(;2uTSV-bR!#i(G79>cLrOT0%JQ^s z)e)6BaYl|B(jznA492M*%^+;GQ>e}=COFigmnf^D##4FOnDk=q8qB_+9qlF1RyBIQ?#dU5Qv$n^v4HSizyEdsYr%FwxK?lW5%B#nzb7B;)4S zVpf05NqQ2f8NwIw=s~j&l|nieR%DPHZY{qLiYABeMF-&pRgn?Mg08dXU+b84{4Vd{ z^uuX8Q&23!Q!x%8n9I3>&9s+Y@3vKL8T_^)NtvONVcV*?qdX3vPTwL1{m54_Mga_Ka`RZ1lMWg9pW|k5($SA<%s$F0!FN@e zRe*DjBn{$DSzPE8tjP2Pm3*X!9u!J-y^e%UiyIe`u*-I4nkBamQ*!PR+8y)4c0#u1 z(eTl1t~?MBY#M}cAZElKwi3Q*B^btJugpv^3|XRk@CUB;A3%LX@69sqM;HSwI8JdC zr?lP)o64ZsN6^D*aJgokymRPE!;Iq7djw=$hmYbMq;$rr+7L&O4Snb6a0zn*tMZVz zBPmhD6>WbLP`y1>LGH>TK1zrab}J<;p;F%+ecFQ0$>P8sc%&D4nluNi%p?WXe?P6( zE!5KdLo%np6tSb}LXPHU+-QIrkSfkr0I#R4wk^Ad8jga<2rA%FvJ^Lj-Ykq7u(I7) zyOsm?mML6Ns$hbqwPHpRLs?NE+E3m!qH9*l*$3?gI=#wqK*|G^<4^!l9QZIPNC4jA z_#6c&c-F%tJ`0e{ z_^k37HIG-GgJCrU;p>*@S?Uv^QG>I9N?;n%-E@7}&0u*6K--$Bz-)Vsf-J8)34@5B z(IW^?RiJ~#Jpv-9(Kg@>vd=Gr3gRN?KUAw-khB> z`M;sPHpS|I9F7~PdB-3NL2!fw@)*V_AvCsZVG@l^_-XH2x$oTICq-WrN6rvhNvki7k(%J!2r%rK+3H z@ET>_y^7{-aT3w83hO1`%ivT#8F}lxmVK@Xu zAe-Kk92Ds)hhQBfgyH}xX;mhiGS;W%`NU3n`q_)C%MVwVFD|d3PnVY>%$Ozc-h%c7 z?q-0dIHQNLUP3lwB_v4EQssm#3Ld|QyK5TQdToTL*>qMStQ@Q)sk#b^tT&b*#7;nv zAO;UpH1n4Mzn4J|qOt%%iXOf~cEgM(!^8vuEyhhMS+`?97Z!gIk~)mtqc$03X;)i~ zl#>K>eL0A~+T4Qim%>Ccseu~`DhNx|)w8EB(%QsuGqW}^^f5_mkb9tFKMtuQMZj%f$Uv_nmN(ySje;CdqUQR(Uhzi>IlSbye!eq zJv$Oxvphz3DTB`1oWf^m4p0U}2OtcvW&oH#IFJZd4Tu}=X#Y+DN(x4hE)$TXbd^r& z??iSCYrX2MZ~~seLzjHDdr^9m(58`L)%TeiWlCxxKS4-~^(bI(bc=(ynmsUkxe@`b zjTU?s;TpC=(L(*}B#4Zd((oSee-;!8VM<-1O`?B|yRwlWT-|6;z#3Qs+g`<%9M-u; zcaty{;}1k`xT*F)x=3$A%ig~AXVREJDnR@XKm$44K~g-BIQS5hK@)AVBReg*!yRTj zH)kg`JKzcNW;F2>LX6!EZI^D`UaSF%sYyTB*OEexrg{y>ZmTUS`wd}P7UD(w0+gXH zaIhxwB2>D>eVBL6h?gsJk>Qyf2~7S<8luMoiGYYx+wx+rvZ5dJ^jTXq$f#sixb;aYFR|1bqRB5G)>`wR9axhFaMk8*syU{W^ z7)<4dlDi;9fF=mxds6*x^~vh;{j>zvlxA^09ur=mCa>O6gGv^Sg$!95L?h@=y3lBH zGZsyNM1H=3fb*a|rWBS(mw+|+5Mn06j|mxY=>?%b&z*K26d@y3@nh^dbQUebqnbCKZU2D}t6H5qu^HI>K^#TDb=ky=Z{IQU4Om|aLu&X~{Xw(E+ z)ADXqJob;glVKN2Ai7JVG$u55df?FfcnYLfm8l6XLx?wQnA^YtWpaAjm@}$Rn-bNc zkQPgr;RW{>a3R4>Q=jsat1OT=JVlRp+Tvy77t7Q6YX9Wycm*lkX-05x)0B<`dY&u$ zXR9-Z!DdotQ~%XNSJj~z2KOvb|A1c!a0Vv8AEk9y$?2LBj|LbOF1*FHkob~^_RW}$}$F)cw8kR!^bDlERTi0>0U z&X7`0D5VC*6@$Rwa%OGt^;){OY>i!CdinUt)#In>)o6aC{-~tTGZh0VOr{jUQWWAN zSX7&o_dP)Iu@LwMH zDghClQ~s>=1vJ`_7Sp-Lz-d}3kJ2RE_GJE~FtkwD$jx9dshF+CU#PWA_9zi6z(GQ9 zXFtNOX?+5B%kq51Eo)q-bzPS`U4&-E)v%kxfZy-3$5>S-F%1C{&-PZ(*JP)%Snb*I zSsF-Y0(P?}|JDUbjT=oC&}983eYYwOiBQREK+d zgRCYju?cu!j=NMIU2cP#D5{P_sL|?y6s&-^j{&9@>OJT&)4MPKF!`0detBO2JV%;-)V zi<-DLD2^b+{~egLx5pQst=X@cd&Kks3qkj+=5)&6UVy7yP++?Wi>%9NphJ7d$xIWw zgJjm!gRo;Y(^e3+O!<=ldRq(RLCCoAYUIUecxO-Gl&UoRGvXavdF{0SV&@|HS;|NX zzNd4~hRL)pjB(7O>c&2OCMHThjbxCO|r{b>r zh&qSec?P{QhTeq z+0}{wn$Ht%DN$OhojsVHQMEfjpQSsmrxlB8*D6H?^~7$5vOLlztuE8qUjnnXyAm8PXec{8KRd*Ub-Tt9ZPhT(ry&hBI)Lx z;h2E`;o=3nH}5@p`S4Og95L)qktM@h-CJex<*G!8c?e)+3yt(qaZf1Y#N01i&TR zOwh=L-MCc4#W z_N0Dks%^S=$~N7%AK&WxRR7|3|J~dD_pp(-`l;M^pr9B#I^? zWd6qU7r2i44in5Ub@D`|}3Aa>XYT@5=MOK}@4kG*$HA7cC{fNTLJ6!@|;9&P`6 z?@PU2@9*QkS9;&`TVMXt5B2&O`+q0+F#Yn6{o(KZBVYLKSO4+v`4g|qzWh^v^ozLG zVB+HP;@!u+SAGc}zjyxZ`PJp8ZyXL@?|t!0-*@-}ul&dte(aSWf8{4%{i#=e`jww~ z<>y}UJI251r7!gU@4p{sdc9YBPtqaA9~R@M#rRq82eK>A5-fV*JO8@t-Kh|70=# zr;71EU5x)^G5%+Z@jq9L|5P#l7mD$}Sd9NnG5(i|@xM}x|J7pruNUJ#SB(G7V*GCx z<3C@F|3WeTcZ>1ASB(E+G5!yW@qbi||Kno(pA_T&v>5-TV*H;MR*DvN@E5^T2jK5lpH;VDsit+7Y{AMv8 z6ysqr9v9=SVmv9v-zdhvT#Vl;#=lyOXT^9?jCYIiUNQc5F+M29N5%N07_W-)gI5j{ z_$0@@%EsT*`gTfMJ+e|rC~^&Vv7*L!~?8{h8zJK6Y+-rvl|Z}z^D z39Mi5y_JpO<E z@lNlje^+|HZ}fJv@i%)fv+*zY{%kh>R`0K6_oeiHztY>u#=qM8ZZ@9w{`qV? z>;2c+c;0*Ehtm5kdSB1R%igbNb~b*e_toE>-v2wj2if@7dVee%{{y|hn2mqE_dD76AME|? zkEHio_YSi0Kh*m}+4!RO=dEF_ z(EGxVrT2T>+s?-SaPKM`e>YtW{pxSu^4}~ z82?f+-YCYmit*Qq@$1F-b}@dV7{6JJzg~<7#keWP!(u!t#^YkVS&X-e@pdtu6yu#@ z{EcG#&0_q^#rRvr_^o36E5-O%i}AD=&x-N97%z(PvKa3c<2%K8uNdza<8K$^yT$mR z7#|knqyL}1yMS^VOA|KiFf%iAQZYlAnVAz~QsGopn3rr=YlMqyhKp;3i))9A>x7Hz zhKuWki|dDr8-$A+hKn18iyMcFn}my<;bK>~*c~qRgp0l5VqdtpX}GvqxHvdm+&o;| zLbphYT&0#$&Rj-a8{JBYm0C#omq7RC=az7>u471Wm^a9+%N(Z5?9sW~wr?C9(yp;v zUJaJ{iN>K`|1$*DA42qo{IWq?8IhLtK4IRFkoIlE_{r3ct;|9CAd|&p^teqfm&0x_ z+bnjU!)n)C3|6bt;4nHo9-Xq8+}!5z`huDTnH)h5hs&Zj8oWl?)Zq5G3|52NZg+U~ zHlx*QwVC{HXzL6K3stta2bnEax5r_#SnXE5)#7y8Y(~4uXVQBecDLE<^!c|}=2}_? zyBc@!hA0E)@=mktna$|X+bs^8%cl2OZAOp5Zt%HnKDRvP+b#FZDtqShI^_XzUjKKQ zg6vlLWS3X(GI}kti&mrA=XTpXR-e;k@EF}r^}FOyobp(f#$lb?`rl}<1R2dXuf^?= zA%3shX)>FQZkNwu*2|rKvXc&zMSY{BU}*ccvU3J~klXDw+I(J*-E23z^>(Mp7K6>^v+G@EqtRnA+Uypuc4{O6LgkEm{KsN32gwE=tJ7`MI~*pv*X+^T z?Rux(Yw^hQFdZ(Z-v2#eZJqL*0QC&{9B!x6=k&QvCb!4q^vOGIJ~>@hNo}vmW@g7i z6UZ>e=u*>l}+!mYHW_NiUPP@zH^P0>Sv&;XERw3TDa(3h$7DJHJ>U7#o z7OThSwR&9+liuxv(2veIo0ELw+amo{fGQ%G6tDF7Q38s zy_|o8({6M)C6^nmdaqqlz~Zxe{i)!VM;JDCx6-$5*hjvX z9?35ri`OOBn^`?aP7hm~oO-v%;_y0+cCXE9lizHxS?w;n9KAem)!?!FkKUtww$CKj zi`#6pc+7U6N4Bw=<=Z@x#xAp6t^jpwneSG2#piGsj4r3$EeGy(>V1;yy+)T?3JizA zq<6U0%|qL(Tg!DK`)INHtZvz9lh>f8q(g5oNfF|-`M354^Vw#-+imc9{IzJM3nU+2GWxhw1GQ+Sy;INZH_anZ0tpd`^#C5K_>&3>J%1vWjFjr&(6!E9FpA zcgq&)&dA1Iz1M7zxj&ED>NV>nEzDMVkJ)E%`i$!7ZPv;e782aarM|^!aygw2$>%n+ z+u-y#>`o~y<+6~n&gHVnEsM%G1RL2Oms9e$$!)ZGy3$JyDR_?G6`mKW&Xmn9*NV~OGCJHow?Rrz$p~Jn-lpVno0aOImHN?E zkHz9~`%FeD2=s2N&EW9L>6iQS=8&^PKADCXdf71(;m%c9Z0IgTdi;%WgR2 zVXHQIpSpQS80Xb0MYa5M8l@a|*xXKw#U>ZALyADJ!RnJ=-B$KH)EnY%!`_$-7LVjW zkJaS1yB+?Yh;b;74K_+4>oS?uY$Ms=zmmpm@cL|St3h(U-Qdt$eNyX5iR9LsT?WZL zYF+lr{#Ydyj83Cf$_1y{<1|=oZoALmkSfOQvw74)GR%L4ORAeK4ueanL_9{hMm>6y z$>Egrw%Qy%Ia79Z@7srRR^2YQ!y@Ysye5l5a;{w}2sxWh$&gmFUP@yBv3B&3jy{K3 zs(8C>ZPH7%W;IFNxtK3nQiy~QryC>4fOf>HpvrR`vn z3P-7^+-mxHekld4QkY9kXP3G{S{+U)D_wedw_EniD|t;#6VETDfZgepCp{Y-E~{h} zi`Q#**(E>7he%t%Ee|qQ&i^l^fK}QeZl8R)+v>2Ir8srk97-$5WOAAGPODlV{X;3> zvzg3N+8WG8vsub6*$JChni>X~ptqVmJ~a*hs}yi}&GO`FkJsNykdJpcB$G=9aOfQt zz15(e{7{!#45+!?V=#MdQbZbER-?mUc3Mo5K2EE{WOX=YC)MiYmr}qeSAQBh52|TMk@GMM)yNoCc}xTvDm1NBiGP0r`5P z)hySXK~90yB`4En@k*P>Y4hrxCiUWwQb4cnyuoEQxb>1qE-5ceR*T1Blw2qIO+v5a z1%Kkp<_2|hn@Or=li4Zx-RiH7Y&NCMDZ6Ml8a!6DOqI=z>gJO7EOL-;kJIjROVh?+ zFqnKkrDBr8Kysz}>0H)6uf=C}d96}*$T3=NHoY`yq#2{+XsgAjCjEaEG7hPh9FlY7 zl2j@_r`ac$s#6-dZmB2?9yQziQph+xaus=`kdua|Lz-q@lSA^kLy82m$z}D>!0;C` zq>R)rF1I9{9H+8N$|4f4G@hlZ*DJM-dWERP5{V;?Nsq%Uk1jVzS!0&sz@xW%ol?+9 zQ`2TOs2M?h2kGN+JEV+oxr{c0$zeA8q!yGzu}HhpY;_y8rlo(cOlpc+ zZI)(+Q3_6*GTh3cKKqP)grwhr!;hIQg|u_mvoeTYS9zswsNFCDNW>q z0PXpttZG#TwKx#oS6=PlCEbV{Fq3@V$GdrUr;9J@i<=h7-QnY^+9Ns3Uj zq^3) z&uWy4UaB>p+2oPJK)NShsX!(3TO3BI7S)XQ-`*u%1)EJuaXItyKwpbVx|SB3Q{L~E z3cw>hJGF!e{l_<1%*G&_G}3%}n>1nE7PGY1q}G@7=aBxY(FQaXukl)PjJ zvbptAn7E~!Hk&QdL6Yv6%V3adRjM{=kgEl|Y_E3T<-7(-n@d_ECX-1TITp!79;s{` zQZKutW|E>?-6KgfpVHhk+k)JZj*|5(KBv;Zb^7cM>3K=DVKhr;$E7D_!#vIq<(N&< zZ=xbW*XJ67Tw?z1wSzG0gS^V6E`_aIx>=Gz zdfI=d!mFiYN)wuedD z3CjPZXmz@5PQHNaLAvBJ${-iD3^B<$b6TanEzMGg9JUld(s%wPv-&R|yCKMKkaAHP z7Dl5@da`bt(`YowV2DMpbg0Y}mXeobY)bauAU`Z3J7l-|BvqYuxs(mk%9UTru}g|c zjqUXr{mJTVsVoB6)PZs`q?udwNZls2feryP-L{nwOag#?~_qE zNoAANqtZ~dc%%g{)w^^-U3Pg~hYaV)7==80#y?J}lj90*)7a$<_3GqCfW~dxhsn66 zGD;q?K?I#L+8wcB1plmBM48G`X1^lJl#?=Rr6~XRtIQ%rl&LFaHYTEshbprw5oPL1 znSD^UQ)UGemC<@d|L2Oz=(Z9oqt1%TXt82T48<N4f~BglZSViHV`%4#6xb!C-~q7z$UN9=ltb<|L z2S?!yT!vflEk=ln|_mbLFG_u`>Ive2+4V zu9zG3=r1qjb!FC7`Fja0gZ^?-URP$>l)rm17~5lK9Ed}4B2L9QxB$1|EM_i5=V#fZOtkyEdWm`vwi z4WXQWe|k#dW>H?3%1D`YS6=t0qv%gZQJJk(UazT`Qm3o0m`ciVMfs5Cin64^U+<*V z>HO)KPN(aoye{t>pqO5#8$~=xF@sJwTQQ?fx0Kh{DQ42?wku}V=??L_zuu9Zyg}?w zx2!tdE8pO8`eoPYqABSj-y2Uchfb%g{*9PZr}L+myq-&mbLn&zMafKs6=g|6 zSw&fjP+d_HL$bOqqGYnBin8ROouVu?=&ERve6MJh{H|!x=_V`6Qi8dPk|~!f+I2dA z{pHZpjb?&OQl#` z&YPl~q`ZnHbvlP)DV?skVre;VigFS7>%FpazLdC}PS--QyiV6%v4T$5Q&CRxU`3gV zAFEhdr}Ni?Rdl+AN-R_Es}*Ic-CrL{BV@l4*U;%sD%RBLE-T7Z`d!7^I^A={Iy&7) z#k$fykc=2nF2-1jl8KTiHqhzPDaw?14#h?~ok_8=PFGN|iB4Bq(W%o_Rdh-IQ*_Jm zD0(FSDSCCfwu(NTuA8Eq=l(ccv8hfsk$4s^P;92tEmM@jV2z?==B@mFuVM?G?yzD@ zo$eg3UsG%)$4UGQzhl(M@^|_Ec#5s%I2GGSIjh)Kr^~F^PN&PM7$V23C>Ku=MR{Kt z#W0<&l45(EPOImg{Jpti2c1r<_q+3YU&W4c9u+%DzEqTpaWa2jfXdok<$KmEc9G*% z>?-Gz*H0*R)9EfK%JJXg^~Z|cb-I^|J#@PFiaq82Fa91qip27{2^4$jbjcNa>-?+D zl-FbF_&Z8bu3#aVpNng}5Bo;%3~5`|&8A#*26z@8V;8iSO|%Mu?#9uU5{* zAx?xTFdb$=f4L{;!9Z-of><2=<)Ca=nYbp_M<@ErMcJ+uaVU1ip6D+pWxJuoqj4fm zM}N5~+iC6omAt+lx1zrsmF*4^AIGzJ8U5v|YsSuUrx*CbtUeN193R|%Wc_i zGVx5Dk4w>Cj>~o%iMQikJdFNwUA8+mIea(=sGUmT1haXe1N*|-pw<67K| zJ8?fA#nX5ZucKCb=yxo1R4-~KohN8?1Cj&pG_u0(%5C7Oh{E88xsp%OFwegQc#3?Wx zW<2OVr8s}_0fqv1dY#)Ehq&*Ejg ziTCj-zQ&LE9V12O`p0;f1XE!K%!YZ;gbpl>C9yne<3hEG8=@P7u?@DzuBeS44J00p zV{tOh#QC@swQ;76#M@CWSAY2zzMY<&*VAEE%!ASe_PVy%!oNqxm#EHyVl-T?xt1ZlBnG6s>Ib%x!XsHwRUzh;$J&I|I>a{;5@16 z{70XsHk_{x*d6>oFh^F51rA+A8J|FeyFFCM|ucnP(B&jaG;_zu5fMB3+C zeOcsx$Sxwr(i z{>&!gop=C`<2ls&F?Wa`<16%!SIPeUAlCXXv1xxN!jzZ+v!m8;u@V==5?CIqq1In% zLfjNvV|&!bwX}Z95MCdRlW->b$G7A-wEoFDUf0IA_7MBWw`99h#9F`P2JwB=#<|`S ze?hH35}kH*JWPsd&_C`a-=pt95sJsb-YVhT);TE9Y@ zhqLf{0W6N?Q0q_BA#RMyJ@!gH(h9>+>qqn<9*m<!xMQ+$J3e?dq4HX6poB$yhtenL)S1KP1LmO`z6pgbc$Nss#I!eDHRTECzNaeo|! zV{r;<{eeZqD{%vE$9<^v1I`d%##{IhU!d0h|3(~%_NpYG|9HZ;*TT04!f%(5_ocw} zm#e8RGZH+IXvvScb^`ugg%j->%pP!|zuDUJt+jnRs0r z7nUg*|L56IrcC^CNt7uKfBbtt|L-#1{71KM?~)!`yY}~{i8O};t(8-lW-<3KyCbg9r0G&gNN}HUc?)CAD`h{ z{DRtezw*>1rTmJA{&`J_(-1399a8?Tjq@9c9ascQqw-W8WqWOWU#9x~u^XFXJM4to zxc&g*;W!Sb;vCe*^H&jX#2vUFkD)e>e}y=FJ_#THv*pGgoqt!A~yp*Ek@fw(*N!=X3^wRx;r#0zl+ zuE%Yt&0ifMK8=^~CO$xI-s&CkSBw}*J%2GUK5Fw-X^FF7ZZu&&)aI$m5Ld=p*bqIa z%}<38cg9{g5J#XkFEx#LE-t~ODUbJFCEP>@wdG?s{{<_!%wfUyj#O<*g`qw|m`-Tu}^GuV7XJYtu5bJnd zn_t>Pd>BvRMZAI9ywWq`xA+AkNWrfhhqf+4n@>v0>uE4E=0YPnun3mMN>~#cpf-P` ztz%MC4nS?*XdLlWoP&#T6>9TEJBat=F+7V`P@5-uMEnvz;CGBnzebxMN=Tdn z(_=OaLJJna;#dx=Vja}xgM7rTFbun5AJpc7MiEcM88{!8p*H`sg?Kj}!jpIb6nb_{nYKMn!#{}91>Uk+hefdYV3p(?8TKoC0S{HMR{dkBk@I8LRNYsZhF##sW zbeI+Mpc(UHF)WK!ur@YAFSf)`?1I|5mqElMaRN@qd8n;-Swp-Tci}-if!aEkYs7c) z3BJZpsI6~_N_`jy6JsjOh&fP?HY|iCu>w}ddg#Pv*akbGwvMGA@lYItlTlm$sI6aF z!RzaB8}7v;sI6PMM0^t;;B$P3+Ip3U)Q2%JJ|;u|x=5)fvk>P-6XwIB=wBZx+gB#8 zg$>bzEieSNbt%1w2jU1EkJC_FkFtb#HEzP4cmTC^DCdZ;;vIa9uTWcm@`E@E^qs&a=RzYoun3mMN>~#cpc|W`wr->oaZgm9HLJAq zhT}NY){D#`UW}`7Bkn+Loyalbvv>t><0I78hkPLZj*%H3j)e(PTNjd^I2#6`1q-0I z9;6&`Rjh-J(TCbPkTBw|*arvWDAd+}%pjhR%Wy4jL2ccKc7M)EUcZ3X@g8dHJ>C$1 zM&)sq%K3_haZy|6k(xLYD$nj!wl|<1we=mPh$~_ZtdB0#)^)Tc?ub25c?PiZJ;P92 z&oPBqyH98l@k-o)+B%MX#7FTAUdCJa5MSVX{DzTYsQaVchm?RgIi|y`mKV1J$A#sI0Q%IB%Fy0a5=8St+)pd<0-s|H}F0_!?*Yam1lG-=Oa4C z!=#u7Gh;3^q63RyX{>}bu>rcVIkv-2*b@ifa2$tIaSkrVRk#s%;C?)YXHl9z{^OQx z;`i6)JAQ4u-@AVA*FHy^ulTLMr(r+;+3hm@ACGTz;`@5y0343va4OEh#kdN!zQNC^V>}@m#>FI< z8Z%)|G@u;|V=1hNHLyOqFc{lnN9=+9aTt!pDX85iw1`+MFMg{W&qR5VBV4&*A=cJe z7bPx@6|p*M>mIaqIbL3Gf!e(F|8%@}4xbagT-?a(J8(Z9!?Sn=Z{s6;i68JgM&^2n zg$XeQrpIg;gcdA-+IVg`;;L8&8>0_fVHkEr?Rh1GiASM!U*ino`KbIxkkWoxi(7Cv z9>SA&0k7jde2Q=IGwQfrqG4Q2f~heR=0pS9u`rgxidX~dqYHzvEq25n*dK@CSe$~h zaS^V>4Y(cm;ZZz;m+=-p#25G;zhNY<$C#J^lVdu}ih0nC`LP(5#VS}E8=)6lVkmaO z-Z%(H;sl(I^KdEp?^l=nq}^}0i`Nh02|SP2@Gd^V*Z2v4VpPT@<6vS;g&8pi>d}UU zuq0N%>R1n**bLiX2kegha43$!$v6ua;tJI6AKXT~7mwg+yoB0)gAa(G<2(F{%CkBA z{aVzXqmzs{EoQ;oXu^D06w6>`tc4BHgDo%wJ7X^#h$C=3PQ$sl1hxACHxci|19%+I zp>`kO9pcCM3P0ixjKa8NY)phHF#~4DylBOOSOUvqHLQzGuqn32_Sg+&xBTbr-=!X& z&G9V6<+v8L`=E9b@5iHf8ZY8?yo--ftC!ysf5rdw^@)LYzIr_c+WBfatfcp_w8E@f3e1Y%r8%Co3iHQj?Ii|y`mE>MAfCYUcn$C36MT)I@Fzy4{)vN$F%@RS9H>Vd7Q&KP0jpy@bYe4XgB`Ft z_QRn#1}EbzT!<@hJ#NFjcmz-5CA^6b@HxK2uNaZ~Fb2lQWSADSU~V*FJ}io5urk)d zhUmc-7=oR#7Y@V`I3B0rTwH>yaT99y-5(%6j_2?y-oeNC3P0ixjKaKOY}D?vPf45s zvtwSgqIQ3M3F7it4eMeP)b6WqP23*4VP71A+WqvCh-cygT#oBdyN`Yk@nJlL7x4ya z_s>5gev4l)0`rB@QM+$GDRCOijJeQ=+WqoHh)ZK7tceX!yHCD3aXajUJ#heP_s5ST zo{DpDF|IV_Teki?IZrcD2z|U#zdGBGhlYii&oUu;gujRkJYd)Ho>OY z8rx$x?2AKiG)}^qxB!>qI^2qT@Gzdji>Uktw9-GkkI(Qee!&QgOGL+bm=x1sX3T|w ze5$7J@6D&b)sKnBd5MFGF%|w<&v$6U=Xb#F*bj%|7@Ul=a3QY1^|%f9;t@QJm+&SA zo*SU%tK(c}=kO}t!N>RtKjIIJLVG1M0kdOXv|>Rlf#tCp*2N~+6kB6^?1p`D z2#&@{I1?A(a$JX7aStBGQ+N??;C+0CZ}AI8pq(Ba<6%-vgPAcG8qtA8uryY}n%Dr{ z*c{toC+vv>a5#>`sW=B0<0{;UJ8(Z9!?Sn=Z{s6;i68JgMy9{FI< z8UxP_P|LNLwA&M4a!iL=F%OzCKNdsnIbl_ZYhxqyVoMCgF4!9f;Ygf-+H=9?5ii9x zxEXh$_B^l?#OLuE-o+=VJqPR)@lT9OKOhb!M(z1u+VkFX@VXvtSO~S}epMi@j`h%q z%}{&ZR|n$m*bj%|7}TEgHH&y5uE6!U4fo;^JdKy|CO*LD_zu5f#29Kmih=Pl8K%W7 zm>W%)4~t?M)Sho&i?|_rumy%-XY7RoaRiRXX*d^`;A+&Kqra0_d!GJrV(q#5SBdZ7 zW7M9r|B?6yMq&M9Y)phHF#~4DylBOOSOUvqHLQzGuqn32_Sg;k;t(8-lW-<3z~#6O zx8fc=jHmD--oX3#4Bz4xj35&*{`Gtq50hdV%#69vhz=}*rLhv$#0Kcb=GYE9VNV=@ z!*Lu=#W}bbSK&t7f&1|op2aJ88z13I{D9vvGV32>VM0uS=`kAyp#=+IaV&>bu?{vy zAGX3U?23JGFpk2BI0NV7GF*#Wa5o;plXwBI<2`(eZ}2nfSSJ|`<6;s_jhQef8qkh~ zu@qLs8dx8t+3_DWKD347-HnIvBwj#m-tZprQ+$J;QAa;h>u1L$PJ*d16Xrw%+OaT} z!irb}>!S;Uu`PDQ9;n@qIgEHLPQlr@2v_0;+>ZP3D4xN~cncrm3w)2?FmV5+dYuLC zzf{xVH2vmFcoPHnU#jWzpH?pav+Fu8QSRQv2lyP{p|+kQBIQpEjE~7MEoQ;oXu^D0 z6w6>`tc4BHgDo%wJ7X^#h$C=3PQ$sl1XtrG+=&P9IG)3+cn2TjEBuH*Fbd;*u`v;* z#0;1n^P&|CVhJpd)vzu$!KT<6+haHEi$icUPQsbE0GH!B+=_efFrLDTcmwa_Gkl9* zFaqUMbc}~dF%4$MTxdiG7Qxb332R~lbYpXDhn=t|4#43!4yWQAT#T!5BksWccnr_t z6}*j)@Fjl0?--eJzF3$LQ($_`hCyh-0$3c&VO6YyjnRj#Fbun59~_LMa3apY`M3<% z;uhSEhwvm`!0UJqpW++*j5@~oqG4Q2f~heR=0pS9u`rgxidX~dqYHzvEq25n*dK@C zSe$~haS^V>4Y(cm;ZZz;m+=-p#25G;zhNZCIb&i1OpfU=E9OBn=Eq`K7OP-wY=mBH ziJ{m9d*dJ+i4$--&cmg+1~=m_JcuXoJYK`Q_yk|$C;W*~8Rv_Gi7^#s#2lzc8y3Qn zSOKeJJ#=C-Y=a%JJNCn&I0h%KV1J$A#sI0Q%IB%Fy0a5=8S zt+)pd<0-s|H}F0_!?!5K(SOwV$UoZ-|Gn$0zmblSXm7^E1ehGtVOGq8X3USpuq;-= z+Smxa*b+mr3--oAI1(q|bexAvaSd+9U3d^r;CZ};ckv0n#!vVYqtfn-gNZQ}X2cw* zM;jKxl2`$&V?A_YGi-w$usim{p*RL7<1Ac=D{wt-!@YO}Pva%Li4X8OzQeB=k@jW` zjE~7MEoQ;oXu^D06w6>`tc4BHgDo%wJ7X^#h$C=3PQ$sl1XtrG+=&P9IG)3+cn2Tj zEBuH*FbeI?*q8`YVg}5PdC`gmu>_XKYFHPWU{h?3?Xes7#UVHvC*e$7fXi_mZpA%# z7*F9vyn*-e8NS6Y7=iX?bc}~dF%4$MTxdiG7Qxb332R~lbYpXDhn=t|4#43!4yWQA zT#T!5BksWccnr_t6}*j)@Fjl0?--f(W-LsIDKI@|!yvR^0W6N?uqxKU#`t%6uH|+1 z=N>-AH~1NKv^S$+Tug$gF%#xQ1KP1Lmcoiy1M8y;gRw1k#2(lmhv8V9g0pcEuEY(v z9rxi;JcF0<7Cyum_#VGuB-)!XF##sWbeI(-`vuxx|AY0*zqKwjI_->jm=x1sX3T{~ zbYKxIjg_z_Hb6Hv$9C8Wd*T2bj^l7D&cVgF3OC{o+>gibEMCFe_y}L(2mFqaX=lX3 zgqQ-;V>S#z3l_lQSPlcvu~4sPJMELgSPCm*4XlqY492$D5qn^N9EM|Y3eLtwxDq$u zcKlblzwNIoAOEWF{j;9`F`Ig3Auh*%_P*mYY=0SV;X{0Z+Wp3X{;*mO1p32jIt2Q| zYB~h^!)iJN`on5E1p32jIt2Q|YC8O<_lNV)FE(R-EQV#V3f9I(=*5;8ie0cb4#JT* z0jJ|UT#9ROGw#BJcmmJkHN1;Y@HKwIKs!^-_eW_z{?_wtp0M3({DeO-D*f9ym>5%G zM$CbFv|%AEi50Lq)1x>G+x4+_yC{dJN$|f z>8HoQ_?Qd>`SJJV$H(Nm-x`mKM*3^{G7)hK)aC`Xb$Z&kl!3no@~3)U(vnZJU~V*F zJ}io5urk)dhUmc-7=oR#7Y@V`I3B0rTwH>yaTD&u0~okpSv{YD`<2yn2;8r%rbFO< zWi=gwnRjc89kB=Y$6+`Yr{HW{ge!3aZpVFi6wlygyoC?(1-{2`7>W6>!2QbV`3u~y ztfs@?^?v2Qs-62+eed6OULnxmQ?HZ1s=pU#Ppj!4g?Z7~mix_`ei5qY`?n7;SH878_=EuOgb~POW>)O?H_`U1e z|6R+I|LOXm-)hJIUyYO7880u4rLZE_!20OIU~G#Wu?P0YVK^42;A~ukD{%vE$9;Gd z&){Xeg%9xszQ=DEiE-qZm;jSwI?RfB(2V)97?#Bb*zU@Y=&*H19r!L zI26a=WSoTyaRsi&ZMYYYVBmQpYB|1%`(Ae90X&Z9@G9QH$M_0A;tz}>1-^2hZEQ@0 zDKP_P$Gm98f>;8}V>PUcO|U7p#`f3^`{EEBjgxRDF2Lou4!7bSJdCICBHqCJ_zd6T z7mUC-aCD4^Nihv(#$0Gb2NuE7SP5%l19W3^Y=@n&Cl0{C@4c(}H;i%muGj|$<0zbn zGjKjG!?m~tcjF;Ei5KuX-ovN(20x>YburN}E+)a$mAgJrbFQO-qmyn{NB5o4*yrb_b%0K;C{hB;eNqiTc4GZ{#FLej(O3F z1+fH{$7)y?n_yFHjqR};_QfGM8YkgQT!71Q9d5-vcoOSS2lmHdI2NbiY+QsZ zaRYA0eRvel;AOmp5Ag-Q$8Q*kepO6NfXOi(X2m>c#{5_e%VHI*jg8QYEin|kU~e3R zBXI&w$9cFE*WhN{g$MBjp2ur=7oXs3{DeO-D*d}Sm>5%GM$CbFv|%AEi50Lq)1x>G+x4+_yC{dJN$|f=~u;@F~8*>f5~5*TOoFK~6Xrw%+OaT}!irb}>!S;Uu`PDQ9@rm; z;aHr4vvCow#0|I|_u)}IgO~9ZKExOJ9=~BEelH;=CcxyF4zpq&G-G}&hGnq|*2YHY z#g-U~U9dL}!jU)ur{g?aifeE)?!tq30?*?$yo*opHGaaM7?t07h=YkS6=uX7s7D(X z!jf14t7AQMVl!-m9k4t0!=X3^C*v$!h%0bCZo|EJ1W)57yonF+IljZM7%{FoUJwK0 zV=_#OSui)6Fdr7hGFTaFVMFv_3k<=|*b4{Z2po^oa4s&v)wl_F;sHF4=kO}t!N>Rt zKjIIJ5>HL1*q8`YVg}5PdC`gmu>_XKYFHPWU{h?3?Xes7#UVHvC*e$7fXi_mZpA%# z7*F9vyn*-e8NS6Y7$LrzKG88ACdD+E8FQf#9ascQV1qT1_RI0BEK>I6L^l6njW7?4;|w^(J(G1!PJ-u zbD{wQ&(TuTGachXSuqcqF+Uc=vRDOcV*c%7oNSuJvaUL$kHMkjf;Xyos z=kXfe#V7b0KjBY|%D7J)OpK{8Bj!Lo+OQCo#0pp)>!A~yVH@m#-LW4I#W6S;XW>Fz zf$MP_?!_Z`8ZY5Ze1OmK9e%}#jQhmE_?QgSViwGeCd`LLu?$wmTG$Xh*aAbaGxoxP zI0DDxG@Oe|a5Zkiop=C`<2k&FcknU3!jJd^qcH9h8xvtl%z)W3FIur6mca5@4eMeP zY>KV1J$A#sI0Q%IB%Fy0a5=8St+)pd<0-s|H}F0_!?*YaBQWk09phnAOoN#*7aGxl zMX)qh!kX9s-Pj!4VJGZ~18_Kw!>Kq27vn12h&ymU9>cSE1#jace2E|MJ4R;QCl)5e z6qp{fVGvrd02aq`SQYDFWAtGw48yM22M6OQoQN}UJ}$$xxCM9PAv}o}@H*bZr}zdx zqmFT(Xc!lhU~0^SInjW2ER3bFBG$nA=)z!Ziyg5C_Qzp37N_8BT!bre18&ECcofgz zWxRzC@ddueZy1SjpO}~clVdu}ih0nC`LP(5#VS}E8=)6lVkmaO-Z%(H;sl(I^KdDy z!Oge}58??tkJs=nKEc=c34dZ##(m;oVoZe@F$e0=hJ~;sO0^m2FaCe}i`wsm-X)zL z<4b&x+V9b6{l;HgPw{)7ccZPVC{BN~ELO&vsIBLB5(m~-sQEguzCulh!1@X`9Rlks z)N}}}uTazBKW%+QpuShn`%&uczsr4l+Vkyxt-h~9yD-q2Lr!B5KCZrtcG>52{y&n*dDuKUmSv?aT3nN1-Km7;a1#( zhw&6%#2a`YpW$2lf)N>0D`8D+fNpG#?XVN}!~r-Q$Kh0* zgNtz$Zp0n9ACKW#yn?s!5x&F^_#Gp&UN9CW#1xnwvtbZgumBdva#$7XU}N-QD-6S~ z*arvWD4d8ha6T@>wYUX$;~_kW7w|gX!>9NLKckLyg3&N8Cc)I033H+W?N}H~VMVNg z_0fgF*cLlt5A2V_a4b&2*|-Q-;s)G~`|v29!OM6HAL0vqkKZs7>jh(C0!)tSFe~Ok zGv>!)SQe{bZES>IY>A=R1$*Nl9ElTfI?ltTxCS@lEw-#Wa{1bDS#z3l_lQ zSPrXV9c+v~Y=vRi75m^|9EB5c2F}N2xE8nIZajo1@d94Qd-xRJ;Ahmy9J%uRr)U@# zlVEDhggMcGb}Wpgup-vL`sl)7Y>OSS2lmHdI2NbiY+QsZaRYA0eRvel;AOmp5Ag-Q z$8Q)ZshUnPF##sWbeI+Mpc(UHF)WK!ur@YAFSf)`?1H^<5RSwNI34HVQe1Vd7Q&KP0jpy@bYe4XgB`Ft_QRn#1}Ebz zT!<@hJ#NFjcmz-5CA^6b@HxK2uNX18nm#cwJ|@Gom<4mA3G-o5EQ6J?7B)l=w!jeV zjJz#T#cJ>Cmz7#cn+`P9ej+h@FV`fC@Iu*ij9ddC1$|vm=~>B5KCZr ztcG>52{y&n*dDuKUmSv?aT3nN1-Km7;a1#(hw&6%#2a`YpW$2lf)P@x=@T8}VNy(k znK2g{(Sb#t><0E{DAMiUy zPNk+(EKG1M0kdOXv|>Rlf#tCp*2N~+6kB6^?1p`D z2#&@{I1?A(a$JX7aStBGQ+N??;C+0CZ}AI8;Qp@Y7!Q+T8qAEj(1;E!f~Bz%*2D(r z#^%@#J7G^8fWvVdPQ^L67+2v&+=2V?7@ox|cpD$#OZ;9wku6LAL4$7Q${x8QC(geUO=UdMa*6yM-y)G<#U4dY@G zOpTc^CmPU>g|QS?#2Q#1T^NjQu_N}t{x}TB;uM^Xi*O}w!0osXkK!4;jJNP1zQFhR z4I?p+9TO8^a!iL=F%OzCKNiEXSOsfiBlKcR48<7 zuE%Y-7mwg+yo5LL0Y1lf_!T2Ee;otkV=_#OSui)6Fdr7hGFTaFVMFv_3k<=|*b4{Z z2po^oa4s&v)wl_F;sHF4=kO}t!N>RtKjIIJ!aR0tOoS;h17^p(XvKn90?T7Htcy*s zDYnM;*bV#Q5FCw@a3(Im<+u*F;vPJVr|=@)!29?N-{KdH!2ES|jE6}v4Q9q%Xha7V z!O~a>YhnX*V{>eWovv#{J;v4*o zI_9sVVO>sWB7gL<8EfFqXoKSOe>$3xlyOcEldoABW*soPx7)5w64yxE=T5Q9Of} z@fJSB7x*5(VI=0UV`2hKj_EKf=0P*&$6{C(t6*(xgkEfkq1Xj`;~*S~6L31t!=<Vd7Q&KP0jpy@bYe4XgB`Ft_QRn# z1}EbzT!<@hJ#NFjcmz-5CA^6b@HxK2uNaZ}>lhdxlVMuSg1OOz`LHOK!OB<*8=?nW zUt=LaR$!EWw;i%;BGvGC-DMa z$9wn`-{5D|F@GHm<6;s_jhQef8qkh~u@qLs8dx7)7>sSPBlf`lI1I<)6r7EVa3yZQ z?YIw*;u*Y*x9}mp!1wqKBQcL16BA%^Oov%951KJQ%5XwpJpB(GPyeg#mv6v0wHupb zJM4r#aR3g-aX1y{;9^{b8*vBj$76UFui$NbgfH;}e#gj+BgewPbJx`C^3Qti+TZ=U z|GV#BcgoGaI2cFbc$|uV_VN7LpI?#VsE&29F?z8Dw!@D2d(&$+?^}q=aV>7fowy(W z)zjhsdjJ2gzwhswZ;q0GPUA)VSASkkE9zJ6K6-7QU26yQ=kG&N`(2`m#M=Gz+I+j# z9$3lW*Q56PM7xO(Vqo4~t;hdU=H35Q%9DSU{rqR!7qcm^es6vGzb*H^@x76_-eY0{ zOpfU=E9OBn=Eq`K7XPT{g#4$S?|--B{dYT_*}rkU{?_sS*?&*N{$;`(m=`UWAB$pX z{G<8{zjd5{m;L;^m(yQ4FMrkeRNy?S?Z98FZv*M`|4*O)Z9gn7?TI9q8Z%)a{R8Q* z+zHqJU{&8sMB*O5|Z4b16 z)bsvZ`9F|Ofpk*ZiT~_;WFSAO`Q?9G?+32Cz;*XWUUzpH4||L+@jd=g<7I(-`_JXu z-+Mj+>H5c{tCo&(TZw-iXd;xyNciInm>sq8e=Bi8EP>^*8fxo3nh-a|*4Q4qq1L}2 zLOdEL;Y?hBT0Ojucq{I~!*~j{bsd4<%~j8DVEv|=4$D|~x)!(KZajo1@d94Qd-xRJ z;GeaAvs5H?zbj%5tdA}X#+=oZ;3|_`t_z+* z^I-nya-=BN%^$tqp)a317)RoGoQktiD;KnO(EqldeV5Puv-?Gc2x|VaVL>d8+WIf; zIa0s%yO4jEb*|d|FPAxvn|L3e;%n5NGo{@J6Nz?142*|KFg0evoM=Eh7RFLo5o=(5 zbYU>I#g5nm`{OVii&JnmF2a?#0k`8mJc?)VGTy?6_yXVKH;hDkAtolkZr6Hx9y)I02{QJY0%va5L`0gLneZ<2AgCPw+Kv0?I#UpqcFX2smfY0$A ze#MAVF(~6oF)%(R!?c(MbE66KVNooDm9Z8!L=U#W5bTV-a3GGr@i-0V;u2hqn{X!{ zz~guhui_nijIZz`{=g_aPboGg!jzZ+vtwSgVnHl{<*^#p#U|JkTVs3dhJA4ej>bti z6BpoeT!&k64<5!-coA>leSC&*@e4+XrskvQ7!Q+T8qAEj(1;E!f~Bz%*2D(r#^%@# zJ7G^8fWvVdPQ^L67+2v&+=2V?7@ox|cpD$#OZeBl7=73Z!>}v%!NE8RC*lm8kIQf^Zo%Dn2v6b#ypH$qDZatasEeWIqi7fxlVEDh zggMcGb}Wpgup-vL`sl)7Y>OSS2lmHdI2NbiY+QsZaRYA0eRvel;AOmp5Ag-Q$8Q*k z=PAX+1ehGtVOGq8X3USpuq;-=+Smxa*b+mr3--oAI1(q|bexAvaSd+9U3d^r;CZ}; zckv0n#!vVYqsqXEQoqH)#Fz>*Vh+@!4GUpOtbo9E1O_y?cSP zYU=+uz9(Jg5{;xnr5lP&Ns%PeMI}WlBvI2e-ES9+Gt7`Tfp(R%bouX{|Po|Nr%Wz5dqgHNDR{``7oZwf8=I@3YU$K96HAzJRmv z4V;f3;0pX0^Y9zoio0+x9>gMCPbmSDu^d*yGq4t(j}5U2w!*9MTD%c^;LVtYx8pDz zjSt`>I2otn415*m;R0NWt8pE!$4$5m_uw!18y1rjX1X4g#FOw;tb#SLHeP_2U~{|z z+vD}v4SV4L9E?NpUL1!H;Us(ppU0PR4!(nna5=8UFK{D%hdc2nJb-arPbnTtVOgw* z)$tsxix*)kw!|y(8tj7U*cWfXA$T{A!uxR|K8aKCMVyUu@jYCEtMF6&3ctky+>QJ2 zFcvLUxE>{8X*>lh<5`%3^|28)!`65;cEYaM6Z>N}-igC;Eau>2_%uF;Gx2qN8{fxe z_z`}NU*i_sfj{D}7;rtM5_kfhj1{mNo{i^W1H2SlU|Z~nH(+<{gPC|6{sTwiczhV2 zz-MtfzJhP!ySNxv;wShe=HvJH1OAMM@HqJ(K(|MUcp{d^)A39^7wh50*c30vc6c4$ zgc;Zm2jLxf501eH@lkvVr{PQZ8oq@K@k3mLpWz1FjN9>_xF3JV;#^nhcr1gbVO6Y& zb?`!LjF({>?0}sy4SVB2ycO@l5qKX?z{fEcU%*-T2F}M1a0PyhdH4-(#a*};4`LDd zz&rB(ACs{hR>Cu|7M_m{u?e=qtMFR95qsdxn1#3FFdU5!;3GI0r{WBJ73bjsT#Bo4 z9j?bsxDEHJ@ z=kaBngYV!XT#jq;3*3m`;ZFPs4`7^Zi*!AT$5L1pD`Is#2kYWRn2Ig&O1uWUU^@22 zTW|>8jic~>oQO~26nqh9<6L|Xm*6V=6u-i6u>g1DK0J&?`M#tiERCmNWjqU0us$}z zX4o39#!lE3dt!gg#yfF1j>Q~&44=m5a3;QvZ{z#83_rrp@oU_IJMc&R6$ANTTi2r! zcmke`6|fqfjptzlycAntTkME8V0Y|;nRpxi14rU`d>Eg=XK^~df^XuxxENRBC-^1i zA%2K!@H5 z@4^vyA5Or>F&AIJS@;Id#}9A?evEne4Q|CCu|7M_m{u?e=q ztMFR95qsdxn1#3FFdU5!;3GI0r{WBJ73bjsT#Bo49j?bsxDEHQB9JGcm!<68UzH{y4= z6Mw=37*~P)KbFF>SP`q^Ian7j!c=UDSK>9;1=F!F-hxB$ZXAX8<3xNCr{Ie?8|UJC zxCB?>r}!0qiv_qF_u*kID!;I$>roPx##68|o`oq`9~)saY>iiAC+vznu|H zaaCmg%W12Ka`+liR^y0Ou_o5R3$Za?hHbC|cE&X9jq)*KBwtRuMwGXw5oLECQHCF} z8k*;?&Heg#F*d=L*cMGc{_HsaxBGcL{r+#SqnJa^W9S;k|F`+_AoJr9d=j6<>G%r1 ziSOcKT#29Hmza;=;}7^V9>V|Y*T;I0-$!~B&2|5#asMTJ4d23rXs-9ShWnr42HcF> z(ft0?e(wK{#rgf7hH4`WeYuSr-M&EJ(*=Kfijg7vWxn&0gS2gi@hH^&ObVizdEl8>y3Fm znB%pMJbnXq$3B>ex8Xl`}x5tO$=+{Fy z3IF~(y2Aa6`5pwy`wSe=HGSaV0ofT@ z|6Y}um2qp=KKgjp;LPl+|%=8aP;wkixZdr7t8Ro< zr|Tc5TV>Tf>Zx02)jj5^+hEn{@wMxDw^((0{Nk$HY1Qfb8CTt2t4_}YxatmB zb-Juwb^4y(tXEHY>PlL5PkZXhSate-!}Yv+Enf4yxt_Y}R^79nx>{D9o)>XFuYUJ{ zdETj>Iz3lm>ZW<>np<_xdFt9&b=)pr{{@WdHt-q8J@aq ztL`OF-B7Dew+*i69bwhI?5P`X)#>rRD{rDzr|0)wb(5{S*`B&-R^6+fx|vqpYo59} zR^98Ky7^X}ZZlouSZvkl`yW@`DyvRE?{L+vv+Cx0>NZ$)^E`E1th%>6bvv!Pw>@=x zt-5zSb%(4v-Iut=tKUIrUgz(6>PlL5dS2C)r=MMzyak>*{hMx6x6o5p-Ku-vQ&-EX zTjZ&$XVvNZW7jwuS#^s&bu1&J z`2yE`$+qfNdg_K+b$aaK${S(Tt@hN7x9Zk->LyxsA9?B~TXkzabb~^U?X>E? z^3?6M>ehSe4q0`2tm^7t(c_}8%db6kC9S%Rp1Lwtot|HFJ#Pi8F5gpE-KzW6Q>UMK zn(fObPn}*1!qjc{)HSl|ws`8ATXo-g>e^U!TRnApO)t~G?>%*0thxeET@R~no2Ray zRkz(!mu=PU@YD^p>h!&hYdsiY)$OwCPLtcR3lECRkGAnrXE#~BBdv=3t952_Y@JTi zc9#6;d_7iO9jS?Z-gfesX*v#Vwd5y3ep2N}+u9Ek^v}2==T-E)w$|zMU4rI$H^>c> zA(M{WPCrVW>ATjM+heWU`ncw;O4M70&D80+7`uP@(!UzT3i~%mrkVcfduH>0G-3J) zGLOv6wWjHN5xeds8EhTv0dsSz)Y;D)zd9jEm5F-3Nze0}=hb6S^MB-KuwG75Rn{9c zGfj`B?YvfNqVsu(Ofz}Q<+k}h8gWqaba|L&rs*+?o!80AyF;d#Jl(dM|Dzd6rGwyf znSZ94X}XWF^Lk6(FbUD|4wY&Dk;lB%-{D`RD+tY%S0H~ZKRu~1Pd^8BkFRexpRE@?RnYXcd zsRgp0N}cA7@Z{YqMWaLH^_Dz6Kcji0Jb8&VgW&2AdBL`Xpc{E(Jb5=r-tG{2-6T)H zFH!q9&Xd>r>>%hHB5%m{gh2bHdE-5Kb0kl%Q)QaD8M{1!9kM)Roz*;Z-Z5VO(~;K) z>+{31K|I>)EjF*luITGGM{->2-&D!d?S*Ns{xy(1vwSB=u`BPCbAzC)2@_p;YbCF| zOxN*F^yJl+y!B@12vOHu)Yo3nVHQr54!oA*V z6i+)&CZ<6FymKx17=N*rh z*G=-OnlRCoH_sYxuGG2a-*Cy(^C_me^717wUy8JUQ$$zZh0Wyq17u%qnk#Q%iNrv! z$EA7GJb7DM1i?Ka*1tVTiNP4zj%(iYp1h2a}uw zP{gFUm&c@viGf}}PWv~*lh?Xa5a@LxOmp=wRXR7MRAJssPu`4-AXurLr@8Xx)lLj1 zaol9iJ05NQ8!Q{={dyp9jJ$UBWqGhapDnen`PV-?2+j$yJn~W#gJJT#I{)-o$(1)r z4o0pCG2TwCW%)ARIi9>-!-C)@nQoeE{tdZGmWLE+|K1c`{k!6xAUGUiyn7_CH|yU# zPhP8WvV94${&nh{804`2ne&cETfRMHXR6mvGR-yKf-Z?c0sWir>E8l5@D4No*7l0d zzxOt=?YqmBB7U-K|nrpnv6(dt5yiVw$Ue@p2I>y4yyRhqX! zboFnVB@?;a|oPR??=H**?KX~#cO5SjpZklU( z1V2aDKV8nQyv*dd$m{V~{mcACmIrxzJ$Y?T zj0y5BNrzeM&3S8Ubg%(NtnFk1JS(wp1jHOrH5hiat=oG4tVkwmkF1bd^nnS z$dk8IzLYvt|9*?+{pQIlQ!ZRya*%XndFV>zTK^i!NvY~#mTz1%PrpaPmDf%FNJzJj zrkUv2^*Q;tq{w{H^;vd_&b+3lhRbVEG@2*79A{qj)57I-D;CX*_v96?5H4?wT&z>q z10ApIa-97u2$?s#L^Mx!5zf3772}S2{yuhjM%OJ${MUn$x_SO4~$oD{@Y zE9{@Vq?~!>TgCGs#Pd>2bznDOqHJTu-i zJ$b)Mo_?>CX;K<#v9I4c7bgYzQm5ms;mK>!CN9+H0m+vp9r@h!Y){^`k{4!usVsTs z{YNcN-Ym(Jx5-DEltxp=f_2ku&ywRppl51|pj<UitPk8Ay#du6!go7W_|d@u0ieJFWh-Y*nL zp3WETUjt9xX31+GV*bT9O$vIG*U*z!U%r$kHAG&ft}tUvp31Ym#@L zOgGKkjO||o$;*?8+P@a^<656DX&c|uwuWXW>{hJ_pVLtE9l)P@_$xF(aw^{NUM|xEFkE?%cCC_aCWDz>^s&t48 zz6r5ED&JCGzp_wuywZgudHUYp^dZut>*9j>@zD;NX$A6-p4Lxg(y%M!ct~z*UPmi$ zzdWY@N9V1!`ErqhS^C8kG@YhDdd(NRuJ7c8ptC8WMyDrJXC^I{x_ai0sOzb=l2T{) zZ;bTMY!CD}+N{rVIIq9klv(({6;$CQp9N=HKcjN&j@eVVb%5FWSt$!Tdwr#n8wiU99F3akARzjS5fsl2L^;!vE2RGxLsklM0MX^n>LvfSh z*@|6?J&Jvb{fYyMTNSq}o};)^@qEP#6o(Xt6?2Na6)#jARUB8`t2n7RrMOS=fa1l9 zmnyyj=(hrAAGh0DRq=Sm+4FZlc+!Fk_HOib+Giho!JnQ-u>9G<4J~^&n&)LpZ?!jH zUf!IGJjpNbQH{ose>+zV2GD>BTX@9`7 zmIWGbf>-4@-UCf;O}Oe);L!-%%vZMR-!PB^ zU3B@EUS?Nb2kHy-uqtr+Dw{z9fi1@QYM(Wmqvi#~l{zl6j{Q@+WxdG`oR{#sEqgv+ znebIve3~*vsHp5*1Xub@37b{P@&tz1GXAAk+h{1p1_(4M;k_dbKcH6Qs0KB2MarzWkyCpGf?)P5`Q zDdU(``9*NOz1hxGLwThH_Tm(_1CS`IQ&4R-ZB7om9DuMDZXS#kcg- z%12Soz?Tl9t9?s@m226|Uw*f^mQ|)e^?|Q_Ra~LU%h*{D|5#i#^HbA9R^Ty{Ll`cU z`Rk_43Aj+`$4!3gDkq!q-4j2T?>1EK1_r+MH*vMjPmLm#Z<|!wDu307u6Lp^!b+VtPFy!1fDag3|k9q zzVW-`<-vL%zYEzPPk%K3(T-)Ez@QV~bgE^ADgsSD23K7gMd%qmla5vOg~f1g@NGMa znN(D*J;~#oRrMdNqZ>M>>IzIeffoICe$^h9sMR-#Li?5;U-j`*;Ox-QaMcGmzH8fFmLj#RlS4dIOHSE z`+lfH zP0Ew83dX>ZcMJ-w%x~7)!IA@u>?={{llGwP<^-17TM%9)?031mV+2<{j@c}*LI{hr z@Dv+zrEN%K7BXWa1S**FNrSx@|ADjYo0!Z$NERF9oyjab2F_-62C6>12hJ9|1%<+Z zwr2C?ZhWStUHEulhwnS!9aVom0TIvjajs%AU65h|JGCDxst%x01LsLh-_luC4<85T z`9AV^-_m7Omp8$gQX1G)^{zHJFYt{>Qhiky55SrBorhd8uBtA@as34 zGwR%1^`lleht+wY>S;7=AnW@nN=T+$b!QCjobNBP zKKCzuw_Wu{R%1lnAF!(;<8WW7?vLA5S8RZLkGel&S8Zc{qw4;mT~!@~drZ@R#I|OW z##kC@zlFxl(un&gxya@2k z9v&$;`jO1L!OHBNhb41&A(@>_W--%QX2-W8@?aq{no)}PY$5U>Be&q+;`|h1GQi$~ z0dxzZF0(1W*nNf1-F7-21Y(NZ$ImnPhscYfh`jl*$UTU>Vqz5Sx0#gtYUVYb-LFn$ zw$g4vC)?}n*(@IZKDm&IM(jB=Lc?XL7u*4*6i75!XHEIM9W{fcJ>*wI~PEKP=9bZXC9(}5(o@B zY60S{Lu<^^4;=a)U1iUn&97}Zj+yI8YPM~6E}-w6#a8EnHArgi0#Dq^V%!93Id6gO zuPmlCAEC2Mp%{9sggS0M$DLrUL_rpuV6U8G@;Kf>9uNsu2%K1m?yvw=niUGn#7-(? zC7}zoRN^>Ut0Rt6ir;~EGBc)jTD_?xQafu7+v9ZgKr0!KMRnF$b4;7Ee8<|y*&B|z zu?10sb#^hN;LlVSJB14SP{t+Rh*#NjO5!d>TW_}kma+TQ?5zk{j@pG+)LNtW!_w8)&$s)zp_$dRhXxL{yfJQfvDoInD4A> zg7jYDcaHVO@Vxt%9_LiA+J(rgefoK=;|Z_uFH@(pvKbSUKeWJvI%{570q44aGy9$3 zXa2c!D*TnO;moUWj$gp^PCDKRtdPtX`aKEy-(i=eZ-V^mXUU8IOeC@41odn^(eYh= zF478Ac~YqGx1Hq7eF$QUf8j!B7KY1{uNqbf9M#;wx3|${}|-+e8>Whbq0#% zSXW=brvsJIvHn)W=R+|*-w2t@v999$VeP2r^Q~wS$NKGVK7EpMTJn8J(z#Q7-msjZ zw?hSUtV?$A`OhXk@7>7f(r!Lu;P;NT#^LkV(1IN6fRy~L$ML;W{2P1t{-2W0ucZ8U zVRCk?`=tDjL+Np>AApHDRjCC6_@>EoAN0^(l>5yr6|7N74(%I_PY&jr!~(~J22 zitT(}FV9>p-*+wJ^OxvS$NDKq+p(UN))JJ zUt}}pZAF7f#kBZitqPHPklz(bF-vBH$wj_ zr8OGP=KHnt_*^Kt+%Bd1MH7AADxtSX?S3Tn`lqyK#N?i5oD=)`e5FwDLaD`TrN8El z(7#>U`F&EywFC62k#U`q*1mc-eXdiLWe(> ze)+Z3YrUj@&3uN2q)-1Q{rFa)7W+c_FEbPm;PC@Q-oUT67*RuKJOLk`mBtpeS7J@LHgygO?*G!;`0&d1)tEspHHVx z&2fBwA;srsgwEe6HT|&k+Uukpo|dmx3nhP7;(tj}7>w~N|7JcPmh=}$FMM$;eL6#Y zZjf@mQv5$I)YvKIykBxZaV_KIg>wAO6ztZaMgi;e3O*N&^LYm7KVW?dUj?k+pe_L` zk2VQd0~`2!$I*PgXM*WGEBT&P%lF?%%fGpU?@z?}JT}VbdqCR(>tuY3GE2Ly=;Zq& zLi0O?29B#_oaIuIxAyY=RJ3Hk`WEUEuufRV_`TA$H%Puy(t~@DTEKcesI?2R^T@KE96YSvwzeM_>0}hUcbyYN@Bm-_Xun7CSMofExy}G zO}F^I4FYi9=fi-qocnzbpgK~$r|rGy(ZI9zB)Z6X&VD0l`w#Y0t$@GS54QpSWuJsD zaIW@++ZVdb)% z#LuPOLOHx|SiS+z<^}ATmTOqe)?YGa`*yZoM>~6RADXkaI&{vfafcB z&c^c!+kYJ3)%MlkcT%=RZ)2$z&k5qWq#o@lzJXiF8|OX6Ry+A&j{3##BF(JHuEBHt zS&Q*ZjhmQ zV;l)zu`j3Vt9Fv4@in`i4E>vS7HtyvwSCbFz;*tWbK&_`|0`C(b({Y-aAqmi8tY{E zhcD>HGcv?F*I&<8Y`l@wnwZ{$=i2wPbZ2yqb$AZGmUGF@U!Vb;^B!VVMq=#A3%{@n&+!O}Y~qbO@Z5{CSWfOE|#aZtoy03#u!TQi zFC-y+(msxZ=r{KJ&jkG4-p9KA!-hf3a{g&=H$G2(>@L}J@7Xm)vo6`n(!uQ5ifaiTToeTJr@5Tt=@4jl5 z>>s|HRsvq_|1Epy8vlOM#hd&GS z6?@u$hy&+){yQDOkNkNO?~naoVIMs2KXDP@1^?ru_}}@rr2&8Uzr7!DeZ{kE*0)q# znFGAF;+Gu8H&)o}j+-m4L{~a@R755KcUOFl?Q&1W+Btv^SKMd=K3;JSKmSC<^QQow zs#vrU@coJe$>7lmRs1Kr>Sq-voelU^MTqr#p<*v7?vE9}XAXa_SV=1RM@5qL z`)7s28vU!{S$67W&c{h8H#k>y0^aJpj;(vQGj##r1I{m)(TAMI`GAi&&$2=8b>6!L z@JVM+4dBzxZLNUMIcKwVA8=Mp0>0?1-wJrh`7s;vD^4T3;}NHe1M}<7?@8y6JD&r{ zkXrdMdW7y~@mFoF#q+iHYIe&t_9L7WuC;e>0KCq=ltcOT_Qef=H`rO$?2UFi`|(Zo zJT}aA_OCz>no@_w5P+-t4=F`yj-^1*(xB6~925_S<%2aOhJv9Kh*?09>$nP$njlmLl z+V?fI@{AnBx!r#S2#vK4EcpN%dgTD}msapy#Fkt7DEoQ&BP9QoH7w1l-?Qy&x-Y`B z&c_}L_qO91{jE#|ub7MXcomD7IF+q+#(Nom{ii{#lFy+H-EhCjUW0}WTyOWXt>13{ z4keY~lD5*aJ3sI}1A-1b@4E_3{c<(ID4$P~yWf6z1K_jv)9mI4><^>UrDn&@VjG_j zBE#GCZkBQQ$!a_=T$9K1qxRkG&rkXOL6W)8_h;-t<9h?cKI{87 zyWn%aQ#o9|=Ce3X9`@~`>k%I}QaFF8cqa+{8s}@xfcu@c^8jCQKEuBLs#C+Z`kM1c zmhWNbymf#_oZ9VxN1a!biXU@QLBQkA(;Oh*a5fzW_@?tcrul?(Jjv)=&iy3xC!KfC z0{o{FLnWPWJAdi}e8;)i0X*ewqU*cPwIRUM&NEE&drtot;QLO5qvpSyU#|u{<9v=J z{R3wsY5!U0cC@ z>;9tioeKch1_l-b-VpdP#(?t{EG8o=`9z4TiO#y4m#O=hWgtc8gwuxcTv)+MopR3t zJQvrnbC%ye8_$*f?3>zVrXN|wc8e`O7SEfa$fWhQwe^WF&2M?6<@IjWFTQjFPsDSF&kOsV;|)pKCYUjSnvwwaQx#nc%HN$ zt?VrPSuLI?ZzlmaJ$f>pYyVENKjR^mbX^a=l@w2J<h6PAZ;ip)t zrnM~38OJWgbKRqivpz-2IP=tV^!xUDFbAjO-aMXXKhC!5oy9iae#S+3?(AWCF4#>j zHoT2-as#aSo-S|5DVb=0`yN#W7qx~AT`)&3)?2r%I zm2BgW+INtbe8Rqhp7-0c$*MkY-x3CV(Y}|B_Eq}?4$8;uMPzDE*yl6p@7TX*Vo%v8 z?g9Ll{U05GXY7Fyz>n>;PpjqI!l_~IE2{83;hs~~cT3eOxcie8cqXrB*AD!37oJp*)OyLUT?pH#kkIXfW!9&JMIVEWdA2Q z>8*Av+w|@BVs_Cx?Oz4}x7%lvblzougst&z`&}0T?zHb>LGQ8;ad3UmzMF~tq~cyS z(N8NHP<`iGr=IP7xARTr0LH=+J?eav!|*ZZ4XokUozF0_Z#tK-7Ed_uWaoX$iJSoV zw(~8P^E=Mx+2v0;$L4ncrE-&1n!gXIY!;@vcDRJ$M5am z&IbI!_ICpQV%PQqUbK&22zZt6&P{;V_=Z79lE}tg?1)WkS<22|v5n7O&kr^qMKbET zX9b?!^GS9+A7G>Pb+K3aze1nn@7PWQ2iPiGKftco_Qx?iw?{}KJKiOu^hFZLdAm3U z&JVG`sksaAyx<1LPd~vCHgq{DB=Z^OHQ93kp81p6qElC*s?PLlNW}YYBER2%C)@kL zH6)OOYuMfw-vMspT=I<+o|pbBiszwKq=w7vUv2}u%6^7Kak+gZ+1{1*cUbP%*k^D| zU1hhi?pNF2CQ-cB{wsOfHTIWS;A`!DpfK#3<*L>NAWNV9B9>!q{J|Ul?7F%&`N_GRV`bLv+&ek3Ycf3+%#Dm@^TE)8M7(-udTJ~? zx-&mDJv=h8v#~C`b82E@G_yNBGQKlCImUmryT+$$OMGj`C&sh2+4RuJ&XMuV==5-Q z=U6(kdt^NO|8p*=(EnKuqa#B*(JoTMVtwLuvAT#`tN*jS*owtGw9PEb?Vq{~J25q! z&84SDr&3b~_h$2TyV2Ly=tO1@-q7U*U%cn1h7lM3Ac)Cqc6`c>GBTFkmmW1PHx8pdOSa3Ua_kI?V{esk=mD?%#Tcr8_&$dcy44D-jVD`Hk-}u z#XAa`%ciHMC#Bd3$)I?wfhlWxk{_U~g*xDa6bs;%JUc!-l4cGJ@H+C@(QL-89yYDy zv+7`#@_VxxlS!(~xp!*!WHvqQZGvK(x-~C0401hymQIgqD`BX?3#)(Y>}B2Ii2q>F zHw&Z9^?lf~kN07jw&3x2xyO^1z2GdL&lBZ)jN1t;- z$sNg5YM`^bJ=xdZn%a~KnhYb+M5?_n+1b_G!#vw-W3jd_YZ|n*Ydkv~1W%bX-*&V& z4-BFhsZIQHV{2=wd7!tuvo*D?byIVHY9Q6s+qyZ`ff6$}Q}B)L18vO%?Wy+u{#2h9 zeMO=0pwv3lfFmKbD?2rup30`Olatk~jHEf(lkD8s)858{S*eX(y)9@Qq_@3uAQ*Dp zk`tN&UmqBm;XrnTB5L&+o_OheX5`}F&LvBN zNFcZbFD1cyC$sxTCZ_XCSWJxhX_lm`tGTsxushY=$sP#RhPOxhVv(+{&BeEBYhOB* znb>-jPD8#PfQPuvSgh-1B~7p%2Wq4!KF)sU0qCWXc`G} zq!y!;r>cqP@IihmHAIG(M{JZT7EL7wTY7r?N$94^;kq#YKzmIMZ5@aKN3TZ0srK!CpoLhN?4rLl34W369qeyy4}r$=Qw4Ox1$rItFh4<&h7)x86O=P-$UNhzP)3xCp4ZO%ho{5o0_N&@;xZ;NV`iq z3w*)UB~%*;Mcp`X7FvQo|GpF{k(82XV zMk%^i2SbQnaG&9cj+Q8mFCN|x=y67H1e~Cli9|YceJ~=dCtO`#g4L@J%cHgs`;6cr z{I`EM1oB{rL;&Fj4LdzPG%-Csj9C+ojoY`Unp-+kTig4SGSP=4oZ`_HsgCAkkz`EM zCkNUvC-)`?+{rFA*rhy4r}Q}38piiVmwPGo%jaZtR!3W^GYLi+8m~c{VvTlxuNmuH9Z||;3~=Uf-8cdNEf+b2;RZvK@DKPmJ1wZ`86y?Q9daVHidz)zjPDzcI<8kr+~Jn^b`s+uBAt1PByPcCLD^+A!hf6>?2w32I9I@G9u$&<1kA8T?r)H8b zk_smJxCnT=)A>~Pz*H7m283$L82OayqE-($pUa0@H??z;QPlw{s;I2eAt(gWPMydBH2Is<29F6|F&*L}L*m_c!;nmG>hk{P2z}Pm6{kwH;qiCgfH)% zo)Z30=x&Sv`W5^CKur)lb7ETLD3A?wjzU&NeWNJVwhVT54M4h~BtFoLocq`gJrm>O zVC(EbFeE%F1w)2v?{D7{8cSbTGd6;0i$aM6q&SmB;?=>`LG?k)^!B4oF};WQfk^%) zMu^|aQ1!~QP(TJT{CiRq4U-UvMb%*GOIU#vGYDG>POJ#QQQSrpD#S()VRP3fK!5FR zWizK&*PX0S)IrM04og8eyt>-GB0)i-HOjXTB*zPEc0#drb#)|I5-yBD;}ewnAdzaY z@y|_uP0W z9p)bCv})9l1(y&5mZt}GCJB(I3!(3Jszo# zqJL#fw{M}=CA8hE^Iu6xgqX)C+^NbCXj{RWBNL&S)}?!jv<4Qpy+n~eJFhCTPN{>0HrMI`M)D|Qyhc5HB z8K$_7mafe~1IUoVS5G^QP*!oa52lLmh%-=Vk~o-CYGBh~cMEBvy&n_jW|W*-Su zlM}s@)4coFR~xTKBy?$RTx^Y2UX-B1uru;2o{5qor(kNq&@V6mGx$BCf*7r6pfHN5 zjDoU6WjJI;CyqF*JYf_A+PAm2iWmSr#Y!1P8{(8`=|Q7ub58JzSZzBndBZH%+~424 z1FZvFnKX+#c2K~X*gv$zv59e{)d4djBN##kStH-({^ssvXm1+J5TYgr3$MmL(>mBM znQ-og($m#BumcRcd1H5Ts&6o9_#;G|ZT+1v2DS`#7{-Za63ugWHoX@a>vZjM?*=P_ z!8QqwrE-PGiP(_OHbQxT#OK;Lsa~Ns3cR7I4$`gnuRWHiZ)+>&lnO@K#;^%&Bpm|4 zZt@V^57N88y&19*{_5HEsX-6%$pA_ArdkVmQ;x#Faczg&gZj}Q!hIym}teRLl}G6lpv-nC=B~1Muvl{tkkCFt?eZ? zK~PVT<6w4cZhP6u4QdzXw)E)!^ufG};K34>XKV_dVCBGu0edGV*F0JjBSqs73n|6F zJZLc+MQ%n+XdYR;W72w~(4fRL~ZlTPC5&stcql zE7c*^(B3|n@jK6Hb{7ytB{W7P2x=UlH5AxXHI!F0k89V0UGHVgTC^~Ac64_1g8aok zg0H1Hbk2WS;rR&hkc`(r$%)43NNt_T=B}>ZZBUaq)QYNeZensQjV_*=%z-(f0n;@@ z)eulxk`VG;{%b4<6k7_aN|6bdjUiEUbt;9HivrQ`GC0tY!Y5|_&?0tp^)}P^z_G4| zOw~GQEiD*kyPF3#fuk8Yw!girw=cajdiFvrS=;q8r>+xluF4HQ#26?bBVr=g(2QLlcZCl~3e`9~2`W4>#sgIg?c;n#SJvA{tvl=|ZOyiOM)%u=7Us9&SuerE(DeYiq&BavZ^v!F3q3X9b~pivH$Wx6($?*1olrIfH&+@g2#H!0?1IfW=0TP!1xrDEIyCnE8^O zV3-3z<1>Jkfo|=t3X7%=5q7i&>#-xl6x&^O9kJj?U7X=XwLG|F!v=Vo_*QQJ#NO;U z%AT2+*fWyF5eN3w5mE(T^+7r&Btqk;8hcOaQ1>XTf%jr3uWmI<>jzB zjO8E;j`{y4!#sTCmody;qZ32vQLj`_6%tDzWXfndQ7F-aGUL<)p;00LWh~mPmdIj1 zgr7)bI35iLLz-uG@LY81n(Ww67VGT8wei}a$@F+;caUptVrPifH`T`)&s$=pnrZ1y z=apj@%-+aHB#NTBaBFWD*ep!<`zA(VP!gS58ANguELva?oO)oOp!FUs*Ps~od!Rs; znD#@f#JaDMEEo`IAR6#2VNhg5a$pP8h(nZ4YxbpO!I$sVpo3}jA(SDkXN8P7YUb_g z5aXexW?+l1W)bwVR;)B4H8)6SU#*&FMGzGf&Siu-EGUY>7Q*2GO++H}nbonGEWN6~ zVj;*~&x2V^1uiqQklpVdL4VN-ROI`zXESNXTS}r@51$Q3L9OP&&OrNa-u+->Y2uPkiZ7>cSOEtR<8nv0=4&=CU$;rE1 z^_DIRdihDgl2uS)!dwzI#(b>E!fF-50~3ewsl7Qn$6TO zr8EmZ!mUAuXwAT6>vK_WQ!^JoxD2jhhX`0xlL!B83*}41g@CjJik7UOVqWST7(mAx z`#Ck6?VRbU*@#jJ6&m(lwlqhx*??3JiztQ}O}^8UGI@*tHNHNgcroLKhddCt+-dff@bR_sn#GnE!n!MKQu<##d8IiX!k&MaQ%8Jt_Z=P z8Ze!PBG8(yyVb<_6B5PdaDT8>hIozVq_Ik)@!4xMGKqRgkKfJf-ELdIz4_6b&~;6HN^b;l@NH z3ZB`>?%R&if<2g7yYP+xG&dHjv0K4?Av12{0O-W_DUh`;Nd!w)Cv>tiJ7093OJdSy zoj}P*x4@=c0e>qDAuy%Nw3kE{hzcVNqMDh5fkZ|@0u+VN0Mg1FzOt%oGnze_1jv~d^&%yiRS8;&WIIns z5&{tNfQ3ccTa2aTjGaAD>S#nVDjDRVg4N2^lG>ZhQj%!>no-aq?e=5c9lH}Coq+9& zU9qoKx@^Eyj}({0jx?d&diFCd%)!Hkzu28=GzTGX@oY@tU>s!Mi)l#OCJ9sK5e6qh zkiyi(+}FX3i!1nMScGazJ!QhH4N?a;qKjH#OJ+h(PaZA@P&syK26aUN3Nm5A=49w~ zz$MicLb8|=y|gOoj%(ws#u%EMoR}<5itb_`eYxBR4IZn+-N_);N@*Zb7n2*&ROW5# zz;^T2zis_7eI0D-R!lG@LDEv*)h2f}U{F{m$?OQ- z!ysh4e>krdEG%NW^%8MBB^sGG!i(|b zn}p&8!Qn%PkOb#^(nOcLhYMo{ydlNK8~B6lA+sJ~w;YFz{Bg@>A|`fCSF9`YQZbcb z%T>QDt77s{Z6S<056Es?qtynnl}Mac4(l5j5TcXOP6j<&>}30kTK0bIFXGlVAtI=m zqF*1Vt_dPOW+ZM?gVw#ue7Bc-c^Ih9)vHl^4k`%gWt}L-8ChV#X!kA_aM4qz5|6>d zwdWK2YK=XRy@K7wRx_+R5O=#;n%zxGvYiX`wQtJoj>2Baei)HkU?{}WVh1*`$;ubS z&VmIw47s!P58VrMcwtAH?nfJ$7y@ZF4~X(;jPvf4(B1NH>Jna60zI&ci`r(Cc4PlQ z&%dFK6xQF+xG6}7q^^HU4AHnkcHHG!E{Mo1RK|uHbCy@eEWRUess!#vMjBS=Wwr`8 zw{97PVV&a#j8NttQhC7=P7^HIGA5nk7G#wcO}aX1yYmz{d^0u)Q%-`oVw4+?_%oB_ z;|e{gyk|i{M@F^THsukD00Np%F-=JEL zxgUt`GlKGkYcLZ(Fo_jq(TpH!G~dpSwoa-nuAspAPu3~gwl(*6Krrp-Of@IFMJ^*3 zDPOpAO$DNpV~2HJ!zS6jO2K)iWx7&>MF~L5uM>WNc3 z^hIQrS?#je@X+1Y)xKSNMFf~s5QY&UEGW2YN||X@(yRcwEJln$XGU}7n3 zge^0>yX?ETZ^yM@7e|0hRWxB!)`Uu+)?3&{^(S2ihHT1_VAjK`lVxKGB5*IXPyuubaZZyMaF$%C}CUG-#=MzpOsb)x~ z?#ctV1RGV1+>A+xsYXP2k(g!gUo%gE==v|D1?*DJPr)iZ!`22j#3-)Dpj4nul2}c5 zdv{Aamb{7_$_)>OBtlSQARnCLN(5>5wo7yNrx#EgPVKLh!3dd27 zzznJN$g&?>z#|Lu&*LV7Wgzs6ypF5N4yYvE!@a_2HE z_F&gedl293p4dN@9zSUI^+Q2FP`x@7I#^vBsjglF@}x|AU^ z$Y7gC;~+sm?=%D!>HZM=s)#!P4(e;L%hBrfxZ3p@rHU>NTaxEM~2bM4WJn;g2fFWMeC+(tHM}D zx-z8p<5OXrlmf=_lL&f%sHn{2fJzs(bZ`fv%yVdSu058R(}Iy`te%H+z&9qRLi=h) zy~C-*9~rx=f;h(j8&x!cZ{zV;q9K|{#Ie6m?80g@aqU!b(x$HbgE)sKsW7;+vXO$+ zyfUa6lv@^cTZOxTvPo$KX=bzq23_G=rbn87X~|N>rm&p^7Ux!>F50Ciw8=uJyNbs7 zOVv!YQClyz(bWXOrnON0&21uKN6mCAb1sQVMO@uC*A3?O62=qRH^;rw98g;3viSpA zz-H`Z%|Hqn!*&KPHxza?OWzkv3=l+N`sk5UB^)yaK8tr4LtwTDrcyZVm2r2*S$*6f zi#xG{OV;28t4QM__mjwJ8##i4i4YdIFhzPO+8yvNigICujXr#WB>~Thvsb8YOEP>K zCPtW{i<{feS-UeSM#r6P6Pf8TYM%jkJ}@bQv>e!g99?p5!+VZHj$EvlLo?$> zs3vI4SP66Oe!4ZbxG6Rj!Fibj>7fiIV)xJ$YM}cr)yAbK#SYtc ziJ?={o3b)iDz*-6>hIl#HrpjfD{&@@dt=GwsYJ4^%h$b3WwCtG%zbGu!F=2kPAGVZ zqHkE@_C7PWLdW5`2RUv~)QQoj?n#D{*+Zha3uxE}4(m81BvXUJK6(r(a7t*V#(?74 zuSA73xR@gfg)$W}(^Yd4hl{alSg4WFk~zpkpQ0$nogHF7iiRy(Y;d+r&u8VQhyL5= zvugjl=d-*YkTJ}7J`4Lg4m+4tTYK2Stkp+8m?hja7UifDGA`0uae@W;LD*8a9J4yi z?hiS*wQq-XwM-x+)Z*42IX_ABfLN5#o<_MZ5pxRq{gLDy4hU{V14rPNd!#`XfJeo^ z#FWX%jH!}%3EgAEd#V0bSZytuQS{?-MNecB&%R|Wx1cEIzzMdf3{A|STF7Y>@(K`= zEE5=DfDtw_k3t!k{iGQO?(%O#w}f5u=eggm`@K+1{FnMV~LFvEjqI? zUzEuK;2jWQI<}e}r>GtbOYPVe+si(5jpM~h2dib1M)xwq$efO6Mx}ONW9-Mm$Pp%c zgs}0jR)-Vu+5|XhE$!3|fr6`c40ku3L0K^p`_%5~u_5e0(-WaCA1K*AQal+Nbiu=vqyUAV?6ds{*U7FhznSUIve6$H@IGbL`B^Z z!*QA6XWFQ7W-lM-OFd8aQv8_X>u@`U*~(&e47kizv;x_la|vzwD`X2!9oHDo4WC!) zj+D8haO0CDIE)1-E*t3E%X?gc1FOX^na(9}&iubwa)=-b)*w7bR8ma9>qe9kcNAY1 zzMydK{X*QHFT~9++$6A1ILHK$%OzOvnE8z**H(Dxh=+&r5H53*D>B#@s*XvE-kqzXq4`4GGhTHxOPh#uv;&Om{kPZipsq?Eu zf{A{@>@EoMKv{a2a$tdXxlhiBh_wMhp&8 z9N|Sai#o!x>YaJrZFQdgb=>m=lLB^Y$O&OFf_1jHV^6ww9}8I<4Sp#(FaZ*M$PG3mfRIl zDBN*mrl1ZQ9edHN;BnY%UcOOE5A}(<=aIsZ!ePRaF;l`8ZIs;-H2Zh+Iv#F`EG5QL+C>!>4EK_ly7vJaA&at>YfLc+ zD?6wUJ9oMHLYM$DKvT<|R;j74Q>f&rAcl zmDwIs>R5J+q$W<8fw@M4HKA2zM=tOGDg7{YPJ4eZ4v1260RKe}t-XCa`f>bwU?$pE z-B>#gO=eQVF4cc;S-5yE6NC;y7x{^VV@&yQDPJmPfB`IvsbVnmusfHZlx|Tv!WAWI z4MYR(85tb~Tfuo(WadeZLNaxm5)LXyVstM!-#z;bxDlKi6JX+^vaNd*z$^5`F>=_$ z-sW)!UbK|bb7eBW24}E)n;BT`BNPU_Ru-4Sbr+np1*Un-fU>Ec?Ka~+P8?YtLZD&j zocfHJ^spPAu(~SuI|1Fpb z_{7}#Q^H+2Kheq#4k%Y!;KjQ{;ki(v#O#c=7h7v#&VVtvXr(J#pfvL>)1PsTq!?UW zCULFiI&NgMY;se-IXvl}Om;`>wpLoxx?5h}npSq34{4(?Nt$z|qR6YQU8$37%45U8 zk`$-q0y(oU3W+c-FRCbvcSr@;khM|vZOwG_XFE(qD28D>+puFBJBDA*Cg_!e@$GPa z0=rVjhuvGn#I8|X=`PqcNQEVa4fp7knMcjtXpNi9$N?g0imdg}Y(3;$On9ax^uw0A zy+f;`yBSHj>me_-*@)A*yWVK}Wv0wH=a~7kV1{5>FP=0fumTGM3??iw~i zcc=GdF}}xfo@aa)Hh)t(NMae1g_Hxcg*7XkuKH4a4LCwva(f|m^r29OO?bAmAAwaI z7Q>vT1!0%cOd00}y$|6U1LWh5SgpVe8El%3HEnY?iVxrYOne5sIFi zX@V#@zk+BuPBeuJWV4eHUJlgcYq;6`5_8(Upq>TMHb@mQLZN(cRdpB|CE~40r4E>V ze!O<3tsP4gU7Z~}7zG>u+;d9i1eIJViBI`SEn>TS4np~w;8=C_8HYd;oSH;5@aT@7 zGoNH(*qCId7p&GF%#Y-elDhRm4DMVqI~?=r9BzHYRT(BG(idIFOp0pGTjma@$vI#`We-raADyDSgmLLBl1mW;7f)RP-fTldnJ zGBam9CifV$VwlR6P`DNir?@$$$kf_-|Crbpv2qGOU0T*$WNj3irf8uEWoTTa{-Jy9 zsc+1%LlW0O>ON+nd1d zcYhgLP!Cy_>@@Sl@cQ#hW#6tD1%n4yi>48hnHbxPGklXN$m~OM0vl3cehk%i?GT|Q zIFPwk{#$}b6O#w!s!r0Q%uR;VA4!ZbmvG5RdgR8dtUNtR)r^)_xtfMEbW#2)8=a-H zNsen+h+fzQRbVngLfSAa*v64~8QomzNNQ@IAA zy=PGN<$3KyIJ6l)5Q~Mg9Et@=rnn8X3v-H^mKkbxZMZ6R*${B=lhiX+rPdl~17k+_ z;{`Hu;VLMsM=xO!DWcjqQ#%cdBsh*v6Vod$ta}qM6J+ZiXY;4Hgz9 z+LJKXx%=T?V#j-O=386Mv}yQ8*_k>hIaGN{FQ!uiP1&8B=3qD^CDaMsC3B@miWD03 zc$QKgM&3s3gMbCeyF(f*nRdaK+ma1@SK0W9&7^A@f~1NSx@ z9TTxpevsY>Hqb%`=A?^#D4ceUvwH6tLD-9!zzBT9oQg-VYv0%-%!Ygz99FM}w@lgi zdi81(^AM~@XpUfOQ&aGNd%ikYJ0{CnKr+f&Zcog^k=Z-FKErd92WrQ{dvTXluy$80 zSlb&3)`FndW3LS|ug#55z{%5GwQ`XiE?S1Cd!1FS?sLYsIWl#RyH@U`3F7A8T62*S zAU~e3%_D`;?D_^dVdNV6%wb5gsRY}6vXFX4GQ8DwvSzC0U^ZVfj;mOUlYM6Q1g`Ai zxzEXv$6Ev}nN?t6LT9J;qU}{aVqBbhn8H7%7es;<_=;;S!5I>4^v3x%Z2w9CaGaeR z-^>+nW=XR+Pv?eVKAFOXTMBe3<0>rdB30SS7_WD2q23LS#+M5Zh2sJEfLr8MkfFXC zwmN$I^b9DLuZ<#O)J)I5`n@=nFrCJ262qw>>~&=OluBIX5m@1u{-PvQF1dykZiZcS zuCJ^6$Z8MymdBJPH@L#2W)Ak^_E~Hx7aJQq%#s{84iqAgftJOHoL3S9TV~5+L_IOQ zN2LnuCioyyOr#`=d+8h21`*4(nqo&&TM9$fE!oVUL=OApWpjxh_DS?5a^bGJ(t?@g zzL)!?q+n)6@Z~-!DVQ-Dzr-gvX6R`xEGZg`DP<=prUe@&uiT(?m!A5c-MF!&aN$O& zaf!1g?<+N8G&YJ9EaAG9<_s2u`QBJ<294FG zaCJ-Z5*Hrw<^U`mqQ;`Fr*W`@xp}*}bEkO#D@QGZxbJ`)|FHs7VlEA;FOu^bOJKI{ z@8zAag|E3Rh~EgHu?a1P5Z6RncA(RH3ep%^JonLi1yhj}v++1}8r%&7B>@j!^Gfx= zy($2sZx>Zkvd0?Ph+BgTnPny|D3=lz6z>wH)A-9rWXdc5fw zgfDXE>E$RwIi5ZDxQi{uz006%J+QC=K_w5GvHne4LQux{mB>}Z==<~wM~PF&~)xk zgcN=&L>7}+4|Bg?Sr!O%>iRuJn1~n&hWF}PG#LXZW^d;q%GX}J@ zn)?jUq~>R3a5@)as_|~c+6H`O;NdhZO>`sqROSE-Ibs_c@U~9zf(Em7A3u`;)gM=v zAd=pQOuLfo#FIIanki428Z*pG8DP|qEhfT3Zn@XrGtZ3x2R8awSYI!sBe~T^7T^$R0C6 zf{`S7^d2>KuS9Z(nNe{~Z}fBHpka4N3j~V0&e%LO#<+5~F-MpQy0CL9+1iSq4w*qc zcLyKIQAVEkW&$MbmcnBs^VWcuZM5Mc)9u@NGYtg~Y4z6ba9`J8GH4Wgu5gxeU{qI5 ziyA;SY7!~4Er?CTTolZmGW-P-&#^kO&FSigZoVzEjqul&wBWR@3KOO-uj~L7<{aQT zYQzpQGhbOrc+R#sEtUnkD-xnOdMqYIJ+iQ~_(%#Rh^iU8(xBhs#u(jMb4hT(Ytx5i zOyp|l;W!Ifc1IF!%trb0yt`w3LM#-z6CEB55#FklG>ALH&AkZb45vBx#bIUylw$25 zq(mBcYk`cI!_46(QI!qdMQL-J1C9cRFtf~X5e`C7Aa1h7r6P?S;>egbh!pH))X%5H zs#x*^M}?b;w3|K3FLVzpH(7eo-jVL#(;N37u(%iOz5M-qA{`qJUFgIkUHp!E8H?by zR#`~?H&$RhJALsrL~<2w&?fH4QyENdQ-;*Fdt#bWmsoFUwgyOqjx3Q7RQIGoEg8U+ElpO4E>@)7Un69>xX`Go}-4o#C_ z79Z%{jG6JU-}Uio)1DPG_x4BP!G&%w(I{t5@6!VTi(A9v6KXCki~5c6cuE zKpvb}WV>11y^77N<0d=1+b9Q@o}vsciPkmLg_lsuz+p@`m1H*rnw|lSg~1-&m&D)l zp_V|Sap~a5%lRbt{)zHCbzFb2S(T9ba4HDbQJb0?kqF6p4IqVIl;RwVu`a*$W)@CL zY!)KM=eQ10FKLmG0dor%TE&C&lJF_XimZS|HOXy@MWUx@)5@>v1`ni)IIz zvGW`r56J%(q-`*~X8!hWkmmE@wL#qLS^d9WF|qJ#!`8p> zXIs8YE9cA=H-E~Mf6MTX;qG4mFE8chPdR(T@e8j1EjNEd)%!j3YsQZ*TWS7uuw~=d zk1eOwtmAZtS6hKOM|B@ z*1GuTx0V+jc=KB;O3G-#JrDl)C2;-2??8M0{8p$ee#jHb^s39ktINVym4)M{z&(F{ zYjs)pYELNBuPFaPObr zVuu(1{8p^!z?{8rrKVtAs=jbAkP{Q0fRJTAt+T*}8^JU4%@c3%Yj7JjYU z^XIqD^tkx_hB9|cnY*pb-BIS=ROUXr%-vPy#xIF`{`^*-$HnsWm$?VZ+*`}s_|bdM zpWiyi<6?R{%iQOexi2Vl50$xx%iOs#_wF(`e#PDM=eI^ZE|v$sbME=`TYEh&hEJBc z!96{Herun{#rOxx+!vR*FD-MwqRjnj&)8>>ZMBxf@LA<4+*1->Vf~=Q?O4Zo8I~2W zT1wnUS(7F1S=ReX+(%pAD{&uV%^_ng{LQx3m$>Ix<0bC7)@>#3O6#!_H@F>s3A^|= z--?#FkF|zM+{anhm$(;LUo3GSZ~dvneS)>3qL{j6ooMYSaaUQdD{-G>eYV8C(E3%0 z`(*1>$D7_MR#%DpRO?WQ`!wtQCGOL$@0Yk2S+hAY75;+O+7kC->%tQEl0r!4& zM@NQI`27j~XhPlYx_W%r7;OqC8k!o18pFf!#^`V)5y@p5bD4&4Bok?p56yRoAC1&C z@MlGH^+UO6eIz|pAJ4>N@h04L)G(AzBdJFDKyN3^^OI;@yeXO)j^v`*ruxQAx^XCh z;289*F5ZxCXo#hU8iumDhWbP_7and*B*H`CVQejoG-OS6 z()f)@eh{l`$|Z7{TpBxVnqrOd7~W&?`rJ?xEQJl3rurd?PYdV-;>Tc!%Y?JhM5aE1 z-&|?P#d1xVhM`C#oyp`9iD+XyY|_^|-p$?|d>F55YQW8n^%)d9+|(GW&!!vE#+gJ@ zHZe35jSQJ)Mn3ow@gs@Gx@ayJjl~)oq7AV`CZ5YR4J8^H8#3ucHr)`-48=^{5ua^U z-&oh!7*9tVhSS;lXc$eJjb}31Xyb50eZx=&9b2f0$syKMm&Q*>rW1|XaD6P@gfE72 z!|`Y)JCsc%vJK%}!mT>2b*wvj9ABiPD0Vm#ZHf)WhNIbZG@HPs+!5TUo;4K3MJz;* z)$5%gUymxvDMa;Rr+csPR^g&TA6`b2%sv=o(oRsc6l)HR?H)Add4*~TpTp|PGief5W~eEhZHmRinTGmwxXI)pzk$L+p%-F9;f5ykRSeyo$Tgsq(%}ed z5^HQ~XbhWLxEa)k>#~VVB%W=|W@7OnP+~3;iw%e4k$AWfbkmT_m=cLeeTq4RQKI@> zI+o7GhBEx|l6X8iG=!h&L7#*hv$+1ue1Y|zku(P$Xb?1xDkC@^%fvu2Aiw%FS~Wi0 zh*K|3=8M7yO?CB6xmdbB-qetZ48f1lCx)|VB%~A17|M_{moWJ=3#|P}e>K%- z6Ai;dk;dWSbS#SN$g=3^rg%=fnkFA!*^W=@BXz@xhK5KYnjH#f<4w4%6oW7kZ-@+K zvcs8lJZnmo$InM*SaIYrG?dL`8*}OUa1(0Vh~I|?Bg!<8`^2IRI+Wa|jW^Y0hhy0^ zN}X$rg=67#V+=!x|7C|V>7mB7W}rhgT34S2aYySLaibdg8eW=J0q%IM`g=D0IGs-8Le^oB zRTIWWBGcHIi=udv0ow@GP1Fs8<3*aV+LjB00>eY~iN^X&INFrU=EB*gh#{mR0|0SH znzHzb*H|o8A0284^FDy4NK<_icv({eTEMU}O&`^5NJKK(a4t6l3Tn*ckah&a870r* zM-MWl_-<^NDYNl(I5#|$X~Kp2IZ$$A7OVnS?PW2l!-0p5i!iZtQ!{6r=<6iW;Zr<-uz)ZAJJ zDz(fVmwFCYH@539?zy&YbNP)qGYT6Nf3wQy^*)FQLA?9kV2L#4!<0`ug%6?~r4=Hj z4kD!oAEx-_L`>z>=6yj+v|NTa(hZ~*No-f7mPhv%MQT}eXBDXh(M|1y_$tNg6>n0! zL-B)(_bGl+@#~7;ReVnIw~8++1|V=SpOY1rE4C{3DW0!5rg%W{3dJ`l-mG}1;sc70 zDN<))ew9AWS23g*S8P-4SG+)xIt;(RRPh?cn-%X;{J7$Sir-ZHo#MX~=R(Y8dZ#E> zD{fQFC|;!a8pZn*zpVHp#TOL+r8pPDm!z*)t(aDvP`p<0X2tg?-mCba;x`nJaZI`= zDXvtEE4C{RC}tEV6qDXvs(RNSbzO>wW{ zY^W#lo#HaZm|~0K`HFiKFIIf5;zt#~p!m4rGm5`f{D{FLHX6pw>?#e5bko}-vkyh8Eoir-iKh2ozSZ3y#BXO3c(;u6JL#e`z3 zVvphu#bL#Z6yKnDtKxeU?^S$I@f(WIDE>W zigzh~Oz{hfj}W2aJ+Am&^?#NK)$lpRN-%7e=R_h@%99mWs()OunFyt-U9n&NcM_r6 z9a8tzM5wl}Q@mOI?;s-H`_z3e5&!N}d`SHtCnDa@)cpq{+U_rk4%BFtcODV(PE_|| zB4}y3;yMlQ)9`bN7=tOr-ReI{L^}J`eFYKo+STg5Uc&@E6ThE{|w!z=Pt!@MOy9X|7s%YceUah72m9QClU2~ui}RlKd$&` z#m_5#Rq;{9?$seUKc?u)tul`B{g>4LVa0DKep~VTia%2P znc{C0|ETz)qOI-f(#c$PFHl^l=+cWT|E^Ylp&OK|QQhkmUHQ0E-Mxx~isve(6?ZAR z^psckLB&@pUa2T_g?z75_l=4|U+}*}-S1WWkm9|HpH}>W;+GX4ReVD6DaB_LpHuvW z;tPs@R{V#e-)HFSXvKMoCn%nxxL9$eVy$9Ku}SeP#dgKbidz)7DW0d8Q5;d6P@Go0 zMDbOMS1G<;@y&{^-tZ1}->LY1#g8a{Qt`8j4=R3D@#~6DDn70Ftm5;Ezf%0Y;$IbA zeZ=vbcAc$wtYVeoX^Kk~U43PZx*HVFP;6G*sMxKTRNSGMQp_suQFQg5{pvoXc!lC? z72l|MgW|10c*3Z=a8^u2=zNlzdnD#tM z(bdNmsC%K}BE{v3s}&=Pjf(3PTNOJMdld&2&sB8wyj|)ZQ_L$KRD7l4m5Q!Dc%8a$ zRD7G_9g6Q&{E(unFMe9xUr_wA;-iXBC_bh5jN)^OzfgQZ@z09?Q1oM>;(T^co6dzXnhT^vszpwZs#h)quM)8k|FDlwcnRJg*oU6D%aiQWO z#pQ~t6(fp`it80y6+0Ds6$cg1RZJ`HQXEsvD;`vQrQ(%}*D7A8c%$Om6z@=cui}Rk z?^XP?qH7oVvbrBtd_wUlMb}>PoVtIZ_=4h}6uAM!iYF+ZqPSRbrDCmO zOwqNwoTct|#m$Oa6t^j!rgs9Kb7Z?l#l40=%+=R{t88?YEpivRD!O)(4y{6MbQWG{Wf(U z$zGk;@N&EL)#{JoDEZ7)boJ9y)Qv18+^xUpzwkU#z5ZX*^*ECMzgs`3 zz|w9|Nd?;!H!Jol{!iP#QNMTX1nuhXQgrpmbJU$y+^smFxKGj5A78ERYZYC4!T+{B z{$JOBKdAM+SMf874=R35(bapuqwZ%Ef1>y+#Xl&z`mRs)v!fN~D^@9T-z@pItLN6J zJEpi+u~~7GqO0GEeFWd1r~bo=dlb26jp@63?Pcn|O3_^>a_uFzslThwa*rFo|A^wJ z6hE){kfN){eoNg?EB;XNXNtd7boJMNs@w6Ie7I+h`7Ka9S<%&7-F2xo>hG>kac>>J zbJwX{ebrsB+M(g@y45aqyX#l3p6ae+U7_Lbde(L7zDd#5Pu=ycd(_`u=lZm||3lH$ zOCMMFw-x_O@j1m`D!TgU|JU5Lz*bR2(NPFiK_U1;h=NwBfGIwZ6a$2qq7786CT6}3h{M2r|vQ4&D}ED<9jA3-2hK`>F$M2Je1)Wmal&wX!L zI@3p55+|9Sxie?a+@0B-nf<)&8QK@|`YDarnb?h}*P$7-Gl}w!ptQ>nVm48)KS$Gc zo#+3T`AY9+z0C9L4dP1Td&CckdLL^G?JtSDi2I4beND8_G1@1Hr-;#ZiQ2ApMlWu! z^JjnB=Q|#1eYiy(o)^Tch;22Gw4|ecbY#7*{mx){CK0!c!{d*bO&m!aO}vkoPb?-* zCC((yCO$=+Ph3o_CB9BvL423Ej<|uinYf*}lemv~n0S=3+0L>xxc`?pJ0A)UmV*<`!8y{`m?Q$ zW7mD{mi%a`yeHeewSKmBJyE@=-P-nUYrWxYM?Ej^qCJ-QAhC$}FtLL87;z5qSz-m&xPF9w>bRxt^Ca`<5*HC)ATA}o zNnAyIpSYg5k+_Zc6>&H5d*YA8Uxm=BH#ee8#3Bn#M z2>l$|xq_%C7>7|lUToA?!tydf)LTV6SRbMOd9)V_!jD?o!T17yOdOwRswyl3;=AGj zmT3y#>#Q>I;0wy}qFJ*{ymE4RTr%U~i=AOQc(aq);rpF5!nZtW@Lf+@;nxgk1n+$^ z9lZU?G~WT0s^iHYGz3nR$bY8A@9#@zz`r0}SX6|6{1Lhs=HMN5+ru2rB}OdOvYlz*>lb4n}m1#J_@&#L2zPi~=}DU~zuzokp0z^3{+cQRacqJmilVili%dvQOczAghZ7bP zYbY0Qjl~=1;jOoL_j`DoES`)>u6pY&p3EgK-cE}*!NdE`;>nuSrPpBb@;$uc7VjYs zuhHU7^zi<)c#}N5CW}|#;mP%+9xsI+UMGtuYjjt;#4KL1hu6d6m3Vlv9#sD(dw6nR zQ+cHxUY5n1;^E14vg(ytysME$QR3H^IbvAhVy3SHN)e{%AVhh(Ss#>2JuUGDfFs*< zK$IYQ8DTQ`EBzs%AL6Bm*@zNqaKVG=I~ebK1BEB`4MVi`%3!EAij3}u%n>$kx`QWU zh|QDx1XyMyg6b7Ucyqv0--WEa84;e`XK0RB9pTA(zB%5)2yYR1S{I5E>Dmad2E69l zWd(S4ztu+SRUba49v}$9TY9-#VVjBd0ixY+b?CPvsCWVLZ$=b7sc$PHBod)tXFRL3 zc0|keMR;?u2>3m*RZ`hB4vQx;g^)^9o3Gzg5Frw;Rf(>*VWSi@+H0FSnp~-eg z*m`+b`0qfT=nZX7k8S&R%$RM`0Sqp^*j8g!cMSF996QH9>V4W1KB^edrMC$aS6`Hi zf43sK^ctWi>jDifz2nd;14;C<5nX!mYm8Zpat$uMyl;$I29oIAhUn7U2)#il*Wl7? zgr4-P=;a`~^iD#rPQ`#Oy^LMxUzCg92t=1&&9%4}fpQHly+-JD2TAmD5nXyKpeO4a z4KBU*I03akbX}Z=jS|N*kC%U-_lk-EU3%rvTa9w@FAve>-;#8^6ReXCcrLxzZoG>P zp6KbixHyU7ZycgauK;?tqg;c_zxB||1xfT|e_VQRK`$NU z8eDo!mVde~Zm#{lfZhTXfv6^<<7tlr#%w{M_?M69@^4h1)cUspH(t6xNAxCo^d>-W zD#|su{A+~XLXbqS0MX@NJ@k5@T!Txm>R_^ei$Hei9f4jd<8v+a%Ah0um3Z_9_6^5x zP=es{uL*i`o{3&5qRYQZ=t(C$^9MgQ`lr~XO*x%9R{4_%iCF8|g* zPYzDm-*QBk-m5pJHr}r}oE-0`d-T>q?|F49bOC>{u1B7|-l&J(LHbvLa+iNYGsE#a z5Wydnj_TDlgvYV$Z>2|XKJ-$V-)j9QKW#MdG#TyRmC&m|q3rJ*OHbxd z-3Hkv_a+=?(zpy-7DK{5{$f8R=Z~ks)&7v{Y}sZ2w;zLxj;*t30HjP}{|K+^+vcn< ziz!d8pCzrK9K2;}0M*sOAld%q!@ngcm;IebUK&t-cY|d}1(HGNw91%)Y5=t^K`xns u(roZ5P{Bf2vYwTC<@k|0p_d5j)*5rTL&8kx;j-y0UL*V~(8^Gz$^QT^pU~t0 literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/drivers/padc.d b/D21_ADC_with_DMA/Debug/src/drivers/padc.d new file mode 100644 index 0000000..ef93217 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/drivers/padc.d @@ -0,0 +1,428 @@ +src/drivers/padc.d src/drivers/padc.o: ../src/drivers/padc.c \ + ../src/drivers/padc.h ../src/asf.h ../src/ASF/sam0/drivers/adc/adc.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/config/conf_dma.h \ + ../src/ASF/sam0/drivers/dma/dma_crc.h \ + ../src/ASF/common2/services/delay/delay.h \ + ../src/ASF/common2/services/delay/sam0/systick_counter.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h \ + ../src/ASF/common/services/serial/serial.h \ + ../src/ASF/common/services/serial/sam0_usart/usart_serial.h + +../src/drivers/padc.h: + +../src/asf.h: + +../src/ASF/sam0/drivers/adc/adc.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/dma/dma_crc.h: + +../src/ASF/common2/services/delay/delay.h: + +../src/ASF/common2/services/delay/sam0/systick_counter.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h: + +../src/ASF/common/services/serial/serial.h: + +../src/ASF/common/services/serial/sam0_usart/usart_serial.h: diff --git a/D21_ADC_with_DMA/Debug/src/drivers/padc.o b/D21_ADC_with_DMA/Debug/src/drivers/padc.o new file mode 100644 index 0000000000000000000000000000000000000000..99fc50361bc5b3d50d0f65e1c760ac543890ed15 GIT binary patch literal 808640 zcmaHT1zZ(d7w@dSXAZ;Ra70m$CN5fy7xA|fT_kCXuAH>M~74jU(F1r3G(We&|YxaR<8 zzIi5GV8TTvTw=myCX6)U3KOm};TjXJHQ{;_#+Y!E3AdPVn+bQAaF+@9m~fv7518K+V!~@CykWvyCcI<9dnQaUVWJ5in((m+pPKNw z316D9{$;|vCd_ZbU=tQHVG$D+Ghqo6mNH=( z6P7h$c@tJNVPz9mHDPrV)-+*l6V^3heG@h`;ol}~V!~!7Y+=GyCTwHEe@xikgdI)T z*@Rt9*v*7JOxVkWeN5QTgab@C$b>^oILw42Oc-jy(IyNt;W!hHH(>-|zHPHM>g%#8 zxvI~ttoTN@_U$z?`*fx4NXp)}r|RR==b%qjN(jx~FS4(1&FqSA)d0m8oVhyHAKq-U z67=;}D&HCXJo|eN6h3a{J$q)Xp7B?T1zNqpo74B7o;gZH_H@+r!8ns16w2PUQpTQ& zZ|6$Ydq(uE)+?gdsa_|0y7lr1b_;c_o~cvDU^&CRdbVJ7hIBK$Y;E^w6a=tDKz{k-)W0IWURlU2!p6ni~hP|3vO#sGVloc zKmLTOb?)9*k*Z7Ivl_asWr0Ii)Xvj{q6O6iza;(j5{sf1ORy+fkycC*+SrLgQCq31 zq8009P+UT*fagYKP0?zOW{Rpc91qI(o}kp8Wl&t+E+$3l+Rug-0GH8yk#2X>6-x## zNi7+1*~-X?|gVT~+`(9gYs zZ~Da5CW>Xvv-NvBU3t}5yE7vH4f z(AyuDN(Oy4x_w5SHVY+_9yC@e-ugof+?n;4Pb^9nJ$-K%C9B?KzXk`pE_YG#>p$DL zz|F>+gi=v#Y*lxka(+wj@&A^fv7@#im;^*SYJp7ORR~SHnq3rEh4ep>XbQS5P=# zkj*r@j~^FKg`X-4M+?(YICD-*6wc~eDhg+~&V@55zeVxV&koa2L_-@%6j8%0isG$U zRW!y4!=&Q2ql%)W$`cRgiEP(U1P_r{_d`b8)~*0pm|&#*Oi4kNz2+1q7=fK!^H-Bl zR6t6_wE#CWA{XJSq-u!4+%?!qurQ(4P2{+slc0g1c#vzp&X7&rwsT*_wXmI|z1w$G zT#GQ1q8>W|fugM4!fY^AH3~4*n$yr+%}}JOthvT}{wy~`h(?f;_syBY*ZrshtW)fCO$F8$Kn-Kp7o9$e|c z$}G$V&9f^5rh1j2DXw!2MHXQyn$_W#qIrLD)NpaWQ&IDEaAg6@TA0l)T-Q7VOtmt| zMRAQjiSiMY3W`#-uc#`nYn=o;6B@SH71wo6f;$r;BjD3|C&9*qFPY%X27@51Oc*c{ z+1zLlq_b*->uOa?$>!$%zr5SROo}>rJydRGhb+v7Y(C5bm}(lv0M|#+U?Ecxxjv}* zOVN|G95u4}l$jKD;X1hTjAbp%mWEsfMIhqY`3JMs;;ib_)z>%i(GUif1phOi<@}b^|h%(WH1X zCB83;(%VTw2B{e)#b{qBn@M!y zmlLxH^MdKbY=cipuU&x?a}2W<=7bZ`lpBM)=Yr=ju+~(^z1g$sNaTB+N#Vlx9A6YX z>rDzb8qbBrQCb^JinAohbq&>mMrQ?Wq?^B-*ktf2=`T!!=bH_)7UqN#v9#%z6UR(- zznzFPDZiaKZc=_bal)jeIB}FN{c_?Iwc@zZN=_SmO8PZeaJrr`%vzWePQ+7TjML7N zNFbgToa36aB<`D(-%cc&l;2J~Fexcc+@r?O=q!mx^x&5hk4-0r4?%T2G0a++6Ha_3 zjP8o(LxF<*Zsy4t;843?Xw@%uKd3XujXeD{)$J^a)~pCtpfRUq3xYJl)m_{`#Pbf; z-mZ4R-C1}zbqZIT_=+^Ai>bsujvG2X3_d0O z{!3>TQ5$JaojxKp#|@p?O`Y8U!ozrTqP0>KclYNONYkiTLqLT~kw?YY>E*844`S33Z*t5LOp66#bx!Q=M)M zEV1|DZ&xS9IhdvuuARi9Uk18~njAMuv%6{F;daze4@0GeInjtlij!UiHDsq@7yBh}`Z%c%>5tC8yN7|dn1P}IRNBYZs^ z&v(GkB5}n)(>m+|%!|b{EMA0fI!A^+NL?bDq9+R9^bVKL$k9@9&p;iHim8Dv6YbE< zg>MGOEv%kgmy4YS>g6!HPo%J+hJ|lNhtcw*L^A{Rc4S~fE5s@zM_C+3LtZJOjnr(8 z?3}tvtTR%xJB)U@T6{KA{TxQSS|h5Uw1uy~qrp=YU9?zZpaG5(Veo3L_++5DoU5}% zP-LvzNYS`%EKK+vWGLJ2AB^4NJJ+AEc9nm-qd8Pa#>(?wd6N6Tv5@d=@2M%)Zcd8e zg@fn)_ljceZc^Nsvi2D$Jxz)+_{H9LQLMd8J;vbI>ohd?HYwcCJj<_^inWhPG3KlB zLv_X4*GZAcCnil{?IH)kGovwD`^kOCgwYtS{S7`PegCzpVjW<(Z(&YkX1`p?neht~ ziuHg~UCLl)-6xO#Qg={J;5fH!>mgI!Ppr4ChYbZ5=1ikn&&gy~_j3kvd0xh&Y}9N{ z?^Nqq>9D7GcR}9xrS77^r=-t_LmulTLxF`kp)OulK*V$2R~Sn8FLn21hhOUM%K(lW z-X)sqys;gzJ}?wmm=o&W${DP#7P^}C-G8ZjBe(rhmm~-LQup3er;kOYBpV7W%$df+ z>ZfK(g^oPX0q=6CVaO2b!C@4ozk1m~g~KR{oT@KGxT_jj2~c|*sO~Ua2~-ams12*F z1UQ{bJqBF$PgE7R>;+)LOD&2pV-}nik-F-vi(<8PZotu^-Brc5hN+6JCW0x587*F7mt>3F3e@}bFrnC1{Do9x!@Jun zNJO_r0^C;A!J~k#Wiho^Swv%Ua`Xxsls!G~pELL8O_M!uK@Vv9@(3&1{2Ya*EUmgK z_5x0|s`qw3%P&m#zBdQ*W}3~r$*kX)N0wFt6?<@TLq}Saz!liDokUO#By*FMd0=;; z_}PnGVWXqdxhVExDelK%n6Vd6v3l(RDfSXhO!sc!swwu8PR!=rsuIeeloRvtPQn({ zUfPMJ^`5p8X=R*P2Jc7L;CqM@^Y*^G7xv0Jv204#rzj@myvp@E>Tr$!ZyI?Psb=o2j@Xu84rA`BqjWXB63R}1L z+Y8M5%VVL~`*W0Sq7XoRoLdk=;V`)(&@&(f-G2gSZ;hGEged+tYY?sdv#@YXuQ{t+$| z;p^?~cLhZmyFD#q*}Q9@L)haI49w5FQ$JMQNlQ9T3-B&~3R+J27+CHst@^c9>}Q=8 z@&SX-=bSzl1qP?jJMES94sHc4mvS0%A>MQoeqJtOVCA#4`WITRIki;qZW)4dy6Kdw z;r;Ckuv?{3tHQUAcN!a-%H0wuAK}};yXz!0#`_11w8q|tCL`?ucRAtP+&fA~jvhL- zv{QVDV=R~52sq!_qmaSuOh@roLXyTwzN??PC;_UynBZiGmm3@{A)I;?M^bZ?T8Nlw zIIcRnJwa*;synvthOa58%~6n>Q8`oA!_kFvQeMP3*uk`p#~0yzB{MajBW4l&tt?`V z)Pj!V2T;sa&D5d}`8Uw&;+m0K(vdV1g;`5DM}iQ?gGMk^+hnfb=;8$@>zJuk9MNBZ z))jXQ*&2>}FHuYlOy)X{wm1^7H#9PfB6S2Ug_8rtB!juJgFA_RsNjwveUA%Aj0d=f z32yV!_q1@h4n`(I#cQxj-`kqw40f>gv7$c8NBYKVjtZTiZk+gPq`%Nm(9tMW94-z! zk2L|uy;N@P&ic8<74o}&4AiWjO$O^;gg#UiRm{!t4^}2+H(4JWOpI_V{mleZHV}+) znp>XHS1~Zu1ZU+G97BGi1*nOvbQpQ`ySdP!*zCSE8R-sVkjP=g(Ssdh%Azd%jfTZD zP#2tW+jEk$Y3MMI6)XjqoMOUbb<5RP>+ z#HDW(Ir?IkYwv90>&QV{A>YNsx00jsHt6qa;(LMPsK@@Vi614$Of&?0H&Z{3<80i< z*c+q#yqq|DW8j$aIlW>E?oiUavG*3;fII%eYTw?^h;ubnDgsCPi(yC-z5^8-k8+F{ zdNM0Et_SWlCZ6_<{coeI=iu?g-d%7V=9t(X-VYFL&uRHUibMt_W3Nsw3VEqa@+a=; zM$<(TI)%8G%AMVxxTmEw^kjpch|tEZ%nb*?hIOIjjH&{oKLqzBqMYZj?6Ln8Wev=2 z>H?{F{KKOgk(=coi;_C53Pg!;keE6f&fv=e3us=!MM*t@?+Z1FKnW0h%Qz$I0sB>{4-gg^DKbN@+?Q1&>~_8@4NYBZQ=PL@4w$4RFz*FGpO}w;ZrLSljC*mCJxhO(va z+-4P*20q9SJ0lwxW0u2MeJFa{12{d`2O<=Dw$n(|V>9B?LvhJt zIj|6i6!@tj@2mpP#o-`Y2KsjerUr&AMDDae2d)7WOJKFl;6x?@aQx*oJLWL!xl8QX zR-9aW=z;f1$)>y4!u^*%3)6glJ?<}EDWKoO6=bk(!Np}k#bw4JtV9jJm%n8Nxo@xn z+cD1lwj#*AUSN(3{La4+OGm|}d9qYgZg_HAp^RYs))CWMu6beb4W8$UeFbUwo-5B{ zgCcVks$x*oTvc~q=ZRxc#l;tsl+)zN#;hY>7cQ#fUHG~nTUwMU>Le%Z8(!V`$t>Oc zA*zQVBz+M=p>IPd^)m=n{UJh4|AA~-^!hnbE_zplx;`4At3GoS_E-Ak!4}*rq#BKN zv>t%aT`!B!t~W)PO7DZvLti)qEmJ>-cpCi)LQj3lDZ>51YV7a5^uAbYX4HR%^A*ec zt}eJg2--A5n6;Re8fAjX?YKly0?%?tfsYu7z#j}`VETCgYG57)T3{sxmcW(_Tmt{a zf>+59cwhx8+)D`x;Ldu{APl%Qd?Q*<_@7p&7S6sGle6?Ev#R#At}f(WH9%A$el^Q-I7 z8Ptq#q$02aa#8#?%)`tjr%+CB3{}Zpkf&+HZH|Yk-g|N3HiNEk-D6^Uk_$~{mWk?$ zUy%a1Mw9EPEsKVEgLe~gmuJx`Pk`vzm z+*SEdrktl#aBJJDy0?BHm6We=mXwNVU=vOsG-kB%Ciy2K#+a`vITxXv z)XMZ4B;1>EwPeQ8P)h2l`5b8-(6kYfJ_@1GpB_L{ zP=YF^LYp)=o6t1oER-38jDFx5TCjc;g)j8pR&J{C=me@>8>fYuuD(WpQi5{aGB0S= z9(0LieQ`98sR1D-1%yJ6K`8ZCm>N{QKJF7Wy?#dx&3wf(%qdFHkp1R}s*a_%tYOLv z^h!Mj105+r5j|4$Po;EOL4S!WUPX5s#NB8EPE~~xw6m5uq^b+44D*Og>_g+q(4e=i zPViyvZd8X7RCh@V=Q`@loJkswzVC5xNqTT`o;CVn#|O?_!&6FcCrx6`xIh%8zH}XH zt5XI!QiAO1Q#?OPJD6w1D&}eQg6$r`7$$U?25m<1YxoV<^y)PllnouF*K$(Sn`Wa! z9~+4q_dAB;+);XW#s&2~Bd^?1rbYoxFbYQaN=FtON-@!tRUJM!zg8cbsOE62#$@rt zs0TjG+{w%@j4BnrE{-g$`L)^cb;q0eXc})R9xihy3k`)ENha#ys4anhFwwM%U*64_ zEz~cxf^)DYCp3LCS`|0okUFsQ!}J2f!isyic2gxo6W=jFrLm{xoR?xhlDe1CFBnB5ZrC>a1WCivZ%FBEUp zAw~jmw8@E4+RL<|I2r~6&159;SmTM80cvJ5)x$CC2TW!a{9J&Cj}58ekdKIme%`_5 z!|qS@6;q)WeO|F~mKZS?VP3{DmT~T|GKH!sZqoxSO4_`$K|w!EjcuOMyV5>M1 zhAH$KYV-B!*G-`^@l3~Ge$0zX8vOEg?%qxzy zU$FOXGeDtY?0z@=f-MNvKz%_gB86C?=&KY;?}SQWDvXsbV#`zj4spuI4dqnJ5`XfR{p zV*C|h_xmCmjvwWC!s;i2bcxeTV+K?T!PqyDQ4pv|r8K)(9<;3BVp>0hbv2uU_gbZ> zp25-J`R+)#){s+8P_lp#p@Wrq2cG9$WT@Y*Fv z&Vxiq-+mZKb_tGSEaFlwv$iU_vnEL%V}|#bB85esM!YP~|H4f^Lni{W(U+K~-0{qq zh${=?XF!r$ic_kJ_$^ga7*ge|6EI3t6~;I#xrG?4vf6J}S=-54p6J*ORpFodK>%xh zR#W&Vt`e{vHx-3{;sRqMN9YRw)OXBj>wyh3ergh9t^bt@|I{yxog1nu{8PW7S*4~N zc275H&tz|CNlo?0!!oa&1v>o{H@DJm61_v3Q9I_D^jIntcPrsm75h`oUtwS=WB?bH zq}>e(oJ^qW0pRs^!&2IaxZ`Fc6?9_kD)>uD8CyxT5F1fdPZq%xP}MUpSnQtU=9Z!; zmHRJ#H#c`Tn_E!~3?7a@I8uW{PjM@aDXXzYPg7Q_>iJtXtto48q%&o~f$nYQ&p;f% zW!Yi|q_Ghr&5N_b5*a<=9A_ev$!$=*e1qW zNk=(t&SJsj!KQqF@fnW${ZeY6IevSK`GSQx|2fUFhSScn4)|U80=5HJIfW~BHZEJhYf{7JyD0YmVSjhC7LKZJD}+bo?z=7KGg2UW_gA*U^yVI~B-7lXi6 zRrYtWV{G`%TGi9ZTt1J&)iEx_?vz21@}DskDfyN#XEb^Kpy{uC*QWQhL~;WVCDc^!Y{t1>2B zrJjMCB$oSMtW2lhteh8~Pyd@Wh5iRCxc(zgs(}x)F^tDgD#I8!|9FmRir;_h<@t{N z{6lZb6!^bbi~lDpOE$pozgbi0XaY$6_171%aQsMVkwSBpDr4-n^Do|noW_5=#NxjI zC-@b{o3Z~N9~Sq_g@q{>2#yIIn)opodu26)_Sd_En0Sf`&Ho%8#mEKHOY^t(MqUcJ z8~my)oY9OFanSXMtEt3} zlLP#z&QNoIG!$DAGp%Sg&41%fC=Kv2DS_F|NG@N^|Ht3DBJ*WS;STb1rm3=64lN-6 zTR4`>U6r~0O_72*HUIAfkt(=J;+#LG04-a>1W?KZI(eM*KDo4vT?pd&@B)&3OR?~j zQfdAf*JDnU?~Qk4NWB%-DCr z4u-ADA5_qc{iH%>Y@{e`#zI9AGd4;THDjX%znEs(F`~E`3lk;G*jQ20jExhe%viW6 zZN|onGG=VL2r*+bL|HR7Qx{=bY?FkKx8MrXdztc?dTYLoGr$l3KAP{IvRHEeDeBbyn)+&< z8S#i(m2aq@YI#q=r{JGfz6Fj%W$pfdO2`loO)rS69%=1l7Pg1!w@f=g6X`0mn)Czz zlyO=)LW8s%4LC1(QfvPAa2_iIunp(j{Tcxl%E4NWsBO?5FhtAoBt7$_(Q+)r>n~LX z4mFu&E>CAn1`RVKxrb{xVvE7FDi=wq<>-e~dQ-P7qiQ+wZbIGd6C<4L+EEdU7N*LO zkr;>A0a-qjv>XXMd=(yL(#(`fqn)^%E5?xKf4M7r7xo`Ks;n_KMNz$RU^-m|=7PeZ zvPvYXd^81M$e{5i4+hN%{~<5yr`2*i9f4+(a~vTHjnbL6|Bir)@l8<-In#mOExi^r ze<#>VOa$F8Gsh+&=7p1PG;;j$jHW3S|Hhe-a_bwejFl?5&DNB}P8^TGBR@@*O&NZe`KRKou%`T6!l}KAF#nm^Oc^+X!?7Xwq(%7{ilY?8e<$l%Y{YNj#9dRC zeq^5Zg<1CTVy3jI$MHbCmC}@cr|_YHQZE-v?Ons1$4arqC6UZiwH>FH%VYSA{S~ZZ z>eQ685v->(_J5i(zbosZ(HyT+kv(aH#V@4Bvd-!u%()y5MN^XAvxYkLIPCc^bLKwA zoM+y#ts_O4Vtvn)m6;8nZ?cBf-I)F|A4^@w1(2r9InVqFv8?lXdgck6#+33~nR9&$ zj=%Ve`S;@-9z2y;YUK!~e8U=7Q)(PwD@Ffddb7?P`tN2+U7b@8=H_r{h@mqp$Nj_E zpDa<#IcO*AY_*Q*C2)kUDX%cgYf9Pa%(JsPTiJ#kCd#$|hb#H(8^y7M^%uI%Jdd#P zMV&6)4kPc$ceorH8Sytb_J>7GCYo|Ml*98zeC;YOnR&g~`cU+8P3cyi^(5jl9G}#b zX8NHd_N2%lw%90=!!7Zg8gH~@D#1QSJTr3iiRq~g{tux{xw@8Z9f@RmwbyKGVFZVl zigS3IE`G#aSb;O(7B!|3o*H(E{wMEE{J`O-_AO#-{5fCQ|8Qvsa;df;lm>I z7sH2g3z(C7GyRbla~^T!)Sw^iVbSrdx&2=p#^MVSO_}w8Q(ZoCI2^BlusO@XviW?N zlI1#c&cs!(rd)94@Hswf!gzX&HP5Qc@#9N5cS+IgPdl8Np>4acY}2L8zpgZMUiRX6 z=?kp6GVY+!`!+IXmI&soG=wSXaG`)c)rWm(orl9`9a-vRYv#F%HMFMOz%>(k7EVDl zr9T$C7(<@0^{}oSdg7}zj4kuolR@*DlDLie&qQ-Bs)TcDp&1-6U72|1yOI8?H+AlRw#yf*5QRQ?Bc<(rmR`ZdP+`V{^_@wQoaYL zmWt%~yrE1#c7|n@OYG0PrmTMoRu7ue8?RMR0^eA}#G1_iVGDBxEM@xMH7vV%KF6O< z=CGp?|I(d##^Cl1?Fu_8w2C0+43ey2q%ne>$;ab3Eug%Qnx#Jh3*`SsAbT&{~#p*m48=u(&A4 z3x45vtA!j=TGn>?JX^i!w@bjxjR0 zd2?!(5^TMQF)x(M&QePcvWKI8vgQ&MIK1t~^lQ7A^WJ3Ee||j2i{a7$W4JM{`kY`+ zTYl#3XpHR@C$ZfMjhX)mZhbH&f8hAl;cU0e4(4}n%w8QaTJj`ZoSSk^p=;HIi zZOs2UAIH1lY7F&)6&HHPJeEq%%i->xOnAzU zRwA!+cyKY({dVCYfU@QzzT#B&jb)x->|HI&_@*kt`ZHNiPypLqpM~{59?d!n8oey! zD%(oCo2@@?&iv7tn7?#7rnKwGsT&$_m^B^eB_fG=K5fATqO$Bc+gjg-?Jilt;Q(B! zpv>_>qNe!8aqgZ@<@n5*oSNr4hyEDBEXsn0tYO#>*4)~dp=`KJM32BBJL($O)9BYn znKJ*~Z^|2^mbVzAcQ2#0EGU3J!z-Aar#!(IP$>!VT&3?LKAviO!G#GlWDN2WL0Rx3 zLYqiMmVr2lp7TIGnF>dNe+p&QQ7}_UVgsU0qgj3^)@9VA0f>93wg%!}3dM?2+ehQN zfViLDr-rfvbd`tNgOm=hIkiI+hnG{@VXBHxzO^Hi$b-#MI`9B_iKT2q&>)V{y;s2E zXn_qnkJEGsCr(h_;~<`-A7`QSB3;Ka%kqjsQbS9GNaq30iJ~LV4U@$3QDB)Y?lp$8 zDWWnD1XD#(JX6!AiMhGJIbAfhfn|np<7PWkgy5o9npmI;`rqq|!F200`Cz&Gs^OsbOH~3sh0=NUFr1>!AY}@L zqzBsqx^oBEQo4!LZY`QtCxd?@)tZL1t+XAx5p5^+#5EI&KFxfHAEie;r=6xc6(Mkr z=HXjEl${5b!P*sC!DG&KI<^-Ax5>XC#Gld&A6R%rS^Iz`naXDc_L*F+gJrb1QH5O+ zH_ri^B4(6^vKgX#187+y8sLOOixQq_i`oV;5y#xx4pE9%h=)Ysy8tJ}fDRyD6$|b{ zAW>AW2=GWu%?7!r;@LW|yb!G)faSHY?+17%iea0ieH1!A`_jfsH=gXL$cIZIFkhbJ zh2kRFE(zFL`K2N_x62JS=sY2p3`g2!>CGckyc~*?NA0nsX<&IF8y7;3KFWUBZD`+R z=F`B&sR=w9O;d~e0W4ILt0S9<>h0m|nfig(t{>H{uUJ6c*$x&)YiA39bG-K8I?^U< zp@SeWS9@0$X^XUJr+_Wjmhh~;PK*BsV3XGJD~LO^z#$OWr>*J-;t{Prh7#?prt=5T zm$dA+AbwZVX28$;+V~J~KG)vQ2l1^Y0+9EQS~T{;+Bfa)dVo;N2z-~IjkT8nC~pJiD_u$;8qz_;kyEz7!$NPB2m-WLMzE$df<_{B0G z1C2JpWhbvEX1lobftH0XWmmw^YM0JkShmp=FePW$e1xe;n*wEmU{pYi&g zk5D#UZ<+Y_6vXqdc8OhU+dqBK>U+l>jkh-*D9}JeTwVk)zC86b>K}D>nc}#Kci^t zU9aO5LEGh;qc*@n*Vn!fh;v=f+o4OYOAmqNrfZkJP@UjcWu5qh41)SU6nlwfp_PW`*%N%yQxgFrRTi5R3JnPmD zcd*)JH@O(Zc()gqfjxAaode*RTL3ScU%Sod4K458=EZ^d$?YkxMn>5t^Z)V?Zqphe zx07uPHi0`)r3A!QCUabY74< zVGHYuv@5o>+_J9Q5|4m*%a-i~?A^8Xz>^v+!4`87Iv?0h90ho6Q|^Q1nQi|Cu)MUD zz6-fGHqXJpl5AaafaQa&;5dNKw(jNN{5M;&3YMQXcid5HqulE;G0fdH1jKOntvu33 zxCeiLXOrF6R6*J__Zo{}cBcFGsxUO${pH^P^W1Clnq;B-5&VY{+7fpM?oGAj?!|)u zBHf?x+A+#K7*A`oRqoSUL41w-`pn>5>z+0P(qi0~^WuD?`}T{#Hn~^gv0$tFk8;qt z!@W9hTz9*FJ^|t$_X|8 zU7I0y!F>Uryz)G#Zp5LXX1UJ`KbJzhrPAwe2gP;DNyW+G^I#q%0~VFU{^ zT)DCFwgiPw#F8{Oe|2E_Yg~3*x98#i{=|~^?@6HNd-@3`^5@69cdbB4F8<(;yx1xj zRUG;YT^Rsp3McXAs>mDOfQ5Xi0{xYj@piPf&sU^2-N5UN_7Cx1Nb8>Dg4BK)akZ@t zx9vxE!l-{!Buu4*H_$PYs=PtImQX)@Kab_aw(lTrp`(>i3){$WcRLyW?jXbAon&~t ziwu`{6MJhpK6p#B3?BcpS{%jateOe--vaZVaeg)4{GM-R}uQg z;V4%NoPfi6tx(!nWVy`6Ea0x%fO~TN+$TUENxOKs3#E$%QBsVWYxwRx2J zI!w=}%Xs{!EvHpqAr?t%<^e=eo7^C7pc|zi7egIOLD@#S#{0>gbnYs&?4nK`f$gSw zjiBWyz2g;dES=$X%`sZu2WBtR?Le?xA-5)Axr+AyV0lFQdLVy~X$g-JPbhRCSUynl zW2AkgR1aYG6a8Ec3uDC)+!$)(MB2x|!o{*w@MX40?*`R#L?xbK=8EyWwO%Q9<8W46 zB^p&j+G??=0_3)fpbk*BLzHL=>pMkg0tAkUeVn5>k<<*}oJi)e^P2F>3C=rWA}B0%?!LU%V)LE;t3r8o1^sZ8dJpoF|<-^)&Y08h%*`GR=)qHW=Sott$K*3B^u z^m~dG@cmYXu~$wb77TJqn*mYc*aR0)Nc` zfk>@&vR4Lz`W^iEiuD3EKXdqabY8 zr!FkEf6N>64y%`d($P8>lun7Sp|f-8C!lnxSPW8KcUA!9-@cz=rCaH@2)qA$3r~7H zON9QO1^95gSL7n->22j5R-fd7p!5xj2c_TVlc4mEFSQ^&%QJG8-*iU(Q;dOutqyh0D4e$c-5Us)E zeeC^rpkHI}pN9vJqx5+Kw8YZLRIqo9@(lxL9F^D)x#JYu7Q_?u??4bw(!Y;^ouYLr z1WwZt7nnUm9#esxC2aqBi#y{CIL}jlKC`_*AL{{Jq#S%gc8NNk2X>h@&4=?>s2Z-} zF&NLO04J`|I=qy?X1Lx7U^l4rVPH3@@?U_1Al-ww299s-qLDb?!TkRwZM|-^c)y^ zPnrG#NTx1Z;KTCnUaXE4S&sr6CvLTX6XBvi zuUW^7jaR`rLHvXBIGonR*8|H$F~J3@CyB#nVRo`;*B;muF`ciOrV4)^Os9z>*MLnI zt$39>L*(LZ$xKl%3D_)gxd*V>Vmi<0bHsQ)u%C;s&rw0{vR1_xrpI)V5Fciz@o%~-f&_CKI(wk zl|uglY?V04POKIUdqL+KQIps5(c&&IB-V-tI|0^-c7N<+4@$ANO^}P? z=lK?(#M+zirR38XP)cQa4oc}LUeT4wW1eLTI+K>*ta=X5gOXg;`l$?C zht@HBW2AN(%RAG~*?WT0HnxJtPF_R1G@ujO@`e;nU8=n__aGyhm5WcyF>eU zL(i}%zO5J@$t$iAyF3veNlFcfg_5;1w2mS#zLFbFm0!Tp7#h15#4sAs9kOF-UL|mj zqq?2J5>5^Ia%Vh^##Rjz=rmqgM9|f1usD%M`aygWMSp?ZWE%P$*c7Tc7+@+LuLGUa zD91QxnNEGqf;fXF;q?F}(EU9B&Y~R?p=>riIRxSy3bsM#TspHG0`sWYH`tp`ZQ6pk zfbOjXwvcuWfT2ayjEB|5M7)k!LN3c7u#`^l734B%hR0}_Kwo_VF_J1&grO*Ug8w@M z6X=DiFtn1wamIS$M#M33PrK zh%tos$UK4WeGL0H&IsokJ+8`-PEm+h1-19a}7%xys2NtL5O z+(lh^PTx&;H-NZ@F5t-wCeTVtVPPNT=dA1}zg-|6poi%4m_SnnLhcYP;^os}O3hh0 zLbG_lKT6RZU_F+m4uY~{G<+Xe;;6w4SU65+PJwuW4)LwsNeX-k;wdWb51pr}KVN^H zp|@pW=qx4SwGAfF1rnU+sb3#p7wB6*2wbF`e8zE!K9_;n%e0TLRIkuye^|dt(}zLX zHS(DZE!Sz@GqBvC$Hm~pO?u56yjxV^28g$5a$0cSp^iLL-=#C}kamw|^OzY=kJ*U? z%6A+r_sKsmEF@B}6=v}PUVjiDQnja$dqlgNK;SXG=6i=H6wKEjPw8_2EIgw$vmy7K zT3V6zg1YnO{UwE02k{k6+7G$cbRsi|Z|H7blo=+_Fvz{52fVILBJ5pw0$s`{UCEd- z;nD}HUIZ3C((MT#exjihK>SRR&ms4P?(hljS88|w#BbD{PvpK+zLHS=gR1Zf^e1`P z!8ua=OyuX4rOZkA%^g~iHTwtFMuYA&7952;xI3Hrie%$i>8XH+zqFR(|q+ZT{yU>&Jc5^ zf^(*r!k5*vL@aM#XN$OUaAJ;Vmlkq!h5u@JHcvctfra^E0$<845J&iKaiJ)l47o)j z8&AWF#b=%mmk76&ATAXP`Lbo1sLX9}xv0+rOQa~v2YOK=nCGVzqSFq@VFKmH1*^o^ zMX+r`!)ARZHajsiO_j)z0p3Gt>N1Wt;50$NUq(2-y{EuLfrI3wP2&p9j7 z@~Cl6bl(Jl^CALI3c#ZN)Y6)|iWu&W}}2M%5nCEkPO zx=6=s+#BLqFznqF^SJ(QiMN-4-4-MH#_o>D$P2Z*VlS_$?}?Ro!=%NFmL*^)LA3Y` z?7kQq3@lOPZ48|c#GF~c9*T0cfjtt1^8h>++e2afiRg*9+J25l|iXcf;4@Sn`i`hI!CX0A} zF7ZJe8VvD|;=?GUeG=Ju8}nI|<)hRu!qsT{!s9crZz5|9(!Pr`)gkZ$Zz++LpF-t@ z;Yiu$JJLesq(#6+$x_XcHdRcT;2mwajK&2c?tLtW zflZM8vI0cNcii76%7sILO_C4!0C2Lb?ExpI$cDw>^;9{qD6nbr&S8M*vQ{Z*nIWg} z5$sI4yAZHha#($&&6cw!1Dhk4@)6TqnU4qDdGZ;zqlJ1AQzpL7GZ zN{;8H#%j5-C+w|}cisa;%f&nmu9as-A#I)9bRHR7FFS@Hn;T@8GGK|31((9zjq)U0 z*d#Bcg1}~3z99s*$RqB+w#sJ*A+SxB3<7bxoO%qz9dZ-zbaqO00{q-1{qiAgx4iuh zX?x@*-gxen4e|0(+b9274h#Ebew<@!2jmL;2XERzY5NG4L$W3>KMqSrIe2zNwtNO~ zRCdF&PAyh0X##;`az4LPi<9nW;oxx@^Bw{xWM^KBpOl$-<9SNn;Jbm-()S$fosmZ^ z5I-wtMkDQ9k{ta30+(eke#>%2UdRZ}tMbqrh+mUi z`SH+oS)R`UZpfd@VBw~0$~X77WC?z-b6c(`4}m+fEmy!@8N-Jq_hgM55QvvCiAYP3 zRd~g6UzQ3(TB2Odk2W62>J^dpP#&2F3y^iF=|+m0kTe>|}Fatbf{ zlVypo5dR?GokZG4Idctkev(#R{(P3(LSX2N9NZ8(zsgJaFC(;XvN{gLai4jiI9Ptj zQ(s`{r`*aT(Gpey_2ENT1r&c@y zEL=^``{ePe2QLdJsMbeCMCabx4y+1`=$swnKuP{Tdp?o9P<1qjSi@jV#&pZYBo1m>u3pFm))8png`Jar|XNX}Pd6Cl1o zeV7i|Le=vV1Qw}{`RUeTHMtc8mZ-@BEKAi9Ct+ck`Y0V(maB=CU?Eb?JrpiQsZk@r zxkB~20c@q3k1ecH7gvJ7YPHE5h_6w*9fXBwHS-sUuT?+bKdsc(sbklHxLz&E3(pN| zhoR6Jqc-UZfsJaDyhz)mj=Tba&8lk@(zd9r@4&)VweU`;-lmr1_1ShcYB~gVs6*yJ z=T5a4FFAIpGx+Fww|a$-tM;hVc_F`7jXeQB_o)ZFL14dny$6T~)O5pO;h_4aB+?G4 zJK`a5SWP|&mLqC^Ucnz#D?NmRvFiGDuy;%y+YAD6>RetW99Qc%mx{5k%e-umUC$r%R?N&3Zl$=3$lq;>Dh8oDbZQb(?$Y0@AbgMB^12SI zHEu)+^b@-`!$P(SpFsB=%!d7nG=&#A3a`gl$-`y2s8h&r`4W8GS)t)q#4D%aYrh&t zDkx5ljEge^_Zz|I4}p!YAfEeuZt&%;$4jUJ<-MV$Py$c!MUQaTD{+T=W$8Qpky`ej ztB|UY-V6LSmf&%%qnc-+Y=)@x4J@oR74&iB)`Nx?No0M>so0EZ5F1 z(Xs=G$)XOQY<&>Ed`k04Oqc-hS**&29DNnVrm$xsn4ez$5QTdJ{1ica{}w8*)`j>e zIc^z1m^|v7;HzJNy>R)6&pXD;MZKXcLdNh(!$i5BPiZDgdoqYqSiVh%l2|Sm9YR{9 zj0uC>D!F|ubgq_-MuR0<4(G13R_0m(;(A%5JXki!Q)s-HX%F);?k4H?1jH@!0naa6 z3>>;_a4-6fa(|OZ%RAz|) zXRIv1SKi0vlha^1A?pr;&IDOF4s!S98(wHVkga*>dnhaOIQm$&PY0GK@&Y%*XVUL9 z#GlIxVZdI=S3SY`S|)CV+&kH&K0uO;;e(M6vh_}w{U}F12g_%fiJRgVS$zRmzR8ok zw*4+`M`1Qeb-ae5uj-q90O4Batgts;yOR%c6SP2Aa7JjMeE&5`tHb;1$=Z~>;GCju z<5Q?@+M{_8*sh&)196AuzYGrU)OMePyN?qyuTJTrCcN_F7aeNWf^z&5kHyd3a{4J_w zK)hv_-3VLt%LJ*`?YYIaDcTXPwr#%|Jpa5^prPH9M8rp&3PJWp(#-Y%qv#c{*+-Li zBET5B%Zu(Xik^bBu~cshw2h-7H-Uvy*6HwNJpIc?C(z#X;EbTD-yk=Uj__UDBx=nI z>&cX%3oK8e=X_^2mAZ8Wm`0y2BW*gx@rBt8S~&))XVT@X$jvOe&g1-Add??r>!>ns zP&d#x9&BQ$z%B@Eq?J4kZlXMC05(&?EQoKRG1DNomD+s*aT{IbUF3FJ9}IyVRF_}b z?W9$YLEJ^>@EsnGK1#iT&hylYH%%Al)jp(MqI4l3UZ$ISLA*k#>qFU9y1NPj*XS8v zJzS@Mc%-~Rb!S50Cgsis;w^gq9!}h*?Y|I2|XYwb0#BvWK5uzaAL zd>r|S*79)nnLPPi>I>D>VDBrnasc~AP5H#^JH}IL z2M!O#)p!seiFH8`e=NH2R_}>O=JxqiJmuE^ZA)Of3$Q5I?2WH&oh zZgumt4c6#cug68Q2~f$Y;5GWrq_G*e69IEbNzE&jLFjyYK?} zpbX%>(;+#EXS&03AD<8$k$I}Z&`~)y0@h>Y^}(=kOis81xj1=v6wDr%b0eYpg#5@a zuujTad~9$^wuytlX?ccEK+edGKah4-X2k;wY{WLWLg2hSTN0cX+W!u-ulrbHnT% z={pI^?n?Ux5bw$1yta#%(|>?7LAJ^d@%yqmKOISwRq)@HU^2|f@2Vb3{UKN$$vUH< z`mwZ51M!JmvJ}`;xr1BXGg<2iEIgNL4XA!02Oa@p#jHg+uKt%0<0 zbnGkg5l%n5LE(5>jKdeqc5PNbAc9`4fWSn$J`MttNb>RaWV*mdnYhE})y7mBat`9t zsOfMhTTDj=Kwt@V?G2V?H0Lp}<+MK>a*@^YOQ2X!(f3J^J5BGovd&Q6HQ+o;OZh46IXb%> z*m-*M4V)L~bYXytbnyX*m#7AJy2})>6mnO{=LfK>RF0Qz*C<^L=)6vee1mj@KHdh) zO-fz`aEn4~fdxnOyo9_%b-8!nrGY~saE}V{`Xrtf&w}-rl)DqKR}}dU0&i%XFTh(m z_7cQ*)b|lU5>4de%J<~pQ;cLfP#Y{C=mJkBAE|IJSolQOU4eb3dS6izU+DBW5Wmvd z`Ed6e*$YDaJ2|)&M2M~V!7@=~<}rS<*jgPrrwI2@fT<$+9yq6o?Yn_Z7b9c9xk8-T z2hNpZE`R#AT5Ot&v^8QIuO*_zQ43hsim+73$~xg!8cwVi*RH|(2H~3wxftP75;`}E zJ-m_FB$o5h#%9rx51h7$9()PERTSe{a+_#n2j_OtpaY0IMDET|wo|Oc^C9e>0{N_U zw@BoRp*`X>Z)*06f1{DMPdNM`zF$aQS|1Son*tmZvAiujBsTKZ-C@yp6m%XDVgTfh zikHU#Vnyy)fMep)VSqSMg0BmXix)mHdqQl^0&r419|Ukp+*l3gPmAw;fSnOTdI6jj z=X${GInjPM($0&TsbS%QNP7W!xG3BwBY&4fd1G)FUHBgIifH`-0#`+i@^I;zkkcV> zU95fya6^pg3~*CS;iqi3M5`+Rw?$PCXt^W0O+;4y4^7`4kj3%*o!Q-co+Oq;6Jt!G zQB#a1CYsoL@4ffld+$mwiiiTzd+)sq7QhBpR0KqtSWpx!h@kL(Cg1n>&&@Nl)9#+T z-6?y|^H6Ma1WS`q{LC5pLIRwX|D4p1#R z{tEC~9J`3IH)0RZr*FmLmLR?p^<0&$5vC*H#CuUV8xGcrzV~78gIJaUmO7Ei(|NsU z)dzA&bic;fC*i!Y7y0&k$hpa${h``j{>>AohqT}xrKc>+ z1gDoQXPw@1?P#$0$m!pJ=qv5N1&g2b&j1!D2XO8XFLV0BLZV#BL2i-^{Tx`b^yN7@ zMgBe#EN7&SOD3su9yg8Bxm+S1s?z8G4+< zUD=;=w|jCN@BGS@|DFf9FSEwOLY~a4182Up3<67mbo&F!p3CiTq56gN$N}-CoXGDb zyppRW1gc~@&)(JYCa?RirJjpPZ=@Snx!=k&dw{)@clqI}k<+;>ZmcFcLcm1rnGDrt zYN|hUnybo|V6jj(9+0zBV;Ui6uM9Y2b5QFN!0D*c`he)96!#^ZmB(BV{na}^m<>=D zcxeq%R@}-9R;TvDLWuhNFhHofHx8;3l_y8xNy>ts_Z0Os2H=cZ{tEr_!m7qSrUa`+tZegT!PQbS`7l{@-8F5v!apb@|Bag z;J#`rr(mnQ^Rv4qb_+hQjb#tlJvt5k^}R=cvcbCu8a77q_qD$?29yH{zW99TvnKd_ zcx^F0A34qqECX`qwBL}zx$0~~>TeKF(pMdU*-|qrFxt_h6(HKvq(*?#l)M3U9H<#* zCyumpCA@c{&#Qn1QcvE&5kzfxP7a~F6EPM_l|vyAMguqt4yPP0)ke^S&wxczcPpH5 z6xHWK{2aY?$Jlv_;%_XS+<1-4pzXfk%%m!wST0fuH#sg*885Au>B%L?U7NJq^PMlFA9g6$E`z56f^mTo@8nF6{8PW>V(7H)`=D)l z%bw6MBbDd1nJM4m^Q;g2oX;-jNMp|WYv7ri*$B$Km;9ZrTa^u-^_Mt$+PI(n+0;1+ zoSRp9;<#lIXKY(1Zv_9gJAGhlyLyd_wZqX2l%2J_ zz6}x3xqrZU91l!bhvUKV_aSx2fbY1&F&u&%(KsM9Ab+kg8&Y-_bQ@706w49t=6wOr zPS86pwcAik0sJ{de!S$_k}p5hcJ!7LAbTpg3GH5V-V!X{)P%Q}`_gruNB!tjBkcIo z4gwZH?v4~3J3gUXd=oyU)WN`>QS)zLuY$6-1A9(>ywT_d)wGB8N@_kFoG+iEA#CJ4qEyQa`mjHqHx1XTjntBJMzopJ>mCp}%M!4eNzzW4Do;@Cl3U7cS z;lNpRvG{?z*(IU_&t0XWaVW;h#HAy!@JL+XaJyVgi^tewaheOaPeeVhSx?2iWT<{7 z{JHh`NleQFXb=--fryxDCxD?GvKd;8F>|?% z%VidFWn1`SDW~^=vZHbZ-;u{;mygh5CDVSzIUJXTJX2fCciq8qLV9yWCPaQZ4K1Ov zZ9G7@%;PUSLfS3^XQZ^b1T9f=&<9wEmK9tPjgd}Az!EE$Zv=>wtNyEi%hkL2O zkV}+*;s@^#c0J>IP_ncg3h@+q?=}R^$PtgAB~>1K24b2#{~W}#GD{EQIk~tBPMnt& zHjuj@f8&5RU0&`7Co<%O8Nf2-k5!PnD0}ek#!E7s*R0F36PIbO$iMkom&>|)0FUJk zQ~2^!<}Sf?c_!!bF4qbf?F#T*7Cy$<3;8t%B9-!D7Rajl39ZwUL_y$Y*Q@< zat{1jE-wY~jm-HP%HB$sffz$b#>;4p+_N4cW?Noof%)*#=E!dRo6%~O|w8uuMo4AoE*5RKHERA9!cY8WhdsQXR8;;Cvq zVZmFq=M~dO?ZjVEqT9QNA0R)qp)H*7S3M3vAV4+eDJW2d{S1~M<-w0{u2>|LBuqH5rRNs_Ys9sU*AW&!|6shrLu)*B`{YDt862dulnq z2YO!xaFm#*s*S;!ugtJTMk`RiwuiC@Du6SNLbZjr%RW?VJ3+ii*>?g~teWx;*b?RS z9s;E*CK2Lgs<*({Bh~R0ER?I!e728O^H1P>qI&%d@uzCUPGHYeNf05j1zTF*fcchxR`i7_|Lh>Hmx+UrvwdTJFbVaN;bkwCy(8_VHkq*lQRZj?5Q z3luThJ`Q|hwS7FP#%UE(fW>PEPeXNrw&+h_+1j>3j9t?jIBvS36??$Jn_4u_S+}%> zt-*O)OI`wHIogXmV7a3e@|=8E6aT`Ods@YPu;gm(xL9&u>&UTVo>s(i`C9c($Q5YL zF%Wp5WwwRALM>q`h!3^l5}-&s`WT$WT8}i0m1s%(aSo-LZwR!MX{f{Ed(i%D0$<9t zaB~Pe*1~wd(G%_a*T9}?9k{6WOq<&pURP)laUed|I#_`CLaUet2P?IIIE;R&+4Ix! zO0(SqLseRz{jgB2nH7Qf8ZU~&!8h9TLD2G6TN975cUou*XsJP72<*Mqm5WxjTG@73 z_@EU}fLxt+YxxJk`BAf+1C~$P4X#Z#Xv4TL+NhN;17@Hz=MuP~&YZWw8|nVw z+s0TI%x_nj=)z6FX{x(87OKs3zN_H1y{`HT$eq?*;WXJ%_w9NBCtb%)(BiE7vJ7Tj zbhTW0an*I( zL#*zneZb;$&INEHUbiU{;+eWOiy(ec*R2lVvab9VSgz<=@w9zar{4-+vUG2*!@+D_ z^SuzbrgL2Z;&okU3t)x1R@Y(gp>BR_SSZ#FtOQn~`@90~mg*LAXjG<~uR;8g&i)G! z%XPc?UV5x6<}yc}Zo_IAs@L7(boZ0a>JmI_&<$Awfks{P0T3|I@8o&OP(S`Hlo{!N z=b7DDzi2No6MYIt$)@^odH^$hcYdnO^~pN0Sm;}DMcYz8;1q~Q^%dn%c1-WYJDRNY z$(sR=>odbawASz8Y3+o*fg1%j`so~$p47W@^Zb-P&E>Lhrz3)JXhNGeDHSX*jM~w7!H>n;5-p z2VY|KzZn3;>05BsJ6=EY7S1R^KarQuME!_5j3w!d!$Hi{pK1ilCH;!EP<>gS&2#b< z{es=#ysAH!im`k8&Os2kum5^11oHG3xHFrt|L$)H6zE^^4E997g)27C^gANqZiT*v zL!9UOm5#t(=wG!2XRZDfhX-}~lYau#>wmrpcR%XK&x80U{qAod5cPRGBmhVgvA^ax zXV6{8@bcE}L^eJTn$NM+;7>;}I`ntGbB9%M>@)mx-nBDg;Gdw3+%XHBquOvjKKd(m zWlRq)GmM>`3(k4g6*$hn%c;Y{GA;ltN?wQ0i*Jv?=Ov$Uv2bboo}es?;oxz3pF7a7 z;#pf9R}PPX&Q;ev@OgCzM+^sVaol&PGtWCm*8c!01FGX?%8-5z1I>s!aD~{IJUHPs zp{AS+o6_VH7&D{ET!AyEjb^ZkCU*y%lqFe>g~p@!p%)O3Q5J6?v7&(-wL4J&*I}J$ z^>K{3Qra{CH}d5-Gu>$rPi!7k$%aCy+7=eVs43TyBWNYpb|dME1C&LP*8mWs=^=+F zX_QWI@GMQ|i0M2nhLtl(R+enb2}g?Bw$3b@8Gvh&ROU z8i1SP7v6hQC~ok2|4`U)^QTzc@xnQjh)3M|EESGCpO%TD58$j8MXTWFYvIc|%3JXu z7{qrXZv(Iz;nWP^z3?prORf0Z6W9mQgyWz(F+LEdX(`7o12`(ZI8VlYrKP}*OZ?tC zClb5)Q8*#1IlHit9a7=oNjaNWt5fn{E*aR$dB#v?Cr4g|S#RmU6*C`c%)a=^+<6f2 zmp6H}3Xnbdx&%rCj;4Yne!&z|ptQY$GYXNzb^%M2cFDk!q>fwADRTTlm_37ipRkuI zPb~#8O@7%OTC!ys{wV_;-jTe2=!V?NuVCGjw~j#kmb}F~Zg0yzmq5&s<%Rf)@5s^& zfV(pLHpWWjbO|kGa)mjxl*_+c0z8(pIc0nz3#`ETR2Fi=^G>EO2Ua7mCWEC`_UAP1 zgS6p1q)z_E`Fy<$^+q7{-&8iY0w%lGO@&k4Hits6zc=Tf1Fo#bnG6c#-uB=@zk_E; z8h@cf4@?B-uo6y3Ctu@id&;dg7@hVj=PT3GLUEk2XFo=ljhhb6<&ujFEAK>szN!n? z>sQb4#pgBu_5ywF;#H7c*XbQ7>l?Y5zhMQxK(g`hDsXN}x(LeV_|Z6lE$jaPW$Tj# z;NSLui&@)!+CXZ@VGaB{hd#q`R}qIqM%12fKw}!&5x|rL2PJ0o6Hi#?^v5TF0Ghf6 z9tKkQ6M$gqm<(bFed+}9Q2M}U5=Q0gVJe)?E`bjb6v;KSNcu`bSrmEPf-}*S%tg`| zieC-!SQ;?^%Hrs47(hHtn+)*;YU+kdnn+X%ElHHdnMpEP@iLY|dOnRaw1HELR5Id< zTN-`EUA?o^k0Z@|LAXh`nc;o+jGU7+EmiF=e@PS^tf>=jAxtv%}H?G3$M`{@X zflt&_2b~S%Pzfz~os}<^f!N;}EQTWYA&5rexDAMSIC;u25w?dgW-9*q336uQ3tm*s z#TTQ&X(9HG0%j@J-T>!Oq3;6hm>4k`z)I9N12`^bu7aGk@aMAI2{FtTayDXtIlxIV zq8(UHiJ`n--ByH_f+a?L<)N5~n#3NEY_3!IC11 zrsHhSh}u{vOBKI!Vwxs4EP{ozq7^S<=R^sInCFExFQ{lHz5+2_*q#7OhOlUamQ3N^ z5|`(q*y9LrNyKnn__E0D3$s_mLLGEo6|sKMk|hckfS4^Zt$(@8MxY@vNiGrVC@3zo*!O0OgXG|wz&1u<8ISVQi%2o!lTsehssYVjLGM3}grw!wu!r2k_HKUKj}njb%Ho z9hu1EV?Z>OU$li5Gx?EsRhi3fyk*ov4ygi*rJT>jilcJ%K`1*WD@|e6N(S*BmgBN@ zF@Uv9O^22fvRyi?+erNlfRoa?83azr)m-1Rl^sVyr=7fQ56oV!GzB;`QXwIw-p=|2hJDSEK{Co3F~PM?uVzDStvd zTOMu&cdyAmHvwFiH@L2UL#mY+yD8^YL++OB9R}66<=JI0lp`ab!NMK*0)e}74Cin6 z`F<&}2HN5~j-PL*lEgtF_=ck@(Zwm-`srlVt)?3v)0?|jkb_VcO zo2o(dQ-NCm{8hLohykjMU$6{RZ~Os*)KOk#gH>;AM@JoNf;-HHswcc_HcUBjIuNdA z@V15sRnEb6r0TsB0#VBSZ-_^$OY;F@RIipeqgZ8Y29`Lb=O8j(g>x>FpiKU28>`6+ zfhDO@?(iq8q^Ho5qLNJj&ZvL5dsT;9hJZ=ch%ADPU2whC(4@3U{96juQ2pXeam-2g?i3w>T~sg z8`CdT6AxgO%Apy+OV#Zf%)U}<456$_nQ+^Sd6s|T#qu*qPZtws?BN-oo1TIh8A;ea#L_xXy5Q_1D4wN z5@wHTf;*GPG%HMG|BL%VIJE6*k_83b=qv;W%;E;zz}{UTHrVYxjzfYq$PS&^6~|#| zU%{K-uou>_l?fIs&!Lz{D81#j;jrhDMB^X{TZud2;EI~ewa2hUDiKg5P*JWH5R0Jo=1 z$#FEs&1n$NkQOwG8yc2$=38J#DU3s}W0dR(7Au;_8N_i)Q~=f#cnX{+sM&3Bo*^&3 z@l$CLS0T@m#~u*Rk+6gt=c!0Q`~qbxf?PWFYXxEk_52RROj^j_^F``62Ffnc!{Gpz zX-O1_S16a`>#MZ;9#m)1=i`B8lj%mNzDA0(%Iox;-(|c(cR6yuN%z}8=Pmk)zm3~; zg=;=JRKbPjJJd}<=Utk_@z_1G`2a(?bl_hQ@6+nh0D0ukZ&~Kk42}j1$bwrR52&mu zutNN29Kb_rUk#l_G>UgK6qBCsxe|(-1I|)P+yG)39p<^_5xpD<&TuLr{usJoSu;_H#;h*G7zetQ&*0JU(l)7V5y{YPGEUSwY+@2qDx0{npN~o3UpRe zHQ!0E=`K&OZ)iC;tKQPe36Oh7pZ5V)LpI#9d{1*Zyuu6O#_;n4?f4gRb+p|TSUr9D z3@m}dm8-}>qWKzdhKS$zj6%g9|9~@0e9y6HxOn7)IPujvoKd`};EhoUVlStDiNcZlHc6snDU>CPs~mHsh|L^coe^K! zftV`dN&(WunGO&*D+Y5Ab54x?6~yymG{@l=#C&UvrHghqLCg@7b^*&2L$AX+-m!iM zflI>eE2zFK7I5kOis-;)#H-?$_HZdn?Bi-_wy@&>?wT+vf%WU6h?D0VBKir4H^m|s z5O0Y#?Er2IPwpt?huf2ekVCNRj2z%E#r!5l~xc&A>Jme;9 zxv2UQsvnC1p8-4(6M09Aos>L3+oKr=;2`a|e(xxM{{<{g()_gT-5h^?-nn)Y$^~%H{k8_{p}vV9Z~x zUIBpsS$GZNfztCV&NfJ1$%pk|nab6Y5b4Al6hh^Nt6&L}Vca(jm(zKAije9G1R|yJ zVqj4+F%>$a<$3<;rWkoJ90IZOCr(!5s$9aUL6-cv7eKZ&?21#lCR_6NeqEaI zqHselz6Z{m@>Op*aZ8@%JnFU#H3CbHY#jnQ1C=rXn4xOLwJ&3}Y#}&J)IS`mo2tp& zd@xh9Ih!_D?Rl!SP%l5hT}!p}7%UuBP55Cxrbci?WTj>{0q1e`lCv>uRm4xn303g~ zL>twGw;-KVx5@!dskNLV+p2Mg!D6S}xm$0qW`qMEe6)j32bGio7Du(p3(B0-`OhKe ztZMUM)!ZcOZwT&fJF%Ro{ODmN3=)E<6iY zCtRT#ZBQ;mM5>3}r;SnuhanKHnw`X0jC#u#GFDCI8!S$JeHtwBDwIpi399WB5EIpn z<{&1iy7{n>tk!%62UFB{yat?6W{W^fRpB=vkfzc(V?L`|;3oQCsNm`c(XQ%G*yy%7 z9E1J(UV>-+TXMN*pkEUl2d!@pD}$#;Lf?ekHPACLV>(Ps{?QqnQ?BroHMJW*^vmLS zU)b^uT$Nh6n41HumSjNZ>YJ@WU*pVS&RQEiEUsG>2KxG;WuR|J;8O3#p9g}zDgQE# zn}5xR{w)VNd)^w))7Q3}g0b44tO?TxD~hioYP>NatOl z(}_m%{NYSCyTR!~A&<`_F`2^N!HEEUIM35cGo0-O zI@hONQg>+KKyxI2C)Lxq#C_Oy&&7QMBI!;3V#FiPc%O z=5~yW_}d1+RaD-BSvOJK1;O}#b?2jIpm#NI3O(JH@tV}@SSeKZ4*3%1`sPJ}GHe1T zkHe>&huV>wTfo%R9o%@E7PuD288y5b&pg7Vu2}|GAT@gmV*LMUZ!wLvFF%80x5JL$ z8~N`($c$Rfy`C}kY-4OJ2f5>BSb%5zqCv1X;jkXZiGzQ}=%i*`G@I{a=NTI-z(4cxkL2DFspQ$xzx3N9nu zrw})$S-Idap~}8sH>GQF7&9X?-m+v)x%|e31szMkm?ho#37ki%T``EqXns!+t!Pvc z1dh|$GK^VM%&*XSf*$jJ78~*m!^xebe)TQG<_Hc zWezlmGe<`{#~03t2HIiFnbtmpoC_^31LjIIr-Rdt^xVL7r~N$Fdr-o+Q07U6T&nY; zA7;XyH)VVW;6u|2A?Hh9ErD4-dUPEE{&a~arT|*a_eCJZamzi3CUBY^Otn_v455Dv zARbD~#sCW=mvPV%PKu*}2paSPSR~aBg0d*`Ca%OnqJR@+-qveDb^brR}4dMslpQ2J8F3q zpoR{$g!p^1<5{kjsuqIf1GUrOptEQm0-}pJTnR01q8mRY?jnXKUJua_1Wr$}oO2d0 z(fa|$yv35faL`A%a{gF;dxJAZ4C9n8R(!?xQk)o4 zjj?#Kic{7E;m(mzqDXxYVv=|=7oO#d=qbPo#H=iULeZiGm*AmD>$PIKE#y9kQ>UQ%w7kc;qk}w5kaLpjct@ABtnLBeB6Hh- z=qmqV3vSY78??B~+s4r8AtSzo7Ed{lYsg-*Q%jttx6B)aF&{}U;is>>rGdpyPUCv0 zzs%)8BtQ;}1~E_`91PANxsIojU^$Jak`Spig-f9_feS2QGNmc(g-aveT^%9)x#}M& zyKy`jC3Co=6D@1N# zkA+;R+;#$n%49J=agXGu0U(x3`8hZr%UIqK`$QgH0OC`bbRTA)$;liKSICjSLFaS% z75}X23)zl0##hQ+#vs0wBa)!(l^nvm?yBVGkMN~hF62r2wREqA^*8c4i@%jW@%Ey3 zG9VD3Mh?3N@LpmM7QQ2SHym0%$Vmf%)k({x&{8j*xiIrlcG?PGKFPQL^;G0qp4J*= z>sSyC)K`2b8>&9P!!sjg{1^hp>fSfNOw^zZV5Vv)hmL0IGOrlsD)%bR&qCein8Q+K zehu-XDz+D}V=DVOSge%fHQ>0i=50{cYAqLYPpA_dP1~qwo)S)~R~+1&QZKk5V5`1l zckR?XPOa^gxhDipt5B}UIjCbR034MsCr3_dMjEs@tF&qexG1}pI2Bi=axmtm+I$P5 zyV~dn3Me+IX^z8suaRWW~6S<0;zW4Wr{4a)AT$U_**SHDhyy#nPu8)FaD{4B^7 zDx>@V7h3h^LTJ}MT)ODiCkGP!@1)_f4$S9v?x3F8pbU26;?t1#hoE6-4JX&be&d*P zW*-g+XT{fnGUpK2PUd=Lfx^MU0iS+r@W*qzjL+J zfSVr6s#fB-{Qh1XSNzCP=}KE}@~_&pAD>sJ^4z=Y#97Gh_O*lly{4Sf@0;%ifA+g_ zxP0I`PmKp(fntExqS00j@Oh5Ln#E zm4hyS`i|F-0LtOGIg-j;0HWx(a*QQY?=Fx_p}zbLWYD`jjP32vAAGeutJC>cREE_cTx7>7mEtP;vxERp)*jVa|9eD`qY3JEqV_Ch!H(l?u=;Q z`!rSP>p{FIT7`l0k~qP?cz#pF@(hn3P5cdG`ND_8t^#ol>%{*8W80Y+?pn?_Ubm2a zaJ&Dp-QXP1_8czfpdii)25)Z*p&=t0!84S+p>^0Kj(mo@@x3%+w;iNLPUc$ADCc3I zjQ;LC_{V$}0Ls|9P0%*Z%mw`8Xa9!dgoiJ2oVcGiZch5J96Bev9suW*N=N9Kde;*4 zX~Y@#^ylV~ov~>xbj}=q8U(U>Iqx>XPkz%1ATcD z<3Yb{@Eoc#1^sX+udYX$^SfCFG|L<$LkjK)O-5wL(Ty=Z>;&y5^=I2m?=xHZk;N$3Pwe1kFkGYCIw zC#h>WSWeLw32?=himO1hBg?@c+SADn(0Q6t`9^b~_GdtJq-ld7?nHe#dJCjN?!pDp zR$ebcsI>_|D19`8vM?IXCAn}~)C7J;(2MO5h@{_nO^>4Wyk{($&cBAcF*JbV*jO6b z6o%sHqXXpP=^RHC3FO8xU?TNM14|Ma4*`};lS82_g$~UF%NhEKH@~FPiV~iw@h*M+1mGTpbp${! z!w29#{rwUwdDQVoU{7c^r-V<*`#rD<>dpIOp3|K{aOnlrnu1tKXZVa>QZetT`bhVk zVdxXpv;a#Z8DE4i2BMyz(@<<01FO!WC1#B$Mk6D&Ltjo?}Hf5rIb3+vJqqTV)`i%KZyf=O7uz=qbodIRX<$5kITT8Q4C_5pq=>bm4 z!Cc@vC5uMDkga^w8<@Qu&ymP!*&&R-71@WUEGPNRGK@LPsfR#xk>d*iT;*~vjJeBE z7Qj5@9ZwkYl;a|SdCBh_FyAWOdmida+`lWAA6Ef;EI;xlh$r$5NA1sK=mKb| zkVj@g^>djq3fK#&_?dnsCm2DlN_wQi&uZCyGr(&(X&%Pj%ELn;@J{~48B2|&Z@l?FLtGcG}+9QFe+17)0xF+)|wm(EyicYy^H zrSpUqQ)Mv(4w|Wm2>_OAQWl&)s>T}tJEp$f2RSQM!eu~fRp$=k36*{lI&IX)_t0`u zrELJPRljolYp3woa)f=8E8eG7TMkkkRl^H-?WCr*18`Pf`~kBr>fbqV&`sry2B*79 z-vC1%$}j;eo~p-s0B;rB6GR_%iF@q6YRGnY=BJ`s0t-+b*8v17vzGuts>4<&3sJHC zfQ2fL7XV=@AP?3f)M+jiM5>>E0v4stafc#WZT}uDv1%d5zj4a?Tbx+Dig*R*6V%A5 zP?n@lw!v7kiiiW2qDrno?u;_J1TASQ%n;&d)gHEYP95az{=7Q97FyEPR!$Z&RI5G^ z$W%G$02dXaD-MdM{R@^W%4R&UtExGNWZBB<6D(X)$s8$NR~NWHa#Q7h2VZWfF`S3o zRtE#YnWGNugX+7=usz1^DaWPIlB)uj;=0^dGY4TTUo98{tUwLqd;Wp4PlotIwH$kd zu*IZ-=lNn4!EQw`*Oc}%hd!z#RHR5vhdWQP!f1%Y-*536cS24Raa?al`i&MD% z$BLnA;QmP%9aP*0#s)vPz;Q@KS8z@ox)7X`X1{iF`k5@=ZQ z;4w68^ zJwUW23yyv5=rC8MPgBHNusG1pC}?q{ANvDyqJKFGbtc=PIAa&uQ-v{CI`;zh+~_Zk z?cC|d*I@A=+pbXUNyqs9^`d>}pxT?d@q+3@HQYM%r3G9$_M?t}fap(w+z~PAJ6jAH96T zU!@}gV_9^;3F6t*&>bv!^qTjU=2Ns2v^=1VyrdP@k(BhQJdV4$6Z>>1tQ)^-KG=epo? z8s!i0f}$=$OC^~!huljl;N0~Ut>%`vk+A3bg0V>CzMQET`#r|Y@LN5wZY~CKnZZJ= zj0e$DBus#zqauF@IFE_ldw^L97YmFX7tIfY(^|ay1;i7giciHxSQG<0DIRkf^OVRP z3r<^M!_^Ick--^FfH?07xgb%K4zGj7cc07Utugv+~vhRTqJT55+Rbg zj1no9aMLzQ9O6(WTJ+_qEk?A}VJueE@zWhA5+_0+UOeTFbAsr&9-LRj`wjqEV*gsO zToY|xLhib_Sp#2gh@t-i+!Q``uzpLVe*$(}jNA+@Ibsig4|l{MuHM`gIVLc4PuN*w zELR+91LA%0W+SjX(R30(z8HN677D~0F3*1w`#Gy=5Uuo3Z6NRb0v1C#FB!l{?&TdV z#_~=q?3u_H6)fxUE#1BTy(H-vzL z+|9|cqujm*n3Ejb1_B7`#shPaeYtz&D))2&<|aGyQs^#Ea+cyD7w!b7r(D5pRWDib z6l31w&WLZ>SEEN!OqySSJ1jEg^E+ zw-5-GUrYrtNjmYPoh;M2>3K#@PK(KES?+NOc!#CZ4<{UQ<9LkhI3%FxB*!LfBj_$TXXxi67`se^v*6Md z+Se1lWYKosqn1sh=D~?;)Q+DU{M8G`qxs~t1y}*)or1DLn!XFfhqRp+;UdajgRx?g ztn)ot&WEyE`ql?5b##RD-FlMO!1Y&9`yuAUUnP|l=Yja`U5$;-ural0c=v{#2sAzQn*fG(%E99)i zzs_*txOl{SBCJJP30Pc2Odk+k#nomoKY&(DPHmv9wk+Fr-64=B7W@TeXGJ2fh37=8?%+HxJoxRq z3t|zkn(3mB6RZp|ilfZC`2QEM+!Hl6uzp{p{S0EB&~w8yU-b9|0tI3b=X?*uD!zpa zg?%2z9*R95ffb3Ne*qMWICPx<_qclOhW4(9d23L&!5g7%u#E;SLmr*N=V7h6%`<#^ z0C+}Zc8BQ5Lp%?TdhP|Kqa!BZIOa+ZoY&ZkD?lITaSYy#|AJ?h2`A6tI5G7HXqaRW zh2!J_oV`w&{WCbHe&7dkTJ?Qsn4WwMwr0HLbaZASFCeq(lW?3}%3IUsgmKt5*SsG- z&x?Nv{quh|f}RDHe6|aNxmdDj=yeB>N|3ABj^G>iNbnW#7?4v4 zh7Bp%269GJ$|1Kg&4>ZF2^n1liz$U$LCTC?!~ip=_|_P+pnka!uq2nCKs-u06Txzf z29Ab5R&+QDEXOIH%YoLk;38N~Py+9}up#?n04U^*g}^Cl@(fyRsaHKX?dYo>0QR(Z z6P!3r|Fi{*1C=P~bfm(=7;~blXEEkXLKSPZ!vG>EJIH)(bUV7F*C zmjG_lPy=8&bXr304t2JI*}GJ84#a!(W;si6VGa6#Tv*K`nTDY!F;ALtG5IjAE?-uqck-A4j^q(O&Z$U#ivb;eOtehti7 z-2Dcai|D!xEUqG(;}AE|k)MBe;U5Dn9wLojb@3FPeL?gRUv&lW7U|Dm$VXIjh~q2f z@N(%VoNM8Wzv#zbaeyf2VpgDtF$QOlm^l@ygGH1d#6!gJ90-JpGed!eiIcU^5-tKZ zffyl5M?fx8I2u48O6+|BmT1vs99Uw+U#*}lR@8@rcvE!XTk)2791dcRXwHS8J0g57 ztlt$^xe0Mk9BcxXTrrVX)%#)s-->xcTMyOwV&4I<6o>^39*CdsV60GV`v$5XijN%j z7KuMO3@sM>)&VOKKXHywDx&=X%EUgNZXSs+j^N8h<^))HEShj-?1|VK1)WbtIfum0 zgg5uKDn#dQII-tq+F7u?5M$m#pi=y>8DlR+H!gI&5?^v{_^7n&3Jb?%BeyA!%LuNd zTFb;Du$;iFwa{WC$MDT?Qa=2Mts`KR|{meICY)RDcIKja7eMV@#A4 zr}3uhJ69+(Q*%auXs&uD!H~0>@fGA;RAexKo9f8vmAh)y7H8z4hR*@!sjlt?=B4Iw zPuE-RZvtgL%8Mr}U-hRyfS+0yffMsr^VULVvNGmkWQsE7$39hMbB7>J9a#stv+Bem zxO+~ecZag`%5xA{E~qoDAfB$CH3gQT=5+&0rgAtB?4q(c54lU~!S`UftXBLBxdN4N z73c6kZD|YQL)Gm|*eg=eR^TjFsr>wvsMX(rSgL}@gR@M{=JLiPb^R8wa+MMU&d2I7 z_bi{N*bA^%r)IK)^{UNQ==`KE^E}a@wsC2)QMnD_i>%plDr>0y+yE9M?bmOB8Eb2} z`fQ?=-vNuM7RM!2Gi{0jaMsc`!U-2`CWnx2nj^n)?XKO72hl^zGXjgJ_KXu1FRdl_ z9KE%n#<+q$TE}$w;;R+z0OqG%c?{sMIWGfCfL2wEu|Tc$D(Fnn?59D?8O@>-bf#&) z^W$_@YcUAKbJ_?lF`n11aDsY48^RG`y5_@kWQOKg1}sxMkOS)%wXhrzFKIt;wsc1; zy9?EKwM|@FM!9z>wA|MQ?Sk1n&1yKX$J#1qfG1jAG&rAWU-4>Rq5a4K^K)$>XHvCV z5Wg<>K`Y>dxL*5t6^I|TEN;zy(rkDiN`uyN9I!@hV;_te==$?xZ>7te4(zzDa2&7` zx^28S+D12mSHqLKiZ^iLlrEtaUr62S3XFN^rbNOQFI@>wmEJlhu0s0gPRD}itIOe~ zAWk>c4C3)RPu^#ksO$U=AW0XQjq8%E^LYh<6x}!uJ&jv1oUThH1kUTSIqtfkYw-g1(shwtpe#eTf!mUqx;p`UJ$0WDh-#N~w|JqwtQ+49 z%C6`X?_9X5E9Vt9OSjn^AY13%72?-)T5HH%*A<)w$k*-t6`(*jbQM6Mt`nE)AL`m3 zg_a^+Rxnf->o#(g{DsbrBe+UkaRpdj=`ycCXO-?o34E#6t>oGCweA&f>}b&4cf&Pn z)P)>^7DN324&jXSPrYy|#`@p)fYU^u%$*^7{iTN>p4QL*0&?c$pRC$TKiw6;Tfe#)#C`NXCW6IR zuWJo)Km8I8hWz!4yQ^athxJF7S6_V79V4j0Is*L!Wp<+-3QAQ(#5_eL8@%g~Sh z4a7|SkZNeTsP|Y5xl8(ZZn9t2x7mWREBcYX&~jD(M+?ZIAa@Zg*?JEXuw2vkP#C+e z-^n-o4gFu}Jb#9QxAx0eZoqbR;Bc?o=6ZY{Tk<^w#s!#xe?q$x_&l-sNzf;K8V1qH zufG6&iasB*Q|A?cGA)I-xlOn8fYBLk58?C7`5ZRPdRLFnv(vfKGslB#9&^9EfaAR7 zwxG1@OaGF{Be1e`-)x-0vgKRxd3j%6eO6rj4Wlc& za_GNm^kGP?w%~r^nw1>9uJ!!`oa;{Um$p8V3$GhI8bR6ktOfWtEoIL)Z>a+3mUy0< zwzgdf{oBqrfzIuQym0Pl!{OZta^SL-4K)h{*D1OsK(wXDm!QRt+D1Z+JsI$$eVR;e zz>EXc5I7xa6z{ijqK=cH*O|J+!Vwp`*B^4O)P$Q{Zsh3#%$>dsfPe?R=WdKAb=nKe zivsL`dDGl!VDX`!?g02wH-ayI^!yH3{OKHD_y9`!0w9pA907u8Q929-Q|BmPA@t=% zxD-lXJ40C*ZM}x`3a0?Bt>N#qCP7OijY|VDiYD?dhG=>^7@RS5@&TNWr9Hd`#L>8F zu-v3Cc-6i|55E9s4)wGFc8A9F1$LMI;ez5ly8aGwxzwZ<#QQYzB0wIQUcy*DjdO&S z0!pa`_JAhwF6=_`ZVz7`QnS^-iYTBtKrz|qKrEr%?hr4fY<|nR3=ZOq9?_XGAeK}3 zQecm1X$Ls*gmU@Gc}jaH!NN1T^*4wW)Q`6eJf{o)0DD1uIbN!yKu(!o(%&QD#4GB_ zVPO?LobVT>3N8hR^_;Z^im8S0B}lYd1(sk@ z;03cGqL6o6go>ryKMNBbIU)-esW|`y#F>@jIsn4Bf6VGXRMf7 z1S0+{y&JS(8}1em6GWT-0Er@k8v#keffwOq(cuwTQbY~kU}x|;A{iKSd; zKP%q#1~@0iaB_ZLyibRp7erMqEToI)Edeq_A>Xa{#8`fGbA^EwF#H()efXI#R(%Ih zAjWX%<$;LjzG?i(W zjSJUxqSr+DQZF*Th8FxL#xRV15_@jKvj#DS(~m~6fJ1Nt8O_ccO3!o9X(WH*^vYOv z<}}GfPTB=vDm(FQY9?3nb89XyP6Os9|KW0+yL`p7hNtYb2!_05_(%wN%YH|o%tvnG zsKi(P#&fKnY|H@BU&eX>3y^RBd$eWxHaLObD!K$>u>6zzv>|ddKmDPSI2y%&tNj3$ zaM`*Ch!^Fzj{z>p8QlN7B2Uyn?y9u;62vTN!cFvSxu*r>uE}v@!E#+DagcaJ7O|F_ zawE@Kx8(Z*xO-c!<+(LSHtYttBcIO)%U#)>8=d#0J+VvYPXbcXH82$kj-<-tg?bwD=t^)ym&IK{Qb(V!>jH-=Tm`bMtUA#LWOO58$H(P036uYjnxK(sR4ciIqrt;z2 z=9aR42c5;L1+N_?s%I!bnflNQS{|t*92S--bW>3|A$;DWjmh}h@p4Cosf#ICyy#!}_UhCHxhAwD6Z$V7giq^xW46RQi#52*D z1ItBiS}e5O)fW6ep1uOCi>&*9@AS;~i?zD$y1Hw;cCOut0oaI&jiMOX-E^y@h=_nn zD2k{cC1Hz-n4pLXSTrJFVDSH(cYp8yd3@%~Irr4ecjn#`ckmlPwwM(T&Zpu^>byTg zyar;fxPg+;=i;xeAeSd5&=2rJoa=$HmtwQlFk2zc{ROHkktzUGiE)D={!y$7086#F z_d0aehz@nIS1a~!2k=S!`2;MV5h8=-i&#$??N`x>_9nPVi)=9FE=_F65iLz92XhV%a9zcQ=OleZ0w19s8B+14Ya>-H>{qDD=t=mAnBQ-ID&P-_sWtjIQ zzdn%5lDeM-xG&}9gXMvgN4=Pb(v#IN^hoMJEBb3`a}HF$ks7(diFeXEngrfU)@EQS zl1z4krC2gHgj|Uf_Y1U?N{xL$ER%G!xu;z6rO=^53N(RSz0`;rYBq9$K5pt~xWs&kmFBm#0+YAHe zDcL*);!$$qHh|OevGZ{EjBHBPyJ*?GEdarfj2PH-YioM#+)dJR^$bCHEe74-&04zCj0WGFa<$yZKJ(E+YG?y#ypigF>%TMVo&XdDw60eq> zo5DhkyzMTOeUfY50sAaJI{@%ScBGK_t2~9GwL1A5?RonqkEY%4-{s#H0{bC5&;9F9U z+*k>B4=OqHAmFcD%7E7aiallChm~2Byap=AX%oyD#jg+`S_z_jEJm5Q11_CYu5ASI zyz)2&0vD9j56}{;MBV~1PD!Ed;TM(tF~H)L6e@-%D8EwJl&Jjp6PJ>tIFv$5vZA9% z^^&q>7_d9acB<^%RZ0#6yQdV=%ax_<`xDCUD{KFNmIsP+CwTTyIZYM$N6PI{V0o-O zHN*84DsvZs_*#(~f%sPGL`%Ut#eg>Ry;oLH2cSq9*&U!*siBSIUzDo%0AH02|BZ>1 zUR3n`u0;KSpFb2&BbfcEYz&36dgX}#mu%F;*%-4`Z&0J(Q~g_nOI|8RfwhksMg?zQ zRc{5%PgS2m*+F$C1)l!ubaLX5x`h({0QF>BcowBLJdd%{s_ra^(dthM+Rmz{2Lg*x ze_sr6PL2Eyo#)lVHoz{Zx%8sPs!OTj5~sGPflC+F&9u2SUNxp!BSDRQ3y`SJzW`-P zYNwu%yQ^ll0+y*x+y{`Qwxb{JzA8{n;DI_&2kfEhLlgBQ_1<8peyk3o?Uzr~vJt?t z)hZW&9QEi_usl^e)MD(Jx`{I8BGrtF@5SnMH-J)gmLVK0Q>#7$D_5gJAW)(9Gk}Fk zb-+DmH9qn#D ze*U}KCyGikwa&HBlB*>+L+-hD`#i>8XoG2zd#Uwr3+$CvItZL)+7n6@%C)~~k7T7b z_b4#@br5P%RB5A89Q(H-5lF?-uJd+4q}u>fn4d6>qC=x~^y!qzILZkoF8u+aNk*NZ zeeww_9H$Jk1JBeFTHmKNe1zk4fqDa`o#MbhV-|Ii%xsImGt-dzfV0wQqMn`e5l8b; zzOXXqk8DWIeM}khybu>i%|Ag)<${3k;91CJL3UC7Jm|4lLfbPIFQe#viSY)|Ex-7I zXXzdKpk~=*T2HKgp^nY+540m-#W$Lh9GOg=Kqr>v1_6HTe+}Pto7;|U0 zTwu|I?XSV*?PH0k00D1i*AZHL*wxWs@nvIZD#Ta4 zKEMvL?HW}3vvebXL#$#JI0IPiX?S{=RZv+qkTq`yfg{Yk9K;~jfaav5tVv6Z1+za- zVJw7Ar3gKg1=_>jF?OIBs*khQUxA%qHgvng*mYlUhBG^QYp$?8ZGc^6ALc;WbvBOf z$qn}AZxC;?g;Y|$#g>QB9F0la2~6s6X) zgfq}-!|kA=pdB}i9!`61)K3r{xLQjnbL74T!Cfa#?*JBOZc{W=yKwgO;jb$fZVb$g zQ*7X;JNJtTRC{nk-vR97CR;#!Kj%$B?g4K2SP(tA)ZfAB#o7LiF>mfH6?J{M*HN(G z%U%8ocm245)CxMtWi*Ecf3AET#1C=ODaZwIO(keK%;h9QAdovrf!-0WF$FY1oX`?1 zM>*dl=nUrCQQ8&4?U)6DSZ>cwD2wBYY59of8qptMN#G`Ma3YZl*aDU$?&l{cOXjwA z0CtIspiL<$ToVe5Qn>=!T$RSf(F~lYf7PSME3Z zBCC#D?S~8d#udGUFWwsv-Q$6(EN49=TvS#>df0Y#Bkn;(wPXp@dH?h>018y-c}$Ynlw7^C^d6 zHjn@FI#j>lU#tQ4l6P1Dfmgga1*`ddL%OX6{03Tw3;Cur-M;4Ci=gZcKgS+^zU95C zqwtQ8D+KX9Z@L!5B7TW8h{e1UH4jSo=hQ7Kk#>Ce4-v@2;7k%0tOrZ7u)zmfE(voz!I>hg zv4rYWVJ0iG0ImuH`a%4Gur&%}4~0Ccg*+BIQBL(l z==B}q*@Al|h&e)sNL=PqVLe5@&xBPe7|RtlTVd?EFqR69c|rnJP+tf>^rMyty?+NN z6>gB9qB zzu0IjuJ3^OgUV~3V!ve|dWm-}Vb5FKK!K2tI6EG4z9Q!joql4;dDuHB-lK5JU%dYf z0*A!BwGappwSmA6i-A<)4HQR?g1`~+CRGE2L<3svkBY1>Sc1iMuOJX2w$B4gs5o;A z#*T@7sZ4fU?6v^d39%ui7h&Q6QXMY-aSU1_#0o!vNO3!@z9+@xix@j4zD&h6M2X|8 zAa`0ce+4aP(5b^%w78#MytCq1TIyoNxN{IcC$^`}dFREN{{UPNdqqN7tXR|@AP&(D zST2fNuHzcwMb-vbg4iVz$`Zw@pU{#d#{Kuv5C{ANmP_J>@fb@%5&`j4(KQWNn%E!> zX4A#B$02@M{D+$SSHy?3E8wd5sR7JB630*y_E_XwfhAjPM3F#_*nk=fPsQ?+F!W4x z7zZp@w5EbusW^~A&@wUB4xANY6{)Ti>t+M{AU?DMR)sngbbb`y`~_mQIAJj4YD6R2 zT~jNX^aRmSI@=wCuACQYaQv%55G46eaLy4eBPKI!Z~P`zLJ zF%GJOq}lWo9F=-E2QfrywG+fpDK#GA$D|FZz>Z6QHUl^z)}#lf3RGV zzS3Tz6sa@SOw*(<^q8hg%PlZ=S?VK!^NRF+F|1#ecGJRsO?p@if$LKLYw+cU)Sq&{ zo6@usz-~#YltpDo#@Qg=mS+5hE4m|@ZpB@?E2-4M$dsfxqN*;5-@<^KP2D6W)j#EK=A}yd^c(!EyD;&&`im2D|RGM@X#AnjAr4Y}R z5~f1nxl~0@a-Jmq1UUFLnU?cY$0y$f`fi*BYxmh^m?B#8k-cYmAWaXECGYKnN2Dq+FX6m!ZfT*1 zm8F*s;<}a{FM|dv1KO&({J?G;S2X?(Z7Wk7!}_WLb8xiwp-(PWpBW6PHOv17&)Qoi zps)Kd58BpOKE-jv#ZI7XY-SC+o5oR)zBzj`q_zyC_Qh7rEBG2{kw4D2|GWa89nqAg zyE4}^Ai1&oeW1gGZEXtE`&ch;T4XV8Dy@p!L?9xl;(>!#++2 z@i=?;70OPqbF`v|vw4*1MzB?VpgNM}Pz-jGg;RU!6kDLektlY9N))GAem@Y;u*^wd ziDn%rn>fp4O4DOl&{J@pV^h*FhQAR+KgI?2J5{KzvdL7Uzs54F!Fhw(`@-H$*0v+W zZ?OgW(3!zD#DnuTYfWvUJ8Xg%Sne`ssu*Rm_0<@=$A$^OvRH93u={KP#Y7KSt2l^1 zWYK*A9&PrzBqDnCF=Ia@Xepn^#m7^`G|QtR*oiz)%AVt?XALRYc{MS0b1 z<^|}iVN)sfs%2fM;_!)`q5jZk_Ul4mUsw^n4`10j3V7<+kgbsW#yDyWxo|CefyI@3 zKv5U|WI+ai2iL?9#C_aRDvw5R=SJhliR5ma!PqHoG*wihxCUX+d75jP4B{D15a4b! z*F69Zp5+eH){7YKGd<$xIQRA7JkL#<4+k%BMH%3X*3W0NaGq&aVVWD7>TjV++eCk zT;Y;vQoYLEplR(ISGWS;I=B2FEZpE;Qo8zr>w5w^Uvi96;CwEU?q>nFJp!PRn;Qi! zueoXTeZm_qBm@F)Ik^;<@{Wt^fLrjMGx!V)|D_`RD#e@!ZR087Z2rPUmvZ~*E7vk^ z;$DcCb2rSv>A<(44=Wt`4@02Zna_LzLoU2a8;HB|rWXO+_=2twcjwb5K-`1xa|&|% z_+Vc+zn_0ko1G8vr@Mf~lfO)fv=<*klfO6LhhkG7KI{cJefhyunu_8Xec*ALKe837 zqxrNDm_5s9t$-6T{7x^7o#RtNLByZuxe8?$crFy;vH1T+pfir&lL!nuz-YsJJpb%F zv?TB?Ju#NZ2W7%S694ub<8Xd(3aAf@nVfh$5r{z9A2@uld^)fV|<`jDo;hzM3Azcl;MG5I^#V zL%~_iPpyM^EpJ2()=#|m2(WzST`8ab!oT_r790f6LYQ?F);U9`v*7d+z(x3nUME+f zEp6X&6N)M0J1D%N?!Le9pJWIG2>q%2bXe&79;yR{I1OV*1cegKNFkrr;*-LrDp-gT z(x}*RT4+eS9?l5mv%nH9tfq=Yk`T55ShCRe1H@B=^S)4>D!dPbc$zSeqS16AbsJdj z3ZZMjk|`9J0AvYwJi&QisH7S5fl%lQCmsqzv2*d?l`DA@G;|$7aZtDaP>f?Tglb?D zt(!vEt`%FHPXF3hY90?(|!*W!7Y zjb0yCpDvXA&gql~U*^uEuGGB5Qg|}|2-U_GH2WKz3mfl*>>|rL@LL?96?XAedV7~x zEW^<?}3ftqu)@w&lS`a9ol12|O!%Ou%uK=VFXn`$Xb=wY&>bYl71u zwRTiKDC-ut2W9aT5ROwqu`N~$1k8bKN7=8<9G*%ICz{9E^S$LO{%g&5uLcnNo7 z$82NJcXp(GE4u;>aK3v9B~*K?$H9latzB_$!`f4rWXsal0JCGKav*Nc3MmG1U`<;9 zb7a}2;CEsZ`a*>>tNZU;%W^66J;ZkR1WN#mPluL3rgH=55jLhHID=Ry72l4s)AR@h zvx)SRhA_*)5C~=QH6R{i9A*8-SIa@be>}=R1`VSs%HUQU``Y? z#j?R|pe2sg+JbnI{j7kNcs8sF1QJ-vZ}2RU)&7mK47R)gW4GBlDjM8n9qnNuljYJ~ zzQ;UY1IuDIG`-(vM(-f^fECD{q#pHoSy2(%(xrIo-pHm7()$?=8PQnkop%- zS^7Are#SQc2LPW+(WiUQS@Tm6$YZ}K(DH(fr4{uh>+Ar5S4@orme1z523EjQ++m@R zxzcO*nx$C*d&4qj0ej1yjez(&Hh`SK|HUlZ?n^cT>ak0@*=FM5t zGvUkK{&y4453+ZVyey=Kd_VB2735Uap!5CjpEwS6n>g}NCnF?T*hQ@Msx1tVc{&-ma^Lz&U6#7bKIZq zU^&nE^oIBa?$CWGi{;*Jhd>;+YBPuzxmL&*u>=?Q0+ztZRJTs#TFro564%EBSTg5M zFZCs^#0l0@xTdu8ES0lf0)aH{jRKZ*&YRlKPr1K$L)kO#T_aq|b8b}#oXF#beZytG z;4-d&_>ya}2%N9DP#v`7bK|khVC|i424#iZ(rRF@xt-I&`Gy;r54pG8oGlo8$A!|H z^PX$59CAh6_t#)4=58balyLWHlTs^xX7u%%DJOd%CF#pDGsmXUhM?0Oabq5%l}n@Gow`kS%|bZn7QkD`U)_pGMKH10PEF z#gTtGA5J*&yItVCGap_E%!N0klA0^8#ema|pXvajJ1^5#E)Tvz8HoG%zElO<&nK!- zeSlwJ0s&8c9j$&|{K!kdym>hc0zSMztr%b4`x3_d_zN^u9^_*wu=VGE{Q+=@KTLZ> z1Nf%H!FiZptcQ3Y|7a+{5k8ktVm7~pV#6H%8AWwZ z`NqSc^BMmSHEDDCBb@-A^GjM{ERQ#(4NNciRBEWcfVZ6u ztdL(pG2UywWha0){4=UMzvVO50KDV3?10Yqyu1rzMf?-03l;Oei=*a^+OVaQ$xqQAG`Ak0k$(NP$~Ky(r=&jfH5-kX8wBJ6Gr%vESH z7r;%hqsolCaI-(;JcQ^1U|~WW0|*x$rvpR^`>7;zQg}|Y+bQ8jIY5-K=M(%qEnKI~ zg=Yi@nu4N*(^oKdRv1i4V2sdq28ibbfhNxL0@oRw7laH-9%BVpY81r@8cpdJg;Wav z)=FV7dGJH__jgp<_f{w^3}mBa?EU|T5rDTFqImU`hB z)k$r{cJ$4ct=OLe2|Lj)4xIL)z6cIFh{<&ja1_r|CgUWYrMS#l)Kl-uMO;QXxvSWS z@^v@y)HblVi|*?&<{?Vg0MIbsjhnn*JV(3r4v0O{0X)TqG>3SJlgDDrTkP!$%tze% z3TA!9(iOn`#4)rv=Ah_d2+UvnK@r3uv1b=x0pf>jXgMstrjlWxsH5NLhq7@}H$Hjeh04KzK4`4P7TUmgGi}f!6B1DOn zkVvtVRG$>h+d^l8m`(X?qS)puuw?NuMOK$YPY+-zqVY_{aVV7qtHe_m06vO_8^BU6wxB1u zMhvxqy;^Z1Wk8=G4%MH(7jedWuzVHAQSG%(4D$i@P3&p`&hO&K=Fsv(bV~s7 zr)WfzT)lWH3d(GxzJ1}YtrSLuAUmm`H^l8Fvnd#JkbL?EJ5d9zW?m?Y=rF85)4oUkcm<;vvZ|0XhSu{HGuumLBbc>Og5S zm8_0P6XGErBqgOo*-`0DA~=I3Obz(mrL9!J2$js=f%BN;^8hTzrH0oqc0#(P0)$Ct zvq21({HPidAvLGcbfhGk;lfTz!UGUbNx#$k9wjBvCbQF0bvy*lND*)0OSI%kzx!F~ z7n%oSq^FMX?3@%wm4x%s_Z7e{NGGO1S*%nu5m=mbhdyq(D6ORVEMBs6gj|9&%Nf2T zO7;@Qk|g^RFqA9}IS4J6q<#YdQluD~j8mn`Hc*x(rOX1BE+wpmz-1}8DZmx!pgELX zl_Ixe?3xra7@l31KK}%`AuVYD;yY;>tw8Ukt`zSUOOBMRl}HYhKsh8veYY zUz+@#B9C<0#SE%1%fet_SL7I)*{{lTsJL-WUPn35b@}-bD7zugCuKL~i4kDACI6sr zTr%Xqogm(ppHrq@A{)^Dj8b|2GT1AZXHXnjAzwd=Yp9f;4glu|c}5QWtdflcsQxG) zqCINW^73d1)X0}Bz)~yQJ%_SS@+`tW%dY>e4$98drur(sSqPnV@?)w|f0GXl0{AW` zP#yP&>`7hUpRzAa5cP5>Ro!fqhzbB(#h+S;B}(%jfz^yN@M|aUQ#a7 z6Oy91c7;Hy@`-vFY05c@{L__36tP@ZBr05AQEI6Zc~!}y73iAs$PnPV;xLi!wbDd` z*Ef~AXgG08*|!Pe8A|X&5N|8)e*)Z5?)(P=ca?|^P?o6#4#0)oQ!dh7%2L|@3GBX7 za2aC{6bqVgA1X&FT6?4fP<`jIGGRDWKT+;&0hX;;EQK#QN(n7XPnFsO@cNmuM+Yod z@t`#DxiY>1K%R1W9XMYo@2a5sr4mbd_$#II39x*n`&^6_D1I~n7AiSG5Pz*0H3IRC zGO`r*-YT~AXuMO(DT{osT&;wkMM~`%V8u#6Be0YxtEmT7s@(4ZtW3#j2CQ89IvH4n zGNl!CRw`z_AooGBI)t$*#it*1?o*9utI2+~H3O%Yx`mcAZ*}@D03X%&0$lP{L#XxO zuQuuqfkW!7cNhy$y?5c3A66ad8=fGwEC!rM)$D;73s$F^LT8BD%K^9inCeXV*l~5; zpAbKx4xln*n3_h#hihu<9RSzWdYWHus#((@eoKw3fk1}3?IQ$ktG%xQ+)WK3I57Z(GBp#|modF)Hz50XYv1)!6*ZxFR9|B~n zi>Z#7qc)|r>Zv-F^2TRsO$x?x)sYvW<+<9<9CCT8&uCox3)O;pDlgU5^hw(*)%PI; z^3`8|K)gWRMzz;MHJT>C*Q)y-`r*_bRP%eQj++U0->H94lj*(MfHuk%sU9?u6|06f zV4*|}F9KGoR=)#hnOa6+MY$?Z0ntyZTLA2!wrm8jLz-78SOPT38-@;RA11+0a z>_@bms{lco<0x<*)vWu%iD0d^D=dU)<%cmAsy?3nhIY68bK>k1H0Xf0*v4AUO( z1_;--xIlG;*47PLBDK7F5Kn5WRzcY*&1@v@OO*DV@{ZHmUxff?w8`|iMQh=KU^%M| ze+y!aCeX}xPFu}^cwVb#P0nr0H631zIj^@5#ayt>1fG*j4R2 z6+*6QB@MuGUF%MbjT@Q+bpvi{Q~fY@OUtEwM;Y4LRS>_e?T7?+M|;=+_U>w_J;0Kw zU84)Tr`@C#HcK0k50?AdJt|f`&_4YG)ep74)Mt96Ev8P$W33q#zn^Gp;^1Jmb|Dt_ zax}~4z^b+1C4d@jGX2D#HLpd$zG%ZK@%ySBX29ySowS$fo3@T(@9)|mcbNU5Juv~W z(RulS#a0)65Kh?XQfZ~M*A1rae-1i}oxmJ*!Il_v(&f<#>#9rZ0^p{L9);h?T_?l? zc<7ct1=y#1PP6HL-GLWy_kivuWo}-&r~+8<)-5;zqK|Iw9{|3(7Dgb(=+ZX=JEuEB zU5i-VVTvx|bS2jycTrc@0w7-ZdJ;f_&Ve4AMBVC4uq5f6A^=i!m0y8JwhrRknu z1!uZ$0IeODbuE{`mn*u12Y_AGU3~&@LsuFMmYceLZE@|lbY@iX&d^=>3UFKZ`3S%r z-S{6+c31b;CaAuryGi@@vvhOU;?~{Q6}*AY2fEJ=;QXL#TMkgA`==1fs&zG&!BV5M zq&TZq*Mf4APrA8tfqmBfwgT3_=&ZH_`>J!N3Rayil&Y`abP0{1<-6_&{ir{5CI}wI zpE~OY!0L5-Y4*0!hf+7dR^MqnEZFIvH-vz_-hx&`2mOe<0FL?*Gco3**HLugtY35u zEH3(y+aTwvAN>xPoBk2qYj^!V5x#injb{Vfr@!6-*na((!N3ma-Npj*)cgGn%uDaO z1emve549kD^j&Fo^VP3=3wwV06XC!P>Mbd8@YgS-4XKCp?J494(2t=aU+uRn0FAL@r4g1{qvoh68m^=%jgp6Ih_FGaTg zE{)~rD=dIL)z4`S@n?F!7Eqn5@AD4g&-Ixu0D1Z$^qjrWZ=@E-OTFnRV6XJYwgSu7 z+xbAeKtJFyEEMYFVqxL6es&5}ztQ7^0!+>NPt;<0r{6$%>U%w6L`=8 zg`qY2btGP^@74n>pY&5G+x@J!>V&Z``tlV3U-hx>aK27IkY1o~dP{0+eAoZg1K1D! zFxnIGQ*Te9O1-`{MHe;(Zj|QQ8l+W&#m?ZbcmR8YsW)LE*dT8!l!X{<>O4Vs>ZK!m{^>Y_v%=OqP@-F}Ft8f5hZmS*5ZFG;$=;zs~^2A(aU^MwI>3@qQE=D$CqLD3s<78EpW0fBM@dkVoT z42-DDTxk#^L++!&$dSOR4VGPkK#f7&I&jt+Jj;c>PXr9qW+`aeLOE>VOW+;SLlyvXhk6ISP2l zKl%*)C|leHav^LPz51c}YkjbCj4h-p!Eu&90N@0(q!v^dtEK=2+s^&K62Ype1RlwP zDa<*^Hc|cQ6f<*$mMFH5DqN@8cIvU5VXxX?ESi0L1aOvFAH`SP4m&1H`i(^sFVYL-ajIB71TjAc;MA4-5DkTvSB4#P%)& zXA1i`5o4+B>=lfqv7UW#4e2b18iSWv*%H{h!d?yo@hS^`4xQInU`Jrr*{d;>DNr*qNr4#%6WDIXBrnZ7FaqrrvScO=H^nbrbk@kt^kiYLn^OkbAQoPn!^o@0`VzVNo)KwZdoTN%jMi@hI`Kaw7~7j<4ohB zrI>3z0mKq6e?K_OxQO`}E9b6I`c=UlqdQ;871==N2aazDP{pZ<82iYzZUBL5E{|Fm zHC)(6V71%>Dqnr#j2naanX~qW>Mz{mGK_uYE}eqCI_{rnfNxyn5jgmr%cH#O2X~DY z91p&EcgXGIyJo@c0e;~eaC-8ssX*q%@3{)ho6n`{r4Rr735dS@yzY?m> zdgGFK&w=1f=4aCHm%_wkE09ZEP>Lrxr@Iw|s;2D2C0$46z zNVCRs{s@H#dHndV05AB9)GEW@y$XlUSG?r`xRlSYr!BYz{3ithh5Y#85P!`Fjso_E zU)mjkH@Ij)=F&gC2r#2ln;} zoqmFIzmWC>*a2ZKg>jz3*ch;Q33CG>;4Q>#fFU2D-&Sz?3iH#!=_f?eXQENUuxt=d z3q~{-L<=o9L*T4%%?}_(Fy0PuPS{F4>hr?9_Rx7jSW1TOI zym00cI1_|(BVjL5*xUmal7w#0Kui|)_5kscFrf)RiV$=c;;F*djbKR=*3+YyF4Ua| z=Vf7F0a&gGYZif+BP^sg?^B_kX2o1#h8wWwf)oAewmf0>JFvVEw$r=vQrOlB#8-j? zWwrT2AT^{4ga=etEfo5XgX-5peJa2k;k-S@-U^9S+N+@yp7bq&v$(kzFc;DF4wSiy zgJ@fto7m7EM0YWRy6zsL4^0C5#9V6_+Ase37c3k=R{%s$@iHxZUSd5$2y|7>jRNK) zzS|8JU$JjGwD^frsO5Z6+*|<`e{sJnx zFLt2x`GUCQJwPmiZLq|NeiTYv6nBmWOT1`D`*sq$98MS;2Ew+w*i;!R_y&J&ma0G!t^+7BV-%*jTR1Bj!SsDKRDJ+zW-t==gO16K&f|E3Yk~kNsi!TIRrNX5U zaFbSd0E@fie;=5K^r8!N?vrkggus5O=M8`Z(&F71^OTybgjp}?(RC2LrKO_)e58!Q zu;(jPg+Pm+beOiN9+b|%0Ol{9O9ggFIv9)#3y{86LEx}7jh?zd$(Fiz5z_DEe54dd z?UYlJlMk>c>0Ugr)6$wWfHP79ibGN*^CXO=NoPu-`mz*Cm7^=tFq(U=O7>U5c}*JT z1kUSHF2$!eq{;LJ#7!xC9awHjiH~t%8PXL1klsZ=S)nv(Ac(IeaT5gINF3De1omAzUJLL;vf=@LN{j4Z$W7k03z)ln zZV$jdIfg>G{c>(oT-X75GY<=%@(Bu6BISXUo}84wP(T_bFL({lPRsZA0y`s*v4FB@ zxnC~8S$R7}hcWVpsSr3Pub2cm*@o`KCE4B>#4Op1icu~%9fV$4BFYy)verP&z>I4K{gqUNleT?3+v;z4zfK;WEzJw?psT32c$eV#3Qx1f|!g0m?46qXlqi8!!d36IITxskN5TWR) zP#LMbSp?2>g;Cw(va%u^mvU7Z8Vu~3@*5S2t}8>y>5Al_9v9tTUNQqdLy_mnMkTeFm4>OtIB8q)sE2g(3iZyzdc7Xy2w zjGYda$I5(TD0{Ey=rt@-xK;41M2YT$t1VS3BXF0>luRr5Qm%wsfmor~>;qP*#E%8` zLAgc+z$zuo8-_kAxB3ICRsyN?Q=?R^g7|(l{tp;BpxRu6YA@CBH(=gs5S7Gy)EKG} z`l=C@@XSvQ90`_#>aFHb?XQ|p@9>c7PKCSxH6jt2XXT~P;9$@Z%1O>5OPbv5+>udAVyx!q9r>;TJ6 z_4yje-BQg0( zQSIqom#PiM0xMTL4uR?lwdri=tW>Xj1`!|D``{X?)cI>b{HU^GfNIs%0dh6!RmwhV z)uV^N`AN072=G~Tp$$=A)KuDN`c>^iKVzNRnnJj5YG+ElzN;={LHwZxO$Yd?_V@(n z>(%9S%Wbrk_o2mBJK_RPJFQeK6*r&7g+jVQus?V1e4@udp7ZMbPG#quNpG zZUt*SJK*|4w0tvwP%Wf0#E)q~y)brMYuOZ+azYzk4b@@V4<5?GwK3#%g!XtT1R}Lp zTflNstDuVSDUG8tRFvkO1M$<^vi&f7LwiYc>rKtb6j+9Ki3DzIXU+lK(Vp&yy}R1k zmQa?db(;z7o>u-1V_Djcw$O54ORER=KebHcDk7pBugUj^Q zO{Ln~LEUhB0Dqky5fAAmp9L16``HEJVY(5t86`sJIu+uPy23Jmle&CL5l`uoLje+X zf-P8*b-$?)xTIUy9>f&g)%MVms_QfpV`;k2lpm$*KGJe_S@({%E?&|7PSxkDx?WC@ zyQZ5)Z}@ec1MOnCp*v5r;uGCiLlARxc5fm6RM%`d#-8asy`VE!7itNX=elyLW#;KJ z#>4CjUFTlFUg~_a!TCy8LLYkM>w3`#Fa^3_SK^)(>U2+G_Ji&oP4FLe&1l)J*2TAh zT#arDC4RL!ITOTBx_a6p`B@h&LG>5iR>~Nh^pT&T#YNwPdg88nzZA&1>90|&;jVu< z1v)+S?M(sp>CaQ?X}><=J}&x*-j4#hqxxR71u9s-un1!zdbezdhw8;?5ICj}*a8rv ze-j0F&+9cKU>EcjbD=s`e{(6YIDHtEurBI1P!@SzKWQ+;Z|Fy5f#sHdK?*>I{tEpS z!Q1+?6tLdWC$|L4UH$kP=*-lwrQ35)e>NRjvh*%t&~jfNqyu=Mk8#4)zSjRtgWOyF zk**MUr@u-&M&9cK>VXyMb1a~`SkET|E76bNfE!Y(Z{iPuGW~tp?NqM+XaZt|{tRtv ztkg4#06*v#dO@H{zhNZAKk6OP_d#t@U60n#f9r?C27%CZS1_2mnN##NG>&R_!+a+| z<%nU_>KM81H7KL54aMl_Wy?VsbBtPahM8WlFn0VeI8Hx)9vVzPoB)rR_dQ(V%uEW+ zX7$|y%Iw?&;5RRz)OU_8m80fvqk6);3$)RD{)oMxEYS4=|3dvF92a%2hBk|BAsAiU zmzwiS2GVqA>0%4|QZszKATG;J$EcP6Qc#vpq5Y>Tv=%sDS(^>cRhGX)k988|daGlp z(YmI|8{E#d`A0xm_mH~C>s$W>=Y|n?A+>QB#i*NhOa{;9-x^_bOG8(9zI6x%S=$aJ z!`Aj;)D_>c;Q+MlJW1>AuHR`Q+WnIz!#!&$MA+Ma=r(NaP$;!!rF}uOW7jEOuxBfm zL8Ajpx(^{o*3<}6PHYD4z;tHm-r#g$&8dv-%7(WFryKL@2jlL{@I6=#vCdSU319+s zngUsg3C51F*%<&qZ23XBjPC>LFc!?FwgMKy`qKCOq3i&y*vHr(G!-6aE2y${f=%oU zC&HLvPmG1LH`KR?V5ewnMkE_RX~Ic%;08EPF`*Z*C}z_DW2aeTs>_~XHGe@anysFR zv9qj_QvVp%ntqXU?6WC2&olpn;Jm=*v;&A`gJ=niV->FeE;0}5%UorK6gFIANA5xV z20P>cWjEPjN(gT;-Wi;S&95$Ry5V^;kDzOy9S{`-UVrgz~dv!mb0jr*$vz@4k4Cwm|F za~QDw+`2UoIKa)_4gpUt`!)o;xbmj3?#)%Bn)~lNG%pbJ{w~WQIY6He!GTlmf-)$c zdToPGK7n6Du2B>_^g9)zhIL;9b;EaA;e14wUm-Qpk)pm)9qFAIZBExQX2wX!jz2R3 zqZ1~mI5)DQO0RLjV(2$%GM)XO?OJ;{Gc=ik@nK^r=pR0sCYTZFl%b5Q3j+73bedL1 zN6?)dV^Iwr!zcg2?HqfMR+MqiXzSGYc@06Cu!y1%qs|wh!C0YW*rd=OqZ5~rhDmjc zK%d;g1v;nfrSggC(*5vwMrsj8&F1$9W#$&jLTA;aivQN?R^e4 zhQ_`vRzaqpNpDd4o6&PR;Ozstb89w(GN@Z6-KP;g&^5dvLYsf@^TZR78F7g=!HoQc zCh<{Y>Af6%z7XeQil_i#m^lc##tvTyd*d3?+%Vp|8oDOrtOdo$za{vM8&$w3lkHhJ zpZNMbyqVP62^uCxodf5T<`fG}HN6dO)0)l&=XBFy@X54xBPcVDuYi=Jp4?P=e#Q;}o8apEv{>Cp^xPqxoZ3El=el38OK{mTVAN-BB ziVg{<{j@{xUxmNJ3h_*d!+*R4WrRS_@5r+8IF9Ogo9vlW3v|p*D@Ym6pdB@1f2Gpu zxM1o@jCZAC`-B1&bfaIkgJL{-8+4lNFb8E~zfzn}x<==dXXQfw6o(toGkszbG?mgGwBpToxCCtr42?ip znCb+cMLD$f+2ZX+oG&h34zHF>2!Q979lAm1(hqkawakIi8mn%!qAusmz`x?AG5A-8 z&@ZuS;%4w$pOY}Ux+VntYjWu=T07`8tgLG`8y43;qi}LVW+>G|N?73U1j-3hde?fK;e=zAk6khftchGW>4 z9in{;c5D>6Vb5-q!Y2nd>vtG;WLqfdbz&c=KJUy9e}irpwwiKZR~AwWIXCueK8WtD z`yg2NU|%nRxQ`vY1>$~IT?H)%n2ySQp6nS_alBY*5{TZc+jtOt*itH=`ZE99!2H|7K<>UxkPwQh4lPP-%VJmC^LfH%| z-X3FfR0terPqsne1l#i(*AT|0r2vGpHb)^B!Dcpt^+?uc0$5J6A+%NG6my&f@hIk0 z3T3BRlUBg6OeO$}W`A44&$H|TMKv*OQa@nl*lx0So^>4yEf-k+Rp^XmRdls+%zPif zMb>>iKs;-)8UhLIJ^h@CtZQFrNn+a{Ks=e1ra=4>(^&&cVH0VAOJzOpA-4N(cz1U@ z=-uzkfn<*rG=21ZP0>KFuT;=`YYn?(7NrDD!F@N1Hjo;+Wc_zxc7gbO;W}&ASeDN=o{w~=@Ii}@! z3NV*`rw{#?O-%#8RcaYHm#?SPbA>l08!Ou@C-wmxcx(QR|6nYq0aeRQ!SFdW); zz6$}*F1!2S-(4LCZF}Nr^T6Ido#BZMJ4^AiExSlRmK_^l4K{l=U@tHS=0tf9{_}SY zoKEZ$HT#^|l04{kXSVY|+{d;Jg}{Dha1DwNu&Nqho@_-281`a8`2gO`bvwp;#& zd|7)+{SLA%-J!*w+5W`XAr=t|5WqaN|EMW?C(i)-+`av>lO&ptCjLn;@}!3p8%<84D*BYVBJfPTmjgZ1 zHhu!<^r~b3%Tjhxm3WjFMcbp>Qw3?v1lsLoSWo%M*uyk^jB9%mJmZ_w+&>{ih7F@f zM$l{QMEm+oT2Xv6(ULO9Nm&$&PCjM`+fzKfAv?8Z7bw%#HG}5qHYGSW?MQFZ4C{`d zn01SV)J*F|IL^99KlSVmG~=2ty!Jo;`uT(JpE7%l_0~;15)-LNZd z7a!|)1)9fMQLZ-ra5qpUgln*FluC)7@j`mwO#Eq;oR~x#6eo40O5Nnb)u2pCr{H+% z?Bm@3y`0-ArtEU055~HtZO3`f;;jEgwQuS`?GjA6U)Lct_4Hid<9}nE>KXj&cS?gk6PcURGs52^s? z$SxOf9M$wU@QmI?UF9+M$3QVmrdN9GF^Zdw2Gd?)<1E@dWK!D`vJ;)cF*>OcqU3)k zy^gd}jW(odV~p+sI1H<-aXxlC6_~~iHHG%^Eki+{aPAWPFltYwdgBLFVKg~$6Fd_` z>_DH?hH71tqxxcWisFIeRFhipOuI*045r)A#wydn6p73@Hx(Y3nQ*W&^YsT20GXK zQMSCn*&AwMSoQ1( zp5>JaQ37brT4HpL z1LYobJuGoP4=q#p5&94OTd?^rP!?u(ht#6iY2dMF{SD`f2d~2D5<{v=Th5~j)Y8iT zdNyqVWUaavz}E7MG>fjViU7~b-Bb);m9`aEVr?9Z^VO?5fpbk;s%WltiiVYS>S>&> zKYj$G8>(pb+4z*6piNt>FuJ+z7FgL*Njdh`bc!9fE%gIs`^!|E?=W-1apz*%%d&SB zJufzFv@>puEt@kKG&^QRYo0xugB{TSzFj-7gKN4W)#^=)F2a`?MpS+>6TaapXD(ch z3CQx2Dw`Cys=c%;wV*WCkGZ(zt4Lu8!sSm!WVkLMimfnTb z;$D=aEU|0}S^ z={_Yi!};1p^d0WH*d35sZ@mP^4Xu8K&W(*bg1#v|A3U3nP~Nd+i6M?#H+6zP+h$Tm zzx~4lobPyd0Q8;ODoE{}OS7&GyGK8(EqhE`2kh9ENZeq1mg)b$(5wA8a81864`fr{ zNsyjV9R!Nm)JdSs+(aeUS+6GGeD-hYP-N~&tH&I5Esk>+^up-82SKnuUu=)#g1=UQ zbK#tO7+o}~D~=W^3n8_5k`DAGDYU9s2F`)hQn%@#ENkTqDXS?KIA4C<2>dI&Fze$F zWvs<_=-#*0;{5uBI4z!(;Su)=Z=a%6=pke9Q{y1ND zntn?ws}|6+{O4Ejuc%uHJu3%MGO_9kZ6~o7j^em_{U#jOMD_xGZT|(ZzHZn$aIXKO z08$&WC{o+_xE)3}_4o&zn}6#DAGSE}$N5%Ax|iF2H^XszHC9n^htUKacTT6IVQ-@; z&|t%&XpypIfwaNYjv3fOp*?Gf-D&^crxME7r{_}L!}J90)|@eJ26UM1rmpqOd=W~CfI?24_mvNlmnrfvBdeSD0g^AxWx@ac_(iUX`d|8|n z4=0xNu*1>PmqFXo){St!?D9y+S~>g;+2yYffqzBgMDVX1(FDg;oCzq_jAo$KyAR@g zO_>GG*P6}2`8w?~c-EWIzV{6t&2Zev)4H0nwt zX5==*x!JrUIG@?s631Bss4G7^m~w0LX%dcePSAWkw{aLeotIB>&3wDVxcUW~X!c)t z=Om7cZ0KpT_(C!3;?zdqS>o^?@LTqM3~ft)+`{Ox>ou@$6->47niojF1G1vZW1XgxMgrI_EOfNEhA^IX9*X%x+~liPa2_bJV*;IFBLIqc3@ z`UkX`jn4yR<|%h*m^JRIxL0fF*%{0UL{Isz+Uywo>z{2}f zw_h}sHXB;Brx{8^?p#<`W19u}8}t;BIfL&{=ScA;0b7t<$nNw!b6|{}+>;~kodV?W%^z7q+H*QP`v?eDzg4Vp$36Q3P2Z2LO6VeB< z?N{R&*AW_C8(|1Vv}zs5Mz6KcQ!2AgMM{U)-P{}R?!WB;wns&>Urp~Qd*k`*kKpK4 zge*Pp6(+p<)LsG{U->R@{GPV}yk3d`p7lM?;^{wx?8|^>bp8f*Ao+rJCDRByJV9%N zlN6y1pXGt~2=Njy8wQgukMxl7jH*>0BWdI|A5?$UB3%(ZkgobRcB5EplV@*fgab%l zHC+%uBRupHoMW9RJsY>FlT{x~?*zPBwQ0U?(aF0$605P?X zg#?~u=YwDD7243A+WUaa{ zCCNM;_8DPFsQFHFXqPy(>^Yz^5?&l89(0U$Z*c$Gz-$mr8a2Ea<ygFq8PV%NlEn8^rQ=oa7iaXViPG37w1$51~mpLmB}GnuGY0+P}bf< zamzXb<1v!DTgfYPU(^H;kATjgF|`aqf1V%uq17uZ3a#Ev>57rh9~43G9S=`N@(Vmo zBTPC5%=&>8*z)g6>nC7Pdte4WX^Cghfu_I-9$OEb8 z2#1kX;MKA+_YA!(EnR_hxhdBqy;m+eh&Q1I;3~A zx@Cex>qZo#Xj6kiuWg6I$I#}h+qdYuZVH`r?)AIi=@GIVl%^j40T<7dC_ua-=w6Wb z^`dz9DNcLLH_R6}enrZISG{ohw)Io`pl|pq>;358h3_Y=efh z^F#Jg)Hk$DW4wn&ljaL|4F$Id7qSN$2A9M0uZd)%MUT%!YvXl8!J)~%5s=56NkQeN z_}e&`JB1RBv0HyZYh2mi@QieyC+N%C@xH zl#OT7KWnY4=G6#b)P7C@+&Wk3jII0L42XNDec3w_v zCcP!85pG7;qZI(sv8_ zFcI&escO7q68YVc=`X=Q>Z`7hq>&?CvifVIH{j8wDF)ZLR%zfj8GHsfW~mgUYI=e! z%$O7kD91Yb;u-f7<;&t*_+b))xEWe`()8aSFJsWX+49SjCo+ z4Y``#AlG;eGadkNEqhPtxpiz{PqeLP56NKLz;+FS3>(>SibQW>PIpk-%rYNBm@RA? zdF5N#U`lpwV*_5J-|eiGh_)SU$5jA#vU$%yw~Gakmfg*or$VSbtXTwVds)$)Am7Kn z4*|-4R-eMN2Ura<>JPHsRe*AcecJ;BhuO4Us2yQ_FQDxx8&5&jV{8;<9FDV_B<>0J z!#nhMlC?_&ms4!jMYNq}4zJL5hP}TAg0pPFU;xjt-ID-3&;FrAzy}pPWs~We#5J~G-?mnPKw4oWD#@(Jz_0qfZ#Ff*%!4ZZ2d9Rp0X~J z!1@`B?hKyKSxGuNUa-ICqU|NSNr{zLY#Moguh~R$?cT6#@>$-pQKLbS%c@b%@EvnH z4!ZYjJKc%;z7$>18u{YYaD;=wZk9L#672i*`J zKOA&J`Mo0OVHkgP33S7Gd>^2U;EOwfZX_>16Lh2aso|)N=HKU_$l;gh5Ff+WP*iy= zm*0SH9KSFH!0|j{1Ar5F&}P&o@(bT$V3YVjn%2p@*DwI5@a~>KnaYP#ihLS3tOIa5 z-}F6L&)`>rQJcvd+JkNuPyG{gvw0I*^KbUJ2k@KHCPhb-YV;h_s%k{RO%W{KH|;ZRGlJ^tXx6Uk$p=yxyNc*}~V- zzTL`Qe+At(-efj_+xbRHyYJ>l+e3&weAz*e@8!?P7TL$c5gyXiflEB#hDLM<88bNu z9e=9>6sM-Q(U0?EQs7ma-9~3F+i6OxWp@H*jXy720{9!a?Kc?RMjlKD>L%WX{M^ml zlMJ~ne7_!jZ{-ImFS(5mq*%yK{;~q3+{N8Dp|joGo4(f`ei&9K#M>9AiuZ%QdiZgsu8FYJ=pQdX#=lDj7wV&q?nge)&H+lo$MgHSZ^nQs)mV@w@`7?4PukaxT zkYD9t6j-{(_tN^f&dX5{;3og742to>@b5u4LGT%ZVxkax4VaUK!Q;_3MF=krk){eW z9MCphSUM8qGXx%mVy1BH4lrj6YX+m3BTVZ7S>_6p=#IsF;jtrX3xs{$P%IS2P~L8_ zAlQT15}{)%YDz(F6kJ`df}}H zhO$A3-HqBtA#n_f&BE6cfwDz-L<@1NQ0Yg|Z5Qk*M6g46vKVbUh19L!xm##X=ldSv z@pjbq3U-vV+b1-y2bBFnO}d$IK#(b9dQb>-Ku3p!2{KR)3r)I%^${VMg3!l=i*!~V z7bFTKoDhB|AN-_nuP;ze3DR9~IV0Fq0Lob*g@$=f82lY-7la!W#k?p44nuKC7_kp@ zmxYR?R;~)gqtM?qVIT$kt_$wH!TP4Ku`)1k2~BC$-4^teAHFLTNkMT>I7nA|?hB$j zrtX1o`5@>X3Yh^A_mS}85!xOL3l4zpi7@gj6widO<^kooaK9^RFN7Bqb9p5=lm+=~ z!C@bOZ-foxq`VcXl3$rC9DWFbcf$4`F{1Z^3poPW;+@~Xx{H`h3#F_0C)xbn#CS?S zbQjkKVGKRRGGr9=5^czL!4J$RmC;w!MFXXuSmHTQ`im3bf2o_d{PrvW>`GO^(|*|# z$W*agGd%zNF&&~*noF7g$^%|Nf-2=GBJ1Fsji;gV4M2<%-Jx(C@GT%tJFnsC?BR>f zs>)|U{tqcQ51T=Nn7$$$&!7_E=Cz*mk@uF_X!V)Y4Nu=L~(KO3pBbNXtJn{&5M(p&&v*GKl zz==FZql+p*TFn(+0p7Gv1HfYjR|X`u#&ta7 zT6718_;mx(nsA)HZ?o=$AW8EWKeV=JN~cm{=iTVB<%b2JZB>+F1xf#qf0I0$GEFHd zSHK~4pDQrap3)_XzwZ!c`mGE|m{GGh@H3B-JXuM!_*)xuz`4y*Xj!T4af&{+TR@S6 z_V0p#(_vX0T05580RI2HtqA;1ZXLkCa|4P(XER;|9du#8l7-Qgji9p(apNAq>(1&> z5V{BZwHPGn$$}h!(u)~MG4y5`Gl9~FRUr?)FY8qqEc-EAiX!x9N9ZFBV0D+F&w;Ea zCD8`44HTUi!uC^gXeg^N6+DMATe3`svlCs=(FmreRP9Li55?0*v8k>oMl(C|aB`TN z9z4geaw8$cSeCH@Jjb!d{wT(?{Ug!)1Xkf5Y7^PcN6AlVAmg)(U? z*`}f>@OPU@g{)@RnxOYJEcYt7tYr=Q0&^W}a|q%Hn4NEAksz_MG1vXEOI+A zH?yugQEXvV&!KHA>s%hiHrA{kitWtQ8f`n6xh!Pa$qbXw(JuUfXcW8Ivbtcshy8vH zD0^9FT3Y+q8M;`%pLO*I$^o{mDvE<_@t?pv#5Pgv`!HM51HdDUwE*2wmP^*$F;=t$ z`a90rzJO#W*piJXPO^7>0X)S@4F%n4)|3K+XV|g9=;$o_=?+lNvErw|>^ys#1~hpDGBaJ4y2LW)poh!sGC5yYn711wyUKi?1LYcPeGmlK+2y+^ZmK>{f**x$AWG&e?kH296pGqd<^eI ze!^IudmqI(9zj8w@w{#%P$uwn3M5bD`-cEHiFe%&ktXxWr_kRN9!_V}RQ`SdYSZ`@ zx*9s2_ao1H2Jc7TVJ5G60>vyIs9HGuZBvlX;SYKPIG0xo1?D_H?*fYX{O)@I7x3?A z0%alJNzsNy{NxMN7W14ype*5~6H!~r(`bCl_#0c)mh)bvK(~U|?h1mHd?~q*t9Z)* zwBaviQ>=XrU+RXowY)Exvg>%g|A5(g?nS?s-N5fsT45u9Lb;|*{B0!=Z05gH{AdgR zZW&Ov@|^=wY~!U{p`-1*IL*WkUimi=?BtV9fnXOONrujDzWfw`dw8cmQ0(PBDE6_B z2a*=v&yRWmcz{Py%Hbd{*98QJc^ zp8%fZj~7C+Q~X{(FgwlXk(+Ub-zV$tEFVMXz&U=rG}_K{TZ-x7pVOf5*G1l^7rMQ~ zUyKE_%e>Qjv|Zt|=|I2Ab6tRPjhCAW*4Mc!o#6OaHOR`o$s;LecZ=^>grVH#mEQt* zhacYp^1J+48Ia%OH+P`-`#hZj0uT7z@@RX=?{+}jBmNJ$>yNqU2D&G_1?j=3e3}bT zp7DhJAb8FbC3O3OmuvzqFZqwP(e{d;7f`(Bug0M54L{uv#akZM2q?L{`!8sF$HNyx zviH3GdelDf+4PH@Y+-O4blXK3LQ%l3LS=HXx(Ti5my6wnYPM*@U-mAKqNh;g34px> zyS5QYxf*v7EZ3L8&LcjU|juOHsS~gnPM_x;gkp2)TV}wg| zhi|MfoyIp#NIi*;#tZQj-<%+{ZGqZEp#hCyk}$g@iphd4-TIs&_)*GWs!(q)FsBL2 z`-A6nA!#Ct8N%WKpv)9*4F<|AA)G$(Y~eQ-FqzhEOxyj&0EZ zt`c%ZWo>o1|1$(UCS>(Of5(LzwEIs8?dyTr zNg+HAD0mt!J(iGNT!1AlD1 z9)##44xmH7uUOp~Jo|~Wve4FF^sE4afnp&2YIBe{^*V~dVoUl>`VesNYSEK|giblOi9mtRH?)5HlUz;n7-neMX8 z5EoSivzcNUipS0pr(OcVY;hrZt8>K06j+-pR!#!SJTZ!l;Q8YITL3N;XYN6Ni^P$W zP+KhOa!^|$mZjrtskkQy9W4{D8o+b8_&x&6R){^G0k~4!MajWcVsScbR*QoVplyx# z6WyO%D@G@wSSQ}G0m^!D$RIG=AbS1{;70K+>D^6YHs$3uix*>2Y!T~<=wYjvvJuR- zi3uM-uw7h77SayUg%sINu^jcVOZ@2{VD1*LRRwU57*4Boujsu2ZTrM#^+3K~eDpO0 zJRr6r3-F-mO`)Je;yTK)9~Mh)!4w=3GY^5_s5q|zm>m;K{sGM6;yC)D#|iN~S{XIOMFD6bpiL;!5&6&Wq0<0Of-C;ya*R6f09c=#qH;G%zoVb?9il zB3^I<%2l!IRTS66F_ebCF8)G;z9F_ejoMA|$O{y=#E1!CeOtUwx2f)kHLsv{R~$ip z$35||E6DGQewTsyKx|7Y=%E@ zGJ>36yy*hA_}+oGo=)Db@k(noTkqoA+! zn6z*|DaR2-f63un6a#R37d;G=ekR*ykmN?`zQNLW6gL|p#Zq`+s1!Q|ZNsE?UC}mN zdQ8!U5mIOvY9podrBIBLqGJIZEuB3A%pA#%zTX(hz7^W$OKJVl?E1JtQE|z}m z3Ctx@v;HWSO83Wt=Q8|`8Fb4f`)R1HkV+pwZKYHr5Gbpp=uFgBOQ&X_SR>sdIoC>a zUV+&iv|nmL=G_6@_XX>NQqW`& z9Fl6sVwMg|zxhGjBhnQT_oyV*LfbKEE}h!PrPLCT^MurvVq+(z&Q&oXr=;?2&~{qt zl#b$z-U(mCnz9n{WCS4V>91!=_-pj?#1X(%p9>q>(BvNWY8y1gPL(xua@ zQU~(;uSqHYLGRb47K^~;hU7qc;->VKJDA;)mU^PNEmfU~;*O-J*xz00r?1e%J*k%q zc;1(;?*sV*$?+1}9!lG1pub1bu4ojGrR^Vp@{~(E1(QURI zMHdab$S!(dc9rW>;J%w&gWQkq^3olk>meVfG4zzD)B{Q{`7HI`TmDaVwDpmngcAy+K1+om1y(o(_Sgu|P1VdyvDcE@O*OV3=CeJ{a z1AnsSK|^#jLas(e%}60;CzxjXH+x$>y5QOuM3mIkx=^42A2TOg02^yEU>u|9x{ zqUlXsJ;xm-?|h2B@lmG^*drJO@au2piUBOq8UXD&gpMsAl2 zS=P$q=@P&?IeY+W>+zRs(6&LYLZ{(IIqe{rZIXj2F1cB@>5Xo;$YUvzwpAWG1Hf(a z9Qv`&cKN~y^sqw?y#czNa<^5GWtW`w1}M8_{tj(>WMfkld*xTv(Y8;nv%7baadlq0Vqf0qwfJcDv$6&x5wo2IslK$Hz{0nLY_&XtCMnY z4b)D_U8jJ{X}J}J?$5|2b3u1jR&vqbIr&>XWH~PjchKJjxdi=w@uIw<89KTo_a<}s zvfP2L=v6QQdL!G%{80%C?PA z+>_g%0qgs67b9v9J(GLWk@Z|I z^))(rAv--r4=?4iq^w@avA;q1*YfLqXnP|k(50cb@~_{5;GO)Kf^PN-`avJ(L;ID0(U(TfnoI^7Juky_L;$@2-zh z>KMB1tF&qif_};x%31YS%5?$jflAR}FdL+VRz!b;l}E!sFhrR|zib_SnDRqU z&<$5Q%>v2@*y*BcAXu;5qH6~ml(0X6vPns!oXciq8Lg}>%B>HmZB?r5La|Nh(;t}Il^I!} z+o9yrl`m1KdNMg0rQyR69=Bh6>rM;oKSwJg!f6MX-f!kN^zt=Wp`TnK&#-4 zQk`OQXO)JTXgjC8pk&K=WjjL;7nB|kQM;&=JP)3il%Ol9T~>Ng^7e|7L%ZXu5=*(E zYl@ko_t%vp;b^;|^#2E#Hs`WfG?GA=trNg zl(7;%&TA!SG3eeXuc>&eM9o7-xk|)l)ZQrrLMyM$kx>+L#d1I?NiJ| zSDnvyD7xvEzePvgb=`jic@N$9WC`@teM9T4m#%p#2zu+D(Fxl}cViJ)_tmX-1YJL! ziQ=aHbt~w+9iWRM`+A_RjSspVr2B3%FbC^e(b+ge*ER{oP~8tbfig^2I{~r`*B$r) zC?j+O=-?Zv>vk1%qjX;{1j=Zg&p61EqZ>@WQW>M`>w#jd?&k@>9H&d04b1Vn@(oa% zpleI}cB1a*u@GsJF0m`fC+m!4l}ypK?GKcxy60rRPSXXGb1+@U|3upiUAssS%+$>z zPh*y@F8!2hwrKuB4e4B2u zAAs9+AtOPsLpL=I0`AnwezhL%PuvYB{XyPBz04-S@Y^<*06^Gl0i*f71Fqu45DmJfRDx{Mkue z2|6@R>FPZP%4yvoayZWDdbUE_SzQSeYUgylszcoKx=L$-azXe0HGr3NM=7axS=Z<< zbaX{`s|HZ6>Ym>N-8J1;bg}KauJ=zsxuH8z0|Ym9hbdukTi2i+YIk(2dZ4(g8$kZb zJ>7{DV0~ZL;Wkhn=(a_G?xC*oIiu!rprWPkRw-9RDkUbcP6*6(e5 zCK=>?Y(37PhrYI@$@J@ITYUrw`rA76LovX%7lq;n+RiBpfTRg4H=WwUY+nbWhvByGE29`;JB(t7BW-VzS2xPmZWDUI-y|c)D93if78GM_2T=xY ztnE+aYmc+dd~Ra{orHZRx;OzcQG85dO%i*@1ADUQ{Wm0-BG#b;V47I@Hh|N`VrPIk zLtM5RoMwvW=~K=UN8dqhwwQJsm~+Io?@^m4o}=;47af0sJPX7-&n=fzb*o6>{`iN=kdI8wGA&i>M=C2TG3A-{K0| zhIMgmLmk9f)(O9NkuS4u%Yb>E-J!$!89TNPwO6d~8g%i2Jtbeh2VajL)u=>2_oExt zmE6eAstgMhIrHZXT{!lj#p2YMbeZ#NQqEO#z5?9k@4Vtzv?QVdW-jR>z^^&4Y-e(%&>66$FIDRXB1(ko)QoJVw)B~T+2g!Ts#>!FL zraP;NepK21=z9e?rH&K0^vNc&3Y90b)G%%%KVWr{?LgLk)NhK7JVeNShH;D(QVyVP zoN$tyvdMyd0}CsM%^U#3IJ#D4tV!pybIZpNsanZi7?f)yg$1g2CGW6?=kGN0WruO<`2G{L(BFq5Ig0E?%G)){ThQRqY3Gd*5>VK{ikmh@H=IV0KBsoZQX9{l@E%(tR3yPfo$V;FdxK*%>b97 z>;xTGBiOOIz#qw8(!H)xtSa4G%VEvw4$&A^i+XPK+XVLJEif0dvPO_EVz)_u zEM^%Lzg@y6Qm|$zJNy*Ia#odsf-6`WWfxbnA{3!|$Q~wvbuQad8+7m31X7ysS!EgI zA6UoDD6)Aq@~OJ;r$r%IS6+s4w>|i*tpN7rYXebC;zM@T?+K(T@qJm)fM zNBK^&8gKIY)zR$>J~9eLE?*ys-rw=-?NRG4M12jEzQOJe&Zg%jjgoD;^8<8xIgMJb(Y!V;_*Kr^=a`sr3=oB4_<-iW$|Zs5L_2u(muN_{`@;o5Obpq z`dBP_0p!oc3I32eS1g_ef_LIREN8^WF4_a-gIIbrP_m`jv8auZerSkdq_pcEidmAK zHwflQb1DC|P^$G8ip5fG%26(td?ujVmC_ON8P`hR9tLoO6g>xWZjye|qqa?YHU=W? zz`r>NlwDFRUDVwt726J;2c-ry_Cr$oY3=@vT-roVc?CDcvbp$Ai9j#&!+M~Vd-yFR zu5hg#*i~$L2k=UzZsJ+xcN&nPCHWtYBk8<#KHUPd?vhXwRIbBKfYh7QiPI+CD@9kv_uMD#A^&8*v@QT`2c$BO)UWjGOt3yIb>E8O zh}6CtP>xEQDHMK8s!H+d<5J6_;BrD@6vH_wc~NmnvZI{kX=x>S4`(Dd3FK!bdy4g* zlj7+P)Ojg!6u4ZF?3$v#i!hKua7ijT6Tr)oc?t-wNJq&0zbfTUL~%_r<)ZDn zhGh2yL%E4xg`&T^(&`X&^i*0#XWm=sFsb2wveQo}2FhM!MhutTF(UOKuzQgPMm4V@ zbD$jzgDE4VA4h&qso9jqFJJB_%O|t-B$Mf@aufjg-p2!Y#n#eQxF2Ry?yLA%+~AZ- ztfIf{@r(aOwElXPLZ5CEz0qa$(iGILah{SbH7BK6miOmWN&kRjn|(X+>?kw>{GS8l2lQsEdqKSZtUDd- zL)ihc#7D7_N#Hn+J(!HH7O?kI!C?t=rr*b`V7uvh+#2S47APB83o;9~u^Xh*cC)s$ zDh{wQ*TCf{8`vB@oMICX0(hF0x`nY`U@sSdptDYih

    ` zx|{{t;ATs-g=7-8 zD@HeDr?Cfqpo5vd7UIreF4fSsfUO`$=7xBKZd=?E*AGLjpR{@#idj;vJ1F)^;ye`l zC5Mja=z!GU4O|XN7k>osh;*55r5=@rQx5Q$6jvI+lTx)uC{9To=}120DkZzY+wd#;o))v|7jsD{|L@7n^msMQ>k zbT0U+a*?#P7{+(Qw^-J^>;1kUuKDf-7}lCg25_Cu-2gGwOGo$9nOwqR40{(j1Hym- zbPYXnD)|jf2G+te{x+S8t)31*XPL_@W2(9{)gBnm621nD1#?zA76mjx#sYHx)KsUV*z*6WGdDRI&w6qm$)MIpg0u?S^8ABjcRfFN6{Nb&eS z(uQaNhe;hMv@%YLp$On~Y3g7QY?Ne5i0qXrkVASxDoN@3%Tmw3A^bgDphOM-FfaKK z-Q{tV?(HGJ5JBEczD~|@Z&^nHCaOXi^WLGsJT z01lDg&~6zj3lt_FCOcn4F%qA(j+M;c`@?r+s<|}Ovf^LOU`w3XDQclvI zS*?s9Gir?zeFMc>#gDq(rFg$bZMPCd_P|~x!2xvp6jLRPVZX9}GngGv>QVINpwgc% z;2lzCK7xRUm4S|^9Z`zAquZlOY$(W&DTm&oIH9oW;Br!FPG9VlQk`_dX=TZ;z&xXP zQkvteB2)m%Ii(i`Db6d^=b?uSN<+%cT~vx}2g)U-bS4D6tgL3eCwLZFwxfoGj-PKs2^wS+WjNbd}y3Yo1kj|+B zcn;P5RT;oxx)qe*9j@Ej7Qj(DpWYxCts6rDj2zwJ@)-L#-8PCRjo0m=c=ZIG?;6x5 z>+W<1-4tC$8VIK99?)-$r|W#%qxTuQ-q3mK8B^{7-OcFFyTEeL05{40z~2zP1kU@I zAGU)AA4&n*D$zD*b$AWsq(Wy4gP(%^T%kf7_|6xK!LZQKy}kmVLOd=gY4u=AKUE3J zwrII>gMv^GnspHpN9g?_L*tM=7FB6_|2sOV7ncK!1{ZHy)R=uu9QRV~JL55qI_+rI z>$W8`$~}_oPmg!E(P|pz2)Jj-o{-(E6NPNNBPliMGm0*@`ub8X%FmuwWW8WgVD-;b z1>7IZpm96x2Is&hr2q-)P0KYnY%Vx7=nXSP3UQ}fYM~X$DhumLA3MAynIaLfy#Q}m z=^Z#k9;MMlU0#IuMk^`s@mJvQ=rQ^y3Qji;dJ9OC@e-)a#rNU8={fof88N@>@QiIf z0Pwh_3&1&k%3i!DWK$HOSq5D?Y<`Q3u@=sq(0gLB#^|x-YWl@Os|A^OCiSOORPq2C zSITtCJf#C_>(y zEk<+)_sf^j_0gW}G=;-@vGU{|^k$2EQS@O~9)n|Fwv5(tKURa{F8x{YPT(?txxNL; zK-QV8u0ib2lRz2FYSW*D8p1xLqc)Uve21Y9VN5$2GD6bhW*_ibYs~8GBC!m^JCC9p6wVpAhPKAYWd1G+h^_Ba%C**m&~Gmn+~4!zH3o5+G*zlO};d#Zb~8e-3B|luA2m173MkCVH!~)gGK2UWb8^ z<3&o8IVE*~OwPBf0$%Npb)a&c(-$1vuGaun^?KpJsdwFP{zr@$`M&0`z{}={8`!qO=Z!Xv|NiW3n5M7JRe}TTs#f%RxzE>d-6~U=B9je z9r&rMucNQD08&$bFCx{Pe*HG^TPLr=d#9{lf#11oGPq^4N-sgsg_R(uuPfUXi7DvD zCj5iGyR*oyU@(w99s~SAEPEAL3}%DJ17!&NhTQ0(%#;nfVe9~1fgaAT^aF4Nn@r0+ zhm9d;XaYMEgSLt6^)HZn68nX$+{vuv928U7>r50=*%Mb3)7al1zXnvRil98D%O~^%4*iU4vIDGI|{(9WuxiOqI{{KE(vGGfuNuQY2^CUzb6CmNiI%faln+luJ3! z?luFn3oMR~q-$({ACO;X^-U;lu&A@>;U-&O3v{>GzAiv{z(yI+_K(Mrx$Hjx^2%h7NVkEz1i`8+ z8^r|vW+UXB$ai%B!6bgN4vNYAFy)bEa@Vuyb{5~+4l^;E*PI7}IXrhKYIC^*{a9fh zmrnt6KDXZlE(`dEKhWPoeqbVmSj6=Ss4eDONkuQ=ohe?nlz&5!!)5#r6N=^hGTjzh z!TVkZ<~lw+5M0*tuSgqj;9DvCypbEo&fmn>(^c5b{M&u#b_>5iDY&itx3=K9jlZT$ z;~swA7PYeA`8+b|FL2*sV11FdTn5&c_;H$$%Y2D9YFBtK zN!2ph?T94K_JieiwEaRexXg_+yX?MT5);~OOmBExdD@PG{9 z9H9*bvBn7fD3CE$xON;OjT0h}rNGtjSLCux5Gp@|WD^BXN@PqD9@4x_7Us|xrU=or zlBWtO%~4Dfu9HPMUFc*F)^i2l-KfnI7QTWk^95WPz#Pg7ZCev`9FZgkdfg ze7#UC5xP()b*T_XT56fl+ZIwU7beqLw?YW}7PXba5=yA95-Q9^v07L_iM%z!0Wyv@ z30+5^+s(qA1oXE>IJ61i?^4hsoC0(eA_en&?~g&952(J|r77zlq{Xt@7DA`e` z1YZHb3&Pq4z`Q8b?tgffaDO~%PX&9rasNzMw-yA?g({^{dm#koqIfA3Z3~oF!c(%!UJI34gV_fm zW-n^lVps}2|kIt%P;xDuxmW!)M0j>~t7eld9>`wu>RbrQ>=xDWgk@9P6 z#FdVi^0lH61G;tMR6^Mz#*#g=RV=dxz-?lWT(I6Qma2i;4pA2mx_x37`qKNwd4~Wz zAoiUAE(b-onxH!*UQ7ncVX-VFnvRIA$m}>O9;^f4X^~S_{)|{*K7eP%MiBs>6Th7c zkUzXF#F;t{f6E{ab`RbCRqdZ44*Vn_OO4tK;K*8zA}oO2(=J@L1%L2zH}Z~+7l zkm^M3p=i?{Jv;lin;)CtLd?Lno1o=~O;LiX)7yHO4UWi_0A^c0xD;g-T#BaX? z%4;z(8*OhzLkNmoapg~F>mtQO;;ZzKhD`uLAL(@`3~Z3J*aQ^(GlNY)H(6R;0<}3( z&1|47m9k{3pLK*x(Pivq88okf8E$dh%FiZ+QmH5XUc#8W0XWWGD1}-zf?^}pZc%{E z?f7sT8<++NCNDe$@|9vEvI16#h9iKj7MrGG+-t-{3aM@tXS@c=HnE=>0&ExCP%LVP zxSITyJ>t?0V7FKFAp>KdC{tE?zxXN#z(ZmhoneQ?HJwpABK|@q^HK3-KlE@?L^J_g zSNt&rwbNn|imRRxf1!^-ObY^O5Qe2Ce#FXfm^kn+Ka`Tj|%AY_hr=+GNR8EMAO^i-ViA&0g zPl!&AiEELVlJI}rOGdkbGnJK@nDhmxLtJu3Vg^lUyra>JXQjoQ9#M zWd45}S5jhZbo014bzVQtqDvL0Dn{#E{x1S%q-LeZ{U6VEemO7^$*Cz0+EgW`WG1Ai zXQgH4$M|H`;yYy~Bs;_9-0l;o_oU&cyEN9X_2?2wfalU`69P27x3ENut% zY0gMUPmD>jaLdm${{ZvD)nRFT($W*Kf#MP}GE&pOfYKr%CO#qEtnRP;D48u1)8o@1 zVmk*@K&W4+gP*o-^5f*|sjy{><(rWilY)=_xvKat>o+YiwE((B<2Y#F=wF((PpCaf z&tM;!)A4_NjJZXO@!yEkQrje?JE+h9K}lH_O?pB`LZ*ZIEJzfWn$j$>dH#O259jEB zFWWj1AIBj+At|QazhkIswji2AY-&t;d_jGpfi0@U+%_!<3Nj(yj0KUO$0wU0Ewzv( z`RVNAqyCRuDG$-|-OPCa%V)_ihGjA5P01&#-hs}T!ZFl2F>8CtCJjeRl{h-9=%oeD zF?umKONhzLN>2a)$0p7dyx{mZ$0sz4$x6yJXSPdA$N&t7pP9B?N+v1@@M7&|W@VV; zQsdQTgn+fx)Y9lmED&neda+fRQh#_O^`VjH@l{#Hqc^}2K2A@7a@J6^UPIFK2BU}dV)DF*^ri$MZ)32f~uqPiIxY-H|RVVPCSh&#`0z3^IkHt z(1LHBpnec~X^k(b!7Sefz!u3d7))FGmZ&8Xy^rI5O~s?Ixf6uWHTHa`|gryA@Z`xq-q7BwUt5q!I zTE)Uat2k+Fw2E{7N>xiGZ@R!bGtPpa8E3)GjI-cn#<^$&nQ_&uFV+EIb}bU%&C5K#Pj_1$8>f!HTv)OiD(g_Nwg??Oi(`@Q&@1n2?arj5Z2%Q5-Y|tr<;y z#H2UJJC=B!S^i}KD>(twsN2(=D}zGOKo z12gn?Y8HJ5tA_qWZIiB6jXofhx2W)sdbm1)^{jX%fX>msnQ}BmWj9nxS{L@$)nXyPF*KF3vU~UOwhfuMksE zfU`L~)IB8392({x79Og%t7sR}CM2P`(WRojp{=u{!C-VXx`*4_gopY0hnoEY!@NR5 z!h^%izIq$4h|nk%=hY?zTEU~{x)HL0bUXA{^qbCGrfiedztJqTUW}=u-C_@ z>O1J|@K(8YW@~)DIDIWtTjy14d~8hltTl`374=4g!B9j0kG@R{oX8N;-Uee*C(bk2 z-(Qp8pSm@>1z>jmOQSg=MjhUlP}D)vmkIU?F?;%j`8umle1s@FsEDitd%a!Z&E|lN zR{HXKJ1ZLI@C%64hrLZ?fR~TE*~4g#4EA^T3-t2T8(nRJgYc1q-5sm>nte?E_04`k z2ECo-i#S%ZS64)MsE64%C=_^p!CrXaV+Wf2Lx5;m6|Q=9RbbMp8V#;k74)f0L4n?W zw44G%y^Q7%zfgSa!r|5_D%h${PC$rPu$Oz7(b2^Y2WEO|rTCc47<+vlxT*!L*WfCS zozbysB@JNX<>}#L_6f$wNOrxpps*OjNXGEMFr$lBnnS!oy~41h!oBo{wpaj$tR!{( zevYALPxml)W8Q$Z@nRQLH8?qAyfp9Xw19y*z~rc|cg$``BNv0g>>lRo65{P>bi}f< z33U(fcQj%y^mxO}WBUET-piZ5h@GX?=<05-@7VFbqEz+xR}`0jN2&JjD6T-Ux50Fq z!b3v+f&yKw6Q1`StPRd~dhHA8J-tk3JGI?j1F4ltdROOsJIUMMpEhuiw>PE@Q|^f6 z=oc6e9*JpjGI&}v5tN6!rz4iR_TdUNVA&g8Fctd33028y{Wv;17q)&Jot+9>KLA_T z&qt+bF#9>00?b%Xqyh3)gJt<+IT&1>4EdJ>c8S>(7?w}V<*gJ;lcwYdO3L|@?XNB( zgGHU1eBA>Bz5LByo<5-{Jc7b}>D>#;N7J`oM9AA+s#^XG?Gx>j_aU4O^tox$syP-m zXVr{(A3Fb@(^oTE=PWQHK-HGs;h|ok=8&ME0CSjEK(LvU#xhCzO-AksG>4czKvjekPMwpa&M7kxnQ2LZ3EhU(Re!Pk@J; zl3~tlgMfnGY>vr4}-`e9}|1U_3Rqi^Pf4IyEug9&c6-Aq`Rr&Yd=?ZZ_u7P`^fA<}mv5{_Y_-cx<3+ zy#m9`4NYM|0UoN6;boVWnGVisQMopGvsEy+gUS3tyu~SL+&uu8pXMLrg2{S@bPHfgfKRe0;6v@$o${-+Kd(yjk#s(L|@X zX62Y2^^Pm*eQ72Pw7-X6n1N1G_i+C((ljsvwR4=#@=E#M z_5avl-{F*oZAmi|8frG^^B~5mHk#T(zkbkHf#Crj_^L*;yQgP}-p;Dp+`}WC^ndDI zTxmtdB(;fYmjPEI34y+XO5l@bRS9Uun8^utfO|xUYXL*RJ*;hVLh~3WS7(!xdd~kh zEXS%Y{{xoM&GCQ1a&-M4uw4EZv7FTY^1ky&T})@6XNYH1zSU;=#szV-Ri~a5QGq6p z@X#pD7E_n7n@yf=idCoj8x7s-dxZz*%rjun@mUy^~u3W7$PDmh&Bgd9DKtrhssqk)dH;!B(sPqwkTwwGiK+ zP%i2$&;s^$4-IqGTUDx~V*wjaHK81>Ce$akwxgr7Ri~2D@X|a?iyksS4|!MfCSBCf z6Gt#qK2CeDhMKWqHoEBT3Wuu`fRnedR(0Q-n3cY11x&Y^5$g@3bahOBe2QdBR4fDAedw=#Oil;Hj>p(h#0WJ?5!%V zJbN(DJ8(1@$sD8+SiB)}@?4xPojIX1a|<)FV=1ZDgVf?%k=vYS6IlIe)$3AQw8iTl z7L2dvRn;!0Qf#HTO7WH8D_TMNm`eKCO8Pi_m`ZvanjcrMqoIHwWwGBK4ORbj{Q}3{ z#@&-FnBed*^;<&o;=CgBL2vh^7VF0>v|at0w8QhA9MBGVE4*M+ABpL!u;p1+C zXQ>^FST_X%CRP-c$wy@xpq~2f=1`M=D7;M=eby)p`1wV6nLWbbpXAN7+H3xnLMFN( zjyBJqep+>TgqqwfriP1ZZ|8mAu*h)D4mUa$P=8g;{y_~3h6+@$bp}6=6jaqV1Z-UQ zU=I&+2&jZpK#qZHzU`*@hz4itN+^yNumo5rs*2BG_6%wmsLf4qh#!eypc%%y;ZvpXdFz)H zLjgSM93~Iei)vz+0}z6Q+;!kmwQOwPz!4;WTb_nX#>q$H~GvTgSQ7f z85{C85XpA(fFoftBLZtAy;F$CuZ0gC)l1eO1_H9^*DHwxS*S~dl1$cypgatK3 zsDlif|K>?2$7ZBwrnZU8usYLlew|GLsuPSd*wy0W(mPBuEd)swC&!PKQ0IK_`6xp3 zQ-nHcmM$4c`f83gp%EserScta!B8Y7UxdA>Fv7}G<1Fen{j5n-?pFIXm?A~+AD~+O zP4zVovs&I~&9^^-B5)e#r5CK<>{A4dHLN|&A~fY&cE~f)Qw1`8a)4a9v0SO?Wj;nwJTDs zeil=$fMTWfs;PV|D=5}{;ja8(ZJw-WlD5@+gTg)r^=Lb*YtpfB>k#X=T0ZTmIol1f z_Gq`~3(R{M9lbT9x?zxuO+cux(Jsrync_lr;aFZ3?aQlm`@b9;=QnDN&c@G=LhG6B zZ20#x+ZhQSHT_{d70E?YqZ3A0?jeZQ|F>4_C-@vkoqh5oFh(`V6_U4cY1*y96|ayl z#$BjMn|iC>_HZ&Hl15HP!yxP#iv{}$@H6W*f7?gP#JY=+&B89qzfnHlXBG@i44jeB zFj%DCK?qFw1^Odv@p-u8lVFFvy`I8$iE(-@Hez*}j0i(&?!0>Br6RYOcVas_t4Sm> zlk=-T)zmO+`p>dV{~L-Xux9W%I?<##t8?a@r*pOZq?%F8^R=|tZ2lIFkE)j_`T&)s z6;_f$S~L=?#&P_3WPg@n!iJ}#KwBT4e%{`6!spXj1sg5Gz@K%grgw4C0&5fr^a}{~ z_XP*MG@3!TO@|^g$C==F0@%)-|%Stf_*I+ zG}U!6BdqJ|9)ftUS+DIXEsSZ-3wG+AJ|^XGlzmLfxl&xtXbx91&sucQy71jSje6@l z@&VTUsk(AT8*MMag!A-3Z~?>ehf8n9{)kV_icLzecdX**XmECRF*v(9B4=lGGA2|u zy7*ht%N|B&S|3%hJ`8$imrtAlmjVW!Rk65yH1fU_?J-hxkaUTbJVrb?zulJ?l>MUB zXErCar7I8#@tzE8`5z|idgQ^Xs!yzeD8#Hazt@N$k~J4& zDg;gKL)DEqQgIF{M6%x*IG#O3gA%uoobTT9`nGml3)ZPBPS?!K4 zIi@ExOGr;`kWjcB0K>4)%Z#1!WhCWMU*{EYPm4y*{BWuI!}fanmOwQ{UDa zrKiPeNqkaC-cY`7EfI%8khG3TEcFTj~M z7g{w^@^wi%vNJ;cgTg%hLgB8ewq{{XmU+T4VCf0-LNW&-d#_QEp;e$wBeNcz5wy$w zy&@2Y2td9E#;>N!^712^B_D}^S>E`+gklj$ivenhIvOu+AZMgdwXJ3%8xqci<>mpB z#t<_91q>JKVz#7I3bw1O`O_E<&dxv#1PcW-_QfXarY{_UuJBmD50){c&U5XI&enPP zH0oafuWck(Nd=d-%Ht)>m)1Xg+oUuyw!%Xw-p{?xm?{0GXil^K7epp-F?miOzdi z(MH_7Fd`8i5Yv{jD5{@{yJfZYcCqkW>gerIsjgP6;Tt!c$*MM2L&rwCiTJtSrv)Uf zVQ0#G<@+=+PSq=w6v4xrzkf&r?Rc+hagA^r4Pv|J$^G%lrIV|H(n;9F*ob~UK4e4T z!@$z@pitHqmpE*T!dI|gU{&i}7LGtm+!9`;^RwVCx42i1R`&|#vp?e7SWupc88NWD z;Ss=e{S2Z?>K>uqqJkM%4}3p6pGcg~5Y&&WiK#`xf+Fb7sU^YiskRCY2#M0-)7Ds8 zp6g(AAz58iQ{U=7=ZAgfJ?CrE5`NmphfkOPW>Mb9`gj%0XfV3qV_7x7CG13-CGP+< zz<*P1Y!rhXw$jcDAVC`6f{cZ&U92Dz!`xxB#@22#(YFCqC4u3Du2gWGvvoKS&kES)v1AMKQ_9q4ZQy;BtTJmsVS1*`Y6F2wETt-dg!Fo> z3?d<2zUKA_P||&EJ>Nd(_!3)20#f%SfQ+cD%m@!(kGm5?3*E&X_j(|J3#MTm!NNS= z30&E^Re4!CRb`#&!ZZ%oGpZj=4(Bnj9?AXyu1TYS*iZe(ECP#5m^qS92|P#^EI-O& zQ3w?TahN4AYEnx;T%l?TjNlSy82a=KNBzy^cD0|MoxUV;w*xb~{qX)brkL#y#xwy% z(FchduQIz2B_MtXq*y^IEx!X_;Z!jxw*N7^9pYTlqKwIJOrL)v)V8Ybc*oa zelQwCi&4$u;)u+E1waRxScd8prp0L?Du$2I$a zukT{m`gT80Emme0k_dc@E5w}D!9h8%a?p# z06>S+AuyouEd05Fms-4cgLZbUwk??GB4+_(F1kF#VmM970D6gsr;F?PE0-U0h8<+{ z7xi^&L3wFp;)(hMX=2!>f+!5ia)c)fPrjv2b)7Y0>W$(3OkXGwj- zO65FCrd}b|zP^-)0c#Ngqwg*V1YGoUXZTb9hVruBnJifD0>xT5Z*-#qi$6x1hN=sA z>l4{jDjjk-^%?Zkl1FJ+RfQ#6=BjnfH z5BGO@l1Jh>oAtyK#)nV(&c?`_FsVJOM(AhLaF0xC&q9QruMT5bU12dJB)Gy7Pgr%D zoaNm=@2{AJB^xZM4nn#j#G30fy3%^4$j7;HRik5$u&F8rbY}^k7?EWXnC1W>27HMq z*-yzq!dV)QOujS$+Am^Kb(e;EV!=8hN-H13H37fWqJG(+H@uDWzM4}QEgh@S3hC$e(>S* zr{DA+q^cQ{8%{)?X#57eECGkTIlVwQ9+0?KiitA^PQ2_d+Wm~Ys=q%a3|1`oHHzyM zuSPk8x6`Z^!h-jkm+Pr;448hYCrh*&fhD+n=|k+!b(TD)3%q&Lgis$`c_!Vni@B)F zKA`s75!6U(^XBk{GZT*F9gIh21_0;mo@3Rgia~iAdm-pb=jQ!oe1|G&iO6|urratu zT2#zYorDhBGvM12ggm0pEK3gAO!Lm!Zd1p*N0&1WeI*IxY#yA$n zKO3;yTGH3pF^oCHX&e~nemlQdjiL7t3l8Bp{PS))edj9SycwptRzoqXB+@{$Gp`5W zHOEL(I6K{46}bt&A}`^?`}>E~BAghG-Ib@3OE6_}sjyL;HU|2J%9uB>et{=|Rt@V9 zAqwTJG|Q-qnO>tmkf-7nO?6CHlzxpF4#o`pNDOcC<2}IfJNWy!ok0~ihgu6V8#ISO z3!S&4a45m)>`-PGi$j@?DbElJ5HkJeNT#id*F7BafvJzR^ z8Af|laNs#84wl6=XHR1sXMi};cH`2M2@#QFxm)U(uM9Y|t9(w@3D16U4W(y`$j*;2 zV?W-$`1t-gbhzX)FAi`ud5vb+7vpS(qo8H=#%G5kHBb}_mK{YTRj7XaX1idh(phaZ z{(}i96un>0mnUmDP7<9P*^@ zag^cv13Xil0gU-W)tIG5k$zopAzwb`K)&>i=~effjKQWy&0q>OjzZ~jwFQK&gFB$2 z$N!3S8|0B8M+Pp6gAc#oet7Ze#qEdR;A|bd`MUq*{lmRp9UOdmP8{Hifs6)bJ#2%1 z78x}xOIj&(zMQYmsc>~IpwThx&NTl-;m|;uCfZTtAIWG%N2<@`;zU$UnH=5>AIlEs zsIR_<4$C{p;v3%*5Q|vY4c)Ag*DP#Kbu#s`Ft{_&XGI8|OjY7N3^Q#<%Gmb|y9@0A;-UkP~0`E+Y zq>sY`GhYJQ5Cg~kla$X?^)844F;?JdroFN!sQK6YKZFd`K3ah91oa4}?b+$o6|n5; z{~PSHKZCy;$_*Ts@=vfsd}Wfc${yEd>E`-K>k27M-}R+iCWSZodbdS53KAZBhCs9D zTOAynSaBeA#==i>i2b0$PJE{1v^-Pi2wf6p3=88zetc53fvQ2Hy=E+H;_URj8&eJ!Vj-S2}<~)6)rk#y9nM?{SwNmy&Fk{OZ zbAMqpRauLpkLrVZ-koXWl?rC?@AQq7`atqYo)a8^vo*kN)A8WD4FBTRC?Rlj*39R-$C<;oKKts^&heiQIrdGe?@6D5yNB-VCu0-e;i4p-H zCCY;?QB!Uy6+)rXTm#KHLE5>qTTiq4@hsQB>l5@{CH&t`22HC017efhn}U>8{s)sW z;aw{z0|YIuOW2zET;jNXfjZGAF+hq$h|cLn@Qej>k|T~P0k0SbrZ`}wfHy;_d?ZL~ zq^9$(%FSC5REzobD%>`@=ONdq`ch;v!HhN)$~tAGNIGNs*yecwT(&e2B?TB(mDPAj zUDxd}luI#XHN+MrqBf!_Z9*uZ;1mcbaIZw4d1RJ8pariK3rF^^VX8e4df3XuHwGWs z73@-tUy1E2Z%})!o`NPl^-LC*msguDj5ex=12^&n!dh?xi)rg4v@j@%Ihl=6c}ZO{ z8McKrl8p7!vF_@Q`H3nyg0&gKC6ertkPtZ)lMUjEdQWJDxaW!H;>(GfW=AI4;4KS& z?(g5;ehT}NtD)|g^F1j>m2{OQB$$aROIQj^V7>w#k2vNg;|~F*M<{m6IFbS1y6Uj( zAI$=I9xu;O!3_wa8T^A54z%)YNCRY4Fs;TT)C-O|_H6ZtM}>far`yns&5!*O|m zBZ_}KVq$VeJA86RLt&15l=H+`s19$SZNO^Pug zIC3Hp%9HIS>aoD{W%C3|AdSrN)o#l+mR6LZ_{;KKc{0cOFyf`7rC@NbK> z4sdyM#v|!%4S!F76&E8)hC2k=reja6`i9^X?7)ZT$gv8)bIPbqF6iuk|Mvk*W$X;)5-0fCUr=f!c;;2th z8VSoNn5c947f(SEf^%e%v{N8MQ6WdfU?_|O**Ml*Ti-asLm6D#UR{gR9y*si_URH3 z86t*2*l@3BfoZ%|F)VAKI5KsecHxM$7(vQQMv~Qjw7*1cf*eyPlY%P=mWT?|Sd-4o z3$;Y}@8u;xgr}F9k|b;Y=3MIp;aFlT6y-}!A~rll2^i6cTBC>6S?&yO^({r6EZ#M0 zJ6&xDET1*_+cPLAl9str^5UKdG-*E+;54$edrUu+?G$?-jKI*P&9)K&cbd5we7Fkb9DZ z#m|$}VU!TKEjqwy>%}!e!8BH=Hh|cW)bVnlR{(}@_E$H^LcKwt1i56YsCbo3gd{Ep z(<2Wah0+nCr$~s1Y+DpL)J@nz#++SQ2`b@Bgcy|wVTxrO#Oh_|1m&PCe(*?1iX7ZH z;R1wmIIG0+bt&5Q!`axT~oT zi-HDFn&~sypPartsnt*6btkUwr}S*YJb@_(sGL?G5IG7G6P|Ou*g(i&p83GjZ#|Uj zDB#JNOgN`atafRJNt+%oY3_y-v)I3aUXX~SVnoTygks9=G>V*juy>B$g7*#5n;=HM z2i&?FC`fYB@U$ay1SsU?H4dn78-CPaFt6ov0>KA1nQ?mt2K^V`Y)z*1t6{i86T;%U z6O|~aZMV?z+mY)3d_zWC&!KBVkkR*@M_ZEt-)AzK>~pfsE|;+&qHD62!giSkE_-I^ zT9(8!wPcO@b$^uZs630Hr$JYE%G32OE+KK(;c{*1@(H?R@Q%$1HQgV>oFO#1EVd&$ z=Vp7pC!pf;0+ZJ}ymt^kSYKr7Q&%k~`D^q{m3Ijo(zJ-htNjvb^4l_8Bgd+oqiah} zpjId94tTBre+?_sJEO8l{d0A_x>{}S)eI*F`mWU6L9|?o8AFCr_0Yg$;P4S#etkh7 zy>J7>H znDk&zo=&NsA)_7|+V~8|w$DqA!S+!fH77h8=H`UuV=f3xu)qW!rsf9cgr~k@{M1)G z?rFzYJRYp)1bjsbQya;_m2+K*Oj8xo+J@!@MgfCztE9x()M0r!{%(5cX-BRHEKgZz z4>7Ak4LBx6h-4MuTUz#BL^BGdD{~>ANsMadv=l~l?+mBo`kjP=RVkzV8_#g|F6Y2` z0m##D(k5&KC3+SG7ex3Velb9g*b*dM>V4=(n7~#Zd_y{ErB@nMBO| zkL;)8bnOy4Nv%(a_mNpn#jYSrKtL#X<}R!z_&31Yfg@zeC9Xo^Q_>6YDIoyGeBYnx zQH{SQM?p-|sI3k)p@nwpn=DXH_91g|S)Vb{2VI*eAv8&%6s_TEM-e3I?Dqr)>~G)x z?{DwF-k~0kw5$95?tqu+2}X|wbnyZP^|5)WkV~B2rf^$kOCku9IdTBH{z{Z}2j@_y zNb*6rG?NOd6r~8{RdR~Pho&P%zt3svlt?d^+s<4KmpN81I4T)3D9_BN5~X0Xd?lqn z=GW+_^z#|4sSqXToul9BAiv@i}>96Iv2VF)?lW z&~Un<^Bo4&nZ*Q$&9gt=e|q=%kNroqlFZ$(Eb2xg zQx4-eU%g@npzZQ{IXW95UW2E*KHfrJB~{9>(i>DGyWUzbTwJI42N+8P%0dRvMlbo= zlmoIj`^64QgLc~(Bo5(xp;pW;(Yg!R8dI+Y{7#)D@Vf3$h22#q@k!JqMtco-&W%Eb zO@MJHeIT$#9_o1wJJ?#3M}2sFvHqe zx^G?{NyGf0zK!<1VS&(Ry5}PG;QJ_{ zQrFAr_zZPOOypH(KuA3`1!)R0#lW6bw@e$H@QXGizi5h!nOS;fT^SD3=gmkwa}a?# z!yWIkZ1%Fr0;P-UOCbxZhc?wK2OiW`7o;fR=9L|b3@JlXV^2CgqN1h7p$Bj_s7-=+ zjj&r-js^?UqBL$T0qA?&94^=Rbo5(D9S_vtkj@?@va%VrOmX(xB~U!zvk+KRlqSy- zZ|DN9WaV)9AZ}siF8Y%gBpOo@1_HK098o3|qxu%qOT9v_O9aXTb7f2&xE7QQdNQVMaPOsfw!yS@PX^6F z&$hIHt)%by{*Un$Q6^~)NrC_`yqw8t{itRmwVp=JX>j5c=uSBuH zB#FW5bs>j?t*5YdsK_dmfv6Tr{761E!3@I)gmw0Y&m^r3KHhzN`|*o3>TbW@ejMoE zw1bzAE-^=&$)iTDOnKZ?mMM?#f=tm}aF-<0d4d5JvOu7w5iqM^>tW+!59@06U?d#@ zQaWv8CWI&l0dKs4haL4esJX~urv4q1Y#<=+4FG#{*39~^j8)iEZ5F>@Qj83=qxy?010f$L>IcZj;p0Xd&)9oQy=h{8El`^1#b|Ey zKeV=RARg`2s(L@Tp;(x2N-V{A0+N@92rx10{t%W_SF}Dy7L~jUUVbRJ0Ggiz47^bE z10=TZM{SCH)?_PE^dVXCZxYGFt+Moa{{HUB#^*qb`!s9J= zusbp(4~p60P;x{~DG5i;^UDEgMa~f)Z4M^7LPOgU_g=vz9U&Xn+Cd8Bi6s~lR?->cy}(1z zjC}v=w@+_*&lRg5=+C90a&c+3OMX93eh0w_=wW%eK_@n3A=98o#g=#X4=?V&qTncM zZlf{+J1+Cj%=YK*ptVfG7T|r*Ybo0iPGTcUVyVUmU`dKfvW*j+2B+mzn(XMe%4Tv| zM29p937n@VgAL1cf6oT%4CH41q8!&ei>^Wjn+ehY)KXQ~Jk;JZs#_JEWJt6;NB112 zrIRs0;{*9+4PzHUi|U(DVOG6Ux$@#2HIbNkM8Hn0G{msDo-ftB7*6KF&g04j`^&^AU*nUGa~R-SPQ84R@mNKj;BLTan;WX*~PVQ+fE-` ztCrBVF?FQRMTt3S^tsbrshuL{-jES_)P&QrO^D$+@GN$pnYNZL$DMVjQ6A6u~Gvf@q|m zZw5RkPffu|dTI)a)RDYQfA>H$vYk3d&AT6eKS#H_DFgjTu5 zp_gM+eonqFRMki*x9Z^{ zoO$si*q)9Y{D(Vfy(8mxhp8X&Z~z@QcpIgPwA7tS91-WK+cdjgp#t*UAe`U`(%t9P zSnpJ!hWMIz+((@fuy)$fup6@0UElm=cilP>GWT}TBGcp_KhGs z!>a6cri5=*hG1?;w;1KiB4CE792Aejck%6zOMtwDj56W@b zV^e-ym()d;>1CIbIb3NL+VS}spsDjvNSLrt%_`$6)vENh zUf`P!K(mXd9s>i*CF!EYOgwe;NtrVS&rH)TE1iL)7yyu?{T2*~(vgL)OAK5dSfDe# zr$uE%&oK$Y4u{x+IUs9vye8-5B(NbAaYf;*K=J{|*Um;|Jsk@yZEt|3MNUcZ(wd+{ zlrEIj(>eMyx?aO^N;P8^wef{a2W%aC99yU#IZl{l)5j#6r4QQkP`BN+-^tIB~jJ33}b{)%}#-ERy_ z(8h}T0wZLO0S&63G6NB}5MYit1h#*~tTRbxL2c+%rEd;<>G$w25bz-=IL-M541Tpf zoBy;wLs5sG0bl*G1VfUwVVsQ3#MlY#nk~5Ls8}hmrA09K8$OHmP*E{+nM@Om2c8;=v zFxqa=`vf{riWuO>5*4BxQX(mN#kAlR9d8;c6L@I!=ow3gKYZSQxI=C`5N-Hh&8E;+ z_T)*P+pD$)u7?Haj#gNu0y0xxY1|1N1{iT0ML#~b5uIqzjYeCd2SzL5J4ceavLB1I zCbiiBv@$(-(h(w5gC|jdWU=l^Xci)z(2MGLwtZlOss}QPIva}~Xfs8?QM;u8fI%+U z(gAAK>|bA^=0CbufOCI96VMNLI6iMnRfcbl!jhw7lKh7L1cndn zj>|VYyF~@f(9r^1)uHj}#ayS%J`kHuC@K2%?9rT9Wc(sdg8eDl-K>w19krqojzLM8k%NkA$f+T6h+IsFcpBV5FVOO`AAt&; zz0#*rnI{ibQ4!UO!VwZbLnml7Wth&6{;=>&xzSHwE<29=tORKp3wBAq7l9f&XY58` z!pDeKLwsd0UHz7Huq&I7cT{h7TBa((c8vG5p*D90v}wn#$YeG+E<4Z$id`UB&(Ix9 z!UYbB zcy;4xAqYL^Nw(RL(_j6wvg%2u-{Kl6ClJP{8VPk407j~U;4b1`iTzHEP?dHo8moY8 z@X;p7S-22Udq;{p$qJG(DZ8BHQ$8(JYkWEpwux&_g;vVTHJp}c-3n&_uW{{#s|FkF zji#6&UuZd+KkCDu51;hVBX;zZhkiaZ54}r$z>=igbywd}odhXcT00~lZSWF5|6l*H z`^W9qyLbPw`uz6W$GcAt_y4i^`uTs|y^X(I{_$aV`|uz4pMQP$15^KlrL4%m{&@fJ z5AH+d!rd?5eiLR4#hWQ*2tJ(${z%4}T(5A)at5g>$@hRb5@{`2`Pps7^vs5P?p~Rw zUqXotw~Bzz;4Bp_g>WF{Zb5x4mlDeh?tSFqQPQyx;xJ^TBlL8;;(ZrPiG((%AF7D0a0%NlwL2Y zC4G!F+Fc)W{y|32nIY^08mBHZ05nYAh3X;>`_6S;6>>xAKC;`Npgv-s-2A`jlMbl@?t4<~QP`SD=PtS9;5!7Fu+6NjPT9gvoc0We&O!^R8z;9Q>~b>Y?8G|{rHP8^oqbS}$;Q|>qS6o?sJ(QLbv8l>hQ z9tY8>*vWwYW*l$W@_0np;%MGXPgS7mRXdR3Iuu-%!NW|a7q4TJ6i4fDq4Npy?553m zXBCO_IQy5mVGim5j3~J;ki5Ua)q-O6Zo#G+(;Y4AopNyaRn%4x*#SzBAq-?lPgiL z8KYk2JQ{~)A>+XWPBsE{EQOj5j8&9vzwAVZ6=#CmT=2(zk@J?k;DXTivp1%Pt4S}?O( zWbJSU_3cnL#5Ea*c84u6dc-24csv=Rd=lWTc6byJMuOm11&+Z)59=d;2K_$(V0*LN zqB{sU7G+7%)#lUPA3q>d4(iVj`#;`(efa$Gm;L?2?Zchm7E%5K%=;xFr;YL#{*C%W z8kX^bQwT+cm~wYSWs0p|GwhUskYHT^wPc1uGYr8x^mSV=p*}*ivvmE@4@Xb^x1ORf z$BH;ro<8wk+VUj&Mt4vjmj_D2pz?2a5|isaM=_nDXR%VS&+SLam-Slp<{J_%pGBzY zB&W>C0N9;)H@*W_u2WH3JC-9zD7Z$nVyCPWDR6Q}{M4jOV#EdKjO3CO=ghh~+m|qs zA**7^*GvUV1XlPW@xyzyLg`sSmIE&j8Q}%Y-9X$XV96rJBLSrs93dJV3}k=x zkFR&P@91JhfwleqLH-QftP*+AfM*$b8Pk)W>ocuW zc<_|hvXfk?h+qoOG-}qP&&$y>EE3R7R?9H{QKlvf-68O5S)Op_g;{Va zb*Wc}{Fe34%5BvxipC#ERWuDUVOy2agl}m=N5{F)(ea=}g<@x!@`p4*QUQ3j#U9$W z!?wf7?8%5!3tXP4Hs1PTb#K0<6iZlqd%uPlz}^R*3a-InXF7KALK_ z`_wk>`=o&dWXN<*kA@tWJ0p)OYOJ|SlbkF-@Pk2J_KJ!OxIRbj3$qN? z^7cow)j|+<(PTgv;8`+JL879x1UVefPXnaQ82+PEJpqfzCVWkT#QBx z(kan2>O+oQt9kQ8vJ0iF$CouW$+H;hS0|;Et(@cUxsZZLB==gkZNLx47OQOrW^P8E zh65h$9F3mjE6oVeuW~6=(L$SNO@#MlH9xsLi}h}MXH>XI z|6J}*6mv*9iV9vrq<+Y=aV6C~dCBg2Lp_Gg)U{1S7S!Br*6Wp21+{(Q)`{N3igE7f z2Gc@qaYEkozoLPgkZ!O5hO__x&x8dab+hN21v>Hq{E458+2eo2OzE-fjyS8Rj0?f4 zA-5R9{xnD)FZ(E2TEV*aEH?1~I4Pn1O`O$F^i2bsb^>PUXHf^C3HUUX{++5K+qnSt^#}V5( zWwt5O3a0Z0X^%8yT8|bR-7Ssoa9iW{<$%9HWmpzAsyLIrY!AfMp8{G>fYRKmwdp4r|+Gjk$2Y}XwjdnKVZ`RqcqgunSLv>M0{K=--qN&*6AkcL@^dQQ-pB)Bx zyO4<%tqg=-@Hth(omqn$z+ekTMtWJ_Sso2;ZhZLiY~g{9;;K$HVy1`No4pjw5F(DB z)Q1+kkzZKsUu}l&oVqC}&O}3ZH)uq_j0KO6Wl6#4iUJ)z`}FzC0n1KZTy74YKl^z5 z=fUlZU(jGuei{5?`D$o0{u4^u>ks!Xrmh>iHP&~131KTcQK}L^IWAwp;ukUvg2K_0~k7YVO(l{ zLvbUyL&&{WYqQv)WBQ1>jlrL|JKkzZeR{$IBRNC^G|UukgFUpV~#GSRXnO4_JbUr2C>&&n zM8Pi4kZv-t5x&dJ_40bYcW4-!tr~ShL{yjOJ3y^oueZ{phA>b>favRuidT!4nf1!h z?c`~+Gv;(-gk%+Fv9k0N_m1UQwaiIUm~|9Aq1`z`%NDknb_#_vYB8Mz%`l7qO$&IF zn4~~A1xZLv-7o6F_ClJwjR)Hs>*Ygfaf%*LX+}9o%`pzc;~|-@M8J^w5Mpyv#^!h` zl(?jAL7;;`Hxn&)rG0bY@D&^Z;w#@ipYf-o+t( zMI1SFfI}@`PhlNKH%oJTEH$D~^Oue58BIh^?Beq3ByfQue4emM=M%*Y`_}u1cb~sK z?7s^17yo?!?+9@561{SMq@@CLP)LjG6>YSfL0Umv0Y$|&i)CtqkP!@{S1!oQ)rGT( zN!2iXETb|+-_&jYdPVO_W>tj&T?5nV-NASf>iJ7L2aY5RdnB)6gEbska1eiJ)d|Xo zie%sBr-$bZtoWx;0Ga)f_<()WGEQ=Mu8DDObJ52Z;C!1)qZCjeWE(^V%n3E$?-qD#f_k$ z@s;+=h^uD5W7Rz3qZQ}EUvMFQKY)pwXyAO`q~IC82|jR?6jAe?I(l`6D^K-#LI!+G zLmsIYp?{4{h#nes^-om#l4l#Mx*K6M;;Ir0^Y zk)N+ur)brp!kL*-MhO8E2-}8QX87iw@yZkQ&D19`_hJ+AV$mnf0vj6Mh0+#yp+Kp2 z3VcxR2neGK)Lg;p;f&PC?0ef$@P*UJRd(3IeSN-Lj91>3@!*;<@qd*+pa0L%|BGQL z`-JMOqO3$WB>_n9U#7+9X?ecJ(aA14e5pCA!d9B2p=n+@>f$KCR!seA#+~q=pf*CY z`uy|b1hs*NvG5kmua+lg>(}rW@3F+U9~j0zxPSkD&?RfX|K;2J4-aUxnqC&2&6%A5 z|I#|HJiYm#a!4FM>Or3GMqh;Sq38_&=Y&%r7M@RH-pE`Klrx}>ET$tZO~lT#Rday^5Y%p^&`WgLHm-`2vFHQhZ(R|``2-_1%Pu$! z=Ne4F&UJ&ljAPgbtTm^)*XedOA9SFMl5$q!6q9_ja>&T<5%*Wts0q3GftjJ+Bz_+W zd>!da*Ea(-7}8eCpkW*;c<)WJ5w9rvKaZO?L-R05b}c)7AgZkBvqyW=i-ql&egG^p z_zxz*nn{aGBp37hpdv*aOT5-XBEZY8s8_&2w><|kUqukXiTnLMQ2*~gf6@r^?Zd;@ z_rH95xZCd!4#F7z_>*mI`QDm>9`rz3U<;&z3CeL7ODZv1KS8ZFbt##&`~*jn70esJc01nf*RKX6jf(-kfp7!+4-1mR{TO6$O!k1n8Z6Y* z>%*bs@zC{EwKlNX8A+onw@e z#{cwkbh{td;I%4F0c0DY`6f)6VHA5K_hejuv)k56j;^{bF z$Ut%2d;zTD6S1o5m#dBG$w6v7+ta*%m)7|qCs=Q;1Vth3EO3D3+YzTi?PA+Zb=%BG z*AUx1iS5!pX*$LnFM5a*RS56-m3Cr67mdF%?Wp}L)8LPjX%@ObIViSQ4d*6Beh(WE zMk?Z2o!kNjk~cWYY$=eCRe;0lrY2J|-~zuk%+2;FeCrHy4y5}zdgt(z%(AaLfm1SJ zf9V}Lq??HCfsY%F;(^uD+;yByXE~@!)J?PT9}CEmr2n^Bz1oUl=w3qz=LVNcD%2&z$vZegFa%U+&(%|MmTy zB?;W2cg(M!#V*(Oz?P*pb77*EnSJ@`P7%f04cc<8w9()({N{;TiCkCdOUTPkXnc$8 zGs06MnYqA66F2Vn(tfJP*Ub17nJ5dsZmh?gc z2!+EIg3w6j;#sr9gD7Va|CGWlqacQ};NoQDXD3k@r7fBECR5D8p(l1$C&_A&Xld0$ z7k{~4s7~EH`|TE@JIQ8GH+op=R3GP z5TETM98~GU3`}4!gW|*MpVfJ&V#0i4YkK}Xycw?9K7o~jg&~{6+(it9T2R0W zu3Vo1r=RE;3D9G|kwLwci}Gsbt|;CS=wk{NER#tyr)3fubk?j0FALvw>1Wh!@!E9a z*-|+W-KfH!qNM`}N@kdI=+Tx8HyM_1F8m2Ok^A+&J2oGCF> z`{rjXvi}pvq2s^q2nro=-lXhCMWz~(}l#PwRzB=AI(WiSxc9zz37#I#Vi z5PqP%)+QP zo4CZ>U6Cngm$a9IgS9eNS=8Dr-kONEU+(|7{em)rd(;t(fy?A-AlL|63}5fG9EU33 zUYVp{UNUJVVKyv6l*zzutefgLh=o~Ee+tIH>>M~eWU?yS-P{!l6w+#gxw9f2F(#_@ zPbcOR+t7DoF;ZmvAlpIn7C0F)#ZAUV)9DfG{@HS%Foeqw=0Ys-bMLM$H}b8+tezK8 zb1XjuPuXeOXpr0MY+*}X6gs`oA=3=Ow30kS`-={Nq#589NEP+M7niJ--8n9M>JEXP;T)k8W(???TU!gUkKJ2l1P^gDP;1;>l%I8WO5~_yT0E#l` zohFZws`4>7R54jplb4Qg8lzzmGdZW-YyR@Zh<+hxhGu39Q3bDy>qQDtlJ`o4f)~pL zDv)4ZrC(Bc=kSAZK!uMJqS_qe`#eHw$*V%0kN88^J}FNwiWy`1{GV#JH-){jJ8kmLGrmfvD<7~|! z@Flq~gLI6|Iad)bga{GE@OTF9t%d~@3i~BNlr0fjam_0rO>)&MlXx!IAMbIE#4 z9jp>=;i;mNqoBd{iL+*M^c?TCLZ1~l>c%1-+*daglnsHWa1@~jK!qgZW3;{7(*A{Q z!JKF}q3D!(%qwb!+b4cZkmDT$NoA}eNouo5V}XxG#<56(Hfq{*s;t}5B4kSqlzG$8 zuPNn^;iq%x1K$sk3X?B+JV4z7;9sbAL8Anehyr}?-#)Kq*0P8IC0~7cLZ-xn_h6Nu>x5^kDFO)J+R?7K8EGHYZLR9oNG%dt8`QJBnVW`w8 zkr`Z(WJK2i%P`Uqt>nDQa?mdiHTLYL5oDBFX#_Z~=5l7_ z(Tt7+LK%aB`fvxm5@-Z*s0d=wE17nbI8s|jifLv@SXg6gM6`iza%DXT0_{awZ-&F$ z8L6Dz?i@Y38XssQNNGq_Ayrb;$PHiC9jbg=Ws-g!$os5qA8E(T(I?t5%aq3(0m~F^ z1f1|4NwM0UqoI=g<|MDepKfP+vHual45mg=gwsPyMwv~R5UO)G#66w zh{Tp?e`l}?M0)AM6L=~xps!9h#z3?__WlY!I5~}kU$ST-{CwelF;R9rlQIg_Zi?~{ zjnqtH0en-B+PphfM2EH$@BzWV!M`Vdgj-_ZLs%?0Y8X=xBDcnY*f(=PN(fq0 z?g6h&&C^i7Up^?_&%1lzEZ;s1P^VRX37-29mIt}4FCB2|V!jwHC_w^Rm5oDyngA4g zCK$Ts(oG<=6yTW!It}Z(-_uKMya!bA! zur1R-(!Ffk5E%`+hrzq=|MB_jLtmn2V?plW%zb=waJ<)RxPABM)=1u@WqR3(J1C_- zC}diOKqo<)=!{g9iS8WU6rDlUa=)URPPUrPNcihK-L|}}yHD5D=>-rxOfmzK4jjF# zX^2EGgLr5>l^Z8iuMHq7%I|nQBbQMLuxO@=bK5aj-|b^a*2Jr4Ff&vyLA;2R(BA;} zY>0*hME&%($UPg5(7E>U8Em3!jv*9T3+=K{*zqi2L{6vo z3A`slX3#-8)wPE_4jRH@m0}emQrALL!oZb*00V%jILp*QW>XqOBuyHxX@ynMT^OL} z{(Hn3f0NKcd>hD8Kl$RkNVzEV=>*Gq zZOr6P)A&(kK31xt?4h*8VPrcBJNY0Wf*4$F32BwWGkj2(0(A|njc9(`o6BwB3FSbA zC3L1JM{vfkc{|XafH(K(zsu3u9C@Dl@%n=3EIgrx^g)Dnjzk$&jo<)(?)P%^k_pnu zx|rh5mmuGkC&V&?cGPB&3>+k4eD{y1!P3^MEW?G2uNhrPTtDwm z6$DQ>w?M5^S5u1;e9-zs1oEy8R!F=$P05@?;d|Yk#d^t0$xY9z1g?I%n4d$)&9_@r z3ne-LQjbh0JYW&$rmodYMzCm5hFWmu(ws^DvBTu;`O0D0Ut7y2ZIb5;$)2lz00ZTU z{S4jpF%8b=C;^JIuyT~{n>JLGiYO)U~m-q zQXe0)xJdL5O;ChItI)l2tS54;n0kLFLN$a z!3>8Ld=9_6<4F~BRBOcofhU=Z`_EtPzw0ySOU+TpT8i!j;90Y3S zCyxo{=q4K4I&xbC@f4pBPz6$Z0WGquLq$!1$x00WB*G2)9ni3&#_{dgL$pqXW|n}I zz%1t*$?E0L^ZC}I$@VbipszFebTLI1C8&IXF4C$nkTd{6J_2UuXhY|w3O77&Co5B? zJnkZuDbuI#GJ7<+Q@`lxDN{`8oI>~i(>JY}0ATQ1WQ7tY98amMk``AHy(BHDC%OP2 zcL})x-B;+$PHxT9KKr(T&t7E3@`RL+w4B$dO~fb+@byKL>~zStzVcbwdgm0i8uwFg z9L%P`YNo1oyhh?#NW{wrl$kppkKyn+ilu#oa%INk$r)-9$`J%x1Jf6U}A zhfmI!rJ!kxRt5$(OsYgFslhN1^O<`)IC9@4iq2@8ulf1Od$>c3D9u z>7PnS~D`A4CCd(mrZ zWid$8PrFqjbN#e44%44i*61!l0-G1$$ZBPn64Des&=5>iB_u6arAye1ip+iZ(Q%CI zm<88?IajjqcN(1m3->8{PQ_<00`K%POHRlKbg~eTH^o)Ct>h}G3M#&3tZc03eQYm` z0%=Hdr2+<@WNLcP24j+gsns=rN7fLgXMzj4-uuWgFdqal^b6FHrLPmd0hqgVPn}+& zSMaG6FT%r!zD6_#CMP1f7}3RvoTKe{?~HRa(Sg^;IjH1^@=jmhfByRZ;h!(Re*X65 zJxa7Bm7j_sH5{-tXaa)Bto*>s0=DPYcQ9(-%ulbR_9Dko{Xs*&+9Ts?iOiCqc@!i1 zntngH+>oqZrtmf~A^r4lI+_iHB{q`6x z6wEgpW=3I6UF)!5gHX$Em)6EHwWOHfx3VSn$5RDYb7iz1a8T<)!OZTQiiX#JL-}SH z0Z}nF=i}JGIu(U*X^zL$U`4p==}meEvU&D2gX`|B4mvSqs*j8HG|L zS_EU&cBDXdg!b5B)8e$rX+xyKK?uOZYR7ZHDMF!U=`NeINBDmgmBdVS=H%@^+}+-n znRzfmbYq5t=`^*#Oe11wgY;}j7iXWRK^qk;T5U4+guktcsvz1Y$5$A=# zrKVb9;xz6I5UA63BKaUzPQoD&DX6K(=+hw<`X9Hy-=RxcDyQe6c1|1{z_Y8R!Er9(Ec%UGC7f zaJ{_Z!GZJ(@fvM~Kqi@JamB3|qz7;rx1x_pkJuaYl^e5~|-A zs%5|3p1nq9vku0*Y)@#wu3w#mBke~p8?7AX`aBX9BY8~WQa?HZef=;OIvUX?zTN*F z08k>7ZGEh^L}yrNR_v3x7H+|IzqxD%sN$!LQFvXKU&hNyzlCsun_k*OD-4yBdlIeu7EyM8dW*3?GEv^cn6SiMg$2=&35*Rg7s5sWMWMKB2xsZ#I=*Qf@J%_t zRRjkzIWOm1KnD?w4|`s)@$SsZ{y%kdS?K835uHJKx0E(fix^y$0g6Fid6R6GGqt#G zo?|X|)HOAgK&6!d4p5;h^hu)x5WNJ`aW&Z^uz~q*{EV!f&vsR_E0<-$lLEp356xpz-S9$>xm&Sn^Mr=$o6E9Z(+CtM6 z(f!B_eV<4}sEFlt?0Q1H3XI4m=}lMw>_ zs5nH5JJ)j=S`G)FZ{&bnNSxM4km)`-VPHnKiNU$1ni_C797g7|J6)CSaCcn1AecoB zuRB3#GNB$j-`b7qcvn-qe9TF`@6&Q?HK{!BF|GgGw35Wv((Nm}A&3NGvE+@$pn_Nv z_sh4hD2$7O5UhVptWLp%0>qC&AW#w>{s}_ckzqhTr(ycmUc6N*S3u;8iq zu%wY)R4kgMH1&%|yi?pn7)8C@ydc8)h1VA_dRA`j2R10muGCo(uA!}{y;czx-|9Ar z${L6ud&zuGg%}>2twa$u3A4FTo15qkvIa0J!{F_g*QgnBZq$LgjUXbc+lX=`QrKN< zGr{szjF3`|lH29=#Z{mhb^Flz8jeCoHI&g2yFX~v*iJry#cwG2*Qc^de&3Oeh5JUw zR=XU|L5++IG^4{oGlG|#j0=S#QzQ6D>aykfQe-AzMJUN>Cv%1c;q3rm1@QQ4Io6ga zx^^dlI(FB)jXWfp8CYtXK-1LW=~5Zp2FR2elvf9Atuv<2J)NTXvt>p>W{{4%J`rWd z!$Swn!yR!}R=9=3_sh)Xsfp9z^Dvi*Op$XJG+BOO+>GTjpcSNTgXuSAUj(KE(2^II z$scc_`=FH3Kj3xw196u-eWjxbqTpH2?hPvbpxn}a@e^DnTHwY6Kl!nW{)B=n)8(Pf z{Av!XDLc)f!>6+J5?pqNvF(ALOGdG}650+#CU3naxMxf^ZVHeEcB;vXpE3-n1dPgd zAfZPa;ELU;1u-)LE$M&KC@#ocvSJh8KC0iThqV)H4IbPE9$bFdgb<4r`JU*86oaw@ zWa@D3TrRJ`jhQfSZsO+1H3hi82@Z2VF{5*-T0pN zQj-v1&dQ9D5q`uIC6)RDIxG_|`B0BcDJF4AyAFJ0nda$0JOgE5TQ?n1c{|0`wmGu^ zbIkHJLdb^-3L!K+yk&A2)_pP@d4Qq(`ox7?4%r#St@+%#^ugpZTNkIC@Rou8C z*U>=uVFvk<@+N3Oz~%MBBw0#9=!PN&D9=jmF$ud2J3HxN7o-6`1@1-%xQxTWXqPug zvVK%S;u#VJDNS1v>MX}~JJsTWX2lO$%py7FwPE|9+Z*D1u;o;>q8)iWK(t9fU{NSI zsg-bk4RkM%+@Za#H}|Vg;x;-1Z8HO&iM`5%*#`@nOMthSa^$Jhi)KtCwSXo8nVE?? zwV$>qgetFtRHUGy45z84lzQIt>$&3q-ui6VjZ}lmNS>{&Tq4$k>|^1RG-_-9b@LR+5^Y2Vn5x&hq4gfVtP5<~b;awtSlr(?GM2`@>5c7)!orJ8aUj8^qZ z%)^3xfI~M+={+T=IRnZ|DjJ$;8IB%%>WF$)9>C@f{O<*Y(73D3BIEz^Rx%JLVY|-|t{59)IU% z&8P=1-8Ajfe2$IuNSDJSP;IZ<2ct;d;64T6U9h)8N$Z`a%q7t@C^jh@aQgQ^Eh5qe zI}h@XYbod^*6@WEqDf!Y{27034!(T-`~X%p(4T?hsYhR$^1_B1_cm)BYgcM;5NCtQ zC*?7tFgfajq#r$vNIwGG!(u`I9L1t-=-&*O98J0wmpOX+SQK zOb;m@_9z6PcbtRpOO*f&z}M{!0V_(~cq}!`BBh%=SW%-QMWdH2qLy?M^*LN4fi)hY z3z0iN-hZLB3lh{xC?iRJ*szjAIE zX0MMy@Xr9i@V=oEF9mtAgkrncClU z*{Gnsz(XrF8o*lJcqhMRe;*Weg(k?FG+a%wUaiI1$s1c18b2U~>$9t<=(JP$*TbR3VR{9iCNHZG-pu9v4sOXL|MGq`ngx`JrT6PV`r9!zt<1CGm z1SB>XrRwAGRRPDE6gA04^n2oYmqGfeRR1@6;&=!Y1}hBSMxhIQMr@v|E$|#-U6avd zHBAtG$Z#TXEguf0Jj>N`6iyVdE5kvHjOIko7qh!uwA#473BNgc8?;6aGCe+n*_j03 zH8WaR`~As}duz;++jGI3_k9l?E#l5Y@DpAo|H!_lBI#lw;qCBoH8pB87uD0_FbEE>9ud;i1=q0yC zEVFiYdUbV%vPu`L)AceMC{Sve+-}ze7FPN<>B-G?U!#}uvI+y z{I*7FS3qHC;nJi^^hOKHAcQB16N4aZTR(?>6Zm|0NY*hg=3kk_rR)?6obO73Csa72 z7lUM@?jh0}i8V!xLjM?Qs}!<9PtQ4Ctrn?9-x*Vi6mg-o2c3OOa8jJH(qQ22=TE;r zlM3<@UQ-LerOegMKq;*a$K>jU$8H$@#<4-+lqskf8VoSI``z`zx{Jbn#vsoR@{N!D z)2zRrHsjF>NFuQQ$OOpxGZqzw0vEs+XEV5faQ0q9(ONAOx_B7axRzsyQVgd_vNKA_ zOXrZ*wX!P9pbU*7(M6N+Pu=`DWNQvhZZc-q)4+vxXT)EFPwh^i#PIy_sp&foQZ!&j4_m;{1wdSToWjE8_4F zDbtpFw!7oT=csKp)@KEeL3PN6Y~@S&|H<P{Iw0n}=6| z>a2>J=kDZDmnJkymk=1)?dkSMjfe4%*rF6wxKn2mNXs;{@_M0|6~o>9L5QYqky^^D z$BNXtyH(<17LPOSj(h?CskE%j6Cxt*ig~$(xN`{`YW$>QdPIY7#R2?GMoO4Tx;1Y2 zoQhs$!bEN`mKdY3xk9U<&qeFg+npGUEK3e*e6g8@8Vap;Ac-+WD>59q+m=*&k z0~D!!c>flM_;WLkG<08hoSA|AN6sTRCG~`ZRNs-SrMvFq9jv4U|L_CTMYg z{ppPeaS4qGaqR>tBOJp|AH%O06V+!i9EYM~4dTxX@p|{f1eb&!q24qx=Bfn|NTclX zG6QUxVp>kob)=Gkw;@zyfv8=k5QB73n@>s>(?S<+v{=2_BwG}79ywsQv`9NzpSr$Z z4|e7g^uvard-(d#{q5WT{p~#vRe;BR`+EQW_qzjv>j<(#bBNoozuf_|Pqf`5bA!4V zUS6DyjuJtJD8ut?PYEctcduIvWr3%H`=T%L%Rl#TKfk*RzQr&91mj&ngt2?Zoq_6HAg#n8`M+P3Ua#jPwOb>9$Et4@A7rWD!7l^RlONVj6 zwU$va@q!JSRsvq9+GI5-SjWg|QKE%{GM;%4HE(qQI)|EJjn$I22lLS)8Gv>!Mw#$| zEM*!?5NI(0n`)=rXi6DBwC-ccQuj^Kg*K%+ud>;Lod|$lO>)32VcYxtAGcpWfe?Sa z`+E23?cM#s{Xajx_;~*t$@BL9<9>he-4Ccz|M33vr@;@uefstT=OZKahwldef~n8{ zEtz+F|Mva=8T`k0-wkks!FTv28%865-`{`!cK@AYwj8XO=1MoCz+7X6@5D%=L3D;f zzp!U$D7jj~%TZ-R5tkE~JVC(;dYBDV^qbBUE{{0^-fUqx!3gamX4B)m**jO3E$!7c z;>pJ7>x_eB{V7i2E}_4E0CWJW3+Z3cG3vk(1mdoMB7$!PUIWHr!z)F~Fi1*gYUL&W z!iV&=T~#{jgbGAeI;#7)j)IR%juh%X#k~Zm36c`U%vl9ie~Rc>T%EBL7u<0ob-e}) z#WX!%oeC^*z_p*w=Y+7;oWD3eeaSkZtIIcgNh7ab_B(Y4u{d zfH}-neaIpaTx6=I!>E1W?s@R=)%uKe-&){tsg~D9{8g*t^0o-4psn}B>_^DvPjZUpXK&^|?Er?vtp~{8b;O5BOzNg{b_fb&-8Z2^ z%)L|j8gx5dqbWLlJp~|hc739(A~@ZkGQf=*eEZpTWs{&Wv;+oN0xtfmQ@js>bMWQl ztH39s4h4sg(}372L48=czy{n7t^jRk0K54b#YnkALlIzUO6h1{Pbt;wzMBHo(vD4% zh$;}34BOD{&Ew$$wC8ye+b{$8De{h>gMh=aZE{cy`lf_6#PWFNn7Jut$NGQt2}?g{ zIJsar4e$rpUZ>a<8b&uLWsl}4#xER(=|A0ptrm`%IKm-(hW`{|FK8%%Sjc{8!nxP= zqDX;y=^dyT{Q_s+zuE9(sx-o}Ctt<@uZWE3jWLre905yd$v{td`B-oq-j_~mJfs>P z)UP`!bVlVpQjx3?cY9NfNp_mz3Ge>?d7^ZR#Df}YDS&!6ka^8*~wcOTJ6Qxi=@!4LtSoIbZY zZ5t_~(@}Y|{Rv%9z+0o>_T@EUYp%sc*Z1~@2XcPC1X`k>wm8~Mv~EHV`N@>W3#rMJ z$IG$F6zy2yUSL`~+?U|mOHNhq96eT@VNdsd>=r)9ZlMOO?x~p6^6T+N?d#-Bsmmav zf$n_S7xIEGGF&)@W{Xa8fv=U-jICCyuGyFqdM5Vu(|V-E5Cq=Y(C8KYdo+|n$VhDk z`%-tHGXg!8;WGx&hJIr0y!U&LAb6J|@v<7b;g8Mt(-EptL;je&a_}`(#0T)M7c7>Zu0roxmI^Jx~ z5PyZ$h)y0{cQ;tEBbna-da1?i5r=Nx9DHN#b;hCfSE?AE^kMO>Q7d8$ah_L$i9-ME z5Ud~$XtJ1p6lEAB7O=TigA^Je(b;y?yy$Lqj;tqm_DHK~*kP38fKYV^X^cY%Lqi&Q zb*@z3$;x(#wd{_ZPS(j3l{UT2_%&E*Pq~{vS)T`PP57{BCOZI7q@q8{`}5kKH^HzLI}z6 zvw%=CsHaa|677f%vO~#3pU~0xW+=#Bo8o zF3A|XFi@&C!C3;(5rDyGP(rjvX`ccWu&( z#T%z%SUE|8LMdg(0z00>5xZDjZcLzqzMf4Ah0sxbM0LiFHA4o4L*bV#SSwLy+Urao z18)S*i1sUUcs$r^0AgVH=M;fq{s$rO=ja$PHT(zmZnU2vjZq+k9tJ#YT4b4-^~EL9 zTcVtpmqt@<&%EIeb^9P`iBCH@eR)!zCMwFZiB>vM^NrwWe{sD+pydocSPEe6_f|M88Frg*9<*zR}U~6r9ZopaBk| zDCRH3HgeETPHdx)6D_1|nK=9%w2#IRvUk`%W))7LhdM7cY#cX8ICL^Yj)X4gBDKI= zjx&=j=!Py@gn=e{NqvIudl(bhr4=>l9*AwRdS_I&r+=<)QM!Hn!Z`>P90tsVfD3RYZ!0CQo=9#P(8c8W3vv>Up&`Dx%G;@R$~PTNxyI#VXi^Y2dBAW)6AVXus4a0+6I zGD2xQgIUdM8aZJHYJ-)vuTHa*h+{>cK8!7iQ%UJPr*_jEqOzXO5qjV6r3C7J|NHI! z!~6ROv$5J?h~Q3rIGfO34mgscn1o_pn09 z4vsv-D!o8|+b)o77JRYcBxe(nw~?3NKkL1BNe3V=aL$Rd$XrZ^j)FPooCI8BFp@(0 ziB`a=q@)biN~W09nm>bC@|KKvICu!|!wjo%8zY z7$r@BRq~=L=3_wMEcG!Y@<*Kvem?a8Y1$r6IGJt^AyJC~{xe#IOO#%`Jo|}1QAg#V zf6Pg^IztwSf-<*8O14O8J{zyW7IBhYtmJsJe831pC~(-O$WvhM#san&-AEfduhgJb zts`p!cRD0%s`yCYa5=QzR46oL`s-pS=xRD7ah%n~mR-yJP3aJry}|bcxQ4=|+a2QQ z_?iZJoTU5^EQ)cBjx0NLK;g@ES%h}qltnnz+PERs36jFz zW&*cC{|JXGj0~T&j$3*vlgG${5)NUiG4~)KLIsi9tcs*~?546bu&+h`^v-R-N-!c- z#y<|55p_=?T`Z#6;Y(H!5|9BJF}Zgsj1f#5c&&+^`Xo6TRJsub+Tt@wV+jaOP-a3H zek3I4UKobbM2?nVak8#yIEqEfK%%8lXlMzi^7(M)8Fuizu=Y{#CYJ*_y>~u{zQw4M z0knuz3Sum7webv~ef&KMKD6V$ykX?dK22t0AQ4rc;Y084zP|nZQEdfg*$_PqTOlWn zlS@Ewz*!{$GT_52on_LAx^M7ZqV^p+mo(2VE^)-*UC5w92L}cm1cdO@XMmUAKYWMi zUAn1x)w72Fgj^n0xWeKU=jr)I1Wt>tc{J7ov77Hv&-0aN|4FK@LP62yp001}W)hgp zkhx$i?!*S>Ikys!hBO|{n3!F5?qBfL#g{*YlH6 zehlo^4Q6_uuqZg)j=rxA>29a0x;^CCCkt~y)3+VUd| zm&=DRSM($Jv30d!Szm60Jw(qdOuN^I!wcZ|`;0pdH%E`!Z=BK&F{J>9V$omHNw$D% zNXT9b;*#>WYtc>lld%Y_{%cVT`^VCAQ+!$uukZ?xn3O#V)f-V|5$q3b($FQ%4+q>Y zC)P&m5;!djL1q{8W08Hp-_dl>oGpNR>nUVSz{A1lNSK6J*mSw_RcA0-l|OVYr>~d| z$_pY5`t!F3M9KtH@%_IuFB%u1r@PwMN*+P3_NCYIw}Lt3Ve1(22niHn1#x;5#4$$* z=JXsj^{$T(zB~wMj6d)opYHzn0nqfjPY*u;iw=m`$GiP6-vF>ROsC-VAAUNDJ-B`?aGEG-Kwl|L79HQLur(fUy=I=n^2VG6jE3m8nRet|rzrX(# zts?Tjo8s>lf8!(HeJ4Kzla&7U^o9RosPx6aCh|W2g+J^6|KBnIpBX;1N7C|YjD*(p zdbQ^b(!Vh!`@6*_!zTzePd_1lC!dhN`3b)T?5qKAfN%M);eYr1#wWK`czj}abnxH2 zz0ni*0wCt~4fPR1y!hn$lW)o2Fg=>%*j0!)j1u^XwVZ;50X0 zv*FMJ=TF3V{*12ei7Vv-Q=oTU-8k(8{D7+H2yHk<@aLg6kz^R4HkRcGuaL^7*}|w= z#LefhDEY2){aS7Zb_1Is+m1XCR}{uHsNm57QbQu3^s>ZnSBumR7QJp^Eg}wcIFlke@y&QT z0V`)8o--(P(4q1euo(7yj>hU{&Y|d2&6O9U`WYSo@F^0~5p0?xM-ekJgF^nA%QN31 z31EjU3O>82ZI+XCDY!A=i_Vw9o-eLfEckK;1r?}MGDn(W##e@{3oNoNOJ@~?DI*-U zA$`2WzIHBmezF3o;M-u>k>-Y~2m>&IHB+4t1#uv3kuK=9GY~jr786zpRgYmk@!8!W z!yq~;2Vc=?TfgqoxjQPt*%Wq<;lJK8gD5Y#qS1Yh=xf;-25zAeN}9w1Nm(#)GW8>@ zJ{Icx<5}9(L{OhSKJX<)ol~E-f^|9)cWam|nF>NB01Ysm0FO-shPVQxTDxSdDhq%rBt>p>I zF@1*QRJwyiY%!Q1xvl&7M7>$$lyU7{2_H@+AMx_j!3V%qfBW+OGm7IOzPv}z(LEs8 zd)NVAzOkj)?{^=YdK7t^vVTC#1L^gUoJ% z_5mW7ve0JTbp{GWt{hkImogZu8YDr8;NQKx+Kjc}k8&9lMh!+K{6Ds|>{-7@;uvbI z^9T-_ADY9-_-H(u3}-Erk;BQ|_Xs>@t>yj2aEnkG2NsNLx{*3|h<3bkO9xHTx~>{M zgc7h3frLzRrvWJ_OYftI{_a4&KAmyVzf4;_>R>^9Ai_a-<`0BnJy*q6{&+Ds0v~qD zw@j`OmGXnI2yE2f1d-)r23(nu!-gLhza|3Jo^Es>h4{RAF$ps2+k~_ zzmQd1<8@CRW#JcYJw>_r@Uj6V;{E>WN6+JLSOseT^5S}VcKT|K68Ef+czF!}GbOAD z52`)PBDIL9HAU+Ti}-ONLirBs=^XdrL4vwL6En}oC;gb zI9z^5dGSImE>S*wqY#%21Rx)ZC7-0LUmNOqH-!aJ1 zr}Oasj`Whp3I)qup3KAhdt-TcqF%+SU&x|S=#Xi#=b@QLn!~Ipil5k)i^mq4A=weS z0fCr;)~d%FN{us3Q$0-IevP`58g{YTI-OxTgjuCq)x`2@x1e;W;M*TRh2RDQ9P(Te zZ;-Q-Sv$j(J}yeMv`u696jyr1$&DkfA@Wi>fw|%_!9AJ11(gJ`3US5?gcCLN_--iV zL&sA7axfvhK&p+)+bKInEFYgEh_)i#k+a9H!ftWUW0x2lMR-wbTsY6H59&F|_=}!P z;f;i5=%(790!xO>_Wk?MzuXIi5y@;YHnwY2G(e#qZa+lrfc8G4g9H>WN zd$VtlqlGdpH(;Hr)(AJno7b>^On?yEUt@?fLfDq68JS(LFi;v5>}F%1l(&9vo6V5k z)f&~E82kf)#BVAD9J%3pA(#6kLr@JOIHt8zphkT#l#xQT;pFm6t2V*eE|$AVB6xesfQ4&05qQOBsY@WeuTgCs z#bO|8HnLx%>)_#@w>|nxcOchaI^)r6K5rV$0y$=BL{}G+YsHCY|4ZhPD|?PCA) z=}Y?dCzR@lcB6cJbMWVje?A}lIrx`<8T`{!tuklE*cYoikgr&0yjwU;PyV6N*BbQ2vt~R!xP5?; zhs}(&5w;aBqX4Th@z4U83L|2L2;_5Bl*=*o0Ova%3k^Xh7_)qy9FWJtXa$D57G%Rh zly{KZ`e}$x={MKMO6)=RLz&zS9Nz9j;qm1I`ek7d0n?#bM>zaip8`%G&JP+@CrCwK zC01D&q2z67W_hrqQa|W$;x>cWjc~ZxSyt;v~sd?f{!WR`Pq^_>(^~|CrX1c%#eadn{MTcPXH%GpAg*TpUk|@nXY0%Af zv4gWd^JzoNJw$^5$Tc_7(C(TlL-Kg4z&1@VekT%Ah)yJm=|1`s9crQHnQcr2)^WoU zq+y==sdm(tXV#9M zPBg=uNHzdFlWd}&)wInn-Z`Hpui~ntlqN_DKMQX^y@V^BlE||yretmSPqHl}n3Yu5 z6TGFWk_bZ}(SOBo1b*U+s25tQZ<@m?b$yu6x%Qk>;@#{}E>G6b4%g@FEpf;{>B&qP zoEjB8)&OT5Cq|*4_&_^8M|UB!8F%Nv+)1{fne^6~~&ghu293kV}>SA4#l;ndkPPqww!-i8(iF2pjs<}(yydpHFf5`7hL z)l?Bd!wnQWkbuzmXwaZGphXp$NyjfG4)l;dS@;J+WDrFpMp{FHzgZ25AR4=Q*a1M2 z@!FPdAnDgL5FXh{;D+Daxui(BT8;WJpgY4ggJKO$owbY#ZcXSQ313|;2K`o&3WlSVAvSiYw2oz(#5{|Pf;o(7}6S!GQA!5LfgNKuzDCjOYJVD8=j8(MU+AO{vy|k+Z1RFTU`ep-9?ZNlJOlp|fgYx5! zzbTCTg?>C^^yA^zr#RRlaD7>R%3fd(^TjF3&;vTToxgD7=z%qPJt+;b3i=bEF)VZ}L<= zw5U+j7p|hdZ=eC?Le6zW;GJUd-HwJU^9dWTjOyB) zpn~Q0^hP`VNY8nLkEeK=^vDHkToe+h*eK(^8$Vv*4HM9+f(ou{6Id}}Siv-d#m0L* zmOF;QNBx5se-wL&amgKXEy3Il7U1?LGc^ACC5;p+Lz+WY>))VxS**~r9|WH&J*+{l zOP&!s!?-PJZh4pKaa_ngN{(47w*aGc!BJc<>cl*#|jKlxyFp@qEiN>_N(1i z64f+xmmKEaIVSqS$l%3XZP!2ICyXj^rt39=t^jul=2Vik((++&(1aL7w|p27K4f>+ z`WDW%8+xS=o)7N+d_V+Yk50><_V3=`-~RI9PAUcda{Kl_54`y_rb?Uc7XuZ;G0G%y ziPidQy}Uv%6h^L_p}mh`{p|N& zzJ0xG2Sskk9<|6n-9G?7g2&a2K$3Pzgj78xNi9(zPv!`GJB7u>%wz`2DuG$R_nd2{ ze$`KF=M#EX1!Fao*cnlI+DW9NR14M!^)mMRAJhIuQsVe$97ZcLhqb;O93ew=IRY+` z|4JQk{(>NWPz#6x@UyKRL=~B85oz#16=iXf{Qs1_X?Gh*k|nC2f|noqP*ci$2ml1Y zu2N$-re7QdHj5jeBqCG z?+upLKL~)RAsZtIm#(@2g=?$%(hupL;sy)}+4_+3Ks*Q+*N`BA?_@8M&M~G2A`UC7 z3HEv(aVI!|@&-g=1}-EY9ZgAAr?Lo}&E*;`Ir01A>$x1k)!mmt`8+0lBgXi`A0n~lPq=#s{{!>+?&Gk9RC<`dI zhGnv;cR9?oOicj#7e?0I+6Pk>nUv$Mpy$Ul8a7-8z#G zcg)a_C-@WC3~aE1Hc8ls4dQ+4ZO>v>%p20!B!5)Q`JuMVECfv`lT0X+3apWtT;U#R z3b>Xa`TlaYgpU69?K$Wd*d>N=*0ZcvOn_HufEz}&q>`ZHR<0T0Yuy4+o-7sF=ipG) z%TBnJLF!7%2eW7?Xv?2(KU82qaKhnV`-*eZtni0&W|P;utQB`_HDtu$EH7?ex^0Ay4F_BV9S2q3htj<4G$uM|{T<*9dao@nq=W>%xko zS09MgWcBM4^vlWSJ<$`tGtV2wdMaqv zUqPQ(_kh7mBg)cbled>9blfSK7tcCiJ}Og8I(63;8Hp97BrZ#Zzs`4_2TKq-^uP!z zza-Yd<(_W`Eo-yP+1ODm@)oB5+BTS{V#5&D$LQ4`Qjx*&G{>;$}5nyc&d33sfLl>(7+pU3jmKt)A~54NZ` zJ&6r>>2!U@Wo+yh=vZR{_zDes!Qx-eO^|i3;&L!zeg{N$HjSePxK0=ax7AGhhqt+A}cZZDt z^2F6>1DcD0F4)lCUgwY>q1pslNb5Q@@~%VPacH!cbR0tHhrA=Pv4udvF;V4A&qC~} zzPVOzkT%}a9;u13io?V$ZW*vo1l^Hx$MQ)2Cas{njF<1*nk6s2U>`(kGBF?2^FWi^ z#{y@;%Ud0N(r;FlKtrhRLi;A8YqIg6m}S}wRf*I!9mtB4)fS2*Vx8xukVzA$;bwgcfuq1dn zy7M3KWv-M(>Ch^Db)Kk3<&d7{oUfuCE{oaVq3hRuW!j^%F8qpEXXXm0A)KQ0a!`ld zvYhWu0076Ip?m?VoP)*5T8e^(bSGw?vc*cVnk2N5U^>l7FiB%T@J^s&FYgnK9w>Ol zEuh=O=<&>A^HyCc6l7``0x@vFO(p<9B%on(LfRQ{{z)lb6$peA+en3!+jEqRgI(E- zXeP3#ax^Q`YlT&r3?*(<+)W)}a7g2YgTt^bS~x-X1E|^~K={#ATY5<{ohtfeb3guK zf&FnB@UL=PnR~~R<3{4)_U-ZV;wY?AWvsGJwOP7Sc5a4lE2t3ltI>6s3)hgIvfG`n zVIZ=?5#KPbdlPN5gAhDyva99Vr-Px&6-Wokp=?rtpXq@_)nZ!9Sjr8UPjVd70Y72w zVL4&WG}?98glP=DL~+hEhR~H|Imj&m6+HxlAr8*4;47p+z>?m1B0L&B;#b)ekPh~f zqOdkY9%*;;c>DX^qr9o(<5zQUl*RWj;z578D=7HTQGgfZsZ6Lb%LhXHhl+1nT7f6a zBK%Emhr7YFqrPFPN9GFhunygxQXiQ?15=R0?xVa}_`N@Mq!E}r3ZKa-z%R@L3HlDi zTcjQ7^ebf?;+aja6?BsfWr>_h`!y~~TL1fP`4!$7c>o6CBR;I(acN2+na6FtCRhY8XL-gzoPw-U2knv_JN6qv!P}j| zB>2c-V&qXs1G6%mI8(>x0;tn2$itSZBJs}1uKO#A)34sjYOLPsNbH7N8IYI8@n_hj z92S}}j%%6A2sx_O2um1mQrOtxZL%6Ju67C~)t!$;(1#{w>r(op#%YN}kcaeR$FBe? zl?{d8zFn;_dcqWnrUGFFpC&w|-y3Nrs_7EaAgNE`6j(jkR57Y6KsCdW=#e0pDkD##QZXF6 z)bXmHygEiT7XgSzsJM5idSXVt{8CHt=q=FH4)Y+>n61%L`xt=?^&P0RLetb@#P_!{ zF3a2kWzb@$($*f(r=q^(a3}f)ol%PSA|0q`=H+MQj#*?Nwnqy0P1Y%nR&HLO$CnIv z?%?ibu=A3-r1?Sz(NDqV1f&Nyg%`(XSEuG^B}%%%rAkYwa*;8VnZ2i2jEtVFUx zC`nOw$SxMjLpqjvlI(GCW>@E~cDt9+Roj8TjLwpM=W201%Z9GwyBH9(a{6$|J#&>f<)o7i%c z_eb3=Jfm(yv=y1Wc!eCU@_*S%c7NL}-DHNQqH-Zdj)d z(jIpO8k_O+kw(n1$iDbEGjk3MG+`3fC5*2O-hXo9#?Vt!^tH{onWis!d@$WuvCzXaBv03B6(XshUJDY0xTdYf@0LCMqD6Tp^Zd1KQYUw z5c={E)h7HP!ji|5=wGDBke;GBJCdD3=c21lj!l8)08JR^}+C7sXtXd-# zLDina-F6hqU>XuO`%Kt$_>(idw7rzSvEgA!%3(M96GfMjNh_<~y;=QWdq1P`9FN=} zbhro}F^}z!o^NhH7$Id9`7!5ffRy1S+Mf1){rvIfQ2-C$eER(5n9~(Ih!lV`pG%-9>Mm1Fli&Jdc zz0{9WQ_GwN>Le56MSt~CNGW_y_yUidtAOQYqaM!}RLdGW*;1q9h<-%!BFBK0?;iv| zmBm!yEj$i+TZC?N;r;w)b7b9hg<`jA1TNJ{>if^il3JlR(4rYjA}1t)({JxHLFUWh z5a|MZS{AbO39&K)#5WxEGS0Tf%+foW(ZPtYH}loRNA7XJz|?5|=o@)NFwx57`IulD zP-Ua(zzuwT&jBS75FREbGO;JYLn-wtX0#|b5&;sor<|p71tbiFP6v6g508{cpw!=i z5#7JYJ;z?ws$`x}WS*-PJVqiTLD6d7diW2mL~6l5GD$FgAvr%Tl==<3KuSV4!}5GPJf;4#0@bG9E? zkrGeGBsmaH_la1fc9HkS=$@qe`wl*8X4dR(KQYrLSCyPI1eG2_E0UZP8MS`if!@+0 zqq2<*1j~M@4({s|+RrB=w+PJQLtn(R=jka>giu z7utGeuw=?J)Z>l;S7Ix}vK^`}i)R;qo$pX(zIDm(Q4YurxYuFD4G-V{-S}cLsNbk5 zSLO^^n42Vx=ET$6v~tni4w*iCdQpiaSjMxcl%SiNbIZU^gT{hEe7S_&T6T_HjW$je zh8%=IoT)M)ur1MTz_Nq5?V3=Lx}8(Gj)4>w$-9kHEFg0l)QgJwzyk_Zxn(T|c3wic z7}Aqwt|`$s=%3`RQb>?*{Q^q8=_4jV{0xhLQ&EjMLITvx%r^p##BDflP+60*j9O<< zI~iF-td7?avS<0Rgm(pZO;&X$RBn+NpGLZ)qgpk#xZS*M)DEbkLpx{}U=E6&S76Rh z!fFR-eCtyE)QCPL#cgZ>sK2a^19?D&$p$K9(VD_FrIMfbI7Ai#4n5;TY55uRzeLwb zWze6z_IcSqTBZTXrn-|@=?UBGnxX`ti!~oMNk)}x9z#f75qSMR^KcTUxJ4PK+A601 zJ3ZVWo+-F0YBWfjH~F1Kn@L3)!{Xmr-oLzF|94thA`dBcL7(W3LyjNG%1q=$3?yUn zh|^(jIxy5(f1E;8#;jSMswNlL4tM?MYIAOnz$6yuHzC9y6cu4Op-z7u`5%NX#5_xW z2=y=p?Y2FltMB542Rxnhh4XVNcZY}LQWu(9XuHP!2rh#s8_)K^d|63?GxYh%@7 z>cQjLrWvfg{|-+94GP4aU01rcV}h_Z&1P_nlbCVvd zTd|*CuaD0qV?>$g3>7I^=6SJzEy@brN##nA54h{TINwo0)ELUBRf3JObQH_4Wy5nPn^yDB{5;ZU{=zwl`|@{~aFa^=hy6ZFtiK+LWLp+T5aS``IkB^o!e zMXiMDVnk`5REX+o$bYR=S7U}H{sZxG8Xv}lB-sA?9C|AN7%KV@+LAz7t6sdg4EfE5 z)yW@tjt4e7IXME<2-lG@c1@_PSLak+IU5%9-bA)_O%fUkL0e2vgdVGQWecnef~Q7) z(=WT9KYzU!wFH_;R`{C# z{4@>lGaA3A&{HD>Fukl>Epih#P}kVv4aEiQY+Qg`rgV{?mzs#;Mu+)3d*x>V9} zN!O5zG+X`p;8Yl<#LWQqIFu*8K>C99l5>WC180E8p+^17#dGKnBl(L}$s z9I1%VfV_TUAM%4($EUr$rA~wb4k+9g-n~9tS%Hp;P81NVQhPuEV^r9+YKFs4=nu>% z@PjTu(QU4l;f4lub~)3?aoHs($w^dGMygLP*GTLLT5oGiiKMbKR01uomX5{=o-JtEyyU+LcR_lngLNgONmUK@AXK)gJnf8ZZ zT`n?&jQL_rAw=zEq2plIDH1?jTjJAV@vecEzW|*e2jlDv$d%LWoX{q-+Rd?~QE$gM zRL69!e9z5kld1ne#;WC^*lY99glFEMpbGiI5Jz6N2!FFuwhB+hHj>NY16`|ujGA&a z$TbYPt(CZQ_<^DjR%g&9LO{Q0c_lqMUP_}TJc{RDsqk|dxJE%LDY78tilQAYUPae3 z4pGPf|AD88_Xz#)8axa|PR!;GtO;@{xDB}8Y2dzXW*^APp)!y)B|;;Srf(H5hpv00_%Wa zKSr(M!VoS-^*#tPO4V(g=H(V?{*}7!Nfy3HB##}k>;_`28GV2hC;d6&s9`VExc8bj z{!AKM>zE{u=Ip_d2S?|Z(Zy67d{V3^E`j%uZA<`?gER%e=`y=m&}BN7-lu_}mk68m z)r!{Apd2XgSBxv@l7~2&h;phC?nXS8v_Gh&KNz#yT^?==L6wXs5!bfaDvknMg(SO^ zfA&Q6pThC5LnG(AkEjT^d-w4_UB?n`mFMl5_T?k`O^_C_ew`DFb#8`mGZcQnmLup+ z3186wsS?kpNnr6}o&*U68fCly@>0*3FaU}-WMH*dL*4usvNIhK9zk{S(?Zk-i8j|; z(@{P|1j^Q=8B&Q_F^Wvwd9D#&&MCWfA2}2zPIZk%(s~YCVv`P9`i|SAsAl#YPGCS- zvN-!4+bO;od^@XfXaqR}Kp_t*&ED*qqAN`rdAqtMPuL;fQh}U6xr;wrc&W1XAlpV# zT1%AJSu=?g6C_A>u{c@jSS(R%oI894)P24$p9#zm@aD5X1jY3VA(S(e82FklAQ1Mm zOdZ9^sfBCdA5~b;Gtm}6nWZHf_e}?)_my{a!^le`Dk8eP>Mo7eCxn1fZ-Z`?KHTty z7K^j!Lz>3q&~=2cJgf!etJA4KAA}xb{mUqkyJpF===b9WY=vdsu4dYDsLi3lqyW1%Y8# zJ|*L=u#7QE-|hk!^Q@xyxM2}+?u~mL1Mmcuyv(e50(c_3#rP-+SsL~Xnq1PrS+?8Fo@R6aidbX<}- zR;+>amnmz@AdCc`r>X68ZVVCr>^W=;OJ|@l5pg_-8P315C{5>#${FpR%S|riMa6Bv zhs0&&U~~|mWLEHSny_d4KrRw3RKgPXC8#9Df0WelR!|%%)rI*{gQNweJ8tRAl?g(I zG-Wcj(TH;*`ZS4-&`zXXZW34!5^AZrP#W#J1m;=)wAj+DO*C)9Ym2*e$bwB8{;ot> z6Y$;c!FQ3LxFe%{lTRKnBf~^mOyH zfB2OQ$^FsQsq$T}!fD=$dN<{;qUEP~!Q^m(;DR_S2P$W!HHBZ+E)ssR0Fn+xzAQa& zsnqRyCWM=>u-;GP1F!1RLZkfr`Qz^K{_E{d>h|w$?r%e7q~^s+fN;B?K7Ri3<|C6y zZtw5Eet87wn@*Iw`~NVg+#Xom%>%H3zuZDc{XiMwnog@;i4UMAk2V_b(Qc~sqaA>A z((!KsJfsU?B~U#jm@Hrf3Uw`=qF9TRy#<~N|Do7V1{S5OEvpuiq!ntpkf;>N`W4(2 zU-JO}{dnXAM^`LkJnX9LmW*|-#po%}!xL<@Jb%9JRMpXdt~P>~cdfw5y%$#_5?!W!{Y62TSi6CWq; zZWC(ol~I>w5^HO8?JwpK$?*nlf_9q)qIW0g0t%v2K!fMNj5G`v;bD1h8~7i{VT2U6 zd0*SZ^ldDyQ#9$lkbqUHoI5_B|gk6-sS|4Y3v?bvyw?dOvtPxLDS1<(%4SWn`GoTUOcL_;M zC#93uPJA;RKYQdcCwfMeqmud(!_jv%4k{~4_?xX&!`d?T%2^cWExeZL9aCG1>j~q7 zQ}n)p%>*uUx!!EGf!_E9NNw*c8w(|3hEX^XGh9#KDOi#8BLpu^OzcM1)(k6X;I+JA zgsb_m1XIF;6~@MOb6|vC&s<$&a+iZ2getZh__hGoM>M-JX5sPObY6HQg)1|Xw2ppQbQmbS6)f4KGw5uDn~vM14&s_`s#b8eN@-uj!gl`J&FL`c>llAzmet z3uxEnhbsjD#6?g0+4;rM2GKb&5kzfd$0V3-r)zLGx5VV%deQlQKE&^*dKF%>xf=k6nvB5^yarT{bkZ$GP0eh+S0n=%u6tktGQOHyG$>)2x_+a z*dX$EyuD!Wm_IYi->+k?yy$Ls(yp2}Y)-Un9)EfH;uBhxKyeH$4$%1W{`TP^(b8Dl zc1;{)poG9$*I}$e1hrYZ|I|P4Z-2UbfLgjkiK(pWfen`iZ?&P|pv}j@<>(UG|Zef7Pkm+s8FNcz^f!M{U}xUbwY=K(*w~ zyFMXT@!pXFOzmWe6Z`=QP;VLxCcOcgq;LOtxVS@V3N0?kQ~1UZST`?)P#Bs8KyoT~ zmf*2_4V6Z)V&J%NDjm)6jr#Mofj4n!6{T*@MMM1U;SVhHFS{>ypMLrJJAa@<$w&HD zym)G!fEQvm4Cf@g+Ei&%={cxuTHY1jSrj---@*^r<{B2gzXHmbubwM~zIwhC`U>r} zpTei|YZ@(u&h#i$`+g68ee?KufA{0p$J^a*kFY?wo-|}x6e%cRwyHU^v~fEKs1YlK z6qBvvjv4q<^%iKChy(yM_9rI!fv!)L^Bhi;k_MZ+$>Jg-G-+H^SUIR>f&cIh+NFRA zU?<=+z0B_f2qj-XFKJ-ajPfymOc(l8V{L{!V|%4El>@Og=6+9m!+^_chT~G>6i?;Q zP0!p@Iox+xfjHN3V))$=Nv~w4wG(zge7?W?@BtMRe(t?^d%yef?onbKdmlv&c=gN8 z!@s}si_ijf%7YKX!o|2oaJ0r$oC|&9-Q$1a$q^~Bu*D%7N+H=5oSyxp0-vN9%!ev$ zvNDMa8NnCz%ArlYawsnQDhd0Xqs$D*5AY-T?JxAFkx8A17~CvoaD1@~WOC9$OYyoM za>_KJIe`VKO)8oRDbn4$QYj%&P}hjlPitJMM-W7S-asre_Z+ccckBn9H7E@u&nH)- z4Capjih|iTYet3HhQ#;dGc+(q$$ePt5Vhd~n%N)={+$r%r0Gq72Y@b+K}g(yiK;P- zKXjy31_vK90{%Ex(&#A&`ZZK=16gGFnm{A2lYyT=vE-k&PTHQM6hb`;PucALfdU!J zMJ!QT4pi>hnqs%4Gg=!$x&linbpSX8ScvO&jbl2+1>s1Qj!`8cg;m4=Y*Tja6oz6+ zXJLy63;IYbcomYl;7Fb^YumxJsD|HOqpR`p@tD5&g%~R<1-+9 zlt7qfW?Nop3;@RLb&dG;RmNop>6U%`y!-JMXkzr*qSsM=gVdI)5lqwHd-w6?;o%iC zyrsk7?foaPt^2R<9--dws{Pop0wVwY*PeW$r?K ziD@K)at+K6t1N^r>uruFJ1Ri6J<&T!?Jmqvs&TH0h+_a?2j*BCtWZyN8kg0)GpMCW zC!77?V~6G$<51gc%<7fM8jJ-|l#}m1a4A2aLdxEUFXAu4k8l5u%Qrtgz2X5Z|$JaY}+K#tj8Dnt6$K1n32#}b*geB^2$4K3U{mUI zROiS&{H+h^S#hT!hx;XDL#XjD_gEiO+}XIyn$H$;5Wh*O0s5c|CmoYF=F(H}S8 zAutISpOC>=004nFF0SF*2)jsiEg!1vVP%r;pm=DBpa9`mQl=>fGD4F{|LvYQRx|X- z8Jv>jJ}7(zU^2|@Q=}-(@vD$P;BbbRxZ1##kh8crqKi{WTmvmdo_xU)bcrrijOa}e z?w}Q@eeX5!Tr|D+jtv`>>AqObQ#;Iey_}-ArsUF{Y7)RR+RSAbGZfM=SD{O~F3+(V zxh0}(j!Qo+Q~0FD?+g98D+vNNz0;H~w8jyJAMamiGf$S)CP$XsKJRU|d2%NaV*7*(C< z1c&`;jk4k@XcsXq6b&`HPiM=2?AVmSL@B*)sT@9CtA;WV6Vc<1O;=oE015eQC=yP6 zpgVZrdb_({*qoKNVPKwtPI#W&A&b->^~Z?FQx>{C&0D8bC)oLx68miJ0jC*!#q9>Z zf_XZ5BkO{~$q zJgk9xLnC$?hg|Z`n^xT%z3gQ%GE05Xgp^!22~4w~6z(qX(w?MGnP{t`5chfDPS;eF zgBqI-I7PRIg`gd7L9<1n2n}is*iv}#a;U*ebPmv}NGakxe2(pp!){;|Ra5qQXRr=L z_`oznDAcbuhbT2~%gQ2&8cGk0Qyd`sb*R>UfNBMss2?m`@JbZ14>nP2ps@hA*_LW(9Lxjm>8pPy*_$t^5E%$dcUQ0sH&u10sD}9SigFdhvM0aMWT9c`Vwo@b4CO zISx6qa!#3kR%nTxJfy4a;$`Y{2e(7CqbYq0N*FP*_-1I>zBr@+_X_JY4b z2ucBr>+_Sjc?VEvnE<_6ze%vdz#xza0x{=^<#I^rqpi^^P&R9(ob@hd-4@=<0lDaB z%>E_P*BD7Ql#SSe$_!bkLYToZ5q$LcNV7Y?IK8IfM}`hlQ2zK~wpgDMZ#F}2Z7X7} zA+^)u$bozF{xBsU=1l5+5d7ChEVS-m@4kf=1~@%1FDS>1@ItloSNQTEF_4>7!x7Qj zP`~aARU4Jp(K-dQA?$)D3l#uVk4aMMBjCcuEuX#VY2*E8m9g=@hDRls?e0Z(+~t3e z1(^(9!*+U0>_u-qQWv5S;2FD9Vit7~^rwg;3}OYh{Qqi3yN z_XTc$N|ra_{;G}1X%|Da?8*TbGj<6Su|v%B_Wj+vN5S;%-Tn?&LrfdNKar)lCzoIK zpsj$Q2Y~+{gPsu*l%ggQ9F*Ts%~y-N=)W+%RA((n1@#sk(SXnl0ji+zmNWd7JMNU6 zk|vc02*YJ{kMMC>3_Ev*+9jvpjcF$MjFeEZdr#8ocr-&n7rgV66*UG^&Vr)z@Nj$o z$hDL@AyPM}2ThKA#UbT^!~$*Vi-Us!7}jRE!vGM|8Ai%xb|I0Bd7gC3%vcW7d*BHA z=EYC9k1Q(*Y$ku(dw4{s+Z%(0JfGh2FZ+1x4(S{FWl{?NBjWN z09Da`=PjG~HQAKW^gHy9zI~4e!K^GT0KK98$?6|zS|54#TL!I<44(LwU%q~P%pdIZ z^5kOo)Pu=KvImooJoRAmk?g_bBTqdTKqJX&LFqypZF7MPn^ZWWm>w#j(PlD_(;C(< zeV_9mE+FU`BEuRhPV3286~*@H`Q#@&c zVuX=$gQ>QnIq}{plt%EW;^U?IwcisZ45{C_4amCKwAR|uHP@&Uw?bf2eL;W@J5mis zvWTACL)|zKC51#(nJe2^Owg@MNHZyLLK_dA&A$&fe|lQw%bxjwemN8bxbu<2*;Nyb zqs?^o6r=`I{)rfe)Tn`-fc-%AE!_~*_clwgv^s*U8bI&kqXyHm<({gHDsgJO4EbTw zD`(E)U?kLPd^0U8P=r3k5(s(_RWa4fmT*cY=flomKAt{^7a7u=QFc*4m{r6rU0ad7 zLZTI{J z?~Kt3t<~o#iTP0r*wOe>NFfYm@e`M}h5xGnjaA ze8uV+gN5|As(A()ucOQRLBsI6XHmfz4lF+cOc|s?o{S$}v0JbY?aNO1t8VCHV%3=8?)S8qsaE_NIssaV!dI71$TCrQ0 zCpG~JEIDc+M;h>pP`aiyrdvcc$M;b4e9Dc$kwHIeB88DVHNy+haD}s*;FlfJhyY{* zMs2w#qiE@6rfv6(?Q>J$9Q_khp1lnmLeJd>Q*fIgbksr={TRjd&>_>)mJrp2o=Rtc zb@Sz~fmc+{w0l(lSfR&HZ87Mcn=AGzx0A}fiF z7g@p*Ec$|mtIqn`pO70>b;C18mNeeX$Cui*n?qt?y8LJ?UOOIfj&lL%)dkO*U2qoo z&7YYDX7OjHjYog>OaIh7e5=iiNKiEgq>#d)Eee5tJm9v~kkCP!FoUP``UQiBfnf*g z5S_IF*cdvsCG*1LZHZl^Kz=i@bkM56F#rsKJar%#Tz&2ncRc|O3BG7p14aa`CN-ut z59j<%QhB8|SmB}7X(XB$A44r?4<}JZrHW}eP->^eI5-F17_UmVmHkLUFzVTCPtik( zw#Qs|DhLRPcnG};OlX=Ai_plrMA`S?f^~5GkGGJf09*oh>3B<&di`CIc|k&Kh-32u zn>eeB3G#d-6{VtVFB^18HiDvJ1+*Y+BYg*pML{M|tI(H+d11uWpiH@{y6RF6Q`pIb z2Rb|i7!Q7LfP#mdflbOMkwF1H9&Yuz73Q7~B%N|1>6D|BQ1NWNIbWc~^?3v(F$&GD zU16j`J?%M4I)>PuWWGPT{&pY7qZ=tTAfXsMrXQ~(zJo`c>w_wiPq#=Hr&dJg7Z_r6 zez8OZ>Dg6sNL>19q01(DrV6`YE6~Sz~sD_X^N+dr17in zcR6aL{#tve8SbE>KLWq!W$=`N;51PoZ^l$=lbZ19b(a#6?bxV%t1~P`;tCN9<)pq0 z^dD8M8$OsDfuL<<@_X?P#5)>wu9c-6K^Z-@!c$01a1zXzM@__t23Z~ApIfHJrpaA4*RW?%~mm@d}AXlj5Y73_7e6IZT`)p#E3M%o1 zU2VL7RXZ>*?lS}PFqIHJe)R`~=TwR0{O2R_xJff(!^T5m-~s}UjyYh#TfS^`U8^pG z%56s9S{aTJ^rkmbvGW~f#KetJ$Uenn1fSOYc>(C)UnCfZO686OaB7e{0Hn=mTPW&rN&$B+O1 zaQC0LiNgpr4@#6?AdY^}GZ>wz)xv?UBAS%gpNao-0AUC=XObcMOQo_`A}?GbV&T%O zx&%>q(<8}qKY!CeX-O8Zdy?Knxp51mh3B)8N(9eoNeGIlxHC44P&Osy#|TP5^-TjM zunuPgCGcMWB`5_oBf^Y^qK-5mytVXN2Zyw}{bHrXf9{$A%lIoxvG6+Kwo*-5nSr*q zL=z~UxV`tEzoI4J%jUbu!DM_eL@Dd(cra}CC%1nY_NPdLq%idA_aLeD$%^epoac&V zq#)RXs;YU=p=urkRWrICo5nq`0q^OQ)I48RscC?J1YzUkdNokEPW080B5uohaD?&u{nTx$A5^0VI91wWuaacpj5~N1v5cTJT+ko?~#SWST)3iH*$ulOoF!e_YZKc zxjY0>anYiTmET~##Ilba;}s3EYbH5DZbZ4Fc=E<^+G=0BlBWXCB!b@7asbXzQKt<8 z6-lY0N{00`1o_I5il7#?pfi#Q`Bka3Gqy@Q6tzRg_68D=tFeJ$X0QyWZm^)FEbM{H zHLU}5@5Jgej}`59Ty4|3Is`qzjmncSbdkK2WCJ;6tE)>k%^|aDMnf12C@ru63=A2< zbE&BSZMw(bC0jU}$6<{(n{ySqj*Ol)gG|W>+cs0CL0(4?u;`4G$X|%VL^ij^ggl`I zR6Z?&f_vn#uwsiq(b0R;Vy@ElJZl@MhQ<;xgr2H60QX3JYF?7ZSDQ|YqnmcZ=SnXt z<;bE=2B;`)#YjCd`jB-mxg)XfdD8Aw%B;w+*@L06Gxk?^vY8|=#V;=01<_B~s(Bl{ z30Tg!FvUG&QSsWlx#Sue4PnorYViEeF3u5@L>3`}X)*&z?IgX@kkV|ar;V*_jxAgQ zcV3`=6ArShN0URxPR=h74n!Cs+_1L|=A%Z)Rn7+U;o;cx2!Bs7eR=w=!tTiYE0p*p*97|&P`sqQS94w+D=R&kYU?Y4(cqqa( zU4xtV?||~Uxql>F``23}LVo@f_?5f^6&BV|V;X(3s?O6|bM(GZq7M395_QmjUZP@7 zzQ61p#e<3zX^#_~UobESS=pcVQSVMFCuDT5Vu{ki;{){b_#0SJ7O|;wwX9=?z9BiB zaW55XXo+f0b`^T&7S?8nkUD`N`N@1x@8~>BO6}6mxmgi9^BQGO1#?Z7&>nLNX?9Q2vp(q_nA-9(sD;?d|Yy&?K;yl~H#LsGS?gPk@?286g{*Xo_$Qk=vnkgRfk! zzP|(@>hOo>y3t8`am+T(d(uH|Q^J~s>XP#n+tUzYP#y8Ds*KyRy7?!Oaiu17BuR)_ z3I#_~1YXuCGQzv2kq|(?77J+0$I!{4Z6kfYjJ@Ip?RYKkN(~fjyqq5%6??CVF8Bo( z0g7*Hbd^Wol@t$3N!(8MrS|5 zvP1lNuWLrdg6W(}S~l3DY>SphS6oO|Ic_vMU_R39V$J-3!QRcQA7A$TAHADby&pwP z$vd|hqW8PyGSfmsSPywVy%(Q9e0aEh{QO~$68zQa>U6#WgfAu)_&E4KC-iKFo-?b= zh*QsP3x`axpLC8+`#fV8KYe6rrBF9XiXy?B&?-wWd;kC6dR8bY=+aWL-1t4je=2ohC%UEq94h2m&LZ8WtBcw$~F_~QV?`)3- zzGQAjLQWmU$R})F_FEN$a-WEWY40Y#I?5f1?6`(i8zMmm9mY_SC`Ee(=6Myu5G*L6 zZoWZ3HP%Lq$jJKAeP-~SInelHR$%o%ie0q1k2XPPDMcpkZnJ>ZqUiC2lZ-46{dkVERU|P%Pz5r`3gZ9tA z{N)w$H#BtTnVObWeY$wV#fa{Xlov^r3J(gk2Xtwzk9w#lChO&CBIp{dun(vO`H2y& zwyyBmnerL>H4Gz#ZFiS1r3%?E+7zKn5e2nIdsY66?o2=)RvFSMibrq%snf#QjVLg$ zTq~|NpePZYhNoA&I0BcU^Q5@^`fQoVjb_qnsp^ibNo=dyB{`tEsb>Jv)rijDIOc+d za|2(*$?WXSQx)rzDRLabO38{=9XZAt!jh|&-2mIH>c4w!jj)y90L&4z$>HZ7XVrIdib>kps?ZQ0Eu_qJ-P{n8T6l@(n$KA8#5ii z%5d64<o*qKpKpNQk;J?@t1L3t+$@T&&1K22Ft3F81D`zCNoeU7nn% z8+o&a z$=OnA0{{qaMC=55ZJUEvluD?er{?rz_{97Wi(?j%QQeWf`fBbSoWX{s0(*1Jno6L< zbky>>Sf)SPh;S~iFR<#dMu*%Gy_HjG3(FYd*5r)3!8X_Dn?e931G?6wU@24v>SE%P zPV6{OsuKT=S>2!o+FM<-gpRm$5#ZntR$SGmN{&@1L>WBVZba-4pAC-<_OY`hkI0En zQEWIN!SwXC2VUes@K$Bj?O0&59fA!iQ2)r=!Ac%({d23^b z?K%4L?6AylzImr_&sVp%SBh<#P?Z60ex&b5^LT0h!vd2i2LpPa@3rNw3DBIvX z7)rb1%ypn^NYBAYJO?5`K#mbFM>QXe_D&4P#Z|2 zC-%I9P(L^@B$5yMdD(kp>q@Sg@~vEcQMd7031sq(If! zw9lG6ItbR_kWR3zX+n2e|Ke=L%ntBd_h}Od7sX3pD%kiP}_dQAF6Nf@#hwQKH$$! z`13RV+~Lpv#2bKn9Luvg1Kh%wpa#GwP{nS33m!N+ZErEl3 zaWdPWwp}qIoBEy z@Im~(=r6z&fbad^DdRwK=72**9N7s!VJ+p1><|Egi~k#+w_UVjphL23&ORh(L9}9H zQE?%>tdAq1x`uVp!l=HkcTGM!NB6^%-rkeL{=OZPRGqS z{_v?Br|Hi^Rq2>92Vrua`fM=s!3$cwD3h}#R{+|I5%l5`x-0Pe5U;|cfJMS-DoVF3 z995CJE#LNlx{IHL$gbb`+QkKcD9yE)*=3|ubyG7EJw6dN8v+3yKIoH(<1-9d$pcls z1t%hUve;x{!J~@OUo=GaxCrzH92(Eo1{|S3M6?!B$PsR@OPLNrOe}d>TMqWd(csdi z(nxX9zCQ>~()Lu$sst@{W0Cn1O|d@VgZly>43=1OEnkUw6Nl6V>16^Bm&>^&=SzN< zib>0_f;q`La_$TvuH=P*BNSF^3v#hop%`)2kd!Zwjs_kga>)$SH9Qrl=JXorKZz+2 zxKMkRZAj1!yx4EIb^yUd?Le_HvCu3xK+6skdrrX+5?xzk`L0s+vuA|uS9f~1=IAYi zp)ve~ryx_5ZU$Zh-Wj8+Agy6pN~f&k{vMWT*;K7L`V5fvu>ApF1$fHPl#LLcDEvIE zuJE%|*+Lp2IR4aL`*OR%Yx_~C4~7?(CJ&5l?~C)B=;NT?l3d&?9*2ddawWH8BG<$S zd!vPv&o)=CaPTuRa-lu7+l@(;7r~o(P8O9J>QFb*Q5Tox9N8G>2f?z0KD#=18Qw9f zYm}ZdQ65vB%Qs`uZ%kn75Aby8{YWAT&Df5BNRWN>ps-4j1lyyB{OBCTIg^3~`C*rl zlk?ZO|Kl$&EQ-ZK@Q@+=R}!`Q{Z$VG_)4rD;%S0k38DqYwkiCirX7Cg*(=(Xo3+9& z(ynvODi9bk3bNjLBAjAjX8MAreHLae?FXT03A^UO3pzjgD$T@GFZw^QkY!7i{@7_i zXTW>RNNV1s3u{xmoY?Qw0CEtc*i23qmdl*!s+iye*Eua?3A(%9-PieYBi(_WZ4`5| z&xhWg-3-OMe@1WtK^~tTKmYu&w-bDa^p8ay4g3ou1XCU{t77?fwmHOG9v<&z+f##5 zhNSUhBaUcYjqGRJY;R>!avhxZtV+u*?|IUzzD8enEi8HT`QC%+?v^F;S zBg<*ZNAx3=xPD{lIjHrep@~W)0r*VD#R*M>eVRJ2=!PJ7vE-h4lOy!@8HaEaq-C&? zcVG$hdk6cjUP)FExd}Lz3&v6<=0`_ELRm;ul_o6cz$%?6Jrg0=qT{1*ZrRDPsz<7NWuMHQ!VSaNlu(_$sBT`Epc`&rILPZYGGoHq<(Dh(R!q=0 zBYCT7#^{+oJ{;y%<=0=1j2N#a?kVd7An!FyS0=nSJS*GF?K*k6Wc|un`iA1U3b2^(7Jj4Z~ zvEd>u9|k{goC9m%yJ`bmFn4DK1i{&S1DWmSj~-s{5ggX7K3rM5j)}T{@YQ*0vLs6O zuMZE`-%c-&Hfk~%G7BaXeltUSLQ6}HaiN234sV-1Ix?;idqF!S;9F#2pjr`#7%dWU zux-`cY9UAZ3#ri`2p3dp*?htd3#O2G`Dw5Rj!Cug;R9*}f4&D;5dJa&7Var!c9^Z2+i;BjIqyxpKl zJQ_fek061YNq-k8%NJa(FmU_7Pdo8AqB0HNhqj8&vZZI+4-^j~?r`-E<}pFi!qTfDlqJVazD+FBYc%ULTR z2J(+D2O+Te-VCAeLi2u}L?bduxB}ZT z8l{ME>LV2C!kI(JG=g`D9;&HS}_0;fyxbmk7GG#c1LK>v^l;; z8G|JIs!nKmfwLBsBEwIPcnl#>{SKlZIddjwD1W$LP_oxYGk&PYz8h?9xO+rS>D~L@ ze{-#8vp^kL6fv`KszpOqUNnk~EL_vCH{Q?l4!07t+)Kpg;AWKnWLp$&?;1HGww9fY z&W$2$_0cG4^KfV}C@%|)*ag-PxxC0hIbE~k6Pt!0c%v^18wA3x9f}wXJQSfbM46GW z31AHXvA2}YQD4xVY%Ju(e(^i7I`OHZBOiytD7NA}ouAJ(faIWK3L;MH9m*km&r--x zxUPdPOAA3m{fH857TZKmab32YVg!umV-;9I^5`uPJM*K<7eqm`<0NHA3P&!F> zESVMn5%OE2rX>2q04YJ^;mggtf4_a-W*mAQSPcyvS|;1k3?&-3F02KkA3XizfGtMI z)0?oT7jqSG)+A$s2mznlEEY#^(3Ln+HgUp{jw^Zn@tPD-Lr@{WA4KwPW(aN9u_472y?drdTwYuK!?aI=d2~@+z>Y{!zl&VPBW7vgC`Aj_ zMx0D4pA-tw6&IF@zYwIi4QIGb%z)HQ9}JsTTtsfr>bQq^mNM&7CiIY}Bhri-<06Gz zC0BLPSS;exPdlv_1|ce4d-Y$gN$F-FXGa)K-rg9pN=kQcv`-<#C0>k+q0$>@ibRmJ zA%Vp823hf>F#~hl{TMpSB`|F!tPS0@$m$p-f(u{2I#U?|bW}vGMfN(}m&XY*Cu$W* z^LEt~lOnJbjo~9qSE{JI?K#|Wgk6IbMa3v0zFXII15CA%v;gzPq5>p5bE)K1r%Zc} z-a;h1o*9(H7j}b~NCVZ=gk5&A5O(QU?0MwQsY+M?ezi(i zU@RRwggVqyK8; zpXSoXq5PA;@HU`g060eNs&!^$9iMAMbv>-Q9hHdy5QevzXyn;Ss~rdmUE!)on#Otg|>%HcK{!GKb4WxsKf5bKaD&xw_fSa2r9<9i#e0zI@g% zPBqkZ@c-0@E8?(YA|IRn@3)65wE6_a0QdFl=Z`oRA8&hm-~9IB_8Yg2j#SH+p7u2J zk)RTfG#5_B-Q8)cW(mHnVOcJBRd`I0%x!kISi#8VM)H1u>AywsJz2=KnuJB^WJ)k` zLec^1kTb?XZMi{nM`mWr&W1AS9yKbi>XN%*6gpF78GFs7nz4t=uEw`C4^86T$1;Q2 zAumBw@-u}9`IcFE+*DbTF?}>XuW2D*E`bX2%az?PCg`pwJXW@DhnRH-1}~25b7ZnN z_R%?9I|sIX@1Cawfa*RPZ(-~O+g?8k*utkyirOe(v3bkL%u72x7A#aa)y5V{Vz9!Iez|n|IT~7YqoZHo!lT$ zmmJkSRl@rF5$Xa9;p7FqQbjK}=LK62Unb1Ja47D&f@3dGetW1w{gp|20x}XoTvjIz zjISNw1wMTId;`q@O6^-D3tiyv@YU(X%9m!t`gQmQ@CI7%;!+a%Hqwk^Ibdx@)=c|R z<3>?im&OBCTLWAJE@7T#(X6qq;`|PQ_l;}#P4)a59v$?E`AfS7Bg5ua3?1&MxL0VZw7M438VGI z6Sp|&g$sah%8AfAkg-xfKgXOUevqMK5KvE~2B$kFbWp0SV6d>7SuH`;gVE&Pjx!LP+3FmJfvnEHXp}{` z(2^U@6Yv4WfT7}4nszI=FulgSTR##3t<&#KSgUHQ6 zuj4%|q-2BlyULR``_I=W#T*T2vDpXz__?QbnV6S8EbG2Qzs6&b%n7PF^ItJ zJNhxb@M7`tp5CAfY={MN14@pmc1G94n0dt}Y2?5#Q3g$H+?Yr}{}D+CK1#W zDt4;DXsDYCgxjR58xh>ISZ@HbtzUN~p*<=ah;rkPUmsv=yuS@MHWi4jG39Bf!Dog< z5USh7^fb2%yQb*k!Db>pu9ebD#z1qgjRP5+y%wYTHK4d1 zWshbX&wsdBg|~{!g%i74y+y-iY3k8XzX&d*X5xyh_+KHHJziT56mIPDgOb>o^d{rr@F$Q0x9km6}+;iL1D zrJV@Y0Vcaja-T)alKIeslt4ofMgdMQ5LtD!LSglJN?v}x6SrcU=YuCAho6Z`mOY`Q zq~S0g#B6M&-)`3goVr$-!mqM!gkBm z$?OMN!bvJ;B|6H#0{ct8HVjq{Q*|0wQ)=d_(a@i`c$5e&%vsYzS_6e1QjUWuNJMZu zMMt?#5rcr>7X5Skj0ehQWAUAS=n0dzN68VEifhr&7@W0hBWtw_H<8U^WG|aCwxIr$agIr)dKE~S^?PFch%aM$c= zg{|h}To2WrVpcBrl!Uc8Gr4L{7ERm)hm3aSe5TQh-EZ&iA3y){BO7!*-Wn%NTk++)NZ) zM3HvLD!f_YzZ3 zwB`tn+F?yot-^=>(|Vq>Df(G3_#v{q2QT2`lxp1sYM(6U%-v)E(yq3p`p{l)So*x_ zwmQ+E-5h8wcj=G>?fMhs<}>(!kP2`R0Yr{;IQRt7S>_szo`m%`mnTG2)W+r2G{7h6 z?7Or9q$a8FdN)NwHqxgWM57Qn)2Xu$PBwyl7~{koIgB zmT($QPe$}GyE7#*1ND|_1eP@grYuV+gkOt0nCP}jm7UX)Z3MGa9&!#WG+)c&FCph2 z8o5Xi${h1N4}+I@^5W=p0Sq(hDu_oJ#|kk4`R}XNBELy z52NCkT=Zvd&zC2*U`txNgn$lHI1D}_rzUnEbVgS3CHLTyl+t5hFUL4f?AUj5e!doT z$nhMDd;$t^=Z9(h;@ozLNonqUs0+@lVak#nN&n*n8X{4ED`5Mr#AJ+M`iOG9u*@Px z=z0Xx+g$XJW#)ojg&mS!{Tfl>h6iJR|ZH`RRpcm$_i{Z<$% z2`~AqP+p}hB2TgKZV$VJvWR@kLnduTDGxEfBS%Hb-r{6SjfS-(k+$7mqw@wLYs(eO zpv}%MJjJgG5paaoQp^tC(z*n6*5I8=)j~Pn5~Y*I0&d}kt&6265QChN?M43x3>_lf z0T)EmvGjLwq`*Lj5&vJ=BpHDA0|OqU6XWAlO4LYUqh3>ZPo(KXB4lQ^sUv>|ac{7EC0(QhAV}LQ0=Ifq5(uddUNKGT0*O)rI^ zgOi`10NsaH0L>WOCkptWT`;pcIY2=J$R_BM#3(Ux$BXt9wlw4CK>%Z5018n#-&RX` z^jC~ZC03wF{Qm9?I&r(3pkDV4ZhuO)H%LtAi<_8do?osouwnx5Ro`A_dmx}utf;eY z%lVL;5N40aGnsUeL#HP5b~%$!3U?B2D6SUuAK#L2z+#YpsFg>(nd!sbf8J8DY7ve0 zLglcxI^~<;|4-D$ZH;!UPkWv&lc0u@h`y_`A?vS1G}HpUy~;uX-{I zx@6jI!<7b8bpfrU(}y-xkc~SB?HmO$yGvyG|43EZMHYzrqsCtfvijq3R^8x64y4rPrT; zg(yVU`3FoIxJ5Ym;KdjEVedZOJ-&GNH$Q&7eUFq0^cP^$Zg^p+ zGT{OmgQA-;Pe4FNOJPpRoK#O1Pn`T^ujTNcM3;_pc;XK@XqVr0iDtF7RM+H4oYtjNcXesI~@VbBW^GeaC? zY|c7pk8nn6E!~(m4Lyu9i}uY`tMWuKD7)-*4PM8Vh^w=vBi8Mswz~n(Bi2W%@Ck?0 z++R%c%_dyNc8BZzc6BWuTwWm4L1=#rmI5pC_3h&o%07L*hp@~qn87~-;32U$!OT5s z05At>);)r`XOty7l6SSpy%`<{a8l+8&SlXLjH|cy{7_wB%kxY2(%$iynUG0r2-qvJ z!Q=`b4tgA@`*k;tSo-)2Wps^xC}hO5dbHTW_j*O^oYZbk z#b*C9)93SL6_WCM&j*790qW#3Ko$ci5k*z#*9L|H#|!Bq6I{%W99`1HwZWXC{}(f{ z?X#owG0odI*0MfZVPugQ3z*AEjZ=fcOCC)QUPU!IVUUq+3X|$St^1xB{o8s>c)c z88iQkc3@!j(Fn!zFl}ykhH_4nZ@pkemOf~Dl+;B_!K(fqh=SB(TpK14sBMFNMp0{0 zUcGOebO{I?&A%gOAk1li1NZO}rG_&-9!v9}h3fXc`R@Mamk(b*z5B+S-X{)7SXI!8 zS_KmOhhkNEK51ICw?B-TOv?Qt3P)&;_6Xv;;yW@yw_7b=6OKuq>axN)qQpq(J+^3M z>$)qavYOebEM*AWhU1iQ-|nKBI0d5P2fhW;xrjA2MNuLSZ~6Vsx+E$ljj9QCwXKFY zAes42CCIK`z@b?m-i0%^@@U@#LZlgpSd*Iy0`u%h`~sf^TuGYFB-w+G9!^9zD-`z1J8$j$I577t03iv;6E;K{h+GuQpX~-=IDyMnG;&GKz&=C2gA~WmL0R? zg)<*2oEK6N6!Hz!-Z|uJ*qV?XFQTF~^_yV~2&YgGk6|EEJt(?z)$iUKle?2GtFkZ6 zZ@X#^H(GO;^zCUG><-bOPT%DT*m%c)e|LL0d^wN5J6=j4v@*toycNe8LwFgYBFP4) zmXP}n5T0{fy%y8*O_W+<6dr*I$Dj+U)JhykYvgVg{V~cVK$cO+q0mg4?a{E%PVh<& zhON9YbG;1!aq@D2bRE|ca4?4qMwMjRS5ZmkQyZ%Y%2IzO+{n&qQNLafJB#5VPFc|! zxiiTpFN3u0dwm*GEL;hK1c@&nPzQ7rcC7l7<+55Iu z=K0%RV*y_03IUDQ69k+Q@p_9c(GWW zArvQOb$Y%MS&|>hWWd*`_Bl!z#@d7<5I(QkCTyQ`z^yJWH)pxBu?G*pr_cAdk6+Q{ zh2`p^P+hzc^n}R(gi_=`oDWS$nQdS$LQjJNi`FPR_Y)05ovBs!)1>h z+X73{9O()e33LRtC2~z6^V=}iWqb{ldz@m_YRY?7BwPfID5%arG-kXfUiQ^(f5u5q zsotx6oieDc@o9qM9cqGuzUvLX8vr{z8BX`7_%T4H*6p{${!|XUb`SPd$ro$i{qI?= zwZVSq1D)|8B{TYKAhKk^P>VaWAx~CUtCL_FR>mslyEcm}kQ>E81x%K}k=cnRvF!~B z_Q09Y#+FzctFjIvHo2e$tz2XplA<*%o4^=0vgsD9?G|wnrj?0?38^WVTK^ebQ%<_E zUP&=i?rKEVXPS!A6?9ORFnjm!K%b%=7n&s9-@f~L|A4AHd%R2!JkHL|J)LMz&CA(` z5)k9Uiu|yuW5MIbWDr+!1)GDdC6AIU5mRr~K-nxf7qnK`7HWBc91YMh?Uw-^0dyP$ ziIRD5CkK8grRqE!Io4|>^86(7L@zqzZTDDuqV(01Ps_@G`T38BoA>uU`-$>cA*s?d zc!obOq0k>P#tzSPOrdq-?uXSZqOARVq=OY2uTE1UM*!~Ak0_-795Das|Q zY#~tu__TwzP#s`{UrmN6K*Ow*v(lw6?rnmgvhIFaA*J*O6nuRld+p3h|{{{@~IdQ0(!jr z<@O$(EcPCM{qpK7{`~qYdIi1z3^uyQU%&nPEYjhO{N1U zlh2n6=?8e>uf4ku^e+2NWYXXN2J2h?ds#lgU;fhij&H;4zxMt&{`cF@Qcn(^B2%ZD zrd36O`c%_+kUZ1daoP1LKX6$WD?6HAJ+nGT7L{9-)FKcP{fb- zk;hN+t6-r}G!*P+q*&uqp2Jn3Cq&7DSwXqDbvnzq+7;Dz_E?HK1jKLY0 zGbVrt2FkMwOc2u`g*3T_I0XOb=?_7-hU&6(xas|8QLGcSulk>SzgR6nfjnGIQ9>k9 zBay4tq|po>a>K-FE^$IK`H&yc+5{n~-H)(pa&C${1O{T!6=kLaq4N0^T<9gA~4?IGK<<*XW6A$?fsCOj)eZ@&exh^2=&(}E7 z$Nyx?%b6sTAg~Bb)kswFtW@;WZ;;S0;#T0q5<*|v@O2& z!`&wst(tm3!f+q1>{VW29V`r% zoPWG|_qe}u$1Hhm`fFCl@-EQ4@uvEcp^B&rblxMMARmXPP}>gnzWMo^){2l+qy}Q0 z^~idJIl3A(d1$B13Yykt$g{{ox|fOF+=3`>jZ_42dk$|J!Af~h%oTsKW-d*V9-w3o zJ4=K~YI)-9?>Do3uxRzt&HIy_fB9*EoOkn`?fN?XVro3?dsGk!&TNj3VqmKA7twEc z&sSFnr(9kjAPj7BEkd5nar&vwlqL7qPXTY(&>)(k=-t-}U$YA$aRAQP9Kr~>UZ244 zNASw#ytfZLiIlaU4PuH|%w8G{gXS9C@R`GTxL-mZ zDJU8w9hHu7dUGdrJ=b-V$SxX(Bl91`Y~0k6O0McDP^}CyZc24bbg|$_Qi1L5U*X7rbn|PNV4JsC@7H@+ z;BWr&@tYogkqs5gjaz)ZgCnLlQbAuPB15k>&;ixvbX2&HC+9fdNQxXszOj#}TCh^o zA+v+N0A0ZXbB(~mJ#EH=R)Mwn!1GBX4RjdiV4+MfK+Mb`aL#T3yWl%HgL5f(wKQJC zS9RA4hvii@^-6R&f?6aNmM32Ea_R?J%+`pMBD)GdiH489^mI9DS}r*U4!k1R(b>h* z5n9*v(Zo9Oilpn!d>f6Z;KiEsXM~eQNl6_`3b*;&n{cnqkOfFMMN%*%(RdFs1_`AN z^#Y;p*Kj+u0=OJ-s=IgIR7`wEzRg@DgF!9gG-&FAX3)5YBtjv^T}X)W^FW9(a1g>* zyfhL+;pQck#5vv4hRw4j|DKLJY&o>%_yEnI6*Y5;hX3a|ECzf;plPY$GG|nVJt`O} z$De6$uVcX1wlXY>tD*Np_?k$c-*1+u*KO&O>28_$sfZDy%qY8L7e!xi;7QZk$}keQ zQvefk@?TnPpZ|E(8#F*!kj}+aPkjpsT1JiUa>H<%(a#v@S=+2RHVcwK{oaG1x(T2Q zma~U{%7HTeQ;cUuzxU3e#|CEN_ad*&N$TglP=4@GM)KnpxzH(yf~!s{$`~bqX|J~* zf~z8NYIo5sIuL_sLMoEV8Pq zV{>rCGBvCP7I-dcI39?>paoZON*F{9vXc@9kSDua_=SJE{q*h+t9(05D|!xJ+bgnacl+n)Bm1R?*bv&&g zD`ki~ldWHzzkZE=JsE&98}hfVd{$l?D(Pm>{1c9z)tlaQDv=jeSqx}E=Wr0VqN?B{ zulI3CyVO|>qS7ao%y@R2VXLNx3$tNAaHy`c+Ylz35}Ub)pn!Hbj%38&z4A$U_w|~9 zbi5w4)s=ep`d=Y6X}7iVavI>KNRb(i9EIJEFX0R881M&Z4a?V)cUpAhbg?=Qa$ppO;xYQSiqg~KkiO8m~2r)S=bLQ(~|KJtfXEX$G~FA?9(-|Kwm-LSZ0o7;!m`(JP017l^s z?T6niTd=I}DDNCgfJ;O}*;hg|={W!Zlj&+Hp_N)POGZ}oVCc`NF=RGKWn6YBuA7&s zOPdkcD3J;-n(O|oL{e$_IxUL@ETh~m(;33u0wIRrQhyMTIoT{VI=KAT^n-b zg9En56*BgMeuS(e&=Cl#Hdvvj>NF=O=Y?8K5F{n5teKZ$sft|gOO}>$Z98%CMGc%0 z@F)Jd#t;^a1ZAfO6V&t&h5JwGA39UES)Evts^SjYe)7=CH%a*I5E@@s$T69q3!jM2 zX)D_AqH{WZUUW{8N9q_+(J1(s|t79k!rQQMsmE&)f|TOH7I&z zkr^xq&1S*LO~-4G%gU0u$k`xcqd$N_#R&4mS&f(dFt$FUd!$;J?y_oVA%&?jq)#2m zZQrmkW_^Xjm0)2OQqNZpP@b<9jz?{qG$`TkVpG^0ruh6Psw zf6_=a6db_OJyHx71+jwvvy>^kHimun7YcRQ3-=_lpZO*xV$t+u#u}9VRSrZhEIo^8 zYi9B>6O)g(8^$M5Qe3uiFt{}AoaP~-1kmCQBitd5DJz>QyRaYI>9xutS zE7>jSb<`&iNLFZnA4M0n8mF$I99o(g;n`d$SnocLRi3IgOP?n_$I#zlLF}SwDIB<> zP*B!oV9fFuqP;Cp=v-gq|0X=d$~XviM}|@RL-$bwW$Lp*O~MIaBI>4~-bZan{!)X8 z27omROZ?AzqXs;%baeWN(|$S5l@K27oL)01u?1NUljv;#@PKwrJ?66JpOIV1-c3iS zABpBDE`zydDxMo!}ER6g` zr|7>B>B=hWFX`~&cE@pkU-S>-R1;Ws;rj*0-7JsS*v z{Q7wJ`O^z^-aaOkQKU{mgtG9Ut4Htr1f(DeNOnzMPKsrCZk?v<#5nquR?2`pP?I9I zF_X|+(;G4KXU)8};oi-wA78zD*}Fl~^pE)S&S$)zCNIrV;tr{#d?-NC7jJM+eo3#<%0nTUL`s>QV4j+q6+V%xnY} z0_?V)Z?JJGaZ3Ocnbp=7MoCmAtt3%RQd!EWu6g!3=PtfPc#!#H$|@x&+!2UyzkZi< zxAQF1EX^jPzGYLwwgoav3OB^ZjlL?bRup6w{*=~Ci*+LlgMLt4DNd(wmmG7bssJI! zm>|0IO)N}fHKDy8oHMq!8UwyYfMWD?^jFP{B9?_~Bo0-figIH>9tyFAC+$9~ZnX37 ziywy7$;q2nFx+7zXB}=+vQxrHRDvMPJbOoX*hSnVKz!NGR}%;5RFE%3_unpH<^>(J zF%tLLJ&WqGXUsW@{LYTo=nC<6wb+6-VB;Ud5hI@Oq%TBijx1064TTjVK4-?M{b$^8sD(_vk_^fgV0 z!^8^JbOT;Cke&h~iO_VVf?=>cP2q&HdjgLV*)d8IUVs|0558YJ(TL03vk4zbsBG@4CZCB&`@sa1L4;uo)FtQ6Vw~ zS#%p%RnP&?5lSahP2;Vyyc-r1vowwh)Y&xr6&Uca1jauZQ$j0_l91{MHQEcIMln-w zhUB*6tm0ir@zWvQ9X$I`TEc_p<7IpL7gV@GRyA{4!Vx0rIq?<12K|}r(2M>P_XdD1 zb$Qo<5%x-jW&~_Cs0Heiq9e7*b{MRqwX!4+9;?!Uv;X1t)6MVolm;Lx&h7I8(lhIRAHtgO)aQ1Vs z&m@34-jjDuOaOP#C*FBLt(*D864=q4;F9{|KTAIQ>;LTkmqnf@eRxRtcG5+xAS{u+ zYCH_nWRe?`=@IG0!8=&k+p3I-H44Pz_sZkb65(+Q~y12_cp$ zkX1uCc8p22-KNx}6PIbGPfbITv~bF-GG#P57`l-S2{q<0So`}z@~>Zgxc`Q_phXe_ zB{AMp_5)ElcG$ox4nwN8|*$BEnbLCmRQ?>P=z@Mi6qWpax!|#gcKj7;iQl<@rPV z$S>5?*vJcbI3-Z#_>2+ffgi)02uTPonN+?WER>BIR&KU3U@P=nB!#1Ou6sk&4-Bt| zC-D?U^vx0EzFr<93IUNdXnHbRua>=pPSJ{@Ap(s|5jXx4mF_{-`flY_mJyoWG2jQP zFf4~DWm?;7uN-r9{1@YP@V94-4O~;!1|Fum{`KY&nKE~up6wYD;IB) zQX$Rnl1pCo#^{rWz8FG2lNNN*kRX6j4Aheo7)On$kp{aQp{4+X1P2sZ5V_;{=;2EV zG+^=P!s+?gCzlucLV4?WZy_%xqq?(AKI0vH(EnDF#cdD`O+PhgV1$P1rnJVPlKigx@h9bd3~EC}5>E3gbFxK_ zw~vxa)id~f1ubR| zR#)fkmmp6`Mjn#viZoSdB|M=6nso%Zxvx>VP{DJA z=-5Pa&7}(ml~|ik&T4nAh!4%QFV2y-19qm+nN`!nfd^0U>;wGGPJyOJ%Aw8n7cZGg7C$@(n4Z6~q6+BE}QepGqPN95L8FQCd%t9YZ?xiW4H3 z@dpy%n_<$pY8y-*!ZNj~G;+!cFJsm_2bD{KuGtg?{RUvwpr|X}OLDPT>*x~rpZ+|Q z6^*>XuSVjoX9x^q0~>N>pAil2<2ji~0Osuu`M5p>4=&20A0j@e;K`E;gz{W0`)kjI z^!bz*+Iy+Cc(h6QDUjUXKiBW?KYzV>+QG-&yU$-g?f!QAaQ8u)xjlYH+qOUbh!I@t z{i*j)9Pj;UmmhJl_ox3GA9Q+JzA@Nl5chHhXGxhD0IA#w1!00nK08?dq`^al0YBA+ z@9DvABv7CUM$Q1x(8TZ29FQ9bVg#6WiQ>`0wofk>tom%2cL7erj4|<)ZvhGdr1_z0 zAt#`vLZJ$5GI+-F@mr851PTR-Q`%AzcM3%ro;LBcrYQY5bJmDdYY_(yRle@aR22D~{kstA&PmJN5`4lF|7nR(q{fxK3 zYmf%Yj9Or|dzm6$-GwI&k$?)piRSIg)!F$PM-IzTWA7Bpc|H1Zf7IU_?~TUOQ8gIt zjagxPFao5C0>1U*ulEm6p(2vo&Vx{AneB|rZaY(D6|LkLK`_)vqLhG2 zv3H>TEInRO(h`_#x;bAUVsNl8ywymm!CD|0x|#SeVd9O2PVkUPS8ncP{30GQfd$IL zp5P7cj%!|4B3I=dI4Du4TsLD#;8Y6CYSY1Kz1TrO$a`!E@m=qCC4Jx7?Fx#huE{(q zXnlm%8U#l>Sd{3-icN_g3r}bv&#`+Mt=f}mj&<$9*?L7?S3Rt~|HXglXV=-ir^@1p zRks_alrr%BHM%bA_ODRSa;j zx&B5oq9@Jm8~a{Bky^Qc!}As4;mZq%ZCw~7+nq`9y6J=w)I`INEOiIGuRk48pY~-} zMj-d)^GWuRAUi0zj)z{XfpEiZ2sraXwzUQp0YHn`PuC3Tx%BJ|lpO^UbbcnnR9wWN zPkj_|+Pmr5`&b4AdW<_;0z}KeHTD^&e7`tej_QLqa}7m?T3{AbJ|+yV>5?Osa*UQA z>e}d~=YU=)TaL&3AOz?L?@??10q$Qqf3;5*dML9dYW?xk z%`cC=fBui&|BHf@;OFcA;a}$m+8#>vChX41CEA*y)B0?)!5v)%oTF!#serN`QEhGw zG*sv2xTdqeoMY?ts(RU-6E-c>huE^?JAWg#UQI9&YF&FKU5&eZZ)n26x_K(r1foKS@Ez#RbgJTY~waq6IA*RXs$mB}rT-ZY=d%RY(;d=v!a82X3`)`FCd1u7LL)BjFz14o+(c?i&z zb3KFy@gyk15tm^{-9(Lbgg&33uRy6|=IH!ncJ@Zfs;a%vy6il!^&Me)=4WPLMN$C= z*jmSc->on#_cQ-Tp-&S1A8eAOUZGrv8-~DR_n)+D`-uM}6n4(Ok6J#i1M)3p#RxPv zbP|eDF%T&VnW1|K3!170&>pFOshEaDG^(KNEK{hUgnj9=meQj8390Oyp2|Yb4=cC zq92f)%}IfQ$zedyYs1B=m))Mr&&VG7e9DqIRT5p1qe8*Fy|w}m>8OxqeQ|O=bCN}g z2dGK5=?0&Ps-IE11efxU$naCMJq(v*Re2&wR<#;^CTg)@S=AnL&WzudbJv9pB#5_S zR){2!{*ZwC%~Yyt(eA@J2;>E$m35qWgwVEe`||}HviOkhS8GhSN$)JN>4a{>ts}gP z(DW7Vo#6EPb||%bv_#+!)|tr0^W)AJI%mmo57r&M32@oh_Ue&)HIi7&eTBn?W_fJs zptjN=t2?D^A&O)|1w@8 zfHs0N)sqm>l3~z`bOzUMBz2ZX8t~Ez_}sIz&M;}n7uxzuMhX&*HV!0QQ`xbY$N0Bl z-w@~lnAT{TGCUrkxaPg&BpFeNHwN4U#|c^;Z184dUU(q|q6U{gTA!Z*TUjQY%GeH4 zH(9u+brK+baS|YV&n_9YQJ(PK*kG~*>evlJr5Rs5XaomXF&F7o%y`9Iq{|5%5{#`#w8YC3w zBSyTVurK0=&yb$GUeEYGI8kIWM;LJvnF0!NMm4;%_|%IJWFjauDv&KmO9vPoqr)cp zymEg~4AmArSPkLDBe$Op(&o@IQ$tR=4UBYL-#r$bFcG<=GEmpo8)#7$EUg`k!Hp4U zVzZbe!Fljiq1>#vuXfzS+SqY?Df>$wn1#^yT107vslQ$q^MbWxUjw*6Lop*2(>Xy_rNx>&IXdy z7&a4^e)3kiC^3HHh^`RB(9|?wJ|tju1j_~lc^2}tc^)Ibw6m<_?D{s%96Q7G#G2UE z`g>+`(*bHpN$6IIlOw1tiojE$?XvyK%cr*&p)PvPY>=fEBfrWr<(t>@^=p2ukurcd zlZ@Ij7*Ymx75VN|N97NM=!8?)94L@SYl;#^NtI!8LsE!Q`Iw3{jVsdFc^pU)cA-Fe zuNr2dl7NKa%fcUdi;8er#2ywZjZu_AK3XD^LM@>VnY0j@L>?j0GM6Xp(gvO3;$*df zrHQIJ!Y0y!&2$cA!WE97Nqi;%_A^9A0{keY18*KkTy&qQ4c93@3vG?mSSh7?3l!QptB z$DL#$V9Hd(=5e_A1ExP*H;~2cW|O^7fkndAoNWk_TU6IV5klcc+`7 zQb+n(2lonB?Q#GgpNf7{ys~dZcrTY zO`j=GvKO;qzc-AkM$je_|JW4NWjQCJgnDm=NL_lT{=iac!L+0^AhtgeN|SbJi>Y)Y znIx~7lq81w-vV=_ImbXut0cTL>Whfxao-=7a%RRM-hj_uD&Ei1f02(0naAYUtN>u= zEFq_i|KXsh%?7sAG2len9L{O8d5la4lAc{;cY%nT6XiCLRwj0!ovhB)h#FCd`I&)q zi6IMM6#CT8B@vn&*nzpN+7slLL{7H7qxy@0*z~YBD=-CUwl(0ZQ}~|zUaCWhWs@aj z1r@l`d@R=_(8rZ=S(7x5E4$W|fN?tPKcvkz%Vx=TBq_7aad}oAI#C3P-)yg8iUt|j z9x6z|!X(}oIF8iShEaht)7BBNo2drqdrGfW!eF|l7^BZqDH!bRa<)C9Ur{^nV3Gw1f$f`)Rug}SiB~ASX=}Y+T&3mrkC}evXU(S=77rV z?2K8MNBVaTG?(Ydj=EZ<4E10m{FD!z>ipr6BSwaPw#-VdYkFP3eETYL+czfgu)#vE zTjcNI+eT3yE2Z-mDLyUl8(%wqPhQ$n?C1Tgp*@pjHB<*tFAWx~J0;K0s1tjBa$YSz zA%`#3Oq$GH6q6|x6PHc07gS{#W3h(Kf^~$aU&F0cB@6G5NL>l~npIkeP%_}c<5sNZ zS6^;t?r%kZ?%=3t70*;hjc1u2v z>zhI~z7`U$3B5iG5=;UnkhA4|X;QfeCZ>*tuu!On70d+oiwbPTZ3kW~P)Rndtfa0# z!%Qc@!9df;r<7pUiZbUj$mDXO$F zxtrG6#fDaqj+qc|X-?rNmldnRq3)Qd$J+&#$K*&cB?+B$!l@!w#jYH3e%UY``-(sS zwx$(#QQcz|RTqsJ*x{nLJg7Sk2kOBYFwmVZH=l2JzdqbQAzEkWUwZr};@kOeO2_*z zPd8{R`G9Dg@4Jt?pE2j}JzRZ!3RK(+@j5#@k0b~{ib^V=vp1;5Tcg$F>*qi#f!MJ*Sm0$S*v$Z(~eiK1IpI^WJ_kYNN-Awvt&p1Fh{DK37Q(ka@$P{&e zm_jBZ1o5`|&%VdsGDrRDRm5c9Uf@^+XKQv{SG*;PD1cc~L(Mm`V{#>UXCcJ()5R2@ z;YzZ9f%m8XL<~~$&&??NSN6?K{>=@)spre+COC~jq{x`0@*)u*sE-P1yFA_qa-a{& z5X?jxiat>ci@>~~Fbj6pZjGhMK`c-$_DQTxM@|GJ;R?7P=%$tUZr7dXy6PIgCCpao zKRh68C;?6Jf~MB=M`?&AY#>v_;G~muy}=L_%aAoC_;Frjnzdk2GEpf}|&8C})yTM!zvW8T^h&K3<;;`kVBO0k;Yl$y|tuP@b`94 zaauG1(wZnFNuq1zL^GCKS&A0|#e2}3%gEHGUOebeXxIhd$#^-OmjS<&lO)9@wW%g< zr4}~8Rc=xEu9WdYlsO7j0ELQHWMXkv{ULtM*H1&mHMA{kOlh#NH^phXJ!U^v?KXfK zgyd0Jnf+MpwF3R7J~3Db^8KmDHs#-+hH$N*OSa0Nx<1(&Xy}y9adKc~x8QRF?_3KE(-s@$#MNXN+B8C9A#)Ys%&oEjD1}gfAv0BK8J?hWig7i?A z5{*dN>g5RvSM!nrT1(u8HLka;1_{&1%XY3kt(nem!6h0BLEuV+!|+g`wp2uGugMMa z5lUD6^t8w1^&%^$z(L%>N&WNBr>D#1(b4HC(iHUgFzeFEEX&FAGDIHNB^HsbmK*}u zuGlX=G@?ZmjmUCY$33JIs1{$1Ljtp0O-@r9i5QMY$9Um{bj{=nBq!eaFbgcr(B&i% z5#bKaIVvbfIwlI~2Q@2WqWdm#NBwr-2W#6wU5pA93_@X-B^U_!u<)qU1==?3i|UAb zlWFhCpIFa`vhrtJqlNb`&(pV8(E_fBHzT2y7^8$+$W5R}T9N-`aT+7KB&Mqh?b0b} zh`ClAbE;FnMfk}qoQ-n3u3?qcA8#I?pkqf=MViRjdb6;IuQ)QEB(UqJXY3k8ZT<9u zUBf9a*flbx71(8jFG;HzndY7@O=@j}oFuZ+Ox-9}l>Kn~`2OMU>(l*1{r=$=6}+Ft zSW*vA34@6(pnN}Cv-s0YHxWy{QB(eq3ZAA(no1-^!S*Xm=L4e0AFJ#wI;r}MmTu&_2KUR;qK}8 zR$fL`&(9HzrvitCo@gp)(Fur6h~6l##gk4iVQY%KCHawMSiD&ww+{lp+8uQ7JWu6+%s2l2< zQEQ-~88ydMkU4>0=xO0T;q0L5m*Iv4WT8Uy4qG8|_>uf*)5RNyb-RT9RRN@9+i!HE4lG%F1Yd0a z;;#S>z;o%!W*kp$M{a7Ze&}klJe#a$y>x9BMk>Usx`QZ?vXS$?l#txhx3sb)>9zc* zq|>?jo*I!O;8W_j%I?*~ba?|VnK13HDayT5YLY1Lv!7R60Dj30x~Aw2ltY>8Tf>r| z?rXGwSI0&aV?ah5BYG1=iflohRK^j{%zg-j5syw z6~CU+OZqM2WM`Z6^(MMJ5r(whvRTOh(SZ7f!+zHC1w|T9UL7nUP~j!om8^88qksH> zs+A~Q^`ARmUjOUa!IJ%T|4Xyes}u6l9SrpTkDd)NN&WhCb%-(n!}(?SeU>jl_1i5} zdc#EGzX*r;X>2L@Dt(_F_J!!2Br8JYW{gnc(9kW`1&V?SoxlK=q}jM5$%?h3PkAjU z_k=~^D6=+4?B}<6iv-9M$|kV0rrat_2@h2DVP7Mu5j%`-9@}GPR|KcD%Xsw1Vp=je z6Id+(YmhXM3Q}{`3;(nZZFnX%#sMM*ZIJ|of5#Dd$UJ|%J%>*j^hK%>bIEt5i^vrM zL#EVFILhb9OC}|6v#^Woy7Jtc5AW-*jD>iA^M#(sk9WW5$u}9#{pxNI+Sdl5b^Z0* z!)@C#;Z?CpSW+hms}Sug)Ed58V_}*5#HB`bru&Vep9C?at|&2lC+wAgQ@OmRoPgp* zNp%Pr%P?a>SF9V@Kcm{i(*yG|zTE!p$NJ$Gr3-#UU4kSrabRd?*8!p=)BDpcW# z7_y10nvumQR;)l1Fhyts5{jJ^%>y&O_+fJNCI$7RtGbnXO%x2*ysdyiS`1bf(2DtJ z@9;)3pCzTFX-r}tlZ27brOiSo^8>^>>@%H)JMDO=jUpYFBf6BACp5y28AZ;TDJabG zcSw}woUXBhgI#=HfI|5hl`R?V!or`V~Jq78%@n zN3MCxV}a8Na>ma`_ee_p15!UW%4flhCRtNRHLmJ2WU8`sXfHhmc`d3}#-cwJs{SBj zl?>BEcC1(9F%o0@_stF803lEm^um>lABF8dAt7Kpdfz zK!7XoO392S4a*A?o&cH>);f}SI$RLF9TRoUQ|`p*I`Q+m0Cq5~JSn`Vm#$slLoIm@ zeEa5AC(_oK+=sivB#I&Gvv20r)y_9G2ZHh!IxFCxum5v^&I%w5vx3JVzJNVY+z!sJ z=1TOupAJURA(5M{!)bglTRt($lRzpywra_zD}V%Ef#zbW6?R2ZOGLyCrOs zzCF_)==VL9+pAX2*s2Yn6?R$@YnSS&h_*TNhXgrCh!arf5dZ?M=t^}JH9L;cL7O}Q zb~MZ!Epnr%JPLWVK#42@IOc-)rxF4TU5{`TYXGCi1nI<#Elp>GWrKC7s&u{gJczuh zfL42dpjF8pqSmSNqp|lFk9t>Mr&9!tI;aQs&g{vZXqWkbatN^i_vsj&b}n-6>(JszSwK_0}P&(`H) zeVQywdr+4K%cUB0jHTv=CMpTsH%Ek`BMi;;Zwh1GA^h}-`XIl;~c{qy}CE7t3HIZtIqIVz#ws+qcH$g`k<^*1#meJXkduCn?aP=A5q2 z&mjh|YOoxFN)jS4+H(qeiSKe@G9Lm8A@|LL%r&@^eHP>p2WF;OQX7P{>EYHsh=}w9 zdMsJ07JmUhXd%lxJbGZuF#)`-xfUkLlLnmxxz)ksP4lsni@8RUeE|;t!;|wln4sA8 zz516=_wQ~#)u`Z1d;#{nnS)u+l8nkyuNrd?+B)LsbBJhG#?}4Nk- zM`yz&y}#uA>n++DUTzS&x`z`_vDAvyvtwxvq~a9*#4Eu*u}q_j2!2A;d8vDOb-Hfd zhruH%Wu#=WrIC8&-3}?E9p8XQk_|?*-x$$q)JRQ4Kcbk(R_Ckj6(Fa~49$mJUuu6> z9v`fgb%VNP4@aNZ136>Re@>T_86v!5B=n?9%%iB}OEA*}>>HIp)6BG}m}st%l4#(< zQ87UT>#PU@r2)VJqO$hch@653uJ;72x>#5bEObzz{H|AT(DpkmvyU`j*6_^>O}MLJ z5K7o0Wt}B#{XDk@8fUsWZk0BYVv++Z>X>L+Qe;xEJ1w+Fl@`?77=iM1N#yLeJlh^= zL6FhK2l}8_kVH~6STw(BpN&0U)pw`_`~Aj&1M#{>3l~oSvnvP~Fg`CJvDvC5k$h^u zj0INBcVrgO#RA87<(J;KFOPS>e7XG~i9X5NF_br5yBXI`qG#yYpPgLI zQ28%SX>5)0oncF>W?2CU4Ii@{5(pYN|1*8VJ8R3T!LQC286YMQxNcxfVw*#Y->%P* z6~L~Ynw=JlO4%p$EW%@QM~g#Fj2#o?9WqGJks_WLacH2^}M7&GGteD)-qNl#FIZe%h#ggj)ABK zqiJt2f_ZPQPC1ke{k`rXG72mTS~8nl1WOjQgML&og`AkxbHxT!5$6o~&Fna;!q?r` zIUU!pr-vg#D+1744_Cj;VqoR2(g+Lgjh< z0Vshu&67cIf}&{_2E;B&(in@Fzba%9t%?30x=!=EIm7IlB`*`jvE~VT){1OUbjmP; zW-1vpR8L|$h(?Aie)gYqHzN+P6P1Tsbx_kIq=0B+{~GiA=u)6H6vF=dR%m__trZ@2g3k3Yr)5~w7N{1LgrcnPOL zyBE+I-Utelrgq#uU04|F;luqmc-4RDdDcgJNHl{p>II#66Gop61{z_4w0{?!)p8kvgUD$MR z&c#j}HL-s>o0gj-dY57&`8|&>t>`Qil*(UhUw01M9F^xGx$;~jZ2)Np zXm};kf#@=^5IK3q1kS`pou6DvfqJfjXEF0Q5yUV%V(=%fqzo#pH0&^h*wx z9_BN2G(P!RmJh}kTY8a+8$S;x?KztA;&)DF*9cH)2Q)_q zx0cQurf!&it3r&$0ikB%ivSJgsCtqvWERa%E}$Vg%#Ti^Iu@+lkx45fUhvI5llr(21YxgzYJU2K3E>6iy$535jb3k5+> zfK9U}=f(Dj5pIN}Hc|^+`D7lF5zNAIv~gplQ0;13pUn9I($NG`#{_47ozrBi=&7(+ zg2w5^q6r<&HQ@_F#k0j!{}ahW^0tVoBQ#A>*;^X%r{OJ|>C9xnu-V=a&aj z314_HDk7?|kZeu=#zIj&5cT2q@!O}T`mgF!b~Qd*S9N^VxW~nK)A;(=F;PZzvtGd% zF>D*La|C+8RuOg&!&Iu-&z5tP%m&4yW5C}&-2ARl1YXx}bFhxMjYju_|4u5&XwXxT z7IKRD*@I!8pRM$p_nmfBqe9IWJR|$|W%+HR-Vha9oBGYT83fKvPk58CKSqS7NeaSP zheLKi&~eLQv^Z>5chgFOklZLM>Y-dhf7u+_Zhi4V>h)tO*${GM32S6wUS_nN2pxD~ z0P=xTJl%e+A8$VaRk%a<27d)|x6{iLK(*}thewczcYKDI3CAwusfpldj6}9bbr&MG z)bBV9$&0484e(O@Typ#Np#Fl9#U!|Khds1Ujn1WN*j1ja8jfGqUWVXyL<^`{v8|w} z2NAUbc@7VjlE#bor@t~NA`-ff0uW#>LTMC8MFjk>SPxL^W__pb zyI3HV70YjJ#U(+58a}mEmZw6?0fon43=-K$gnzD=Pr!%^SAPSfHQ%k;^ZTa zmCt+yxVi#PfUW06OB8BmLTQELzMKX+2#IZv)C z0f?@;utbX0+jGq$*VGOVsMAQ)kY{F zAk9BQjRpH4ZF%f70_IGwLA5WJ1_NA;Rujn-VoK04&tDN+dvg&`u7S!vG<$C|BZ& zD+tn{A(#^;?T5V~>=Z43HjHtP73-gM0>Usg#5QdK!izB&0tuCezP>nI@h3IkAi1Vb zNcO4aCIhras3dTl`T~!2Ue9I4!QL^Z>|nhRO>u!ZoAn~BaAB;l#-&-h%A|L_uQQpt z{_+jA8y=B}gc^{A@(w;CT-rsM=iWAyb8nFdO%yzqWrh52{5+VsS-n{%^J1$Gi+gynFvacQr9_AtA%Y zrk8bZ({sDM1}Z2mB|OxYTbV4EsQp+jX_^@Jvui?OQ#+^X&hlZL352Q$9+<(9BCEPJ zX!mT_s?A=LFsqt17>4$kq~wK)J%0^o`ELZ&Kudj?g>vtva;=R6dqe)=GvR*&Uu zFhF8*P7{v*uCM27m9NOJ7dItZJ_kiVrsGxM;i99lHoFFxx7DYZKby-b4~te9D=b-QmQh|3 z+Lm$kip+!3&O$OG3}OVviXxc`%l^0wo+0JpfK%oyp?-j77_8`chk4N&qkNdaHbeO@ zM5~~XoQTKNpvuN|cU<3m-jidzaBtj9>L4rJ8wJ}?VNB_ix`WuhMi*2SW0FG1XrY6P z`XJ_kWuh*hQ`nd1X6yd^_qUr5J^oQYB_=My$(yg>iMr@o`%(nuV1&@GAX}@fKPNK@ zOt`iN8q&2n9{it{x5-!|M%{Dkg8HZNH5}26V>}SM@zhtynFcVneiKN$Jycl1!X#Zd z1FsfGD_CsXQ-!Z6bx;>UO>ds_unGvow9l7k$m&{(`K;75Kb}#13thuYBI;x{4Cupz z=tGUF{u0lpe+BK*8D`7<0a;dvR$7Vs1E#4G20FpAqp$cIe0nG*R!yaJe#Xi@(-PKB z`FfGV^IO>gOwzKFx{EyUtG90|nB?tNZ03y1w!0yVGR*bWvyeb5Jdv4O*?xtO#VP`h6#!&s8WX}ARc>0-9euLAT1D?&GB^& z)9L(jy+Cm%)_K`K>4362ajZWAVp0A8-5b>Cetwx!P8_?j1r}A9 zWGw2Jo6nzbgmd6i8bQurxDmof#iy+i-g?OituY`Qm71pE3Db*(XUm55sZ2;#L$4kX zoO)7gVCXcE84T4h^a^Kb$Og{LUs3pre}dfr3rCHmG&Uj|@V6{{Pu`R&y>dvej^xhN zh7|w;LUkneXZ`kg^YB!&Ug5i&_kUI81W#pgFM1XL zo^2xkj$VuYoE0YG!wLJgu2j%An;c)`A6ZeGTB{>^mO?9hiAv?;hdcCky?fI0?0y=L z*P*ou)4}a01Ov(@M~>JSl;v|}iEOk`aRRp_c|o<`UT&gKY4QqTjewhLs6QP%6Tk>GxCMds2y?%f&tYQH%=Gegu8exg|@{}p2z@4{RNZ^pa401V806?-2A^#-Ww9gtuP~GrPSX41{ zcs^Tt=7R45)hk*2#$I8U`1K0}{ltv0(G+Ja_)~%fXJoz*G6xV5bElw&nec_eS{A#< zs2gfkA<7ddm)+=ZIrv#QD|RK?Z!tp;h}rK42~8cM7~!yQ@w%IogFv5X#+^+1`{W>I z#3~#n{6G;gt-wr9DcqE58`-G5q+*B}SsS6k50S{Xjv0C~!(xPfpXTI0TJnSa5P?jW z8%PvK>of-d8dvqECh`XfM|F`u7(?cNe)s7Xg`ns$65gpCt1F;zG!m=^q~iIK;Nl_U z0e&ClBU+tMUlzsZV3F>Dmx6&w+1hDdzPW?2V7rv*2C4He6BcM(OodsMfsojBH?JDk zo7Po6oTT64&PjO^$0`HMZ4BGa#_TS9TPQ1Cw2m*kit2O`xw%$#N{#c*IYtF`gfL}s^HVe;c54;?t^6iJ z07-zBp^klKYVRRxZ)x%_qqMlBYCmoPI&We%-{wF;D{D=mTmoM(2+EU@u+ubJ$x0KD z)TZJ3;l=TJS~X6KRR-p|JWvE4D5F4EQc!o>GyWax6C=L}V6U18TQU_Sp3b!V?)>L1 zWLW2rpOWGPk+m5+GI@eX7T`Xz&Vw`aRmo>v- z@DZy%M)RT70qP_!cV^qqx4+!%jWmh%nJLKgeQ^$2qhZeLS4XEaz+u-wzYpMdK8XEI zngfO1Z%xtNN7}&rq&}MQ$efZyiRO@Of*WOf5-C<`xS3gH zaHc)oeZGBo|M~0A({EpQzu`Z8mSRBoH%i(){RS`6``&+`9~cJ1h1b3R4OSPXeB&H^ zF`2|muY3QN&T3EMoFEa^jgMmhQV5K~5nt+|Hblxu7-k@x<=Gm|QloMl*j_$Ezs~lF zkGF>R472PnRDMNNuF-Ci+qc2*Z_iE18)T5Ps-a0>@@y+kY7o_|s(;!dNwzl{SdEGP zRAYdVmoDMIba(cVa?(feeNd9*B#IBgg8S3TIjun_fYSG6wWzAn{1y%HYCXG1)~Pk7 zD-JjriVtxj%E}3P9kVXm zG5#|%qB=OZJi#Fc?^O}spboGalku&AhLCTLC!&QZqot4s&b!W^-ZVR%J(Lp*GGl5!17o(#zuCGuQxUO02fj0*@b zNAx)o3zZU8eOvwDw+uxLGZ+H4vjN~441voI*2~U7FuH?Af?q`t!M85Q1Ajv|jtxvo zg9Y|noW>P#bZcIvHJDx3tq>GXWy2%?gZkBXC!d`j@b2Xc3FL~sNXV&Uq z#z;@pfJqV>Ue#AXtyovk1Rkmo2d&E(>f0rZsOBySKgCG8OFEw@1AZJ95|S5}p%B9` zICX^?C_T;){Ammk4a$@5NGJ}RCd5ltJoNJv1;g*Kjsj$AhJ?k-&eRe#%m!s`XpT5A zWI-FEFS>o(5#JxMvtaUfOe_$`3%8cFG*WdC-C)!1y!p9@S@@SQhu-hx0$Od+veBwTli=j5TmDR3g; zc4^EbTc)VIVRk@JOY{guPJwiOx27w_PS_RQISiFuU@eGPSe=W3&Y0r{_@Yo5enaer znZleyfjKMmz9K5FTKEh?@YsD`;en)!08+7dq)ixFxJPk{EO!_&^&YLzR{W?D5)f6* zJGAD>ZB9Ue>Uzf~`4DuWmOmI>KwEZQZlP6duplv}Llk%gAw;YP4%7xs8q|Xb8u!I? zAw4i6Oom{fYsm%n}ue0#7*_!7yqQO$EZ5Q9qK{)#o}sCo`17 zqh=b>XT&;W?Ls@}=q*x?0%eM@EdKIR41{BmL_*#UbacDETx@&B1Jb(p=o9o6X#4Gl z-5%1}=^x#xSV=4>PSpq;+R9m=7*67QZVogQr{))9An^grp!4<5AZb*5ikeMO{Lq7w z|C1nmp4f0wm@WyUSK3l6elFKjPsR((pS;#9uctFfzeHEp&~IXa5amnj>r}_$^+PpYs@FS0De_6#j~{mn-^h}TG`nBw3uwV6VRYe;1a-e!2-ug1cY47b%vU78F(^N;%&pmz2&v;~ zngGh%b{zD<;N_E!Ia*Vr+J|Ab&%)+kpXy(}-8_7_{ZPOAodNyLc=+aoh(24O!TEAH ztdEdX*cyl2|{e zJcY3Wp_gXyS@1&aHu9WbgQMs+@|<5orabTZkSWi*KJ15{tz=-o^zs~QYvTFHYG*Fa zPoN7NqeeDP6U}BQ)==IWd0LvQKd=e|q6*Moe6o7GilrKpoehJ9buUiSg%=rewT2%C z(YOA$pA^+hJo1XMhCajp7D_UcsgYQd#*S$Wx)k%y`)(xzu9O$PC%?iqN}F!%)kg@J z@9mVY``y~3;by^Z5?m-)GKvc+e5@nNM1$~whYe`MM3f&l*wbqbk&_IG{^|i&$SEr8 z3&`bsQDMLwxIl1fmIEA5^u$hz1vcQ7Q#ZLzwo`MDsp@CQX}I!m8+kO1~2;9S)X5IlvuYsZ2s2#+N4n{rV%b_W#Ha z!c6=F_Zm*+xxl-53}W^T!PCv=&2d_RKBeG;&DAmb^w#ssb*N-QN0{hI&USIGTZ(aE zf>18=HdVg|B0%*@i&F2Dulq4*jmo_!16NnV(N|X{ud6+aA1Ms@-Adn+8&ZCYpK)_F zyYLvk{Gw?jcncHs5JKzi>No+P_^|n)ekr&?Hz)LE9-S_L=%af>>Tw;6JTN_&hJN5i zf1nk~2&F}rIF`%Mqg3?rU^iwkWzaYJBS9%mwaVgvHybyGa9B~2`C|G)&E|`#m24Js z6TVv69!Al6nXqW^ab+!Y*aJJjvZ12RL1EwufR**z&Gv1rFO zY!2xcBCCNd#gId>JIXOD2&iGq3hCNs)$3~*7fvUI5!?4v12!u!7`N4|2}{AM4|+-1 zIJI>!R1lAaNu*}cO1NdQ8X`WX)e!klhQwONL1-ri&oSGHxq(dx&*t=FhmdI)heimB z*B3)RE^S;#Gr7au5h)~ja(SU?j#BzuqN*lEAf%djm~V!1;x>Sut|m0Ss@C+9<{>;UeluvNv;!fuu4>4u?t zJ(_!_kfqn0+%P9u?zUrfYw{6cUnFOmKkevS^n1c$6e4f;XpFl8G>*`K}Ig$517f`LzD z_1oj`j|sEw_b*Qxc5xap&(BS&YRBHIW381fYSh&+->HnHFlYjyuEJ4IURk6b+yXrH zw7vMk;hMfK`fClAo+3LR>qoNb$PV)+PB=0iRM}J&xyRAi%+Su@$>1Y&zzU=yukR_E zE*PU1h7eBQPTU%0IjaWkkRUaVqLAv9=NYjI5|}nZE0SHei^3zYXw4xxl(r)xUS!j{ zpvfFtz?qb~=^k{Wflj8qnGkX2$HqdGJ|c1nsIf&-fJzctNSuK93RVu8_!?y&D?xoz z`+fkaF+k(8I+pM6nQSI>k2#b&UqFsWU!VQk(1&IcpSv0WcwNl9;(Mr`SK|JJ-BHU4!C+`s@ zSx`Yy#XZ-AoUZ^b?hp4@_|*@0zt!(=?>^CYCjPIR*S-ICl^>hBaV`ub zS2{Uyz+feemhM)3lIG}SDqgl6&fBvyECXcmQILj880ku$=m@G zmvnYj845P?Eg0!r;9RsL+*R!i7WFx_F@cCk{^3EXF}7dCr(bp&^B)U?N?R7(Vr?<9 z%4UG8RRiV0sOKA;u%?cv>RW%B9X>QUg8F8)daQ5`$qFO|o}#y8yq-{fNF73E5k6O{ zX!gIS&{=DaJUR##!4$kP{wf5oBYqaP7*fSqyM={TQZ2e6#!!F`55y(10oX37K9XED zS#iCEyVt9fWA&^`dH>b>uixsY`})%@3b6r^#(zb56hw=x0yQEH?Us_Zl>o=$wLz$1 zt3A~ie#2C@t4VNtMn4R61=2G4I0S+pF1>j;{Dxz(?j8z4oAA&(BKA^Bq#D2?Ux|{0 z2^4)RAT8Dvqbp#v#`c+5Mu_5phOUQYL6oqcjqslH%gxWKIia+$X=`myAl0?R4VdX` zqoA?GJjnB>G$O$fQ}3FJCLVwadz zu)gP~^WGjD78GjptYY0BPOs?bIRC|Xk*2g_NRJZTt9pBk14k=TYAh|$ej7WZUpP93 zIBeO0C>d}70t_;Pi>Uh7b{+iT=q%2yQTN zdq03)q`2s*%B($Hb{L>$$3k^EA#CG2{;TW2^ujwxqs)dExLL%^=O|-w@(YFY!HHJq zK@63L3!z{W_53ks z{fX-$I^$LS>D@Bvj)O$to`w%C51hVF)WwBdQ=#p`^*zB=IlwObtBKMC$yFhuZe3uKPoKY%iPV zqEwz7G>BtSU_eWx^@`>*^cnn9i=lba-*S6wp@d0!H!;Rf^-ncytxQ^Ypk#)up}yjf zMywzNd)|0ic*5witRWd5R8)}koVwQFk*OIEAI1dfOlydRc6h?@|4_S}Pm@FfvDY5N z3baVA4WlLU_C~$EA*lGv{TJ4AQW0?T^z?A|?i)JGBLF9i;UC`_d_%JwdI@t9k7g&^ z_3Ed@JeKRrFy(GEMLd4jh)c}YxNM^f zeplhNA6@b;bfWyAnp+)_0%#dPKw1A-Ebqx5U z7l!4@Nv&1~?XBZd*)?6~#BDFBgx0sqQzOO!s*~b$sNxbJPW6kBF{N+GGNpPAjz*?T z`m#McCz30VCL9`6f@LkD9R$m1?*Ju3pHrpa_`qhj6y7n~qu_gTRIF^e{zb1A@$bTj zK2rVux2JdCK4MwT)#%p$84S^ctkTOZqVho_sl8NMQQJZ_Y~!l!#=z8!lcvBKSKXVq zW<1+6{|m7JYg8Jp|8jgdN7a$>m_)PQ>U*c1N(d=jJJLXv2FO8D;`k65b+#}-`$QF) z4MNjP$SlS%!eTjDK{?x=6AK_q#((-#gx-$cYycm}Pes(qc~@(;tdxw>VnyF?{R^H- zh>Ip>w7CMk$Vdnr?q}70i2W!sN!O;Z?*23sN<^>-n-5U`CFPR6Fv1KLgxquBiOQDi zAwf2*9~9!)!`C3t@fj^GWBe zxK@T|5Vjdf+B#V`8KDMug=r>9A&D@x0<%eEg0!ccH1n-MA0F$`BoGJWUkt2*)n{=C zy8GAXYgIM_@RqoPtc+K1B{Ezhct^6`k}2tQ*=oKy%fJam;p9W_4zC}hv=H&lC@rBM zRJ7!-GIxg+Mvyo~m6yhw{hVxtn4LYnlIG*KmT)Xf*p8vo>rST4g_lka*bng_Hi|WQ zuqY3TQxba1+QEm}t4qL8tXOLXk=wLV2sC$8ZCt%K#&VXk|Mv<7qgW~a5p#v%?2VZvZ z7Yoc5;UulFvFborEe}KJL`b^uz0an|TJk+L82UHFB{S0o z{iRD&`z{Oy?;c<%HM9mXTN@XN^Cf-Iq!TS$i&RJ z_F%%m-Iy?{;%68*3!1lk>&M|i*!EDg=W2E=rTG&z$M?TAM^zn_!s?HZ4m%=+c@WdQ z6$pS8%bFg73mevo)o=|e7QUAqQxj9QN^(xq2V^_Z)#v<*hzn%L;^cCPmRy1;Tq2KT zq>)D+n1}9ZjtTQ|(#!hjAdEEUR^9|hB=M}6V`cPwu-eEX)!Olzswn~ghQMbyIaC^J zIgwvs+X=`hQ(+~VH`#&4h(5e)uU17lO<3Y{sQ8zw$(waYTcok{uN_-U~4I@nRvB>J(KpT(&(f$0uH*gk>GEzS& z*%WzH=pjbyw8R516E$^{;MV z5nM7hm;@sZg)w3JG)vO_6cP;lXUa$;~sBxb?QxNs_xZkMwdp#sGn0p_os z9&Wxoe!P7^=Abx-@KDMJGO!kqT*rV*_rkC|mD4VG04y^&@J^0R%W#K}Mmo10SdC~8 zmS}lPBOeLxh`vZ9;UStJkjEm1N-RN;Enk72Qzclh6eNX z>-n&p4?RYKe@5T}9uNW-JfzL~qbyet#a2T?1?K}9!gQ}U)t*UMJ@b{P$3MBMEAR3> zhQHM_>WD|{^RsglCXH@q0iC4t>q7o~vjW~#xajNG9MYvr9G}KeHgGzGN;;91U{^Fc z3KfCmt7N8e|FQASH6-?>F+V1;*-qGjS0GhfLA<{j&tChCeGPFH*=7uUHP1*aAUWSu zD1p_4;wJqA`T>#yKSja3G$?91VKC#A*tXz{^C}ijL;Y*UkcJ`_$5ZE9al;s58Y&tkBo!CyTd0E#US9Ld_w($cpZajoa zwVT2O-88H&Ie&0S%Yk)@^zCen>Tl{MLXig(!V|)87pSB;WDjW|$l@Xi4M+3Q6!;3} zPpT4Y!mo6o<)MdjO9G~2FHoBWy4zjV2=i7^s z?x6uy;}&*8oN7D{utVnW8V8&T3J8`G8tka2#~bCPoS5Oe=jjxU@C&NYF?4j@htV{jN@ z$Vi8faA`Jw>=lwI2s=G-+!54zK|ArqPEjc!-=Q~UTS z*G%knnk0VEM^B&hiA1y$2iAnj(i1hN=o1;N&K;aXB*MC^7jwl7I){803ln4yGjDF= z$?chy2a2ag73tm%SFUy0f2uJkKO?G(MLVFZ5qZ1vUSXxK>q$LM8SGGz!GWOUl=WQ< z`4f~U5NyFQ8D2Axx#PTGaPi!U!}yAa$%&(snE;2*v%~(Bos)FMq$t}s7G*1xWDE9| z)X;Q^?W;-jqBFWzG;W)r)TLA$2oLZ?h<_IaS=&xP1!E094}nqNE(4+Ts=r(N8}d?7 zX%6-`;uWHID3+IeJ40h0m{kr~X^U&mTbhSz8&5|14s-=D1pSSca zd16!ds)0KoRj&(|Jwzt=OAbO$viklD8Z6&E)i)2wNT8lQ>+#PY{;$J_`)^oZk?0UUV)F2QS}i1_oUYvFmo~_hfC?S>1YT99E`9Sui+TGV0IOwyr?M&|Kw@dUSF!u8?M`if?%$R z3~6eFTUaOtWBeBEO4N42xtk~PgrX~q)wF>H(^c{ zQ)@)Ztxf?9%CBlgY4SJ-tdnhkOCq#|j5CRw#KtqJ8yTx&&}?9_IjkiusbT9TYFl$i zIRk5ne$73h*3e4ZS?SuOG5!8ZvS`_W-jQ-HV40w_%_#~Su5biG_48+D=q;R4CP;!{ z280rDpCE4!q|)3=vz0s0PV{n%Z^+`P8_=#i{ z6e0(63KQZZIg%8m?Mh304%kRF=nPyw3sXS>=&%A157an1r^)XS=}Tr@tm--Mw#I5g z+7=v7Q#zjB-8?+p-9GFlrQ!e`2ZsJQNx|-93vs3K!daXC%XR3F}La zjkho1)A?sYMD`ipgIM;DLFaIZff2BhdNCy(QOup0>R7_opllPLlPW#hr=MQPABZB4 zCp&tFtAXpQL5=l5y}>X*mtFqhYA}?yS*?&0cf8%gMp&IKVHRqDj0qg<1c}6p^CVaG zz#4lKEY{ss4GU33jZr=nt|Xr^c`H$bhNy6W&)cQWTHS& z_=X?hv+j73G!;+4mGCZEzcnSAjfYXcE~;g$${c+o3&Hbl zx*R%S;OCjO0s9=uM<~NCMq=2?g})`&CAq4v$BU+Y3OI$hcXR|z`71;Gn+_XP5!DT5 za-z|Ps>9)oF3^iDZNB=&w!>LAn0uoN#vnpg43ho37^Y)R=ftUyVE~rldQ~u%2DYmt@Sc>E*Lf41-(9(CX7U`?y5j zn-3UGXrb)1ofCRNJMAl^V&?-((&^F{?Gb%I9f5_3%L*kG3gDz%-3$|dAAgzB6nzy~ zhRT~gA3S}X)}X#p#vJV1h%|FaP)f#v-F+?(l}n6{JIT)|vE@h!RzV$tPz-V-9(jS| zYC+N?*PLb*dy;!};a`P1Mh2$}>PP$N{4~HWuQtCwZtvdz)uJFbZ&p`wI*0vBDUE=p z@WZGrAFLK~YDpcdv+eq4Ew>S!+j#aN^#pKCL^M==Fyby7lB1RBdmZjbnKD$B@VO~e zcfceh309l-!8p@{h-L0kt7>0HE;ZrhliBfUW)U3BMgqy1a02se)bZx+@u>S& z@H?Q4|NeIOaJ%#A{yqFzHF_#N!6AWw9bTwgGvxPXZx7`p;zbdrMEDhH+9vH7B}#Qu zCR|z7k3kc0_e|tz!gmHJ58hFaAL%^K#k)AqV1%q9Ij^W;ab)EK07|fc1)oRHJkX+Mm?Lp0|0y1+3>B>)_rThSgDc0fMiO2?MUj_Se(6{CSv*G-*+wzjm z0iXiTB84wk^GhPG^y1;k#E@o+y?r*kGPo5>X1)puwElwXW>}3tf>E^y@tjaVLM8}s zp|ms>wF+huoh;sHXIiwA-W(&L5{@6{X(0-VDLo{sK{Z6d*g+7ZF-r&m+%8*_i@vq( zA=y@cjF_7MwWe^pX(L*HsGIgMB#(Y|+k zaPffGQ?XI)T(DsD9Yl8`A$Uha@i#QajFSgMP{;JVN8QV6Nr#h-$4)@N$~ZKBTpVkK zw}j@yJWmg6K>BP$MoSj<$b%sAXopdVZmq8vBg7RFd>swEk8#3^z=0Q_Coqy3fbx`7 zfZEa`13}Kywi%5PU7wTH!FIO%voI_ZgzbBhK%%>vMz$l@$yL1{m8F|cY`0yrvW^P` zr9AXxSfhQMz&>UrTgFTGJfTp?=q38VAEkX?h36JaipLRsCahLgn<}eHRYY^dBTtX3 zOCmJ3IjqqlVzD||qIyoyAByApt5~!cl;SSPtQov`!PSk6r9TPv`rBI_00H5)ibl(J z0!~74-~`?w=ES^FEwa5gPWaKl+igPlssWBJzwug=8^s~TlsqyPye_a_QJ*|k97NK_ zBiY!4Zf2V)I<~i81M~0<(}+wsKPK(&|W=!zw1uvS|xz za%b5z;!RzaN;QSEOt9s@LhR!QgRnM9B!T*zQ*eqJ`$%v(st7AnraBK_Xsi^Y@yZF55F9crg{Ub@eXtnhuj*MNP(j+(KS)JsZCpf22r+M#v!nA9Meu=QxYFWDkh5HkoN_}L2#7`zcOP!q zTm0Lnr&o)gk(sK7B+gta$s$z;iG?S0fo4Hz9h2l(hzQU=hTiT?c|^;h-|r|Arq}%p zHp2i+Ya++Wy;q?!igNyrLBDPL`||X>Y!YA0r*K-E1BFxCnxd!El~TzY-XK5^E+>@l zYB#dKf~~ibru4hN-F!k6{%`kpA71a^f6aFGG81Z!%;4X#lYQ?$@I8Dx*fuCgRWNOa z(dm&nkcOdzJNH=9I&e%-n;1r&9TRMbNE4b1C=eA|%C$GD+()%90b0Hf?Qh9GG>u8x zlE~`9QwTzc4Ry76C;i$tAT+#T=b>v-FihEZxE_Gh6o|O?8}y! zeuIAKbeHgCJW38UA&8eu5}u+ZJZla}Ec%kRY}|T~^f{~0(M_;K;0CJ1Zx(N=9^$Ek zj!QKoyiB6u?xpF~{vh+=aN}4sWOG#UCS3)jCNI~InxK3XN!)+rbdhqi_T{IkWCSj)~Ky$Z@2vkWdKiGY^37oTHTF>ak<;vE7Jsrw#}8K1hNL zNu;#1g8AX>!d%JhHYk=^qOMycd??XJen1))T|OW5eJ)LrZC2T2Q4iwKDv<6_xnfhy z1JufzBecf@C5hIX8Hfz!Bb}5+;#0TA<&MJI>jOys%QZCMlfMXG*DVq=Xk%d$8GC0~ zKYC>G3|=x*Epf3at3zjv0KC9sTh~_y$AHZ7Yn$lm@9q5QZy)cFSmFA!mn+aFFM+?7 zhYN?LVDOKs8`1Iiph9pC-t&nB(S4_?Q3?%?rB&hHPZ|w-hDspojiq4Vz9QMUD z5am>2q7+UWVnkWdI#}CDFF!ze^E6_vbB^wlH%c_wmHd;ki%-#VKn40OjuyzbJWr`N zlD3H0?EL%MGa!IWh)DwA1aAl%0 z&Z~F#_n&(89DfSGp@Vavi3^Y`Vy`R@HoQNDgmJNffE^>nIt)i1AX1p@*$rxO>aETJ zGU2P9Tk5w&zh7<7hiJ0I?!W^ztx$uO5uNbjX~&$Drd=#TZNbucgg~U{#<(uw3fh$y zy)`_M*mLiPN{HEXFPH6ol9ony^oODU0DyKjf780lRvzSf0!`uKhibfTojTE_*>Dfo z6}^5W_jas|L&pl*m*?BXL-s3OGhqhuZKyJu)LfT}5hmh+QyUQOS|=R2R7#dMLGc z1SFeT6}4DPR7wI4nde;3p6);2z2Dis?in)j_ue)H)IsP10Qq-7gV4(_x5^IyzP$J&-Y_%JGS)ahp=q%v~F^Dm429sK>~l5FXncXrhLV zaYTK|GtUNjR5b4B=MquTMxu=#8fcPX1LHKJdfdYRg?@DOqL@j+{cF*R`T&3=!cSK4 zDYXQ9sM3+;_gtPWp#^7N{o=TtPOWyBMzo`@BO==3oRwc}UiLL1)|6M0 zkyTLx2Z%)J!N1a8UY&~~$0%LD6)3y zEg=)22qtUA3roEp2onD!J90e{HA5ZCv%|Td44227w=zpcd_?h@^`{27E~_45YLAd3 zvOc~B7Ie@G$WdGkHzi#dC`Uaih?MAyggZ+*fmz6AsQHdoo~zTD3Z*i1OS_(nm{9&^z%F`cg!Z*sT_F(4TvV~;|<2Eu_kPzezrw)vC-(fKa6GE zUGNY@2_12&y{;s*YVYMNG|XvjA(a}qoC1Sr?63_NctgiTWeX|eP~gC#YqN5h&&<;G z;@+&@A~+6pEv2^h0rIJjh!Mid0}FYJC@JX5l9)wrg;{*iilTmMoUk35rTk{{0>4=Z zzoBR_M-^;DVAZBanuo46EDX(5ifVX-$LAs^q8+>124U968~5z;GzBzt7K)qB=I03Y zkD3we7k7KCu^xctLwr?p$S6<>L|&aD$Pp)!+yI%)3E9bMQJ&XcU`91vX<(StAcC=G`c&*i1`G19Mo7N67fH`E(mor}0Z%u`+e?ftLs6txC}XZtdi@Xn1UF^!W^q4oHRtBcL? z38Eet$5Z(`!ejbM5e&TFF_NVKq|f0CM=GWDSKx}^fBGinsq#bdVB-;FdBiRa{HtTU zz`(j@@@EA7w=KgFsnMIWe_dTnj^6m+!P$|6z}3N|wmAIwr{t?5^O3h%2H5J&pC{$S-Sp`z0z1ksat64U|uy zL0Ph_6(7wyhK&_Qlui0$3>=4nL)eZ9`d|gd%++0OQG@3aL0`y}B-6&qM{6dk6R0%b zIpoV$o#HSx7{jKb7vL_WYXEC2N#~NNfOa_%z3e(rW*-d(AsZLk z>d9abpkBngo!9f;bi!OH>Ae+f0tRFo^MrjbQ4bU{`l5*la^HjfdRQLy6=7PR9@IId-2i7hl>yJl)k#?HGY zb@24g=_L3J*b6_px!j1X!wdlby3wM8;Exr=vI2;xuYCa_M|FQ zu8+2c<*d7c~@S@p|Xb&a`$FFGHK-oYDlCVzk8%Q}x0ncDCPkM;cbQ6uZK})}B49e2g z^)KIU9zLKd{kz{alTz}%ZoYiEf4X_P-N{CwJYcC!5FHR|-6A(o%jT(2W+VW@@NMO5 zw55Fb`1$_Jr@O!2?tJ{r+}YiacMp$Gzui3GGaZ|aem)=XA3onaQ7;K!uIt^NeYcl> z86+<;W#!^;NtyR5^pVmyaWmPgSY``Bu1J8FH?c7H)!TDw7b#vsNv!&tG%mmvRd1zr zLD2M49j`G*7CRRVhA)VAsK+S7)Tjq20UN5;?%GG8k#y|K+)kqas)-~7mzqUU1R_nC zln|SE88#>s$=^h{(gLNv>bK{|Xu2ZtgGrk3>Po3SJ4(7TKGboX8k4vvuU`={LrtdH zdYQpcWsZG(_Dua9&FXlT+egF!?%@VTX|5zBTEFNwhFF9wMhwwU&qpEs^l}u^PcKIy z{WQ=hB)S5K)%Dat)7!b0nol$4{n^LM_<0^bF5<^!{J2u#=K+{au};|+#)N)#OUyW- z8BEe5r=twSS)^6vG+iGvs|ge7>n+LDnB`AKyRR zeSNxrZ~&Ohfl#~}PUu5Ni%LTV?JxLxJ#ex(#)EA7b#5Za2@hS2#oJ)=sh$D01ni4% zk_F7s>k2wS8l{qXw>CYbgHs^x4|@ArlUBuEmN@yW6yt`Qy^fzE$0ZG^RziNul~bmX zX0h`5+9B)n+M4QBp{X7f8{z7kW-bxpjBt~w{DAsm=EpMxXt3&N7TqFyC(fEMK^E4G z0#>4gL3z45JzxLqCD^7l>IpnoV|v7%vN*KQl$ah(U#>7cefc)iKX99B@8$bo&dbj; z986IgC`h z%qbXO;#8&cB>;X3xx>4$xJC}&9tr_!NP5+GcUu;cUiHh_$o-Iw91LG)3+|>I9?)fw z4qMbSM7S#}nGORL6dL>T_|T6ujfF&q_tsW00%3fEl}7D9ACm7=u(v13zPbszK{(Cd zd-5+h?xR+X-sTdzYk8@nR>xvD49lBJ!R+vE(qhlvn}rHk#8`T)*C;?rJT|NN8#JNz zP(c$aOyWz3@#D+ytVNJ^ObXmMby{aEzyl2v)-mO0C$fO0U94*jJP@{W9UzKdd9(=lc8a5*K9Z~5#o}9m(4(UFkj{%C} z)$2unZ**OsESA?j6iN59#`Hj23P21AQIsd>EsZ%ZYjX}G)0NI)h%lyvfhrW1M9=7O z0a&Tiq!2xvjLZ6J26Vo7*{PvDD4Xa4aoQ@OMGLx`I#bPHS6ue66s{DZdHI7cA(1zz zi>Tpl#UX#B)_3KJe7R)(X?h!U1@=`~)WR%X*#x43bCOBZR#Hn7M}&9_fQBV!6h{21 z3*Xe|Mp5xqhCj1pslSb~7e*`N zSAeSm_mOx~UKY&_#iCYP$4Jb_%z@`+(pT&0hQ?XJr~wh&A1>+aGqyzaQC_^@)rt<$ zUQYn}eEoKP-+sJpA7LYY{Cs=!L7}%ynyb%WnR;b0V;}83P{b<_spRLDFAapxWQ9Di3#jGL_v7n(B+?l5rvx57abqfKj_K(ZDhcx;a^HM)@_E%ZS2u1-H#$xwa0IE6oB6sR^&JAM&TVlV> z5D|ym0fUo7AA(%>y%7VRFX|EF+SU|a9mLK$J68_-owrVsZy-&?(t-ByySo86(C2G|mDj0G(|6)Ag#&T{pR;1uHQ53Y(JVas zdWDKsjd~Zz5%)6rG%BF&h@g4FEi}0tUfCYp^n$S}6s2DB|_I1C`hBP0`mUJsh#<`?j5Q zE)mj!R@LccZewqoqI;Gd&$tI{yNevXvUQH$qHX_j{kI!b=DYnwqy0tu>xT!?L;?yS zCkSsekY?I&82zK27K>*mlkjGg!axplgFl|$a0;32lbOHWS^5T8{T>&?(;_5cPKHU; zIUWvhR85r;LPyOBc^D*@;aKT>Io!ysLZRT`t@*VMg=IsUEYNL|IYO2s?X&)Mr}^5b zP`$5U;JtIOHTDAqs9B?={eH_}{mlnt2=h|PLy+1HlVDLUmJHO@2#k59zYVdJVj~%Cg0LI+?5&n`r2uOu^AM-LHy)@ zz54q3md{}WEtiqu+CRVz{rdb0WfD)$(LS&p{0oW}@dGc@j2(W~(`pdjL{t^WE_)PB zxQP*e1k=8g9sQ%cmU=j(&PC`w9(3Ys7vpl4Ly@;eO;F@bY-WX6h@a;(S4Q-KL_I*K zu3-_lCQYfz(*PmuUM>d_7L)={iGv!76VVifh-6QKHWpb}hl-B-=+zSFs5>yzkR{D3 zLICc(zrOwW{}c1Wk3NC;;d3Y*Yf@cV4sQ2y^vBU?cep=3*ge3H5%kuZ zAI8H2DD&wFhlc(V1`}*BGFQ=lgow8gK+S%J`b+30etTW6#JM~RlEWyLhWXIg% zR`w~K#aHXISor#IWdpWM)Q!l=RCE9-E*Mmr4$@E$k~#6sGl+V!z|yI@WeW%W&}$1m zDNDN>pPK(V7kpxdazlSH<+gYe6dZz=4yKhp6BG$h#vGkD^6YwgU6^72qXtNt19%tr zYXA_wml~OdMRG(VDBui{ktx!$5|$2ixJ=>Pb3+@-<#d7KNvG~ljnD^tmNKSw zD%3ex3r>@`du6P0^V%$hQRRu`S{PiAkQv{?5kk zRH`pYAFW#|N`sc_Qs?DwB$ zMHYIo=d&5eI5A!;_!?e*4t8VC)RcrK5&0%7OoG1%P0KVnMU>zC3i!?)+zBfUiAbs% z5syg@COTt^OSdajBVYbm+Bu^>X?-7rO(z7OI0(S8B@>qFCn`RmF!{yWPS`8QgRw72U^3Llj{UEoOo+q)k)$0I9;6mQvC-oC`+ocV0apIsUJgFo0p4Tp1Ir=Z7~_s?i} zIBv+PTRhbCHHs9V4Ur_ikrL0RXGb;?6o^C4*7Ty(3!pmTH8xC6QpH}Y6f*-4aaWd zj)X0v%6!C=?ZqF6oxs*!oq2+_G#$n2$t;HB-XS9l4gyz7^hlqe<2K$_6U#ux#vpC$ z;ojbDB%F;?=27?ko;M~&l1FBnbZGVwkCI0sCji<4_=H-_tUpCI#y3=+n6`vfugz@z zZBvY4*OuEQhJUmqq*P*_jomU$4CiRG-0xO2r@lkC>P-FxkYv`L116PFB%J}L#meX8 zm8BTf92Tw}ET_#WicrMwqp^>RTAJgup;0`IiiGY@i(XdT&?1ulp7=giTO=IBt6Ehm zTr<_y_ag`>hewHW1sBOF{LnnCEI;c{4uc!@?yP81HOX2bAwzHpF{6kxg~dx81nTZa zamEvz_)-y<_W{lwD!LniW~%$^tl!Pnd6hd`U^NblNlH8E4D>NZb_9SjdQLw&K*>i& z50L05sOd;5JDQ_iqp8H9(HN&Z&;muw%;M@nk64VFERzP=A}!!k`2Wqx1^~46M#{57 zq;)Ss)S+^Ma4U4F`_DmT#^!1Ue78S}xNa4pW0{)SVcR}QmnYLx%CjD3C{^*L-+@ zd*>%Dv^gpFO&(x^Kv;<8GOPLL-%8<#-?fq!I{ii9FDx8ryD%Vn{g z*|5mu+4lluMd1>pmS)xGP}WGwnK0p$`YNb8vo>{1HeB)Q)Nx(&ASOrst!OqJE;(8q z$=8ZgHbMVraFCsCbwfFhZrYOPf$1>kfEvdMv;=-u9^#ZGr@#w%bNgX~oK1S7Y&8_f zl$q3KT#9#vL|yi+Ej}g7D&&-Lf&twZa0OBe!Vl(%;yvje!{_17<-A0A&0;#Yx@ z0pT!e|AesCVtyPnY4zG-pt3E!DY`SY6M-+p*CN~`Kt~9u!S8?1r+DN~*jV1QtxxJLgW)U6aiYs>aDVt-etd25F!bgSUCG89O@`uigq23) zj{VC+I7$A$GEt-pl8+J~%?mIY>ev0jdZY3{Q0DrTQ7O1rKa3kxk8q>D>Dd|r=8g)n zrBUBRM6{4Zs=UNG7>gJy>nx-YuBw|k02x8AZOrcQ`2?@#5E5TpQ6h`8%>Vi}ShGpe z8lD)u`|=p&`tdJ5{&Sqk`f%lBZkfnU(6||GM!4$i2Z@%dwiJ@S#NmXTnph|4h2;oD z_Xxe7mo`oB>A6_j0uC0r)3e6PCXlmG?@*~oy0!2xG(%`b7n7{?F2VwUmMZcBpEOlq zJMyAvqK=5nQWN6G%@tq$0$wQqV^IwnFJE#Ab{oQK_4$Mi_*eHoCsa>400``0Un`Y* z8qb{daL$&C6Lz~IAhnn40fDj_fpTKD@7YXrGD_F=L;vzGgYgK8{eMEs|HnQe5CeWDc>|rvE0{}G z5Ah}LH`$^z)VerD86s<(wyR;N-3ct#u`Du+Vn&TnXqKhJ1-u3|LK%#3FhEh2w_y!l zQ&4y5(YrD>+9_K$9$kTnVr>IF)$8KdAJh%Ot2C0%%T6Hz5FYJZzxw6n;2Hw@7vPhR zS45KUP>F>c$6DGB;NW35ur2zFjMIw3QGy%#CCbH}z8qi=@MxMod^Fpj()rFq6lNw| z?fqZH!*YHmX~QjkikAiOvP&;yH4$YbUY*fvFJi!?nO z@ZTT)<@NpD_iz8&{96Ie6xFvVNQOWX63pSt>10H2bQBj7KC)PndqPH2wlE8 z(YOR^B8K_v;0DP$>@JP?$MpDWR$|}o+Hxm(tqcnVDh-ztc#*RFhI*j z`xav!HDQoSy`SRq8a|*h(^>ZThBU)Zbh5kEOUwd3uUQ5yH_3}37dPDrB5l-0l%q!% zEE0%>mL%k)%&9U%K4}*BXc(wX+MW6t)_;U+1NuIEf?#y~fn=eq$*t;f_&QCGN0VEh z4rW83qki`A1>`I&5|q{?hs1M2P#8uadb6e;tq!ji$0_O-E>*-QgRm3v&zOCYF*0JA zkR%AYV`)WQRHPXl8BS6xs}|ti^7-L!bU~AKtFXC3cF1C;nWoWQLD>gY0#wIDR#%iM zUae;vDtdX%KRT@>{N!*8u~Oup%%!F~)SuFMaI>61oXZQ1Z=g)rW;T4g^Bq!@qT-77 z#($GPU;gX(-vZCpb0<*EPo9JV&9?8bM`7(A;6+XxyllPrZj;y1%KUK?-}a$vw=Md$ zyk#c}?jhQW$}XI*m-Gp_XDPqzhYO0!@|{eU4!w?V;a$oB{XlY}?DsHy=0x64FurYJ zlzo&!b`2r*>yES3V3=G3m4;vAgf5`wb+WTZQBPc)(M&U9Q3VJ$lvK#PEavj%vxAgQ zmk{ny9N}1E4Hr0cnFfacSSV=@kF@uv0r_4G4robSo#ur|r8?k`k@g!B%Nd9?YBlez zlUtfpkXzMWe#Tt(L+JW)?;i3EnGj2gZRgz3k4A<=v1-D>T|H}e^)y-REuo8PYT~0{ zOHNqnWc0^yEhb->v{A0-pu62)9BU zZdEvqE%ZtzUla|Z3IrVkA$&12`~e&z+a2yMDi6yHpx&wan-7B=B)^`ONa04u|Clw^ zg?6fOfRl=TQfyUw{r>%TC{L(j*FIc-{r2VNA+VOSW}mLSJ@vmEDki^HI`Z=Au8OfV zw{>AnVP*paq|9gbco1YeH?pU>vf=SyXX}uYWOafP{8kj1i{PFaA#vNG_k1(pm?+Yd zt|rX|mJ^DDdbxzBLCUi7;Oe>USQfDSethxa^T&@j_wcxWzW&mFz58$zic5}MmKGwc zFJ;aI5Hm{opm$YBP)SR*P(Y^5xDd^U^*lJsOIicd8+l3&P?2Add%}s&q_=|QsDp3G z9i@T?Jt-44z?^L9cFOW^#W)j>i_eo?@Fuf7@Gwd)sBgemL|tW{E_Xq2Ccxk@1=`)y zl+b^^Z<zlM`C=Jbr*;2Dj*s=;AQeb~4|<*$dXZxg;~elLH`e749@t zz3aH`#hn&K2TOO_q?ELxOnb3Qcdv`cP5E%JU#-Yal5;74A&@yH=th(Av*A+A$-0_~ zpAHFZE@gZ05OLonyfqHB2gac^GGy-XxZXgI8J$8F^c)>9COq6r0F5(r*-sWNIGE`gy~4wq))h9i4b8=pt*B zcK!VL@$=0WAjq!2e7|}1_4?tjP|bAUVP~LM0Z!m$xMAKu{%`OC`~lsqZzhAeU0!VI zb`iF;P0|4E||nFyATbM%d|ZrSf0Bu3LD=REkF~KqlEg9MjBJN zuQnIxcS6{QV#gKEa}4N2r$z}sfS^A_NEoc*p{#M+7|_?v&+Xfb^>Z&gLEpM7=in>EXu6sq#20Rm>?Xwo z5s+p4dFxcZ0sA*V1A2Y)xV*ha{tT`1w(VVWdJCM5+Vz+3?8K=r+U+`)SxIs-JZ<~K zDZQk$FZu??>5p;`VUxgzB=smhKG9x}`RhQGo9i4jc6#a(=BX)43U++(dGO*Q63@*< za6xzztgLg6<0BM;O2Kp9kYQ!bnfu@1eF$};rl=qf~zu&UG~q?*n$iMyOnKA%0%7;;*W^u6Gj01!S=*dT;7~%NlcKwJ z^tBRBnVBJFbkzfuCv2qZx+OYhb~-&5`%7iL=QN%`2C{K)l;BF$;%K3irbNk$`s2qZ zuaDLADL=>SPG0>_$@_D8w4ST>;^A7$Ye|Kdst1cx6nHrYsuiFQfbXN$Xn#;QOljEK zC`k`;9DMU4rSz!rT=ERB=40HG{|;H$mA^n$k^|DKJquqX1($H}uF!&UPzz3uJR4b@ zd{_YUr)@!FT6A6tSMoK;sYC+HdF;LgO+pyfIE-A{q)>v~SsP>n+Zz$ci#Ky9t&`qL9~u=bWJZSgQGSe5(9HvlL$0DSEzrPdfOq} zTpd$@(};uWPuw^C^>z9cHL{fs*tmeq3}!~GUt*;&ZdmCf)|nDR)B+J0vft*D=EA@M z+VQ!eVv*PltUloRWW!wtm}5Te6GWLv8w{V7cCwXkqBW>`A6}Smx7;6w+ih^Ln>+8E zLYPNFhT>}P`Am9K8-?m)qLEfJ&X<(6&D?3y9q8Iho$+w%j-m{0n0_=kM?>%MTNs?U z9K6{JHjH!RmFLSA7t3dv=407j`Bp99;7~oos(=Q@f@ljK*;I}Pnb=xs2=!bt!!f^D zetGeMg!t!{L4%jOqtB(mg&cP|ouUe?4n~)j;bD9+loz9H;z(dNFyv-_OFvjg2Ru|Q z@`77SK;Oq-v!CJ5foh8PY6q?Tc6xGodAc;`ZQF)q7AI@R$0<%YsY)YwR&WZKQ{(pJ zY<0RkLkrT6;CF^9a9gj0XQ0Q~YnCVnVoso02j(a;? zHLNfNxI4%coB{XzBAI6gHO?^85tdRy*Lw#aLE}WV4*p4Jp(QD7o#G6*I#C#~@-y>b z!D%mEt$2LZg9$bmHcU?^yOwt(sa|=fZ}`&`$UK}V<9Y)nb`|spv#9`g3A$wu8eta` zmInN}yPuOsHa%L+0%5~wtjU7WA;h=LAeCf3BEEyPY7*)J_Fpq=1O~ajxV)HOXdpjw z0~tE1nHbH4c@dv)zkLU^^zlwA9>jqWC}q3a{JBl}A$#P=YD{OwjXn=j4di)tQ~2(5 zg(H={Uc~ieK;H_?nJ$6-kR1CgbJOJ^$OmR6nzT?I9wXy=LptYS{AJyD*?d>N2JFj) zk(|oWV1mq4AEHxYS5UFMYKYIq(4ty5%4GYCG3EL`t#M#2syZhuUaU9 z;h;usyb_i|Xrz@%PZ$lg*`ya(tGP%#lA3}?@b2aXyZlr;W9hqaleU;0bfmeGB^a~z z%-(FByYKh!Zya|`HeyP80P{18 z1SKlA!u5SjjH7C_I;_+2m3~#%xlkK zvqcxZWRp5M4`2s?N^z}7=;gR9lql(DyC+xNaQ8(tc2M91Gh;iKm>?@JhbkG)GvMj7 zf(QUnzFn&}06iBBM=GTea(Fx?dNTM_Z%lahGW~8Hf&| zEV5ubm^f0s?2lF(l!wc~dDuASa-h2|6@;YvI{=KkXp6OtoWz+>WIY5|?GIIWX=M^0 zQ}qTr@Tq(TXS=0ww0V270CJD^hPp;;BfcKBPs)0r)Y;AbJ?K!hp~lGXm+Kiogwp```&@W&hThS#NQ zO-%CpO80MV7QZ)-A*cfh&Tw=%#SL)SJ-TYDkyPvud&9EP@M$|<7FzFtRjcELf;2%H zf-uaT*I8VK6Tv!!-9-@CP`Lh#>~UBP0{c8!Ua`#GO}s=vEI3(bM4!zy07;=#Pl5Kj z%s#kvj^2WLmMXA-aL?x`IHPE!-gTP}s=(V@(D-b1XB z$q3fS1|!!dq~^#neRbu+>`4wiK-i^l7(JR+Bp3H1pIst$q)e7LcNH3DDHjv=Enxzi%{qu>NC}zywp4671hg$RP)d1E4V9ZILX~x&>B?d zop!I6YHxQq6M9pCTj)gZG`@#F7y_AvHO0${a%U&6rR%bb98{YrWsWChNKl5y0c9r9 zHy58*R33gu)B^uD^6vt7I6zOc+tv!bugx zMnpk!G8~>2z;*CugC{6IzR$jJ`x#LhGqFHmjh|_wHgRi_`&dB3%?F&G+SNn^olhto zV8z~|#E0ZMRRai4sgZn-mPpHsP?hS#^0uXv+%l0*ft6m(km4dCmf>%RLdyTXJDPJk z9D&c{N#8)=2af=n6Gc=1_supgZVZb7VF^ZHoz&;iYYRLbO`H!2-Wlh~`1L4{f-4^Y zXQf}A7DTYzG@_elM!>!s0pi6**=&uNIRKWh{a8nCT|Q7*!QK>IJ8+}vYKF)#0U8YS z^Q;WRCI}7(S5HwU*-e0Qg1$i83Nj+6XQx8AVOX*_6V@3icP8o0v|aAb?W=D@JAXq7 z-H#t0h|GBSR}>cbf6k8<@Stw~ZSbF{VcMK>VWq^t|2?n~dL{VoaT;LW5fds)=N$2Xfx3+g-=eIJ(b`tciDaZF1yd)W$*dB?BOmr4q69k ze!N6Urp*bkq-f)Nb);!FFWT3q7c+LGxm>zkPmu3Aggq<~UOutWaFf zhnwu}xs*!jMUIhSuW5&(4`%YbnQX;jP5y!AH4}svT?K*j6~fdI{~1kI$CVtD!tIzH zW2}=Ie0{@1Fz7rKr8P+nNMhnx-A0)NvK1)&9Iygr?w2aF^9 zdpI$Z%>t=OThEsaKS}j6V@`@rd!+?7;Sj^wCxgS9lJC4hiRG3XLf|(W+mIft1~+Ys z(5Onr)^)@icG zLIFhwtdK(ep^6-;OyYfGw=yq3xwRA&l0DPzZn~$tk|ZDm-(TlO+xju%8he6nW7uG8 zrV1Yvg~3ph-eAwSzusK`6{)a-y?%eQ^YHhtudcs*zD5QmTC6{OZQGsS{gHD9zZ?8s z2mU!5x%v0+F);YuEB=l<7=D4(Bam0f9@{ghx{JuE#EVeL zCA`QCteA#@q8~(RkH-6h5sIVMoIQ|^buSSH{8ZOIC!1}N4Q7sSeuVcibIy@U5;Q`N z(nTIGsgCQ*n*BD+G$ljKY|c!}I?~@#BN^V#F5=AkaD~3MOjH^Rk5lGV9v?s#RE}y* zIFq(M^kQ-bQKlNopf>7-N=pCwt+-_IhpiO4$!=dMc#_PG&^gVe%#fsl-EL9=-^*hr zdLuDB*Yg!I^WDeq@e9d6b>~qzNA{iEEdRoOkOq5KrddmV#Dqbb zlg)bPL!4Fila_1wvq1X@{N->|+0^;T8Ppf`G9G5?#MZ*B|3F<xLhv-kTesB)x zRi}SHYqA>_FaYA!=4X^-V|UH@v46EP*i)&+d-Ti-+@xGKM5LA61)BN_su&{nIUiQW>8U5L93x8 z_nd4Io<+7LdbWb2n0@dT`38`wNP8u#eyY1k$5);ptLaUT0N|C%AoCYuYdPC0{Mm-& zLF?{ZPHpBt!k$Mvf@~S98MV>Jy&_|3f}__mOP%+e=ia{lgz{`K5jO4}Z{^{{=Fl&!wBY(5>&m zg>c3g$ukI{)UVgrX?#j3hx7+DBeL>(ONUdP$(q<&@fXO6C2lG&D>=VFBI~?}#K7ZW z&D0=P@P6Sy&IbG;Yr{BRVbkFWBrISj9D6%HkT^uY+SL)0U5xb*A1X5ZVsj#;MoJFB z{_Rp6gBU{c@ARTsM8MCE`vQ+K3$PEct%On2V5Z%Djwc(A=aO!MV@(`dGzt|@87xQ9 z8{Pla8CBXgb{ATj(zArqHFz~@+(RYck?~*zKJt#$AW`fUw$}8`8n$M~nK8Zm?d#2_ z>%GZrEUt>DCIKFJYj$QKCn{Xj7m5`=DGDAVv6ql~m$ zu*bql#6=uqPCV652XE|@m_@?h1w2*cnza$XXJuUOsNV%s`S?ea5N?^UCBKDC**2jf zR<=&%H!1uW2h*$MG$ZKt1R1F(5lM4~d{E6Iy!5>R7%1!y^q+(J2la~zobx#pMSRh| zog!F}9d00Jas3Vm=nfxAF*Ww# z@d9)rOk|Dn0J$e~In9H>qd6Zo z;s$7mh){9S{$(H@1fFCR@QF{U?*OVb8s*SGBKtBMcA_q5XIQvn>5zB!$KVn*`7Do2 z?S`Z23Ent1W$PSWD-XGK3;4Jp+8l%f(Oif0EHTL}Gb8k1T7?_l*SduuW+Je5SiNdI z<*;`4vZ-Ug>DM>K2$k1^eezq%QXdWW(Fk;E%aJD%ay`s1Ef1xg_H@B?7deAyRv8{r zbb$1gP?@Am0R(F?Gw4Yx zU>!UU-9BMqhnmS!4DmVLKsGZNM+(9Q9t!A3sdO;O4yj@M!Mz=A7-Yly_J=E^ zvt=TeNSP|d9_*$0JZoRY4`tW4+%}(~_j8}RFZh#H=H+bJjDr5`*ddJOno&p$`3wj2 z1KA$u%@AilHhwOP3LqXY7KFdpezMqFuh2tlQjJElki`G<__h7|;rj2`$uhjejog!@ zAgHxaC$Wkex7L&(SZ*-?=WY#~ws<6HYJ76k)s0QaBEa8Ketp16y*A9lOU~p;lg45t z4s2pR)y5hRfGSReEZmIeSpf#NBJM*#rGZN2gHeQMN*}@`8s-H^9FM|!C&WBxJqZW8 zPFK=u4O$)HpK6;DiW@ZKnLM_1fY)aSkrbK+lo~RZ3x?3Z?!;cE1qkl;PR^n#d7HP0 zhZ0LdcdZlq4CqT<)|*jr&_gPP9n})P!XxyO+zb9vh~E&U(ZnxS<5qs*+|2fGj-P@%;``Uf{Glr|>lSOZW- zPT`ssZ2Mb6X)aoKHBm3htzn`mAs_)^k&Ukn+2$uPAgQ+~R{=04PdvJgy5E!%VjA?2 z!PuexL5=In?4;%P)B)FW6QZfvifC&70Ynq#Xw+c08SJKfl)OShXm#1P;s~J5gy|3& zSfse#+fE&ot@QjuY&F4qIELr*rJ-I#*%IX18UGDY7FuZL?vA*UFwe8ZNB`Xz}SWXSTg`w{=MP6mK3codrovlHGN zZrju5;Q2kJH6~&pFE4+y;)HN zz!9^9q~vOG%L}x@0bWL#gPjAEfT0Gb;l~jI(R=VlK#HMe$?E>|-Tmjszr(}by_DQj z!*Q7L(CHmOnqMs6V48m*OGloOAZZD;@P5Vboybs#iu&w~+7u=V$^$aAZ7+|n&QKso zB3@h(-Pa<{g^bWFilUC3u8@X<>YWMvYcn3$4>kANoM-brLa zP;xCVgaj00l8bUqU&fg>vr)%{T&0q)7PfmcGMihrR}nLL1X>I(DM+MxWOBk-&GfJw z!qh~O&ER#*Mu2@Ya$VQ z8B%}{f$UeIHJVt|{>&m-<5U!Q$Q;*)MB z#4mP~?>v-Zk7zR$LPM$45-XQ|Rl9?7_;L~lWiovL*MSircw4c?X2F^!vcxLWZHmN~ z*HFaEL56K)i!?lLQSw8%Wex&>BGxfwU4`0xUfS-;qQo%@e=xHC%v5ehUYwx_w>KlV zpbJwOm%U~~CT>&N)c69}0b;|%xn94!sF(4R0X<%s4n`!4lvwUx^F8W}$QGG0OxTEt zw|BL&X63k@?Xu7!efY8$PgQnQ4Gwe&+We=TUSH<}7DFoZbA2eJq@!1E<`&pGM<1>5 zpbL{UUoW8#k9X4|gAzD@>3O3)x!7U_~%g zr>SUwBbrJ{&q#64qHxQi+N3ov3>Lt}FxlXGS9MI+G4wY$JIxP(av3LY@X@V9PHmM5 zLUGQ2(Xg7X*Fx-~R6ye2TPFDZx8BF;`UKiT-h9O(y&~z1vkV#I;)A+nfi~OtI71!a zP~zZQw*t$EP3&B+>|b|=Zk;QEENjxf2L407=)kERGJT`quHXoG2s|1!!OQpW!|+5P zOA7z`{gUR1O2xoh9iE}Tq0~JjOgLE~hueVGhtK1z4*|38(3}FMQSGTnQ{uyE%5|EK zCKMwyS=5w{?(AkhVuCi%5%5)gyncA3dE8p_E2yCz<4(K3df$Nhpi-`M1?4fc)8tw< z%|HKrHY)2d0AGemEFX~K{M(~*iNh+hKOBc7DMH(a!R*b-QE~i4&UrpT2sbMOftoZD zj=VU+N_fyNX#o`P3i53LU9(Vk-7AH*Bi{5z3@+FH-Hx@&MV@J$U+Z-?_94C ze0PQtJh%+J>u=$3s5Kr+R|8CzBCfe(6+2qpi>dT-QhxLL+)d#$T!fNB($) zIFz0$EFV}M;cETUgzCOL9}4f7Hdj%}3VicoFw!Q~1{r~$8^zxC&_+LezH5KEevl+Aybpq; zFeFE1Fq_f`K)*#^Z?-3^WrCjK@(Cxor%@P8DuOxNupU>zUhw|=Lv)1c09ir>A=5uS zUH_zNFDj^F>s8%2L1|ZE&p>e+bYHSd>^Pwg7x9m_Y?;H6E!&U`5JzY0jLIR}K39(u zBA?S^P3^K3%haL%pst_z`CmS)`PD^i^9?7_-oTKjG1Lx{`r0EzMkG;o#AMoD|{`-jkK1p90P2Wl#W@POtE%eCg>Sur^!6s=D zHu(H9kc;*hi?XCh%uOUL8b?sNyM^O$7+gNR zwQ{U^pF|eY(zL@+_^1=f9mDE6o4d^AWVY@3y|NYvwdfiR9{-=ahJG`5{ znQfC4L^kB1%p}g1h=84-PP^>!A-wsFe@odR34~|j@F4pgHb@~SpC_NSr=*yb6dOTzKINgEOJt=&Re~dD#^rQO*QV)$0^Bb(8RF{p<;L$EGsW<9D%W-;BhCmhra14ltw@vhlxbPFFX#)X<-BPXrt8r5 z$P3P(?OQ%5e&qNbsC)hdpwQxST6G{)C`B*P9e0z8hFLZsSyMTIr&C06<*^TZ2^Lx# z8ehuRFE~f3Tbpi0=!N4+cx+%M;k#ZhH%NHuxLOr~gPIl|kyk(DwpsFw!t>o>gEC5o zaRehVV!sh@7r2mt-3@4~d8I)^$>ptpX|5dJiiPSC5DpA1m4|$yl(iAj= zZh4worEH&4;qXsPRz6Qc76lxcE2-;Hax3#B88Z;K^5z8fJ|ULYtve|Phx;#R(Vm=A z=Uq=Zkf%CnOk-qKlR4qaXS zjC?U=F5nc_6-hu#FjUF_iW!RS?bqusU+&)LON=8alC8`j;jxi=QN4^wFd1?ksxs6~ z@RJufQ#*;%WB*p$;g5-OtJCsc47lx6GTGjfUHTJV-f;h{ZO4H0ERo z9LQ!}ivkov>d;kAI=_|cat_XhD0E_okerQ1vAx(n!!#8aOF&TZfikKgCbyBENTIsT z>xBi=sJ}@K_&%<7{2Om+tvlZLV1Ceq(#A65>q;wrT^T#pgHD~IS^e%{3>XJ_i|~sr zrX_qblY`*`rllB;qLzZrmgk-`(Y>r+$fj%{BviC$0A4c~Qeg&R>(CYhj(Lwio1Vu^}~rLIwh#;^eEn z=Juu4oKtUptwX%okopXGUep^E(k_)BLUlX3I>|jQ&Ip&=+}yvv`x>EMBo~TH3sOsT z1xEDxJ}tVfkY|5ARy-YOFuW2On8X3YTEhxU(jU{W z@1VbcL)Nr233NNJbfAGG9MJiY@x#tog=6{*`9hv8%7x_Nvd$OU&7kyr?l#lC;*|AV zndzjZWAri;b<}vVM>{Aj^y!w_7cU20Ir#1R{a>?j-+a?5oKYdgR%jTBsU&WPq)`Pf zaQjb<`r1_I$m(ROfRGm@yE-MZ1G{~a^BL=LX5}`BS14VFFyhDBW6gZ}j+2UzskFQa z@l*uVBhSb_d+2`u;=>1r^-muhI=iN_usNO**ABA^%3@AbR&;+XOD09()%GI4f#L%k z$SJ$o!Un~KW)ylT;$c^(D|D79nWwjTcHY^YCW|k;Y&LERyLWf6hulPb6*N!eU~Lwk%oVR$#>w}1LAhZ zFXqTY+j;!^x0_dgyGEZ+=40?LFjZS1oH>i>s_6rFl6>~i7_o4@QNLo(Xj1S+H3|L1 zE_z98*@&JLQk=ddTFzaaUc%X)owLfQevEs*2g_Q|@p?`z2(*!w3CfnbNz4@9x16%6^m40S4(4f!lc4?$zUnyPR5y`4 z*%T-5zTvy&E=x|4bFa!XL_X1Juv}(zocO0x9G1?&`c%1#sthJIBKFqN4a66m0Tn3t zRSR64tfCVD93}iRyJKgLe3`rp$XSXA!Rxrr$hJ48Z%M)HL1vK$1uA9lXh9T>u)ygE z!B9;uvBR-BMWS-{71_yW~FyJn*Nd zbg-r!%-g@V*SEKK>~FC1+e;LtFu~CSSC*cftKAyA8iN2KSIe~YrVaF_+0i5ix3@p+ZD)TQ34UKroAs?N)&c}upn6tP^p`g zk@EIQdJ};`BJ~7K&0SU?T*PyMx}MU~TC&FVpmau@WmP^YdqyrUu+t;FI=nT_-n%jw z#5V$DWhOq`Oiu?7@fgD;qRO~Odyc)KqdKMXTuG%qj2KiqfQkhP%xhIsotB8``b zE$j|dwy-xvx6oO8KuuBT1DY*qP)Ud}D=@0;Z1l#Iz9>n+h_M=kT=k$?=-CQMk~X#3 zx~a`Su&J1n;a~&v9t%Q=&`EtK+br|CDwAwc5`qs!?oDCMo_)7x7`cRdo>}gKS8@d% zP?ATWczyatsv^;CgMbF~juvYBmVw|T)z9%=RCJf#Yc{>3lTvtbhCL?1Qm+9y{&GWf z_g(w`+jq*MhhMM1Ay?-&w>TshknEgNaTPWPP_pgYDZ52nAl``3`gWi+%5TRz;O%=h)64fRh{$6xK!fu zTB+I^bWm1eTNt)Y_P1oVVWz%xjm|zXZ{x6Vs!Wvo&tE)2Y%SLSx=BLH_iI%IN%j*pcad139OVz}~k66=?8hwn~6IJ z)&D!fSueW{TPW9~N#H&cfp^UHMBv?lE`fK(H6{WFD{ehd!8RN_2h5ATOaRkVQ(TT1 z{^>eoyQ4xBR47A%)l3l*GkYe!p`ykFDZm^EI|$9XIoO)xc(D8YLLcl_G4ZX|Q_9Xo z=Kb@!OExJ<=Ztf1#LlmB&5?8jDBGBI?A7rRlZS9ljRu-S_e_NOpazzQYzi7^4iDVG zqB=Edm>uEN)UUB3)Pw>nN@T^IfI#*;;lNJjXBza1wpW;|pMJ z9aN9u3M1JvQTa%FvTD(FeTTi+;b+4M+xZTYj0Viho%lsYrPj#^_*^WJVnmp%2JoZR zp%=(u0XCFB2s4DDD-aQ+KH{9r*V0-Wp0wpkf!(ZYVobxgv!EB0!FJB0*JaChfPwH` z=GkV=gU~g%gyNVB0Iw(%PLFkp=t;t9jx)>ZxKnsGVTxX&CEL~c$B)Q3M{h4GN6X`;&Ny4M?EQTYWqK{jStRjko4su-#;P~@8;qAm&f+6FFw8h z^4Ip$&F#(o_2b=r-TQ045Gf=>Q$a8RK&{YqPAwPS4#2n0m#2fn7tlVbg#L1aiae%; z%5Qe(9DM68gTl%IwMebueh%2(qiE&f1@nFT7CYv5vS$qmlKd zDAyy%hU2%U(o)M(ma)FLIO{VPypBhfw@p(@IA6YHfofDJ*#IIo_}%D_yMG-1t|MMA zTI3m(G8LEHeCZCzjX!+7CS|3r%yEmL487aQZZW#jg{8v% z$66Bg>+v$(Ps!WpE25Hw2oeP%x~~I;r9z=hfT@ni&AkavGTHG6tc`9sB$LVM20f_D zEjZ3_uDHk|a5NEigA!pZ@`u7Px~<1zcF`t4hja1jZF?@GQG*qVhiXL!mGGHn?3^FY zpPIS|e^5=p0kl-(3hfvXAMVpmr>-S zzWv=fvirrwx9dxu`;1}KhLvTc>Kn0nK-{VfJ?uHfs3{#Lhgcy)4?|PxXt5~8VqD+i z3;l61pKFH3C5q(kO5SDL+)5e>ku3yAXLBwJt z3NR=(eam=d*SF2oZKv{F>2iZXSgIv=LW)S2li`x@es`{{9PeKE1$bZec_$^3{UBiE z|2&JAD;3BXue{v0nfh{>g^9+m7w3!R8Sv5BhSVn*0m^1+Dy5RUdc!oX9SK?;rU2Q( zXOe2Pv7O_2;jITskYPIfptU9~65O*{4EfTMEISiqb@@dRSF_yg5XJpLeJ%5>jB|}^ ze}IDCM*Ko++83;PII{8BVhfuafGP88O`R!Z>tSlUdj&| z?K*Ck$-)gh%tqxq%lZ)$+tr z;Y|ra6AjAAm0{W z1;FcYaYCzshk4tGAHUkT5XI^>e031YLe*4U|A~HLSFS`zXzx$Fs(Mo=L{;a<+3X`2 z9E;{q{LgTsc=z=IXvv=~$JP?lRyFR8X z3II3ifwPU)Knjg`Q7D{%J$yU^LNY_fI(Wi5>D4i3%eU5YpVPz2PG+X+qlhXMSqv}kG|AHWRse9cG~oj#U5gk*h!E)`eUlX}FS zTwdf0+#RwH3Ha^p88I?f?*__zv)uS{Awptp-mW*7eOaK(RnF4y0sTB_|LkeHv@ZM5 zfHzx`K;Xork0`Q_M64sl2(pc52Cz9>Mzs-nt|ri->|8PT$F&2kZt?7Np`KvmmE!kt zKR3Rgnk;wA&KRK8;ADJsXsx2SX%&)=c1BZDbdt9H%k@KQrv;Y-|NeM${rLS}4=caD zfApP-QMhMYws@Vhlr%X7x-g4`*}5$%981gf`zmzMw!vLBso!@n5A?e#{-!2 zyvHblh33r63R6|j%M2>;>nTE)PmQ|ltIm~xMQNhCz`3mU83*M@#gc&U>IOlkddMrS~1dqpu_0f!xtS?OpTrCK#Kox4$jZQD#Uc!gJRqgJ~ zvi%7J8cw|OMsK<_M9>Rb6_jVJ9sNQZc=)iW($^Qgcz?P30(Nr!_;~;Mm+y}^=;w>- zE;88SMLbd0wL~N$H3O164n?mF*-*SP2wA*_ds)vGIhbW!WQ08F1)-dhCkM|H#RQNe z%6et>mMDP;Fi<0jD^(^4A4pHpuR>=JkrkrbMwo{HP;3xPn$MA#jy~{c29M;@l|F;n zW3_R41l5<$pTOxtokFp;CqcNJG8#TVrNNXe#6i$4N`-lzQv}uhx{{Ocwpm`L^$#1O z@cS}2bY#!HcHu0#yO}Z!!}%65^yX4itQ8rQwOM?Axc=qKP5bG~-7kn*;HaZu+V^jd zJ1+-}F?_!N_x6KM*}*Bp~NX;Z8YqWn?@>~pLQaZ5%X-%?{06ufBC}W zBB;;zU+x}mcK9p3{`WVJNV>d1YbGK>voY!G1WDrugl-f32Z;Yz)7u3>_hOD33P`qJ zoFFgj5;&Zt22W^|fkZa@!#^SZhu>fvBLDjY(VyiJu?;Ld#?hzC`Ekb6<@{uZl=DM@ zDwD=}yhI8Vp&-b~2I8KYW?qa&ue;pskNRPgl^*#@hY--q?iVdLU=#^~Bo5PIFXzA! zE2pRYl6}X&D=z7xo+u5f5v8VK-&OY~u^NhgFsd z|2|07-UJM5c@dJPbG;&Ex-+=4Sx%{_cr>sU*q;1tLoX|5=e+8P zc>XpA)8}uq`=prDcY1!xE`SnRsIkUS^)Am(*b zh%ysF&`9!zv#P{&y;_AFC%Q$@N3JLLEeQ=H`YyRmiuW>Sk7*NP*V6X20-TIof|FRI zv-lpZV41BPU#&B$-$s3Fda{N_2IF2%J8fFta&+a9H~_gS2)Kik)S^7!&@2bhR2R`b ztkKTuI@OFOBVuj5bdJ4_McQt-+{=?ZZ}K{f;~qGfVzGf5P(e`PvIkh5*G`9f?m?DN zgFGVjjQ&7}X>~v7o)z((!-;u{fWUt6P*t=AeL#An!_t&(s&OP6=eCuZA|2e_l7i;0 zQz9$A*=L4V7~htDnS8|DLiCL_6Nh>6pd`{D7aEx<8F6f%WE43cPoev=pED3uUA^jx zqv+HuC;W*iXbJG#6ck;j-fdooMiK6n00{c$((w?on#V-cXGy8|WwvpxUXa8+pAePlQ2`-I;CJX*``fFE6*E!`t7I}B|Fo9%EY zeOzd$Sq>xn`YsT;^V2oGdN&^Y0fESuFH)rt_%`F9sw9}7EtV*RBfEv7x{>R9@#cs{ z_$wy;WFQj5GxpBcs%gXd#ELr8QAi6Z8HNbtsWa4O+|!nYhmG9iDpdPs6bZ0o5+>mXh0sOtd9b%Wl8J)- zd-=~Q{jvg74c?(hD1t{26{vElx9j39>?@&|U3V0g6tf$!B8hLGAncvC2Z3+eTP*b< zl!;E|c8EhnHv29jb%tAsTq|_yT3r^7SF;c`rjg};YNPuAD?Bw#SC!`6rzGhc7sQQ3LxItupM*#(*sX*i4#U>cZNzz^~6?iGK; z&UJY}_5YiC&|wU?xu1ip(P+4ja@84{!Id_z!$tKD|KlTN&)m7C&cPIr8~J2`3r2b# zfWi0BnMk)!Q2#&ooGftyw;gE z%sJjjYn))Px?}0>%W1NZndy6A$Jy9fIB;6cjtOVaCc|$c@k!V zg&q^dA)N!xS3FkRe!BhszWv*E`{nb)BlIZ<6p3sN4$;O+q}Xr|_5oP&$vKi*4PC-& ziHJ3`;_W*usHCWi$S4N%jcmOVY;uYmGn87y^Fck8PZi1Jen!L9S(zehjXF#o;Xpkm z8fX`bH69bO!O-})jzDGL3of)R65F7s%n!oF+~H6DV!ATh$ePtf;h((Y^$Gf(Y0Ml} zCbSOF_=2ZFyu1ee2GQg6^6a1h{Q1R|x5@GJG=ZvbJ2d%vY@4(3;(d@K?~scu5u82L zbdhps`1+=aT4=k{gVeZoakyg@I$wj=ah4!c#IRkjnIMEswc@A1CAkQ(w7%WRsqBo! zTRk|;lK4UA+3Co~EA+l?rqaDE5+sQnB>XHRN!IRq>$vmEt#=Uu^fgcg27g%~gTQiE ze=2k2vl(+Ve=!uSn!DKbE?A5G&&aK)67n^nIiF8wr+JLIJEWA#`*aFF$NE>sDr;Yx zrRyGU2D(@2J@q94ipEhIaH$Y0o>%>IThGa)7Ulp1Y5Y?x415ol^9lajwUueup^(p7^m7D9rx@t%8)KwVu>_II>w6Lx<5r|+JuIC>Q(=yd1K=KRy%Uf&MVu`ur48Lu6UEi?6a*g`kWYs9Xh_050G zR6#(WxPOqf!u_-y`G2!a< zrpU(levRDMiA^c0D%L0HDl24XPE<78*LWdx@CP9M=ntz*kZ%=&?F$r?aWE>#623dK zDs;`vu~8%%im$-gw2YiyybdM7wK#e`PUk-!@kI!l;O;qk5v5o7O89}ZRRX<>A>Xdb zXXP!^yBLmm+pEM89v-H;?8uL{s7rYj%Zh-?;6D|xHZ2C#_6QlyZk|E%9cBpOP8uoe zOZ4aPMI^C^84$M7Q*Jc=BMMP>xIf}+QyI6lIp08$PH4#)1M;e>LcK}e~A@j&^4x4g;13X(0cAEV`K#7cJ1Idg6tE@eeM=n@UI_4ajRI zVFrLsO1jn4(HWEvgnhF(Szo?BP4XhMZVu>1;D_B&C8ec=NdOf8;>eIx`kKen_2TUm zz^Wr^9xy2{*B7U$RueLXXr?AFv+b)N`}(-Q^VV7M^1SE`Xu z_q_=#=_a6fSY<8EGDj>7k|AS)M_RbxOW+_ij%}QiqCUsjXA@;@Pus_@-)^tJ4v?@3 zH4)7@0k{nY!Gsi!I34t7kIxRV=k#*;+8n+Z0?|1z6JZ?=95L>F8P@*tw2zM!vij;>4Y z%rHl08D%l+PUN1@0;1-9?MP3Tq=P3IL??#k1J7f(b^+aj4X#9>Ka-W1Zr z%NHMiz58}^3*6fKySu-BzIoNQA8{H!e!nd*512p?KKgR!~pqp?ukD+R&u zn8VqepK~%&5zMrtDneryiUe+(!bMxlUi<@9FIe=#h4`#g1`YU8Tr5R+@qwavh7$H> zMM%q02=dHOE+$myWb0I=7ugw)3LN1VyR%?b%Lynk5KPKAq~`8A`LvLaELx$#ATllAI{a-9azao@XxYQoYdhQGwWzd$8CGe zGlioeqjaLBS*loh$;bi zSbgMCU_4bRfb#-PtSix@sN1eHgd2;V%q+BBiC%(YI=R5L30qh|bt>Q8lOns7;|H)6 zBdJbv>i}f4;+s+PjD+K5>+n<54gP~XkgY?$8MO(r8-ma|S!B6)v`NJ&Ozh2u$eZPx zi*u=IE0mleq03RZpDWQLQB&~QR34%~R9U~uBwaajf9LLVa(7&Y228Dp`TS_=!@4)Y zhmK}2cqD*R^i64?dZ(`tGfedPH)=cYhv1twyY3*Cybdg~!v?zpr2y=GFfrlO(LQ$( zw(Yljs4ySDeE#dr&d0BWAHVwe`TpVYZ`b$Q4W`2TN*%#QI=d&{QuLj-lu%M4- zFhTqY9b`w*OLhdSa12-n5J>Imu>I+BZ-|CcnwJchD7|S!d;lC*G4|Kb8vC4~uLzNF z`Xq>yqs`N}O_{>_-`mlYGUe$i;`&9nizah0Bs;e7m%0G3mh=P!4T=Mz7^)CqWs*{% zC~n^L0ipw?ij^z)5$_rN96oq~bB_$uIlcIj$7X+Ihd*yO6$d}R*gf>wm=dTLdC#dc zuIawP@X5oesD_1@n~8cjw`ud^NyS!#L1(X~olU3sF1sQhB39r_x-0Y+1mR-pFdGZC zFwq>Mg{~IL0*EeU8b&~iuq{AUlOXalQYq8`WPPPj!<_CQYFP?c1a3vKrIsPhgH8Uf zT|yn8v9Ugn3`ip`J+mY;)``w4^zoSm1#|8)Naba^tPAhYo;81(AH9YJY|g7ZxGNde zeB3zKTnO(ZFwPcHzP;;UPE0Hf>qA67dG9=C%bLDa7eLqvTNLF4>Qz-ng(Nj5K{FFf zqBw?pl$i}|Ifum*J&4ZWj2`f(miizTSK5?$bI2A2f8Mf{IMlS`=~KBr97TiQr*trx z@^m_oOnEvT$iC=FZO^{x`8j)2(f7DbLP4@taDj@SPj(1x^_*h3%tkSpaHS*Y z4+IoYYV0#V{t>uqA~EeZ9>Ij1LQ(YB?a>9Qf}buf8dg^h>q3iP^A%>DT zlZPfd<9cebrwWJTFK|r-Veyr^gB9YcPE!Jl1r4eX;})gWrBL|lWWk4BU!Kp7?JTtL zcg&IQ&_a@*pa49B>dPO-<3$wL(lm>>7cgg$Vn@z+1~_zg2)}jDLv?^g% z>17T41oj}6n(r5_07pR#xWZAEbX>F5h`Bq`b3=Do{jfK|$od5va%8E&%M0s8m7(eE zAkKbFP5?kgaO;PUH+LU*zA-!pJNqSqbl+b7@GtGhFV~+Q5M@K<&*jC@%fbKk{|<(* zmutz81@xQbMSanPUH7G+nV=1&gm5Ew=?yw#|G0!|IaLD%5neeG?#+=%R&w5twl+8z z0cY*LuWc4G<|Hdt1u?K!Y`(@l8hIS4Ny~I}G)b|%^7tM(_>X#iOAi8i>&*$xL~&45 z7kK|zVxVBxijK>#Q~f|JW{HMLTs`P+cxZts(IxOX*dlw~YJYK@%0RW23ooPn-5Gj_ zxLHIsN9+`OTuFq~BP`b<9giQBhCsDo(Dm9OAs<=PR5Os9< z3@Q_b5*aEAKZQDLb)Ud9CJ9IYr-Fe{HAXp8R~LAw3Ba_*@^t9ogBCt-V%hSG74B0E z>w+aJ+}a@IALtLl_8@j1q4&2|!Wsnh`uu7Rmb4d&!l<2|vJ&*qOjr;CO;xJV^P=Lh z9yOltS0okSa+XR5t~d;pU-IaNY=H{@OcVZ@#{4r%BYR3C@TVfHomB=!0Az()6UZJ}!xd{@Z4`U~&AM~L@FN;2#8RU8k4^F20xMfBOx_}D>_-kYN zO8L_)N1NRUG$I09rPPi>eP_+NTy2U0HwG%hB$2R>3S4}X*+)Q%Ri3+rj}N?Aw%G15 z%ium#QT34#wQAd6?!MoCK!rKsRuFTej9V=aUL2oI!H3=f-m*p~Tr-0dOr@zpqBaaC z;pmtQCM6t8%GkzsAcM-HdPv_HFcJoRhq@g(LUM65*4DmUQnEDD^fKefRM1FBBrx>QMsCNH>( z_$7pppc7(Y%}9>rV+Cfil%v&)_UkVX_m6kKzJF*R*x9Y%#q#7#qKMO;F=J^stS1Wv zK7u&MK%2TD+o|&x5lJQEEwg0P-3%(B{PId#!l-}@dy;039g+4Id@QP|{Q=&JUWzy1 zgVNfX@E;2Wn{jSLQ*1W$ZEzP$hcbA5=1_IixKZ^boJP88uz=Ef_&pKl zbthrp>s>&U~i4r{|h;DW97CMdU5$<^l(?ft-)(Tqu7n1s(I|Sy`bVbz}vzV?4 z4Kb$;B$wHFl~H{@l2AeOg$7fMRJcg*({j^3UfI!cE-=R-9+LDL=YcBrx9eyi7O5JI zcb`|p$KaAidyT*|*ukV3jF~}pxd3VcRKm>QW7rb&)2qdDF;Ifc6P02mgdFpc%1ZR- z@USQ|t&~wMwMbH)+BIs{QEPGH>Rs1;BZqNWENbtlCi=yL>ZMJ^|C%J z)<@447|A*c8h5VhR7!kfiqJG19HI=b91}*zg-Tmzs*cpzaE4A4j`6R~OPdDSgI+?R zV#UkGmc7rXE2-$n zo|GB4Tp|EK706nZ4V3R|F-GIyW=q;e8zPp(RgTMMbG?K+QMX)@jtT%FD=|)_k*A+W zIWv`W8rO9n+&Y1?JTmKW^Khc_7s?Qk2&H7fd%)VI-}H1z&Y6{~3n`t&kmjrkp5#7~ zMv(Z}65Y}M`E(^uJ%)b|+=7}z)KV=H*DXJ1%cLN1bj}s)4aVDSgIw?~iSG|3D55K& z_JytY1~wV%Xl8QciCIeeqMMBNp`5sP30kACqU3&3#wq({Bjt>ceA`Y}XXigJ^Kacg z$opk-({x|?C5kx!hw%CIb(vB(@Yt}rbDYN;+fJg~Ga#JSKU4IVXJ zoACgRn#;o@?TruKbrJA(S;!p^Q~8WMj5CvIVP88+qPSQxli~xpo^{wOC1`3EnyftM z?mV<2xXtb!h+SJQrKVjj%yTkPV@4EUJ)WQ{rc1%#)~H~X|InZ1tFvXUtPr_-r~R$= zGG60Lo*49dSEd9RvBDJiYFB>zW%PTTN*{KxE}$QUv5d^*L&fI*jN1>rjPn zS0?d+6$w+Q?{JEN0U{|1i{7+Xbfeiy23cj^v{_W4ZTdxHrFcmIW(z-Kb2xTCqp)4iv%`7ocmTS?@;J^Mtss`r zm*`(`JSHOyVg@xx(Lm(-*Y=($zsGy#KOlth!w>lLBjXqMga0uY4*ur=bEROZ&%*^w z{O&J_@<6j523z>ToNYr_n1(eUpy& zjO+7&F83I#ES@{< zsK>(tOIQl~CFgq6^hzul%WF{B_Bo!1IpKd(7G^vRC?}XBRl)r3=fJ> zV1oLCwvLQJEQo>MiZAEzlc8a`fz0fN|r>@nQMcUg{e-{b;-49 zE-oS)i<3?ET=fb1Y=KArLl$G@U66>nxkMG?AfwP4)2QCU+^0jD&Zb}mbPAy`ak+KW zKf!g484}LO#{rXe-^-r_(J4$$~ zTO6~0nn6smge3zIMU+7S@=Cj*<7;I7@}1@$=52^a{ZN)Wk`T>$?zkhg6{eS$Nrck_ zCV*J*E>aA*M5R6fmuMU5Qcf&tRd~Epe5*MSmp25&&CLha=zc_c%8PKnICs-GkV+8M4q# zB9;gm{0DfQ2Yp^;zYqTF1xzpAC>X9~w4uFqq@tReR?}0cd$nxk=(#B5w zjl>$=6PvNu5{w)G(YeNM36wh5I8u9n);M3W88Vv3C}VPkZ+gB-?lcP^kTn(KTpV(T z2}&Wzj{`gHlXDh!c27b$+~oZ_ZUaDwz@OOPjdkMR-`#%v{D}=uuF;0~A?5Ml2$}bH zG*t+*Y(Ia*gdRr}C!@KA{ZxLzeX zFR5V^w4Vz=A#$Zv6&ijFib=AO9m(UEvm?m3twU}VZJ8kV^`s)>SbdmnZtol+?g`=W zCloQOUiL5S4a!&1Ju%)Li~;DvZ=)d!%poU{S~M4qLK{1T{@v%VSv#qI-M^tbDqp~Z zn8lLRAO?Pn%HR3fiMSN-Gn40?5k2@!20NLfP$T9t7mN-@v3Ig`uKT?P(dX8iR5hEXs$7ouGPS@)h>|s`i8x)+_Jz z)HK~BbuejyOP>Q4pV!r3X}*kTw#?9*^V#WnXi>j4Db>Z*ia0ID`MN<6ThmfcH5*m*jtO{`ETMZOXb_k_5b zOoqGoRZxeqIz{4h)E5r@(nN&7Hw67us?bzu6@jW9*)?Rp|Y?(`}MLgr5I>!oMhT;r>#tb7&_DHX*GbcaA5-mJJ4Vf%qP~@JHkea%52*f$=Y0tsZ1 zfDZNt2Y_q}U$Fke+i{Y5pnNNpapO%;y&MqeN(l%Z@OP|zPT$kB(NCAl)dK(Z)DOu< zk5Tzhdn?g8*IiI(8kH56X9(eP^G0LzkkIt7PDubJ}3}_(Ug1){Ma+H-@?tkKAWx; zYdLn0tQs0}l>Hg|huof1EM;mEB#NvMB=njNbg9`=0#L8}ruIK2yOSw>x>g9Z9WNkd zr*p(fo*MNVZ=DnO7dGm0h2Xa3C0qwrOLG^HSr;WzJmK3>xOsY;C*4{DQifM|U*Mi} z?gAHoi7LLxI=kF~x1Pxc`~g4x?ai-$1X}*)_VJGZAp(u~*Y?Zx!=rKr^gF~b)vj(46 z2FEWe@QI8-YQwsJN&PV4LkSemT^+4Fc72{bb@j{~c+LmQyPEpjG?OlbP8>arSEDJ^ z-=>M+T2gdIz1{-hJN*Y-r&waeb`q>{b-uY;ap7Q8L9a$Q3{gP_2eWi3oWRu&{iMx1;8fJ!nUcOHDp~S>^eV$a9frZyP#qTi75!pO6kGzhV?B1|CW(V~Z6rF_ zCqwR1$N}*g5p^jW)r6rWua}~ob+FhFPT<55@j!Anl6aXezIBe$mP>6UxUnTT8U`r3 z8aZS_O&&K^#exJiV%ZNQDL_J_-Urf*Sw5$8scmyF>aL_~$(q%Ds4yPsca4Ry)XFz& z6qi&Pn*<~sW>~XBeSASt6%iGlrjUFdN!ncMVeq743*pEk@z}24X&odD&IfRg%7*9|Cc!#{(c099jH!%OBus4< zzZsp7z@K4?daqS5LF7q+CB#ZQ3A$n+^o<7WbeMXQTs%!}u<|(7Y5F{NIOSUnrr0fyY%Rf+E(m^-Ef8g)?jSu(8NZ}k5PY|KU^)-7b!;cncTQa z^uxZv9K+fMXhEfqV-~V7R@kuEecCW~c40NjE#ShY)U?@qCmCrl`F zq{_R&dRo65sDc8Nq#0D}ckXzR`OX0nlbySc+>D~VC$>?&s%*gZ0j{6>&)**J?&VdI z>n7Y3&IIQ63fSdRK{{8d)w$8)X z`6xvj3TytEwb)o`8g=tuL*-97J(lJxY%mr*W06e9RUXMVDdUvYX}0*FVfg#yR54a? zatiU0X#k|w-nf#QXzFO5qk;LO4YKHv_F%F&<#><&h!Rng$=+~s2-oMh88 zbtpI@ZGmw1SkxNgZ5IMg6bPj|GFf^}M2koolTZkJ-l_=F;g!8ae^B2bFj#@VLJE0Y z%z#Z zQ_{m8vTPC0wf4UPD_XA6!@OncIS2-?4$T!wr*GB=kP|R0vewhzuJ0dVup)%{`4%0) zpd$VL_XA$!Pl!YYNYWr~(Vfum5~TqvBYLV+xz{vKE+hsPC?%n(1b(sJ;(#0cXkbtt1yJ8xQ%LHjgas%qP zGr3`UdA58#9gilfS;xS89=HBPs8{thEna1OFeB;8sL~x5Lzq7g3SoGz3m9~}KQ1d} zi_!tQ0L`9#=hiv;%|W#_7YvC*%HGKCVqaK@n||UhLOC1q{jGjho|-SCC(;C$bR?y^ ze6~Eh{CUMHAO+&H%OHKhWb4ztfWlIKs~6<{o~y#FFM&cVo}jiR7_h zVTKXmTO90Cx>5zy_)WBx=T}wgRw8{EWOKQg2JP-&k+jHPTxZHGy z%~&B+D~h)qfQ)Gda*3lv7WZB=?{zbiICpI(rgm!aaeYh)gBZ|~v@k%RgQMvuRGZYw zDP@?kmk)Rt=sN6CM&@ke0>q!<=@EpcpK&ztAZg)e#AOe&=Y`d+ur9pxAHUw+w(ZXK zt6yGbeJ+I3G$(qwV$|2|0yPRkVeQQmvUEi|xMNhwlaq_eJZM{SwceauoTGqK*J~Oh z&!@yRBcLYFQ8!7Bkel`>Lxy@4Na`vw4Y(ye(F^W8Hr-o`Qq)$bd~D3@*+IJ8h9+CgYffD>7mE&1(cjIn%cVQI6WlH_%6Dcc|yiYufSo7 z#(H@In?MGXvH7l`vB_e2I{mpl{gbUYMF;EDEK*Mya^(Lef4=n$V(nEVv~{UKMa+QDvQ>x4_0gs zKrS%*vGLEMU?&U`Z{vcWP)M>p>ksOdU9R9fULH~`yn6rY!>gNDA76cX^_N$lUk*(4?@dX_7u) z88N|^Au64Hyf&;K1gaVw0r2F-#TBxbq1`Lc$C4Ns{3=y1vb=0iHjBj|^a&AMhg-)( z8kyHrUl+B}Ko2MxBx_=~5lCWaT-p57AB$4qO;;F4_K^Y~z1?c`e1LzCA?6Y9QU==!C+q znqHM&Yp7Mp5RC<_JRD&^ef%lxg|_=~EK9}I=3>OYQX!I1b@EAhS{L|SC28gpR=hP* zSoHQBUH8DA%^DD$dO3@ANf1 z5y#}Xkr%pl1pqb+^8`+4Q4gp%@Ga9XW4=OXb5ttK+egao}{*$8OB@yuoXs?-^VB+%lIar$Om*X-HDBM;2eNu5v|g! zF*HgdItQ(q0}VFX9EV{AK}iv**`Cm5Apg&n%jeI}&bCM@+BxjIT9_nTE6WF;XIhlf zjYvS?9WRB#VvUkxni%IWs?3j34M*3YSnK+;KCW9c4SYxT4pCFE(ZMX}ibXd2&W&SKK zpW`$I;wp~oM|~m3zG9g}s0y`}^MxD-;F<1I*09yLiLSDsO7Y~fR_OWh=5I;FF1_p| z(fW)KggRLGLJduH-?nq$mcus_Z&&cnHyp<__)r%}bEbv-{-&0&j-u3w0Ke7t>my1de2<(g^YxpvUS(ZDM( zyJ(1`lNWfMNNrH7KQy6CM7r_?i(^U*rw{e}k6&Kjeq=_oA*b%7)y-Q#Qr~?2R)4tv z&-%kd9WLrOg-$8ZIqCkm>&g@ACd0jHtnuxz2gMW`CN1A)G<#DWON+gPYRLIUY9#Qz8yV(F@X zd3#JLNQ0#k2~`DgyI610akF_&n=^ECC>!B!hPMC?BLs=ORkcF6+%B}jYi=f~mBf!W zCUiVeS6*83NHN3g#$ZH`#PFc=)g(Sn{*o=5V4u|!@Pp3aMpYtYfTC&R>KD^ZZoq(r&R5<08r+hzT7 zfqvuw@%4mORxgDPLWnH3?W7S&OB0qQ3NO;+VCVxzrW{oP{XIu+I4BzS4ayJV8&JsY z>m8s-zu(=1ccIY!`}fF5Lnk@bChq})fw*rI#v!AV5wdxpukM(FFWx%`55ITLxEe_2 zP;;H01CU!o`%??L;%R9zFXL@^m#z`#Jk4=g{iy3GM3YFYhUB2bU?B~n)Vr7Ld0>hK zUvp+9uU9uz0D+jo`Ejc7GpL5LPLYQqe$7$anzqaGy1EDw4pu53BX*XZemssiUamIB zC>|KTuH_AqM2K{zd@C8DdliXKKbPuWOf2G;lmMj(ckY^^JW7w{U=-YD=$bWJn?F8} z(M%M+BVQ-WOFw^*Nyp3wQ1x&%4j=4|QNIC`#Y92+rUG*FE5zf1l3I8=hto0tio}3b zsC*gPgfOt0nOD=v<`{tSa$2@9y7HK~fL!xH$4NP%KpKPlT3BvUHMMph=J7dx4OUtO1hhJ%IHB*M3WZxpLSF#2x* ziZRX!yo%IQj{URFp}pVnYU{DA7*}@BAn*`1VF=j4L%!RqI(iTh56JV&Pc;|jc zZ9={7wAlWXP+|@E4NB0x`JGKEC3nmr5ZU$Cmjc(X+}aYUo3|GwJlNXpL(AQm#1oqt zUX!pJf#al|LIOb#Mk6L5>m+2N(1*ip*^(~@QfjEa9BSB3`zuss2LZ+=ic%R2^8RGC z?G0OM9}M^DeSY)->nfIwuzh2v#h z7)NO@8^)Xtmm0iUNj3-KQHmp0e;f2L@U;+TSM^yyUYCH$aWSU`JIA?2qzU2im85%y z5>{Y<^1nt2D{?)#8~GbS%`DqbC0d<|#UVddtD-#x&*;O8X4|lf zU!zxt|5NR-JXP+ZHd_D4?KWA=s)uA*1||>ta9MTvv^lyPP{A34{w}|`%&6!V5B6f! zY8d4Hq~<`=q0|`fIHhrkbaB+iXq?uB)Iw%0^J+7i=e;x7Ji;KPG?HVifutK0d*C-wuPhnBSODcdm}=>qAb#` zy^}B68xg{@?28EDS@ut142D6>$KStwdX1(G#w?+Tz$;=V#E4p;#}c6)va>5RyxwFy zMhO_=b$Cn;+?Piku$Pl_L6Pd2wmIBq!lPTpdh(H{w64 zrYyKr#V%DSkXG}*;vx3_88U;j-HB^C~rxHX_xSSB!6y4*7sw#-a>W6hRV;^C?V3jm3n#YEwl3Q506tZM8f0is6b~G&#TgbsrwuoZDnmH% zst_n6P#!I`*4G2y2q@UmGGJM*VuX-`g%NVxm)#VaZ#d8}Z4{}5yj$}KiN7@MQkbDe2H}$!u8WG+iW#WW7VMZ7(KJGiGmB_?==Lex&w~!U>KJ%c=#XJ;iOGub zfyRigN^LNKZgIl+Hzs7|x3LNG zU9P%HlX4{cNau3H2P3rm@cWnhM`4}dbQ_8vw(I|t>oOFJVM z#zDVEJP6)}ATxE-J7&oWK~kX8Axe^UsZbo&qz|iAC7$`7+yH;|SV=KT3 z6t+?BP=czvi6SQV(R5pyy6P4f(J!E$a`QnU}+SzELxwQ03#}BA!tf3p+Bq+Zr{GWfA+`iN8J05FCX5rXZC?% z%CEAS@OsG%{^W}rR%mg9fV1W>70%UdcKC!Imh1C_&$plMp55NR|M=nI zkw1Zp=cNPrHEObmlFSf}JNG7t454FTp3mx21qM%D3gh@{#npLK`BAKw)F+V-Qv;}m z@mCGxO|&j7ir^2BuwOq&N*;)UH1P%n)}xA`_ofxYE=QR~+C53>0-Wy#HaU_Qx>z5F ztD~Lf7^e*Sm;z%|)sB#EpbEwU`9o>(q8+pBu}pc2^p0%9HRzX|sXB}+hvN!l5XJ7* zW?|L&w1f6w2&V;f9TTxt(%RDF?~ z)mah!;QH+^v~8?(e@ZI|2X>;|H61FjlfoorCrwHdvyjE~v*su}X6bw8{tXls6CFEQ zsPcs5!$?aN<~~-$vZ*?3Q@}M8AV;Rrx=nJO4mApjqRJ|j3LybjQh7rRdU{LAX6({Izkrj`K z2?#<31={+BfN(Wxq53Qq!PW@WYo0VNbP35v+`-An-bP3S@E8Hb=^$>gQW> zsz9o7tFrL|xpvJ|l8x^<7>{wrUi-sQ1RnWad8K73Tm?5&yod=?U z3!)$~hK_<^K<1*xK|Vo9Sd|}Cj}l!1$AhIMK#! z6&&a0!A_rQa1Ol5%23~mVd?Jsb~Fv`c|McyLm zG!jWiA23s_LduIq+{d0H$rZ?;Er`J<$D=u<2SW-jZ2tB+9IvEDZE&Ih{}EX+TwC;{ z2#*RY90elJZ-DF*)K z{{Bw%QS0ylX6$7ID|9`zN`k{aQmsjL21cB(XXh`P&A>S>!BhK}1cr??q=JSe zOB0Se=je;6QiR^6DNq-kOoL{GlxmkMva%XSeED*ZU zSDKKSP(x!xpE6+f4m=<+mb|E|Pa35G!_KLLU3fQ~5QS%KOwiqeC60BUwi1{C z4Z44FiI2R5=C;AVazhvkQXMvE1lbHZ@L#=g6MAbh=Cm31cckHQ6nPM|i78A&T04W2 z>3N3elG`qx3%dsHgxa@ktDOZdg@{{BXQ%tIPH6VWnEUnB-=ggzR@$sTm`lxOlt4ns#wQ}6ma4*XrAg78{iv)cMpU|a%8o}!)$A~;#qhY!_q2N?QH7%rE z^SG*n}8WpoF=S#3naxYkwq6PtpHzbEr92_WWW*1=^on(>>!AQ*Ixs*e37 zs*XS^QPLa5yd@kB&ozhZ-p@T)lxN0t9gqf* zN%_N;0!tx(I1VeH#YYdvF62|)kp<&sOTlWNBfNFGg#>}OWPJq+`LTJ`P&LMI|c zSUwMg^|6H&o5WcNB`b}vJqcSr9PpV0wh;(OFs1**4+tKA$L2uuMH}OKu3fq#uCr{K$6}LPC7>{_%#qnl%n)aFN2?gZvtSMn*Oa)+Z>k9Fb`#R zx>-QvO5hR6y0TT(_&P3kVzK#6qjxTBN;*sKoi3J!ftU{`w;wdXLQ)A8A0j7L)RQJ+ zS<(jDwoth=;kjfd&=5b!2W+KrEPVr1m0(%MRF@DX)ra&$iLNO%MC2bn6PbwmY>@$f ziiGC#IXul6Kz|30WWlAOjvPIiU9zpFu9p=;v`ex$MGxj2J$+AR?tiEV6$*>!jpb0B z=;{>IgNzpR5Mf4)iRoc5kAC1Y@(k<=%iJ0!h`|13?cBPT6_cn>))#XuQ{6)};_m*l z_|~LH8(OPC6;AenUbkdK*OX6U)|G8u45NC`mx{c(yqc&o_js`nC;3DG-2zZq0f^lK z-Bu&&7;hgQnazEF_X+KpEC)O;B;HjUF@7E2HP=1A4e>SdA|yl#8GdEAV1lP0Ob(kW_aA+ zs&!rO5|5I3)aHpi_EY9VLfTDv+?qsMhM)xfc?IY#e(~T5zmUQr(EymT3+m|_aXwob zmvbY?ul%4$Dm^X8EI=v+gPVkl`UY*f9nukQwKl421pJF7-Dg+dKi$2*os4Ga{$lyS z?ZWRi3kkt84Tcz+$N^|Kj$zs3rM3!AQ@g6PN3{7q2hoH!UAa0cD$7-{U^0&wF1xY} zfx2dEUH$p1Ro}s-llbfT(EV;a9HCs*k&g-m-bRjc%vi%$G&KOgm%o( zw}r(UATeL>zdU|XEKE;+59ggu)uf~yqB4fU+2m7-gQPX3e-l}dn-2C*tgch!T1NJ^nYZ|-X+3! z^?&4n`ulecxB8K<`;l+^kuTF~$~&lNI5_%&H9G45z(a#y?O$z7`jK1xz?}V5i`I`n zKx+G`cC`PXO-B1Eb~4&av6!-VH#leS@^I4L-N2+DxzP{YXD^veM*GQ(bAI4?et^ty zryse|54_lZBAbkUgrn`$OUPlTV_+_K=nFb$xPQ)mTD64G9ZX@$bCV`KNaBrlr8-Bf zvY_CikR=-^(sEWe9ouf0D`%KoUIGFj9&;#<8FU7v>>Y6!G(k-%fetAhaY9?1*B>6y zhWU@X`-eNk>c3H=gWU`efc&1vYF)L@;m83k1Xsmk5xO8p6p>w5mD}7H@bM#o?)+pG z<5t8Fs7DD=*wojg-Ub`8yC5r)@Gkz4jy>`l`@iIVAxWoGHJKj-*gQI!2cdcwd`^;5 zZ%*dcK*P!09M3n`>cn(LjH;2?sx3bV6MUF*s_-wU^T-gTG%qXt``06P$*p^>#%9L4 zLC-s<$y4&y__!LGf}QIj9p&SPf82fir=pM*ag39Pm?fAI@@G+G$0AVu;KzdXS)-r( z-P`(4l#{GArw{IVdQDDH^;Ef2elT$p?`CGiTCuARj2WxWWqhIt3Q2>+N&f;w9VEr2 zzPUgNbSAY}YD;~7G25JMlbk+zXEtVc;?50g8PS#IBH6PnP~(ayLIM(+mvyo(4Z#8o zE8PGq(L;MjI5jP)al@?iTUGMwvD)8JAftPk4*XDVrVgFYswy-R>EFs*3Z% ziNb-xc{;g6O4P>>??2P;`Rp@tv3i@gHN@D%L628@2e^j!>QU;R5^Wn?R^DGL@w&Ih z?0jL%uq?zqfQ-W?#dzIdG?8*f#!T|;OeYdQevMK6iWbFvh5LgdDh0E*Sr8q2yHPWt z5dp|FK0)J7vZx+4$dUmG8qs|x2E{$vNdbIz0+`sLB}yELgkAW))Eo*cI+#i|EHbTA^vO^<0jx(dSJ^6r(Lf~$Cr?~(vy0@tG#Fhb%wRM#4>8qhH zLZ}uX@K17Yjjn@x2hMGJ36#f?rUaRCv8-8LjYT1oARtcMv_1U z*o>8XM>Alm?y8iqfVPNcSp$&rCBPvk;dRV!PeeF{+134$53} z9MP-|`P|7tY!B*(UbDe5YKz}~et36xk2JS$XdWHRH?2tCrZ;~M0gmLsSx5)HXRDBd zh(Ef$3Pr7AAYDf7g@UhbhMsiisVW%VZ91eMAi-wvDg$1H;ti_$ZeCrM4pDq5p|DJW z%Niq=d?jA!J+VSs(*l{xN>C9{e#wXLA@5<=1E9{jodd$WoUa*cU0=+s@pDF(9#=0` zfmOn!CE+)d*4yDoX9W)|F|(V*r$XXfe@TBsPXYzhjGnyxbPF#3@CJ44AHUq!?_tj( z$NSxf_vHPzI7$!NWPyxrwthMLZHp{;E(prW;kbmQ0z`-4=pb3zgGvJet$~r&LRHaf z$zWS7MYFvErsam|t(w^h$$fgprg^|6qZ=SvM8ZvpeL*5vg~}af8VmyN7HJTYD&RIG zv7mfrn2ZLHQ}hp%uT0K{*Gl0Ij+sH9>Ox*%RXOTE$IMg#Gvmu8XAGcbSw?rTTJd4H zFrcFa{u6wx!>N=VqKs*l>op%xX-JpcRc<|9WSXoOhx(W`97tG?Muj|2&Qh?(@i8jo zRjiN4b<=+KA=B#tj*q*yJ<0P@OAbYQG`kZbKD}6o!9P8#F97&P2^{4Ghfh8t#!)|hsnOxaD+IaxO&lD;d&p*fBt6=&Bv|XxLyBO)SOmT$7H`5h{D0_fe6__@^6xCPMS&nBrkYv#PMQ3S1_104f5mXby308@rnUNuY!UVzLFxEvk zMo*ut>jGr9>1Dl@7X%QIfII`241HJuR$@eHmWj!iSLiI8D5uhZ626k81LdqrFE-WF zWGuHMg60%UfB+nu%IEXV%^@F|EmvLesh36|sjfLfdrmXr(i!+LzjXD2V02{d`Np&L zXj4a?(*K2A=ryVffHR)5lGJmM=3;%lWN*}#d(wc}Rk*905X<2ZnYB>vdpdjWh=W{B@bQ<*zItJuS;l71oxgUK5Y&5L~!ExfpHjAw=!B$L~42yDL z^rOY5ItVsYw)9y_XoMl-{D|@=WfFrX-Wn+=;_W$nHVRKIrV`unlI;h5G*4fJ?>6_2 zN;qM~a6&X3=>#b%Kw>_Q(AklV*83fWD-Th)(#~$GJ5qsk4_$5`H)qL`#~5@5m!}Ft zgBu`L{}~psFjiQ`(kxxbl;Lg(0KgH#wEijD zyAPy73W-J6t8ccP3x+0vQE8q^FxroMB1mzZJy~8v1JLcS&{TT)+xbiqzt#}pV1ik} z_t4@EwA?Y^jG#FzlvP7GBDG@)fqnak4pFbaJ>H=V)WKK89`4>g>q+S7?(G3;45u-+ zs-45=nop9)*s1v(LMmmNkCTWtcy|f4Yl_kds4MW0y!b5$LNuo_e2k-`LLasXwo!Eb z3-nk)!;91NCGxjX8Ij5n2%|kF3nn6c5jxClueYiuqlSazAGgY=z`Lfx!SqS#vW6J2 z@_CR=(xxm%L5ib=16-b$9bx4tzD)bd?+HJaNxH%ws-_BEKT3gZLJD>k2_$M^Pmw^v z7WPl6Fa`W`b~fBD5gZ}@0`v;~4h0h|$&fxtj_$k}CrFnu&$H9LK)I+HTcwGO0reQP zg!5)qNN!dKZ$92WJUpX=gD4*{Z#g5q{sawzP)mi-A`ARbU1bp}>STz3-}0I>B+D8U7E9%cR;EwPL~Kr!HPRWY;}%b zWvM*MyVx!n--X=5Drsv|6)Xc2EOb2;gbJu<1w|xbnEDQN$bSop!Hziv{<3Q}-$|_t zS%X=$qEN`fokFfXiQ)R2y)N&nsFX0AmF%S1#9GCR=k`N2{X+|2B%SM7mUYTGif&naa?kB z5HX_8=rxA8Iera~RTwKgSZS6%n)8=D{zU?Toby3(O-S~7n$GM!WD_@pfq-w8U#H;- zgO4H^EIeXynm%I~(vS^W#yOi+$GDmE-b;?=IBUpXE3;K3YP3Vg!<;{I%ZFn1`E$ooR&O?_fdl} zseF;Uvw^PEG#S%T=l;z(yf36bwb#4H8&tpiau4M>zwkt`84EBj31zr}U$VY}%lr6PmIL;>1`Dp&;%aM5kw>N$k>)24n)%7{ zKuea9UqZ!|?0hs!27>biLQVD_4EmC@f_CUREtOwyO|i$eeRjE5&xgZpIbFUv#ct3R zd$~m{84Yei=tCkfOTS+=0M;qRo~74)pG66mSF=%Bwdndlg?@Ya7@ZE$A?T-+D%`Q~ z^Z?rP${t8Q`*)d_?SEx~pUBOPAkOe{A@KT6DY@`t zGSu`HjUwK?eGoYF{|1oxujj{0kn;Bbu&PoeXZ?Wq=Kt2S0eZ2tErU6TH4|K7&I>@z zkv5cw4n9db)I4a313N!z(8{3G893=$8+)#~S?@$xwCT0g_c!MSQ1*Sy}?yT))xp-^NQtB^B#1OVM3%1yYP zUrl;y%JkKt5u2KUf{4o{^-rI;>t9UOG^c|=kf8blfSQEEgxNBjFX=AsS9OEU(qZDb z5_m%PtUi>ATRRPMStrMPkvijZ0MP0~D0F=zgFIgs6L}p5)q!b%p<&n$2c2sGf=TGT*hgOjfkJ4NnRoKI?09 za7zbZ<{-zP%&I^ZPmNKs74Tt}@DepHso`a(=&)7Mm}l!SCZuF0$Kliukq|Dt(!{Z8 zz3}05OzW<-+;)_e)Ubyrrh+bMBdqkN*W|Ky-T-DYnj%9EOACvq|NZUV9cGb4adfZVya~D^90C$$BU^G;9!vHjMNl?I z!7Q}dlt)-uUnY1Wk!=CRwtU?Qr!^{6qt;kf_tDKVmIuS`!OPY=6uE3qMXs^B2nPf& zoDCFYTx*IR4Zf+lRK?XCZ~_vTYL3d|Mvtm7$oUHv(@vC`ju|ALh#ED>VS^(|U8$le z9uOFb9wFlB#%h}b1A~{8CB~8|25+QInW{bxAw(Vzu3Mnn^#$5Pp~xI$qMY}_fbU!J zbMiJ#Q|^%Ibueek*ZqF&QMs8s`}QSUnJY(BQ5CZ6gLxT-l z$hobb`aoSkGCfdYX>svB_5;&neUtx-8JaVw?GnZtidJE=}Su2sN$i7iTN zub+lw^x3wTOL}PP^|p7wT`=vXIp~kqIVC(MVI~O3!PNj9P*y$~)h!ufa6htEF1y_R z9ljD*6Dal?O!0cV0n-gzR~#+uV0oTyq*(@8&MC4CVw$w8aP81Z2fT^z<<1jPC8+BX#C^!*w>Y)h=iZnEV^M+0d zJ36m&)cPp!i}6qwYhd!=psj|?)~oA>V<7X4lPx5#x@DLrRMDt|=Z4OX8Or+T_CxrM z_26JqHUSCOi^*t>Y-g*eQk(%Q+BXFW0Wt*&ERa>=T!SWjym3x7LBLe49+i_RCBjYvk*q`0JH`gE`a8^7LpGKP1?Sg z@<0tHAJB(r@B@!}bnz7*-_KdXOyw=Lw1RF}LDl3%28^Ny2iKgbHfGD-@W8kwxMb>h zzOAj{@FC}2srgA`N9|APv%5v*oB9UT5dfFyRYylhDA|d|rst@xK@=?z9ov`wYoK-o z)paqgD4?X5@!5`k1yx_F&ww)l^t8J(YPG*JYNee~HF>hdH|$FW3VI@g3$%eZi4k0q zEjC4iklv4C@mohEGMP}IkFI+O9i}=~b=c}P<$%A*4|F1&pc7$ScF_x@A!j#iwGvuCn9a=6EVyWq>tAS=2Qk`tnT}H*iFd@c_ zK6i3U_XZAJofU7O>&D3~&3;6>xIuV4wyZicT-25D2RaKhiVP9kPUDF7LCf=IlpB3- zUDg3g!8CG{7=WdN61hqE5Ot3%=+0-ya)gRp`J;107elfRpm%{(p~kRL(Aj)*b@394 zFu`gEUmx!!zJVV<|2v8W{rL+7E2@kC0$<+!I~*di@l5h#?q|NIb4=vo?}Phkv95P*DY@e z*U>p^h9tIQ=NwxIW|p5_PT>;o4%l%5$|(^GDzvEHWF^Vf1ri(iI0z3xjF=N^=#gcr zXRNX2snX4XTzDf{CI2AYx{M(MguPmyl6 z_F}UH(2h8JcZj;*GjoT=HbvxLlQTQF$8zf||?>0xnsS09R z9M{b<7mZP+3E0ZkCDqR1AsyX~Bjq$er)lSqvz5{Wc|v7h{i6u^L*mQ2t(w$pXl+hC zN{RHfL-O%J<9xQqyp$hkqqLKdz57#-t<0v{`lcD(mLG)>yP52z(wN` z*z9V~4(8cMqFXx&bRs4urxaLX4R241VVp?^mT?loIKGZjP4ZNlxxG^nm&||B8i;m+cnM<7c;a8tQIm~F?}3{)8#cIp$~uFenm?7_qZO=pBQpV z5N$4O!bss-nMww=v(| zv&aJ59n4(M5Lsh<5fIp`?hM&{lAa{4xncFSzjA(PTf?#+T3YGvTt(DYvULY3B1`to zCg*n!rTR%w1=}O~TudxMBV&ha#O z&>YgY){ug@=#b62pzOqd?pl?SHxiDRi(;g~I4F5(IbZQND$}aQS$Cv%$`amJY4=CZL{5J=ja}|d5pcs7d z2Jr*SpAqB@ig1AK4=UzEOhj%;iAbq*s?;;K#JR!H(Wjy}SM+gH5_`l%)B@I%NsNo) zxDps2R3s|B+gGn(6uaB6FrpukCmq4UMZS_!hy=CZ4kg)#2t}5WZsrYG6M~qD1;jhz zgG~mAlCTT5^@YjbI2ZB(H_$@Pv4ddxl={y0oxu?1v|hYO4_nt1eL*VjtPE*2Bm$8{ z%0z8Q>DCxTaHygnn-ZuKeVMY|8dB~{yAX|swZVD=2fu?h48>LnQ9$a@$~SdPjUquPadjo21Tx^#HuCLgl4#gc zKy~9XsBY}qNRA#E2T+~vp~2@-0<~Dh1n7B|TW$Di2W`jhV6R`-T(sdRAt@^4ykYh zniML{aD5O8P*%+3LmrK0)9?fbPon4^vIyeh*=$hw0?s*TV*)5ou-Ew{eLw9#uC-}^ z<+92?9a;S;OYx%)Aq}T*`aq1W3tBF`0^}N^wJLP9J#1ikt?T+&@tf2cTR-2*m#|VG z(jR8mSDttgzT|j5&GfxcR)!A;=}eE@*`-L2=V;few-cx)ba0fgwGbBMD-Z8xIQsR~ zEBFq&&MzlO#IM_DE>jM7A|qtV1XBd$0KH6}uVK-GI(W9IpaJ|%@emFOYCb2F(Hzo+cb6>>3p%XoD#>k3LlxN1HqG+VL~o7Kvi7fe&t2|I zSpw;n>p^++$9uW49q0ogdw#XKSl6G?hF#qhEa)O(jUDV0L61G`lQVX+PnjX?lLt(4 zmyU5Zk)Q#E4?4g2S!6>9Oo1Z8nsD1wy6d~gTpcOR041s--f*+Hm9G8|@qV}cG`>Fp zbivYw?uq7R8h???IyrL}gwwC6y|M?QF{xl`?YgIYD`cPYoIJa~P1DJp!q>umJzG$4 z1O~QamcArQxH(^)o&k3SlaI!^ohg;sKqW)t?}v=$WCJ*$eXps9*IQl)52xN zE#wK%9o1*qEA$e4*=*`Oh($EvTr;gXyO`?_vviDy_-a;L7Qh6d#uuyKGCwaKVX*)m z9z4K;e!Tzo1~p-k&GzOWVjQHILcnKIcw<#7L$-RLxY(Mh%Ui%zP& zUj&56zGwM${}=tb|BE1)@I_5%!veVB6+G=cHgpuLzBX3lAe@jy<0iT_YR9v_Rt?W< zXk`~0RGp4x-cUJ~lrYK%cbxV(Ne_FbV|s8cJbQ@LLd)c%Q(_XMZKbtD>Lg!Q8rH8V zarvLLKs$=aS!7OiO6JFVi`i3^Kp^afqFrK#j9G@F-eXO+Nl#Ogn+^IX0b}p zga~IhiYJ=iWwJkBI6=VBJQCAT3IGWz)3sj?8T|-+vMOB#4=YT|t(P4agt=RBSy7E3 zN{XWe8B(6dmq%bf&2A0K9hfcH;!E%v*f*LUlK|2KJxL?uWQW5t=QDJZQ|Dj^AI^Wf zO8W{>F@WEIu5?sm!Ch|9&PVj|=2etaOT}KAE+W74&>`?6m3RhKh$W4Cz&Iu{P8B=| zuN8cc$6I5Ybo?|81O@)O0?;w4ZyMY={i$YrZIi%bdaZeYjnwC0`8#5bs6_o1h>7oy3cQoofn>OPCEe?pLL(X%ZnC<$62gX&j~Y_f zQr>=eU0HGa;q@T=W@jn!d;?n>s;{J%^&Ih39*$U6Ce?fyCKvR?AT9I8Lq&EB95UQ% zO&szB4O6Hd%z#0EDYfT4AL(Ycws0-jwsK25>*kso28V}OSL>Vg>Kx9tn6{8y_Q#%I zpTKJwp)>8^mqx;<7!UD=4>bTzF~1gDADi*4LFX_T(uWjNfPI&H0@M2WnR+o7HyB_qsV-Hrh0& zal^TfPz;oOJ{28ZH>>mbn%Px-4qCbm-;3ucQXx27orwc9sGZgELR^=@bBL9pL3?#^ za+39*l8}jKgn_5C0K1B`0YToGd@@fYaAlLJP^f=yyLbT?KHh>4+e5>breR(o7VDlj z)~ITF-ohDf4iwICYYJCcBX%N~7Lu&k0#9ja*@AH;$4;=0c4*-t;hSr4SpkyZPgp?b zK=w6(rcF3VKq<2VUT$WWvLvWv8B(jN!AVfv&nRn~Mv2 zp0SH9hvpT6(%6WTo;WpiYp)0B&L<@(DV#f<#u(gLode@5G6k0|S8$vpk|iS}uBH3+2YUOGXJ6wg_VdBzXv^v0-sV!6cz!av?tI2-Og2~}%sTn;Y& zxu_8zZC&y+-yD#yE82;yq8y%8AGLd)+{Qi80w%tkog`hA1Rg;pCDBbKTqOK2%WqCd zZ`z8GIq6OJ!yKw9U=FTPHXCV#6WleSaFRQx^5xy?AB;S-Dds(}pQDKVY(543-=XJA*`_5^`U>mw@i6 zv0kA>YIE-t;0=9jy$-r6vnSJ{3 zR*I|1L1S!tdv}i_iNV2zaF3vR5WZ`)pzyG-|3nLl!8LkNguTfcQMq$EyV%&1bPVLA zwZH};%5Lr=H!26x#2`^U5C_)Iv2ZyiXQyzVqnC=QgAl57buV06Td{z z+ON>3=*A$}K!D4-IWvUD-(-fkRAz<}k+8g30GSMs6v)ld8g{)vYt0!-XsP{&JQj9? zk%KjEmGQ<>4}i`988+1%AMpooj`N#jrz{+R-c8^w&E}n4_!$bfY_|t&ZTIZ2V|wORWC(K;hllm14#nCK|8#zSLN8i=s<29!KhlF%40Jxyczz)yEj=6)jc$bYsJ@+Z0T7DFdBT-0E|g2LAoOf|hKSnj!>1=` zk6C~E^7b3jx@cywKM#D4U_A-Q2D?XQB$g556@(nK8G-E}^?!UJv_>)THk)fe8ZCDR zK6PC5P93muMZTVi8O*bU*My1(4hx%pY9>7}jr=-ct6IOx73Y`-k-VU4-n#)=`J99s ziukb(SsgiXQ^|=-=*2wPbChZz7Uh^Gi!c^>>=2{|Z**gvuajNZCkPU&%G%XB2r<3b zkXY#lcwqhRn)rQr`I12B)?DNDr`M57(Sm;6W?}Mo73wR!>UGxe|{e%H=p)HSX`XEXVyEcOGy^Qu4=`0!?E- zq_M0n!hS5c^nA`=Vv)&?2~Mw__YvvqqgF=28CP|9yuve(v0Top%ECg67gcWrmkOAU zf}$|4YzNrLbid$RbE`_X1Wa%BD(EDIv4UPwn#E^Ul>sg*=n7ZQ$!?W3Po98VZ{ho= z2}k9>H;K(THRAxr;W-A=Is@#?W$FX!y1lu-`-E&oBq`o~)mB|x0W|*Aw>v*O?I9$J z_m^ZvGG2TCe)j&_^MyV$5G11^a-mz8Cwjsc|$ z%Z5NE62BEpO0)w{rNB=VerW0r`KaC*m=|pL#jzKZhNgo$g_^_~>dIAyVFpUtc!fCy z-Q~oq+G8)(CxW-c9UrAhT=i7%Nh$}8WG#b_5IR0^>|ukk%#ESK9v3F*HrtA(EI$R$ z`PQ;xITX@Y)IHkSBixE~hP;aqkO=rnuu_at2NH_$(*8o!OE|_y>zEaTcn^XhO&D+R z{84Nr3|GFt4$;2fpd>}7LDOc?O+B(1Rmv%yhe5^YEH;f&F+@pnys*wqZs;>R7yIhf zWh{79*bI)BzDGS|>9)yC{T^3q^MrHvTz8D>IcObwcpKZt{)oq(2A+FPj<+vQ5#^z$ z-7O1(*_?bD+6R3%T0d}U%Zmj+mjloZEx-58q^N<-UBR_{Pa9g6&;MA?eQ8Io!`4Y#qse|ZKuh2Th_ zn*qyM$KNwIn(_(60CDUJ=O5R@*R)xPtTGPeasaZ?pTAmA7?)1suPbmZ#C(q?y(5hU zs>in>vJ!tSdWCo=AToP1#^BXaU7^lQ*>wMr!&y$ z%)UbDESfw z=Bc^lvdgQJHN+$z9_E=X$}r}G0tE(N1Sun`2VS_0=pp+C9zQ-NCu08um|l2N`1yu- zQZqkB4!_8>@KNmOnnkNe1J}Y$P=>JHMx$O8a-hVMfv6VJUazqa%_b>nQ8vnh)e{b>M9sYZV|K8)j-`xuU%5riWyPpSqXfRSX zW$NHaltQrA-{vmqtYU~Tqrxnqh*a0q6a)!a0eNzUy4{46%+^=G+1^m*#I{g|#2sp~ zl?P02=Apu*vz>t`*C-4dIw&GHifHb_1bHY?v#%kmJo!Kc400R{h!iTeX8S!DI{qrN zHgVbaJ2KX>b!WJtV}O`Y zJ;^Ka7N>E6+MrR1j-#<1+@J}ANlvQRcF8OyOy7WFFQEY{Tke_Q?51;?Y^VD0P$ixG zbE2(gjek~%`AEP{3xu?|FM%>S%hAO?IiIEO>*Ph_xSc&XQ6kLa20kO~4=M>0b~ZcR zEPyKbZ7bi4_RM;6669HXhP;ut+?Bd5%2Zh>oJM%yRUsxJ)o}GSQ#5%W@Gev{z=C~=D0@${f9a{B7-PF ztBj}k4CF>amb&6h$ENShTC-?qMX{e(3+tXv?<_66K%SA;p@BMa3%Z>Mq^!^t@M5#= z9eN8ybX-d+N}(>w1bq-m%HZp-z(?VY0s#5^9K=b~>G+8s4`?r^bC5X%etxq4`Ed2& z%|EE!gmED@=eIMu4!lyNI6G3cyE*1usQ78Quk$AW-o?^?4hVS-S*?dpY$?!+`jb&z z5ze*nCxU0tCTG=-B9|P68%WAWVlvz&t$vp}WoMRyOd0RL%kJzCxyx=GmQImG2$Ck+ z51@4GkQlTri#)W$DSxdqLu>Gs4ixk-Jwyd*H=baoC@D(XSG zIuaoXd7T@|gD3TyKOR5ceHQgcZit##p`?>U5@(I#zATBJG1Am6B@%{AJW`2z-J53x z-;MY(O-O%CWz4c{tc|GK&Gg71VTg8*_(&ax|n`xehObdPm4T-0}M1b%+;^vArw^ z0tpn9r8FYp7EQ_vhho#10s!W+pdT=EJ0J=!a5#HjLu8w~jJ0&9AA3^--9hQoG< zUImj2hF9}z2}c_pG5#qZcXtO-)iky6Pv}NR6bo%awRk`ai+YX4fm-iEx6$xg6VMg7 zDltJcyGG~T&$pi-^VsmETfZ(8GSoz}e>hz2i?Vq2XJjV-V zO+>zYef;q0!++f!{Pge>dx(gsLXiP^9DL%HWEG64=?32n;s%U!i3+YIACt$8oToWS zpW35rRXN&Jm7`5n5^Xw0T{MMU4}TefB$3E4nV>JpU^<=L{be{kPIn~H1aWrO!E6I> zq1jf}8Fi*IEcNoWN^B%=Z2Q}qqVHSReBKSV2P6Y)Do_>6`mh!iDJ(6I1f&+V8~r!f zS-z~yw80QSa<) zE%}T=fj#;+*c5aadXWk&-DTDgFrQzqCiH`w#-{o zlvYGUz)zT%7wjpV@iJ8zAe6{q@Gh@Lhvc3+lilIrCiq3{>1V+Kd;O_1k z@qI6i%cf_~CmT$-28w;i^9_Xv#A_H}9#P!H?S%fuN(8=FBTT4?}2C6qyz8>P%6 z7QhFT2Buiv|>UwIo0v1zr5~76LT2)IO@48ZrkM;!5$2PH@}D{a4I@W z+*j>SmN2a5knTpdbh<6#KU1$g-k*^MQ_X1OM^0nF4!~7b7j*EY{;<6Lme{Y+1omK0 zH;At>L!VSs{ow?n6ZTo!xsrQLvl?}Y(wIT#9KD^Xm|}KvX-LUZ@c9}9geE~S47GiB zYtwN^r24b$0y{Vu330po1Kn=rnFrZyuEvPbN}Hh8swri`{#Eb-ZRc2h7O!c^lpyTyBT~+@mkBJ6o!;ZCALrGuEE~H z$xy@sw_ki)@Bl?;QF76ar`J^;rf@JvHD?#|2Se^Y9}MOJlvnjLOMcTa*FNO`z+Ai{HXafVzCOy~@B1Z+2d=ctlH`EMM zOK1m8B~Y*Ph)R*PN_C&bE|dBc-c-_0iWMRxLoJ8y@(H%~h6Fe041@rloZY9C$_A)7 zz+HsR0f#e-NTMD&1tqCAwIcde2%a5zENCzabPI{^cSN%5>=L=kmj7Y?Yr7<5&wFbE z55tPk8iY|HZe@{}_PBg35!QHO^#Hec6Zn!mKeeBufC>_OB1w%yB<)wO58Z>oJWyaK z+{8@lFR<2Zd?#`{pVvBwQKhp^~C%1wA&Opv$J)GjLb{yn+ZfS5gs7J*2kDGxQa3>xf7m)aC$2 zuctm9$R>JQ+L8>^l4O!e&KOh4Kwd%}QeA(xtY2b{nf`k$dNMKAC^r0v@1uU9NyJbO zqMGsUT|!of*D@3%HBK6Y9%(O|B-?9VcM0|pFvR!_)oNWt>Pddp>7+Skw^5@F;JlNY z`9xj|xWM|nUY`>A2C$d&7x0zq^(CP>rp?J@G+l;s`sx5x3;_1$zy5BjR?1PNBSC<~ zsespmRhq>cyR|xkO}QMpUCI-yzPe`e0FO0xJa!w>naKx|>Iw0@&-r{CZYs=Q|2@zo zS&FcQ;b*@RJr`srvya*8)yyuikhin2$r;)b1*J04{3$MkVTnEMehv$H=O zvMVmNJlsX{X%mCgj8YQ!F?2u(2S}tIrDA$1O2rU-(?Hiv5sHSd$SG^8%B)?ds^I|A$mvvE1?HlF+7=4tC0OjFYB}?`C_Gr*B8a?p zebQvicsp&Y*>m>xgH%aK081vzk~tL3<}ryq9}d}|Cp|pH33_g#Ps92rIF>pXF+o$S z78TvDG`7veLleML=Ryf2BOR5)$&c8YF-;S33lTjfN_HExXR$4{y6CG}|nmlQ=^{;{CWAxqu5+cxU*GDY@L4!pIC zf%OUPBH@*#!NMXJr|B~D?09s`U#01Rp_;DgTH=62h=Ij6P-ce!EDOco!E<`vQX>o^XlN+Wc0LmwtYU3-_$|s$&uURO@;es0U*5EVKt)h z=7etWcl{IEo&Hk!F94_M71R#WUr<~{e;LC~>}GmeyNx74%$*n>Xn^cV#**cd*a>iw z$oh_kK#xfwPb{x+%El66@tTZYMTV#5F=!M>F6_|~IgDv->y8;p!TJR>$Wq{T94xm*CngrQ}jW3h*RLsp$3Kuy@?qx zax!XvN@z%AYF4#U*%0c>rx*(cthsv7+fV49L*K>FxOOl)K_miN#91H)0sg9a)>+3X z3>S{C6IFg6d3_0pHi7z()=mkGHzPb{h?wD^0}unFXJ4O#A&h-jM1u#tVjVcjtmq&Q z-FaPcoo77Ub&2d^?&Qcm;Hlg5Y6AId7%V8r2^AstN)~)|bBbzrCZn?>Ga|pSC|$Wu zILd_k0%Z1GtP`Gm#S*9o`T~*Y_+2WM2dNFHLlA%I#log$!;u&BYeWp_djxhBJtl~R zQ-y(MhqwtXDbzhei&AAWBo$9Yam|*RpOa7m_WbR)v$N&$`SY{0j?P)>1V1;0`^dq8 z!`a&E0F9jJM%i^eu&zrwKS1slqA+#+=bPVe?^TUOUD(GjpC1lti6_3cek=G3u9*wg zv1JL{7<)@qDv!qv?90^KQ;nSIiggv4ZUyHIp3#$@F3-TjgZiy18~WpUbMnH7evJxP zSk$QTM#FmX+=B*%5&cLkmNJpAw=!HZ-+dbbCqEOfh-`pj=$NIY)B#et^vb_v%?XVH zwsUy_rV4)qqBk+iDxo8wXhBE*IU%~sQ{GQ+4ii?SWuxr=7|w9}7=h;rnKD{nw+Hv9 zWF?u3isTJ=q*}Gc!#W0>&{yFNtOegUe>LJJAAa*(}B1d9SenF8}uMy@gI#2Lgr zQIdi84zx=M6kKUoVLeG>}N(3OvS+t9e_fUkaF`_Tw8c7-waE$~)j+7Fu zzYDlnpuP=|Jdz|Pq2?7s&l~~81fDJ0kVNdxH%P{h&(SeMp9j60pqBC9J`-U0i_}cy zFVK*j<%X~$vWK$LqvZ*DZyqD0^`SW!QkaA;n7IRPi{$KwyEjjAPrrCHP?TKw#;eN% z{)7|nX)n0?)2FAZO1Jp zQ_0C5NeTx9eWX29IGBY=dMHKnH;YXWXW?meTlxLdAtgiM7Lr5WSWK;I5VOlYD&>1% zRS)PFt;vWH>d#?Sgp2In1dryj2mXbARcT?Ua5mZ^63#b#C$d*Z| zbjjRaP9^1JP$enzz+^=X3=;x|*cFr(Ovqq%wiBdH+{o|;=8QPUuqDEbh60Hd zt)W4+FjEaP%ypo14OvzQX6wI$ne3NHlP3}jtd|$pY{x5|X5N1J_8JvdQbZ~=^04lW z>xH#%&d~LjWlbGE5HtX!+VN=*I8Bv4X9Q{-$@vlMH`8)aueLiQm09V(^0{a?R_%JT zo@0^JoTc;PK&+>yYD>u<&rswDiUv!5SJUd)xtj_veYhNL{c8tsmx61tOp16Vqf)-a|A36~9e^svXi@9QzjkObms%C;v zjUvf+Zz0IgTu==KPrC@ue0U7Cm|+HI=;-bSNs!lJ5I(L4?CKPLqxEXZ4lW7@@BLr$ zr~V;|hx@t>cc)9HOc>&uDQBqVzfJwsdTx_3eug_F&u?ZJHw>SL5R+I)tt20Mxii|w zDnoalZ+Qk%J&Q31+>pH*XsPhnwTmrf1OEMsi_70OaME10TZGWmFwsw5Hg(h%Jzeg; zRDD89V3q=x^|c0QRf_a~>0fWcK2WdT;^IO@J!$Acy=b@jO~pL>vl8Qg)UN%CKu3 zjT!ole2SPPs!3UJ@-9B!*RMZ3J{)}fgIQwFKHWb2gN@Yn&!@fr{%^hi4?ZPaOc`z; zmMB&BO#O>kL^SuL2SZc}VbI#%5#&adGO%c~CCQ`v73iCx6V-zo_l#8G({aM1A-JNP2tP zez4vE9--R`u0yAk9JxOj!%FWQaxPz(Ag9+iw}cO)Ik^3>bsTSY*d4bdF*XXyOAJOk)-5Hyb%1l7%Aen)Qxe+`y8Px6{wegAa#{&q5& zqjMJabJwJ5Fy*We5>Q$WeAc-+HD35Q@Wv$MVBv`iB}C8R1}ed3nHf^50L(}Ze)dfm(i6|!3?4*)3}~riiM2X_dU^-L z)a}hAA3cK2>kYx#7!C``m{54c%_|iXjbv;fbIu420ME;Nr$TCl5hoQ!HCLUe&<=R=K=U)_WJdOTbknr`_{KEcsnI8f~q>(ew{; zwEEq*&mX_M`Nz8tAJNm}E9C%x{QU14Ek%AjY;=gMr@jB#>-YW@e{ptwfA{h3_Tdgc z-+a4&`0&S_e~P0tU|80q=A6eV{J(GS-l1zb3duUjM_D2eEA7%4a^gIGYUiUkSvJbo zlW@U0vklWSYJ*V=|7EH!62(J|pi9-o958mq<{W=_p$!)Hu%dTz!47s1x*zW z%K%rjC17zFV8Me_iv|_x`^d4vKNq!IMf5imMFN-vvLrf({PdP5P!&~mvY7_PRqPt^ zdltrJ^P{d&nQnrk*P(47a7k@L)MQn(1(fKvY?01C+m?65<^Y?C-PSaCayJ#eLpWgK*aWm@8whb}do_K)#)&OVZuV#5x> zn{bksf7?L)RuCZ2a?2GOn4Lm1=!K+=8t__2ni@b&J^hj$-vk|V)s4U^y8 zS7RZ$(WDucq~bOjhvSxoQP*zvStFo1bbvf};bT6Z^+~P%z&N{F|(U2$< zX=~T!7FVbA*GoQ5dMVs)}-@bmmyRSuk_TyM30VsGjgYIBvKm>B51#QOH zRA;)RtWF-JYf7Y@@C}Us4~}W8ukyH>iW?6uC4LWE<)BlJXd{_%%|eU-qM>I*Z_3(K z5C8l`-hKP+UG}U06#2<)0*163+(MTu?i>{<+#> z9EV((+e^|=e_}!z`GC@c-_i=CQLm*7fd+y$2+eM z`xw_>ew~|2fs5zK?|+*I=~x|kPq!qJj}#AnvGXPb1J+n|5l5JneK*7=G8)P8jQRzR zVh3n(u};&CJ7(x|Bvc`VOwZN!9TbpE%TrX%aFggbO6-vWg-LR$RBb(J1=gZX@ zI>#ks*F8yBUC0@EKvXXgUk|_K$0A&g6S|H@X{sI%^0#2D8$-Yd>L<$Cz_)i1W!$Y6 z*2rV=&4K2=HO8Su!qd5=nA}{jRRFql^I4Fa(c-ZF%(Ao8**MA%Z-2f5#o=D|vVi ztu`LJvcQ0b10pilU?2lH=USuc_!{`*@^V3u)$e%{&Ehidv}j(>x2{=}27-z>kXbc- z4j2NECYNwFqQ-J6OT<VW)gC~}0p(Ygrsxgi%xE1JcW)hQU4A@}Ekvl!4m(IX?J{@X*b>?OXo@N~ zsz|{YMcJw+my$G>bg1u9B~SjYt5<0;UU;LHAYGFK=I-~4&Zd%6U_(6iar>JUzn$H} zZ=5=_0Ag+sKy&k^mLxXk=T<^DL7s03xg$k_Y_1Qr;MP^op&oqOGG zbr(&&{!u7Ts%vB}4#S5RtWJ6H@D#^Ll|t)vYn5g-jg? z=Wp|BzDaBP?U<#v(aon-27LkEJj7LLBTk+mvsAPXNnaV#aj6<_$S3#rhBreYKCKz@ z;`Rg)Xx?m;U7ny%*Ob>oku!*uSjJ_0T)s1IDs(%XeA!hXfP$$>Uf2*XJks9b(z;=w z^Wl@(>xY9|aiQVg{|Ejudd+_3rGM?=-_%0)=sg}my|Xxg{i!4ZGn(0F?e`e0J?wH| z9q#vE9m0KO#Js>Zom_x?FJ8cUiFtil^#JmXh?P2}S?iitdRf;zkJGVMC#b8skzRFS z&%g2WyJpHh@Oxvl3V=C^0B@+!54n+`dSpmt34LHvQkf$t9?rrv4MD~VI!9?1pCmUw zMB(Q@@$RZyi*XW0OZP9%vu|)F3kA$JCownBqe%o+mNSng=t6T+rPa=Xs9?}UPyF=ELNErr-gZVxFiSH zB9oqw3zCH`IEQx?rW{f@-WcQa^V$GK&aScNKk^Pg#KDfR?EmqHXnD;Zm8u}Y} z${X<0m3f$vC~sOCdbVWJ7;!RFv0DSVm~MSAivD>8zz!L=id8zZK^HBm1#DL}vB}EK0uiCrP1>WnF7i=>4~Fhe^lh17 zp+rpQc(Q5z%8OCbaYwg>_b8xSq3MTWgh{Kg%Dsp`Lr#qT$R0BvNglEF{Horup1wJ` zMM*3Bt>1k8R)4tv&l+`r9zVb*LXi6--18_1^zAb`lt$}Xm?eyBoIRndU|fd*eI_GV zH#6X9*Wz%dv6{WmHA@avWW$4gSNrEde;C4Dozdm~FuLO`Q=XQ0M{Tgi411kKnN+c3 z?Yq{}zRPHVVa)D><{M(+yFn;$sd2h+*~5A{@T!`4$rQ@!z-3Hm@4`-q7NP!7Kjg?I z3KSTD=!-rBxXBC1w@_+YDmb~7>Z0NM8_Ynw)CMIi?#MWf#(Bjm+W}E zD5&EJuxy@l*tz4Zz@NNFa5T_C>N(fV5``-*YBCXS-3~-UEg~B)47g+{4+|+b=v)I% zj1VEP0Q5ANr}B3{F3k!473FF26-7NC7J`u`tH@K!fC)V51qFlOhpm*ei@Iuw<16{5 zWP%=s1bG6KOA0-y5uN;Ye^(A$DnritM9cv2+CNSn>q)H8>dUHyBym;BytTiahMgQpn*=EocEiF=xH3c`*<2EZ)V?8+mW>(Dyo@`w{ zz$v68;O8$IlVp(g@$vq{>u-;Dh=d*h&*(z{|Ck;I*HxCL*6)n~Xp|cwZMQdp4Nerx z8LH;62VxI@VW)B|UYN0{`vTMlW2d#(w6E0P%PL0eK<`7qwrG&yv8-){Ob&$D1BzBP zJ(i=?+c5&=i@C&;I-zxhQ(27#n4|6kDQ9WV>)8vb+lL@#9dCKmPSu&1@m

    dw{QS5(RO+G`5VM%x=Io(F?+I`R@IZ)r4FwBAvhnIK4&O5v!IzRiW*dz$M7 z?gIrsgQJg>YzFQVQ94K!WpOFwGaXwDyD#)F*Q~3lk3_GXMSvfKF5+N0P|l0?{FJzg zdOX*;2_Ii*x{LXovv>%zM`-gDF9)K77sRbEXuBx-C7|n0Ee64_ zG;+9tyz4c&ubiCPzY1!m|p(Xfa{|JmiT4-lLo^=G*{zBDzil zc`6?M43uYL1AoN@;%*Ae3q^Nc+j}ltmZ7ak80>`bg%}~AQY`-Z2)h#T$Q78SqAQn@ z%f#RO9laE07hv~F^!|*i`&#rK4udyB?+R4PMOV%%--^|Im%kI)*-)tvGoL}FQdp*; z?Y(%j376-C7}yltM=_X}Gd_vVSAkh2?08cBEH*E|iG2}0Y++X|?wrh z9sP8Y{dh;0v;2XV-(BSVRscIE=dy?M(sc*iy2{Q*z;u&~e*}uVoXIt051Gw7Z9HY~ z;b^-cbKjt!7v%&ERJ`OOC#ZPKcD%svBS&3^&{y7LO+R^vhmuQjCJ!b4@)>_C0kVJ# zEP?XfPw*Ecr{w|cvUK38f3OU@2ofSg*T61RMhieclDGI`yxbAo zQ`wc*4WG%0e31*JcOPgL%J1W0_gtR(-`;0=lApL2a_A5Ui)A3EbS1KBcU*!}S-1$o zGU=ELw=ZQe$HTAW(JsJzEg$j4dL!5H#`tpi))2zC@^l2i-pNl-fKnkHYS5QT=^Ko; z_tK~e-ap7LUBP{nzw-8?PqLLSNR>R01M*qo7Q}ZX^#g!XE$0mdS0mRg2g+BulM6H7 z@Iw*kORcOvho05RtN_@3mwI6k>Z_7>aBHCSe@D*@mGui47^y4Gz!|IZL~tf5_aiV( z)t@{jo2j2~0L5Gl=9uGzGW;3l7OG8uaF)vG6;!O$n>OIART6K5I;nhk#I#Yx98I56 z9y}!2s{F4YrcSm*j7eJp;g{wi%stQhyoYdiKKyg-Q zD`4QF@_z>OIo03}+Rm%KO(Aquzgz(4reY4G&0P&X2+l*L@bZ_ZN__>e3#$AZ$VHXQ zVS<+$%mqnrHLL(CKI*`au=7=RTygSKZ#X8tq`L7|Lx0tY_qGM7PrL>fsQ%EPElB6+?i1Yx|o$-zZ}a^d|JiK+(|fs@p1eu|To z2j6ZfYSkU|<+|#~-%6@l#1-W<<-loax*E_4<{4@)FLd5e&AHc^N_>XOL$%~Qz;cxV zuQBGSSJU7xUzN^9+hb+NU;h);^x^*xtk9~MIrT0&*mZeGqE{^LzZ zMtroxab)>nAdI@pm9o)IY5_X;yB)0NC2=ycUx19NfR0Z5j-@PqBr z(gYlrS#tEdd?gpCR_HRIv$8)IomNG!!EyCf-kz`~z8acqoAEuXcj^#6uNzi~&wKr^ z!FJ#5)4)G)pg!aWXPiTS4lUqt`EWT8jYqoi1a~x!r-)-8xw3P75--1)lk5)W1o?7s zcbbm!ikBVrYYpxk*&K$-dAi3zmp9EG3LqZ};lCsN!do=b0x0+$&ix>{G&K$D{;sIu(ruow<|!dx zbVE_=zrfgKHky0>$g6n0-tw6ZS&c^%#cH(;9yv~l$pWpOvAS{UX#&KcD zMf7mdrqwtuj=Kn*CGB}n(9(1NyBlM82k`PMhhVj$8<(h7w#&tFRmdhFul|51M6>2k zzJK;Fb-?k!nN3OS;Pr1p6*kD|A+P>H6T5#VCzia)@v z(t`z1iKU?h5XRB`LO@?5>}2J{d?mla1OqM2uo=ifB$8qs{(mNfARj9*VJJ+ zy7Yzy8ber4p?pSfDV{*Sk-0NEQA@*l?)pwYaB)jt^e12%h?%^9$xyW7fYM0Z;*`o! zY~s}JjF?~z6(=#o33krH-web>6l{RMb7D7#d*?-;tq{7354=L|CR%s_%w5#l!rVjD zc*DD=_{z273!-*63@!?1E(>^xpSg?~D$?eFToJ3e92qWb33d_UpCoXRV%BkBMv1mu z97+*?ZUmPq#yWya6KR|?r;A6tvo=F?=3M)ka7cusLh;)c+yzC#t2czjqV;BYC>1+* zfV>n1eCb|`{XGCyF5cI}Nq-RwxRO^b&hh^E8qvNMZC}NHUJ0ue+Xlj*PS|nj=DSF2 zi#C1vo;Ru+N`x)AW-{zBh_P(F4*pDJ+7fVPGU)?2bJ>UYDV>lVxRzxhXXV3#m3%o1 zVAgUe7ne^;hgg8w$U+T>t#oyP+tackZ~3s3CH=uUNJoxD9A)c3{#N8c9zlNoMsTD{m93!?E_cj^_ei-Y45tz$op>;hmU}t2ijhuSFpQPiEg_7PNBCvtYqB~MZsVoR zLD(hAMqC+Bk_TLUk zT$bDz3xiuy{0X;rWMmU)-j%t{A-pHoaMA3(G}s4{EtfaI+2+VeesJ_qE*S%qT-ku3 z^JHjqfaS}XT+Mha3vWR9M4p=mgJ;s1Z>a*=imPRX@-Pw;MD4wP1m+8wHVG=lvd>y@ zCGtm(aZBYaj@nW85L1?4OP66|jdiNP9w(9aG5IZF~{S9+YT^)HDoZj0A<8mnFH0jNAP}Gt>jWcuo~G0T!?bl17@hIX#tfm zl{^S-;c9bpoLGdK%ke^_nmq$x(dy8zXp2$#JE3`172bqhtoqCgBiGbZeF)=KEtjhj zR9nvO6V)fKt|hDeoGhfMdYtoJS6)dVsj5K{G}G0}f1r|~K69bzhDzn4$xStflZ7l5 z!jaM~)sCOIJF27w`f^uwyoQZacv<_ zjUEmzU#0Lp|5y!+hWS%du?cpEjirdN%!rg6|n8rsLww9K|c@v#fc6 zZTTZ!Fj?V#1-7eN+(zr__gtl3Gp_^)J9aOHWM?T?v3GB~4KI6~_%_%Z^(PSa&3^~Y z{jP5zKd`$I5Dw1djSYuFCqRC9K34;e{8I|eqn+DAa;)87XgzMjNsm5N{smA2+FT4j zh7`aLv=QCoAkdhe@C7uX3a*Kp(&0W3+EE3^zV@_%tJ042eLYmp(8ge(oFxywx1Fe# zcY-@p@+h2{3r*(;>Kt8t1Aph~D93iL^iu^?+{n8JpxtR_7*srHKs=y5X&(oQDC=-d z?INA32jWE)T_N-)Jzfy>p=Dgh@};6xu=69=8VDn3+hYhL>Cy!VqiJjnJj75TuX{nnZD23rHp%CzvS|!q4?}8d?M{ zm3%m`Nuv>5>Pn}k0Wi;?O?<=OpmBt@OqzZM<~L~zU)@JUgTdv|g)=~TOtmr4d_sG8 zR(MK>IFWlsxApL)6i_^e?uB%&G1{I}4X+#&(a1Qoy`b%XK@5ZiPzNA3&JT#MKRN(TMk4_=w5%!1;;Y$>{YZ@w5)m{-T6u zg#eMptEYjY&v~3mkl5!1mCIrt?}-Z*(Y$CIBJ??w2^G0#;17QxqeWYoc)?G1xEMDD z1`(o`SDYh7vyITaAr^E1!4KiDgG!cI$RX@4@u~`axh;4GBZ!mu#?1sWTTO8%&AxDH+qwS&4@#;aYh};bBk#J$RdE(AJc*qw|xIAAguATx{ zC*rk$)|U;r@^2vXqd^R1(?4KtBqv{iKV#`v21h2+_B9Ml<&5`eGn0KeU@({dOCdZV z=MYpZWU39!E#(ih&}JnUr@)c5{CpnVNqJ{Iz-;7QF2%Eo;F?I%65fO1Km_!B7pvVaS-0WyF?nP_>OAMF?!#EYJ>asfY1aWeVd3OjjpUC8qaQjsD=1m#}atRL*g|g#8kmoX% zO9-FjAXlhV$rn@5&o45MYm|7G`!w2Ww~shna_2lI$6ZS@^|^6 z9yImUbe@O})RIOx2SfFDJ+v9AG>(pq)j;Id|4m{wTx#w4jnltghFsSl^rStGgE#U` zH*^KZ&BMm9Wca#P=+%fT{h&Fy(>BPb4CYeawAYPsoWA8W@MnCmgnVYx`ZzA_&w>9k z+poZ1v4AI@mBaZ8teVRE?N@u(N9(rKW9Zy=uW}&l4CF6&S6_d~cOP+u{+=LFDjV|b050e15SNu^GK-p(NTSHm#A@Pa1k_#!>CAl+6xBJRLix~ z82X9V-LBFf-O(0HzaB$dI$h=U(+o1?cfc~K-vB`0q_BnPL>4vW=jIkQEZ1x9dBzoCF#aOhg1`3ATTM(LxN$UV+ChR(* zyXIoOC&&pg?g>;ZMBBsQEJYblUsmFv6FOlnvfqI_DQpX%;v&KaKzL5XHiRQrariF0 zyNR+HXmb~>13)}P&`zLuiW|J9enHst@)TB$c zg8IPjlK7WP#QwrD2L=HmXbCU_g?1C%28kDC(7Y_BeTR9li1-e$5Mj*ahft9-9l|SO z*j#X7;x^CV;o@5mFe5~laWIb*6+Z$jO6(5=bhKD^7mi{?;15u_Dwc9y9xLWqLnTf$ z=gn}}#Gc*&ix;hW}s!|-s&+`E2grSph{CD8rIIoGO zt0AA%21B@J@(Wu?raX(saq8cH0b!a`2#(XoaP~UmU^{5ee9I5yte)Jt*>f`CYfcuY zqjP8T1Tt@N436`&c(3JxFb>-m+6=T=%cu*PHobk_Dh3BP);d27MC{%pH`7Y{BQs(2IE#-F)Na;UL8 zB!^cVgRi5OKS6R#pKEZ(Bh#UyPjmgz6$6@j3R;HLl}p7&WWcXV8GU zFBF^^?QVfKa~k##1}A8LTL>*El}9H_${&aRSkVn$8?vTdTn;=*x!0j$L!p%*r)aVT zh%NR07nrB1stk5^G`bp^_Ehi}hy&fcd-y^7*B{=*A z77w}6q^S>-7`hw-;Z?fNV@fO~^JcF&YRnbTYm~JeZSh2N(3U_g(!nLt;?@(&rR8lZ;M7c$1tW(TOZN zdmH2ybzcgV+f>gI1Y4Usg50GGTmra9>KM5Dv{u0G0eSJgnoSeA(3L~KAA;~94fKF8 zmlFHqzIjA0VQ9-E|Iz4mK7C(|w#T$(1Hhh8SSSph(zGoQKBLlY=yd_@{fwR!(iE;S zW4%5VnnkpB7f{|)ILA^S=phF+pJ*CCFICiWB%nW2SrUX_=)fNkR?~6bb5KK*cxwGh ze~ttBMvacb(HU`p=b5wO%vuOhF>D6TMJ!qg?ws)UfcNvFH9!BZ!r}^0+{B_?Xmb}u zeD`>WfjvPy#juxfbV1bH3(Sk+FP<*((r*>|;w}E-uh>Ui=D)h|74rJ`31e3?2l*ig9Vo@_d;|Gm6?0qIKgaW!iD9*(T#V?#A;0KMkgjytK^KkP*?BfW& zSQt%#hZ0erD`TZ%bqFxagytm3OL4LmnykJp`tdTQwWI`)lk({ksMyHLRX{l4Haj3u^WUgGMp2}b8_iB2+zxQ+X3b( zx28boCQDYJFYdD9U)Xub*d%bCa>E^HUXW?L)_qal`vF2PIhGeIyyZa7<9y`2)j)}n z-MXRes=VqBE>8C3g4H!?%0=3EIc_{ig50?cZHaQj29P9KkOq=0{dx5zMLx&?*mYUn z1${}C?>LLhlV&~OJzpMs0rEtyGK1z*d2}=Ep2^c(vMrF>UT7Hy*4ms(M}^UTVo@oS3)r;+!%@m2olh zs+z-(eVnRU%2!ueu7_Q`a$AO;C8)nSUbCsa`HN&uIt zy2ryVO||+JD(UKOKiK7~oEtcY$Ldl$2%jo#J@|X3d@P_@pxpWSD^y|4A$+cyOonEW z`jg8WFI3Na;EL5=A83}S$P9QWRn3#&uSRX<4t`Z5w*#|Qo#t_(POatA5kmofOM}g_8 znHmnEn`SoWEAE=ToTzwc=IsZHr)H1=uHXety+rioq9%GLI4{lZ7a-o6=_{e)qiOLB zZN8eVYk_%HGj|qHVl{8O0`r>YSKe$FuUR$>!URneXEuqNv+vQ*Bu#gY2$MB!d5lca ztbPvex+eS{yr*gm?n9WSIn3G81I>*GfX>$J{i1EWcYaslliL3@@t!4zCrj@n@|BSe`_FrB(vC%GYhvq5m9G(qrwfU9k#A&SoUvgJ%-b=K( zX`?TrFCJRQdua32_Tehz1#R9H2rp`1akd+-ea8F1BecOw!9{5uKY~PSyK;IEqt$y0 zgR9!glTeA(x^f~Br%mB1)itekS6rTW?VuNMl%Q=?4ueE(CdXY#+FLK-FIgLL69y^T zHM}f&U2EaP*HasaKva{at+Pd2x^{E}fMsa=@FtTR+J09+GPUuhAUCzXJ1GPt^>qs?2FeiXz6-ikXn&myf-dVzS;=Ae++{n^ zI`4O$B&|K5W&OBJ*lt+)J2W@0jD&pCkh}1; z*}oQ&E$w)hA7cXNE+`O{Cp+?B->=k8ZWAhDqWc5{ldy`goQ z9J%^xM{Cl6Vo<fN`Lm{Agp_{y+%N(2^gZd6s5E|oxhzmU(43%@# zFAhRPoxJerN;O5Rq69T?(>{J_o7?6)~>nc+U<&g-QC^Y9jkjq7Xm6Fh>EC42!f&r*6%g%{eFJ`+&MGP)H(N@=b2|_&b@5aHee^& zbXQ=0Y{hu6__Jw`00NjJgD-(Bl(POoOvnN86f4yLg4qM=BRS2yDV{jP8d1Cy!s4#u z%tKj{CzRpmFYe;J!dSa@U^#~lc4!G_JK{h*&xTPK!v)sAKR7S4HaT!Ug3YHjAd-!K z2bMI}l2+{pOi{u4h=n-;OK1Cf0?S~<#o)|ji60=B#s2yX;$t@H20%7ja}#4ZEdD68 zJYh{KAkSr?%Wx`BnQv40@{FyamapfmCB0~u$NI`3zF;e;?Rzwq733KcUmbYvG#Y?5^JZ+iFSgIME zc*i2?N|ZBmM~GLjZFU%Y&n8k^#|P#?1@=l-EI`Xg*4GK*pIF9yuv9VsKSBJ=65E2M znsvAd@uTRD1<{QUH-Tp!{M{2^p4|Ey#=Q7FdP%{XKl26g7%!r|x(^@L1K>DsHVXDm z@NE?9`|_w{U?+Kd$~F7(NpnH;=Vt_90sPla7z^Yd&EZ54&qlo$yMmdc0fM=f3tvw2 z$|Ybq!?&D-*$_UN^2wpRZ#mBPEFVr0Sr{KqspE6JLksfSTtWng@DWa0WHEYy#6b&Afa*(V{yDF4-Urj zREn$;c%=!(68WT_07=}Q>S0&-^lR|*Dj!YCu5r(wVdy%)egmFma*-b0EPji^-fX@) z3x4MC?)3nk@Pm|k$>kZ-fIa0o6mdS|--2Q2Iltx$3weAFH4MJsNwnF@=jAlpeBu#Q zaH`?~KcNd}$gy`_3iWe*ECaD6?)Z)FJ0BgwaXR zX(=RCVa!T65eo7Bg7;xJT^i-dTwonxf6l;2qP#RcU&;<3!<;Eh|;Ae1rIX_ z_z8{oLYco%kD`(QL8Uo1P}p-F#2{gZ53o~08y#4%aC1GJI4xYHGRql3r#fwju!E*u z{GMt8PUWnyox04!gxZuxy(#>l_2`yR_Z^6L1oKMB-4(8rvU|coDxxO~-G7GMec|FT zu%rl!C`e2dbV@#^37cuodLVSjhPw}i(KNR{5A0J>jLMNI_i-f7|0g8p~g|P5eaH9vkR5+RjxiXiDgD`o)Rm_ z-7{j{E)Wk9$G3z)s2EKZjR zMP1Qv#Ih@3DHQ$ALral3zXv$0QT_&Jjrh}kU|+?SbkBbiLpp=yyXZxe&JQs^0dnS2 zKJ7^?q);P#v6Ng}0$53n=_cAQZK7o5QK_>B1l**jZZPX1eWyFwQ?l{IX?jV)qXE37 zUo7FvG3l`k79VNVXJ|Ptz0bqg32FBY2>41Pzhdm9G-D2ke$s&Hz#^p$6a+*`|56Pg zTKdHUa+jrlRsf5U{=N^ESZSIcSmGo{y4m9;JriRI($SZ2Fi~1h35F!;&v`i8E0W$C zhOSC>??JpK{k{q=U6;;(hxiSt7X!;pX>0_vWJpET0GZN`0B}B*TGz$-W#dN*LCldl zQEB9fw3YfRbEQzq5ImLoIbiIWWcMe`mPui?p!%J(yB9!(^tunk-%C9`!SX>Wyat_> z(w-XF`zQ^f*N8qzJu<;kC5dF=vowO%muF0@*N z$np|+eO7)%S0YUQL6yRD@>oh6gv)RK1~@NA(Ai#)OJ2jli}K2kxR4R@FZ7^C%0u&E zAxbu-UiC|I{~Um5Ig7SQmt`CJ`eS4xwFt$^Bj|g-E3e-U;yt;~6zIG!f1*85iu|=J zbM%RIZ_*yG(9A3UWW>4L2ZXt_)cS%u;Ff0s>Y_ zhhea=U*YsC5R@ZK;@e+Sb~&${V;Y)2~eRdSm}BghE6N)rrNfF03}-QEAM&$q$tg;;Y6y^!xt=R%I{SBd7xA*hTKDC!(DjxNNIf< z7Sfe{4PZS(DY1w1nTkf`p)BPQEvAo^*7V3^E4wH)m!sSg!16>vjSvrs!YDQVL7Da& zEL18#--WVI%C*n~?Ta#yy5GMlL*@bdrsPm1@4He; zIhG&F=ii{(T-{22G8Sq!y^3L}p0Wq9QcWpZ+pqqhDv`C?cOx(x_3ij7% zl&=n*2jWY$b8Qe`t7()WC{S;YfzCIo3snFL)t}n~6sZkph5f9?764SMGyWG7sYhFY z^Q+p2(na6Yf)OzLT}|;|IIIL|JabnKeNeZl8w2PGRcGc=E z1$I>P&x9d2EswTg?wSd86nbcDXv6QRB{zjuYXchtyRW%80HkVt>5EI#8d6T+f!09-_E7VqiTaTy_krqkZRHZkWoVhEz%sRH zTYxOB#{{rE);?BZEL$5)JLW>IZ45w>cH9nTOEhaUIQUk}q?ZIrwMRY>DARs1z`{H2 z4b73|+MHO7RcLPyVC=jwV(cR1c?56>Ob%-P(Mk#!65wwtz)P3@gE@< ztUr*TI#Rcyhbv0o(h_GBt$#iXa9NL|MKMNyS_fKU_1Wbxds`pU9a`?_ztML6p58DI zUw^XRlu~T>_1cxtlA{l>hTIc9?gGZ1>RV`%d!`392liZF?+DJf`aiT)DAh|TSbwLR z9RpUbH`)u;6?!`)$NtMm#N5Sjdz&2)`P+_?Ya^ymbU1P^wUv&pOM8MbV{+fG7#-LV z8(2KZKD@^@Im9eRK!+piLra+xYfA~B!>sFMusE}sEg^7(#kPVL7uJB1)zpH=+u!(fJ1KFi4a0an3dTOq+ux7xnvAMIL>;@a% z9AeLXgLs(N)CZ?CxBU(aM|c<|bzS%&3jSSr zE6QXaOOp6W3U|O z*P@~G1h1qe!WVu*0KbZ~3(6w-uNy$T#Lf2ri{>T_4qoPOwtyvuSA2r9Snfj`rZ^rD z0-f>v;!hwZ@LSYemB`!B44lN*dxLm|%XMJrD*uJjEZ6vabAao-)i2O_gR`g5a+B|& z;?FI<{2H*^{Eq<;xWlinhV{FAULkbe;|}zz0LgsdZ8(3Q_f3XC3b&X5ER}zuz$A^& zrLgD$Po_n^khl5{fgC_7}eIfX)End~=AStoRRzK|-hhEdxSO z3M>Q*<&-EsEmWpJ?u_932Am;6C~Y|}3yG9rj1f%#hUz%s31zwCg{l3)k|6YcxB^oaqgLeBs~Oz+MW@1yJ@%Ft>!CuZ1zekSh?f@<4ne3|R+a zp)kxE#3I38hM&bkU_Nw~2$oea^j2W~Kr9ue;(J7ywW4z*Pu%iB^69-eTkbH4cbbDtz$~*SbRW zaWQ-x=9^*6FWMBGhXyv1l0**=>>p9@#mkx znIuM1mh_4^ZV(*2Djw|ya82CR9pVo}A5X|V6kk&=Bwh3viLnfEdJV)g#rf|*%o5$H zo$;|~*9gk8#b4qumLqmrhOsB&uas!a72i?@^{E(1Uuv;vN`hA8L2dU}-bRLw(^oQIbX*1QT9HkFKaDGnG6-r7R zMyUftXXz-tEOJB&r9j9n%MW0D)stU781dq_(u!AD8Y^^7(|6@C*XJQb-P1PDh~Am9v=3@4%Gl+^nuK(KU$R^QXoo+yl+k)Fom973c&DGL=UJ*Rl@EUIc4 z3zH7ggLh5}p`|WdY99{q^HRT!P<=t_&=TOHv?CD8BBb`M03xMvlsk)(##4RhlGL&Z zuxKgo6qH?-UVnv_80qZ)ZVhQkXRyRc--lu>UYesqJVEN72rN-*M@h&eX`vs)uSmD4 z$bVJxp{{^yQd1L{eI!kA$5^`5r4c};RF@(F)Mwv8%VWtQ7>2SXyP?2xq=%GHE0MZT z2>Mp)UVK25)Vw2z)^a5E z@7c&rs9(WOzV88-4#;kM0PN+TCt}P&zDS)L2jyB6JspyxJ3+Oh+=`Y@9~oagzD7B> zE{MKz$DJUaln+Kh+)r*42h3l-^D97rypy)EfwDyFZIIlxCs^X-=L0~Dm+Mo`G*K?0 z+cZf|Sb(uB@>IsH5mY}<>nS}qChsI z)&7m_O>11CY%vR*Me-c#&Zw40bpo+Qw!RGPtNiT_EPRujG=bcAIc+d3{E%%oV9Z=u zLHjfdrRQo8EtOP%$XO|7^xpA)Wr(8I`fn%Eg_eQ#-n8BSyM;Nf&!C^EK51t57W5$@ z6!j0C`WJ+T^&1aG!{cb48nK7MypbmKUc{(RXE8eZBjpgsbp8UVv76`y8n^Rz@Qj~T z1j>Ylg&3W<^F1h&a@)a^$>%6rKP8CLbyF{_!{;TO7|FO1rmtzxK!H{NzILEU*rOm4zz3akR*7A&wXKal-MEP|CG0UE_xH zTDI{mG%TM*t*R@!9tVA8Bu!hZd})PW?LPybYc52BXKh4ZjIO);2RPSX8U^}>-qg>v z@c`}DH$7_u%I54<=4SZZ?spE99Y@@7ywl+-cy_H?1U(1XZ~p?= zvvXab;~>kSNbV3bIOA*`+2Cvtomh`{uzQ#_TLlBo%s}hy5jK*-3KteM5k!CXi$4?x zFh5$+gIF!;pJ&vS%)^cZ)S`4e{G7l><7;GtUg6~A6W8v=&WS_ z(AMiC`#Ov1r=P4d;hA$_WTb#w%8NP=yDj~d*zK&3SmLit3+?T`MFy7J~4xZzy z7l0VfTepCg^E`V!IMJao7Y<(JOYeX)f@jqQXC!y12S20u79$K@;@RmSM)NvUo4(B3 zZN*p&pFqKLEO(?xAdag6z~cF3+SMoU;;{gUeB&5!Ch>CvF?NM_plrld{?kHW*Z6*# z)~@qOD*$fr4X&_olV7Io>Qf%)51r3=f7$});r~@g>J0 z@S2+!<5UXx_g1(BZ+Pn}V1>MtrqLq4vMz|leC3}w=@RZnKlS~Vd+ddHDgR>zIQI*i zD5ZrzrtS;Xwn9WY4A}`Qn?Usep;ZKcy-?F0;ts;$5fDEp)4oJ|RoU~%Aem_02ntbm0O@m>|=LdD_K^>9|) zG7T(Y;_s9#iV-a~0E-o?%OD;v&Ub<81kubJ;)!DChY&~-y|#fRS-ig%EcZo?$|0$u z?gY*>F@#_STHa@=(KL6q_F zt1vpDd^kQQKDGwWq!+Y-pImbQ$5Zqg@J!v33jS%M=ykX0$~xQ+Gb-qk%)COI-&x5y z@MZQ%4{*-eRs>Jx&ZOMfym_?b&Ywd0m<1z0gMZ=30E{l$mVwX3N0;DpNeaC%v^1ZB zxn+ypp>28d-q5yUmp49F*82#aRnv#zb9K-HjIJ3QgyXf_DVwmaA^}qCmp=n#L&#sC zY&=vKb~pVU1B;tqPzG_!ZJH3b*7)IbTVe^?2%9?YpDSUlOzBxvzwc6Q)A#`d-brw^)EfME893MHpm?gU_GSj}~a zhp-U=@H3RH`Gm2v>^8NuhOw_9Af981ey|tL`cY5uc@{`Xkqhh>dYCS<)IZ@_1oLVF zEs@O89KCKA zrLt}`y{ECsuORn;y}SW|hpZ=+CLghsQP7gk8d3O@!8$u&ER+3s1dzo#H-y|{<~szc z(ahf*Act+ISNEQ<2d5yA%l@Ek`%~sgD=J=IwSvHNc8cO2yuA7+uow8>F<8iF{phiK z$%ZTk_KHoI2JAJnq)vtcHlLh$!xo6Z3R%@bSSVtdG!GTCKQ)Xw^ZQ{?c7zL#0IuBs zzb2k>`+>RfFly{_=Nm@BYY(18v7;y7k_d4xzM?aLH;2TObDVVT}NSxa&gLJH;O^hjsjv`B7k} z`4YPM&)_dk3&8oD zzcoNh9(TZMgS9tqGL+@>;7;It$xloK=PUl}b6~Hz=~j#t@D23jyx}{SL#~kD%Lhvl zcfABq%)R!*PzfKj3CiB`Ipcwq@*htiSH>?;9R7|U*a=Y18&D@h1>Ztjt@r#Dm2*Gv z4rv&x+70w_(Uam_gy3rQjrC%u3k35?b~Px9PfA3q9t*2^*oB;(S{n z_XRLJp#i1T4hW5CqhXH*dJr9iF=Y0jFt!-PLxK-w!5oF3DLv#QB#wl@VWAy@VM$#Jz>& ze*lgNXDBA|5$e;H;<(`35X2M0s4D=zLK!7YP6}dKAdo0@dH~KV!s!C+z?D?8oeoGUx3^# zA<_sxZwsA`FndRE`U&8!;7#-3J)xLlyky}d)eY_o)zg5b2(OxgB~{o$g_Ja*6J=2z z2y0G5^+O@QEmS`ewwl3uy3lA81Tusb^j2=B@SS4AEa5#xb&rL)rqG!!tbPcV93h%2 zOHYJdjWL!hTv!V5R9NN&)z5^WLV)MOoj+kVPgwI`!t&-cVEOpJWte>_JpK#dmEdaw z&euZAwEzXe%$?BrM)*Mqg+gKW69^OuJ?ZyliiLT@;7f@RzYo}3;SQy^N`*!AIz*Z9 zYcvGj36G!R9Lj~yl;5ck6l#)xFL*ov=LezQYhabab4tB`6s&1Z#(T!}I8_OKJ3;QV z5cUzoYN6f;2-FCBRDdr+F73j<3Sag^=Qm*h?VP>~7F)sjL%1;?a^~XUp&(j_m;V5; z6i-uhW+mRB-&@!(4yEF!wfJ)_5N*UlYSgh6<*6XriO*@;J|Nzo1z<0(rOb?jm`Q&t zeNfDJ0W47bw-!K<*gXj#SZrtpcTbDYX?8m!;?G+s^mPAygnD{*j zW9P&kv>D7#R*Yj9EJb*m6J7Ki5745 z!nt1-%gP}hBko-efmreFH4x*(+%DjJBeu%{XQ8-{w!p>W{gz-U5wqJv=UXv@I7`JF z-9ao94YX%{Cq5w0%EdlL2vmr(48YzaG5R#LqJIJjRLNlh8nQZnsiY$V@G5N)ONX8@2qr9JrpX&3F+?WNLfU~!Nl z*JJFU6mGIv8U|q`J1iT%=XcVb)cuS`O@} zlrta7+@u&Ph`UP_6hU}Mwb}sllm=1^<|R3s0P~i{-UjC}shrvgeWVsN-5-~FP(kv9 z)N~~r^p#$B1~@4#^9IpRnnN2Jf2o>kUI9|^1DFkzZqtMtBw6PIoRTtVOCK!lps)Y5 zG`%@=MoV!4Fmzc;Sc7X8D|Mn7B~BXZfU$VVeI-DGG`26~5~Y6BSW+nUpq_vtX~}o^ zSt2dZhWJ~lSu8A+O8FF0l}T=)z}`vuIt0q4VoD2ENaM}}yqD&01j`3$W(meBC8uK8 z`zWoT9ndFft2tCxNd?pv@mZSn8Z6b)P|Cg5;CJ_7=!>*^J~+Qh<-bGAH_0ywEZ-#q zO>#e^dK3Yg%L}`~T?@Ik3A9+suew0oO8z_!WBcWr?hvq+2hsM_M&A4wL|a)~0G)QS zJ2k@{kh@QToV_g3D(WDY(BAW)+;9&B4#^hOwBRT&K94ac*=sf~u8f9GPdOwDL@#;#A*lA28&k^a zn5$iw49g<;u(4KYKVu(-6@q5Dw{=O?5w=)6?_SkpVIe!PVP?g zV7NS&UY0#C|3$0J1vz^qu#56P<8hTDFPG&s z5o0m(JbxI9mCcVrOPt)R7eKu1vJD_XHf;`NiE=Yqxsqgg9R#k(9e)M5D*rJ9%C5-{ zJ1}-#?o0Ks8*<_|fSa;=Z4e9O+Oz__k^TF_dXa2Ko3&zj1MTQaWQU(1{#Ks+4!)Gi z#giaVCR<1V@8o2fM$6?d6g^eQO+2CHz1*@Rh#%xo+O}27Z7A{aQ65DP^C$VkED)=Cew>W*7@o8rR0yIpy$th=(g5FT&7yrCh<-1!WyASr?Vy$B>Iq7Lq`u za_|;hic&IG;2bU~F_hzoR?bi!>ar3W1}sJyxd%F9l}K7sZ7N9AP>lzmbL5r)pX|78c2Rs+FVt^7R?I%||bs_%bMw$S?bRT)Zo z+;7ShszH5M(rME9p`53zo4LBE6u?3qVt_JB^-d)mv{KXlhO+(YJenh|)$ic|Hfk!( zHn!?j7XUl83w2f;P@U+8wpZ^^8OK321_C>%?wbn`t@eC^6T7TtQ&KBd-JJ)Waq3X& zbcXtide3wEB?UPRka1JE7#QBLqNQ)ZlZp)5&gqF0OHrrNRZ3MS(y#iZ zsmG~j`hnVlCftW=ON!PWslzD0ldgK3LUo2(xCL0IdT23x$x@%wvh-N>afH{|>I@B7 zjyi?5flt(O6M$T`WF0u4su>kf{Y+i`GdQ2C?=yhqsSz_V_Cl?^3@l$wJ_hlZYE^9z zU#a_wVDGj158WCC>a^QX_C^h+-o!%neJHRZ)!`?w6suJ|fR(649f7@7kJklOswR#F zR;F4vg3fp9z)p}WS39|5tU`U*4LT2LvCDxuYF5ZTp`ouC1ujRlwKoA=wET;3$yIwu zr3ZJdrX2)4w7IV^=Be@Bxa3|Mr+0XKG^8OgiEF04F?K@RG6_0;HQfrA+)qoSn9pB3 z-T>kO+BRPh1GRWcK3v!8Q%B_uZ9dH}w=}B>5WlSjy~nxV(Uwvg=B_sI8o)ix@-sM- zwbI(a?rXcF;8Kc~TmsdpT0@HG)3ieu03K+UD3ExlWm*F~(z^D5>U1q344fHS)dPS` zZ5HJbv$S|xs~&3x+Barvo#HW;qn(I?mM7W~deC#Vu7hCcsfJJ)Uz}!EAKoeF_G zE$0iwUubJ7_nNP@P(XaCE!#t1oHmPcey=r`DR8$yYfVL_H`>wtxLAc+4NYW4+7(L6 z7i+F>fR$)#3c&eRn{pP)O0{~w0XwR{ngh&DFYgZ)58cWaL{B~235L9M({=F0TOUj@ z`!T&2)ewF3$%DXoTp!&PPMpx2P{8V|f2NY>NxgSn06#sKasvMP@-hen=$&b54%C0& z0}!MSw1MhV`U%Q)1?!!tll`>5eI=Bg(L)B{x`gO9^gdCjUP#aFS$#R(Zee;rI+UH$ z)mI>f>k~X7cV1su3vw6qoeat@>di`kMd&MM0*lly(_#~)Ta-iKlI~5beYC!a!NO&I zIL(nUdUhv(Sbe|>cowJsMH|g{y}%xF33}-_2qfx0v?Wc_JIK&-MGqhgSM|1U;LA1L znGzw_b$1i6+|ZX(VdJKLWdy9>(wDkm46Th6{oc{tS3>-*UUCZ9J$?P|?^ajd( z{jw)OioTUr*i?N&9$3=!=G3G2KyT3nsvqiSGJ!qP!>JOIu4gO)$k69S!of`4^CIkJ z=^K6r_CfC~161l!6ggJui{=CStnZQoKXPg zhD=wmSQr|)!3j%40j-o)hQov4#D2q!?I2nk_AUXiF@(?xd%$4c7Qo&RHxS>EgF%P@ zIB1whO-zRjrnE_OG;DYZcbyCcQ=rV*(C!5+95HnD2hqilLzPZfLlE_tgd1va0(Rao z^fW+(0X-u48V$>@LoUkjsv*E7gE|%<+K}}FESC+L$zX{w^rP;Ac*EI1fCR&pT#O|e zW?TbjlHoY59ajvGsg!utu<{VFYliTL05=UAX{&I{(4#5N{kEYiWxVegrc+Viu3^$K zfP02h-=Hkn@M04Wg!ylyp6^5~SQ1-!aJ_#(9 zhO$0z;-g_o2E;!ZZvQXtF)UgR>z@ttcVMjAkVzS=8pBFAU|$URwV~y!;U#^k-wdBZ zq3pY1RT{7#hG#T;n;Un$17=~oH53*sjS>l184GDO+;4n*2f*66pNb_m#$^;W+ZvPT zxwSL)-VV6~#-0Vh?2XOnT00oI1YZssk4*!1$XJUq&yL336oxt(9ftrrY>cLr(%HCw zA+RIHmsEmuF}9%D&DFT;CF~tFjtv0jW=uE()$Yc|_VClgDE+S`)YzVqlvj-Lbfa7~ zHls=Wy0PV6$lWkLp9#5}#)6^1ZW*tv!&SO%Y`hRGcZ_c+Eppd*fU0Bnj00$KOEwNF zh4uT!55sYODaHm}z>;c=qDeK)CJBZBj2{<)m~J%r0gz#QR}TW2 z#yuVw%Yq?bkBtkOKs?*{8?7BV#y?*}{E5-h79iK?NcY)OV+!32&y1!6fITaSu{{+S-Wva+HK){QP9@ed<0HDB?~Hk~ft4GbDS2CAyhaoCdt-f?TR#{F zQ5afjTtwm@jng`S<&)8kcDq%^WovtA=Sn;_He$&xL^#hFUBv~aOtbjwF9tk z##hl0_--6Rp~??q4FyByCNn8hYGD%a9xRq7ZzBP$Ozz)=g%c)CXgBX`GNdiE_?ry> z4Ise8uPep^O+t481es{(A#lnhhO#5UCI$Pza@r*15kRQPP&$>fCV#EL6$~?J>%_t#K{$gVocm9 ztcW!^;|F5A$soD}2`0WBfF+v5n&8BeOa@VhZm!8G%DX)^X-Er7p2@@ieT^nrG?s57 z(`xwAq$C~oUYWF<1njj*%za#m0+SsaSdmE*P5#9uxlQ3qiOHfYU~f%&O@=_J$#V+9 z%S?JumHC~?UKw)lO==GW_Q9ko76O$fBWM!;XtMJ$Jo{u~6AfaO$=98b`)snjD=gHQ zJfrR77n5K80lu1)xPti2WYZwzdZ@#$g+{+C(|$N5aQ=4q8EX19pc0&E2!;9sz9!;$ z;O0Uc51Kg@4w$uUfGacDKpV0l8NWhmXyOL=FsvWdi-vEf)X#{r)8HT3jEc{rA}PQg zT|N_fW;UX|!7M{d$j)J8aqdAXqs`kvU03rbw}pob-ZTY$UEiJHT>txBP&Qui0{^D% zd%?3gkvem>Or$Vq>yL6!wr#wN&+R8o@wuay2KvqoREpg7m9h}K4Y#3Z&%4>s@Na<^ z&TjAhKH%Ip?ihnJe`~o@DQv!-^kkdI>~-mfsT~fUTnvR3JNa0OCQc6J7mN%m<4n z%4kOVVd~SY%>wvxhKaV&62i)9jSpoORAV{IcvFmpvA9P7=h!X!X2MzR5Qv{=559nS zfu-FC@gkf00~R9K9*UJCS;bj^C{{(G+9fuV?zL!ki{9tB%+!zYEQURK4GXdC_--hR zV;M`q8PBec21^1vnuM`L){1&UlGrsW3|?WO6e(O~QT;%?#{SBI&g<+|D_}QR&|o-m zlZ~qdEl=4oy8WNA+RdOW5A{fhzhL=Pf5~ThFyvmc`?QOG#Trl|{WaS`6F~uUq5k1F zY%BRv$U;(p6*1>;5HDt(ZUZY}filM4vYRyNma-xAHI}jQtw4Ooc8VaDv!U)VTft&2 zA@H8{ss#3d6}|*#C2K%?RWzp1yDXNxkSbADT%b_Rn&0vO(S~2Dft)R0T@IagJZTbi z9^gq7Q`mD;inTm=y*4;MPd;WjlzH>Dw8kIfPbs?c;i?tnj`N-djGf?CQy}Nd&t1pZ zIo|3luy7tnIoAt3e=NX7zH2K$1V6nRS|a((c*sTZ547{S#2?LoTr@W}2Dr?9tZ*tZ z_(u+m#qwUX)W`9EuYnlP{dYkwfwyZ7&P4uhFt8-vEDye1;q9qba+Sx=f%R*AmK|8G z^II2T_7NA`0;Kb?lwQr`Khad0#a~d&^q9Y)H9ng+Zv|yJ{3^|GPxx;OaCvh1g-g&< z#50D2Sj?3U5P!>^={YOqm9+gTHt)5&r2A4&+nK(^#@+>5r~yM zX%n!Idn5`F+}VedDVyL+3$Z z(BF_dBy3NHStsGfOmH3+4lf7MS@?%CaYuwa%3it%bt!^!6+X3x+)<%t5e&Hry{Yix zE{vemWS}tZ3seUQHZ%nX3#Jnwa9XgYRQ(y@h!HFyLTm%L8!9xW6wX;8kOHtU!K@G< zM%dB|oUy`Z`u^gDsAv!qgbp-?B?_MNAeSWA^Z=GA_~$}dmN0q&1hR$qRIkes-qNh` zM6jU{Ay;T!4e(UB5ex84_)e1te&gN|F69Zat{}b;#?k7PFAOt=Sb;F>EmXe|mfeP-Lct^o#3JEEKY(I^(MgvGB{WaG6;dc*C>2&rhiXf4_Gu8U zL^GAYdc%E5K~UCPlDdCo<{`Iv`GT#TW`Gf8atoi1lcNIw)G)g}p=K&2Qjz z6hCDEa}ozr7vC0DiN5s3-FXAjCWxWpRGJII z#Lt@`a84XeDV%Vz&US$FVhGi!FNozv2wW6XD0LSh_MnI@Qk?z!r@g^l=W5sqIK#UU?QY|lD9C#Pv38L#Juq2A(=~he<1H-|2MKsWc z@~U`!K8RW3HY)Qz7OiPk%n{QL0DB@vP)wLBwtfwkr(&-WAU+c_+kp6798SC1JaJ_$ zoY)I-!LRTvUtBr_s$YsH;s9QWf)&PIi*A(MEfDwYgD-EylID;r#9#AbtVndyAXh9l zq~wdaWHS*g7Lqe9p;l7c6_DF6?Xv-9EooGBu#v{rfiJdFQz~lPN%B1?J0O+W0oY63 zk%C24C5fu;2c@QGp!$$>Z#4`#N>x;Vc9P5}Z+uwlLQXhKizo+kL^2Kp<{~|#I=ib> zkpL}6C9|FYZqn7aU~!kG+CeTD zati<=B-I2gkCUgP_fiUO0M)Og^9A61EtS!hr$E|PAH+A3JP@i2rE4WP zha#!YRS=6Mwgqw}(ksf7y_F6egP*06DSaK*^2tA7!A7>HncYs#ae=@Axi_tb_VOc& znjGY#slX1(MQx$;ki20a1RP~2dX3LXo^~GKu$)F$&{^(A#3OR;K>#jt!@jWRDi=`d z=cpV)2}d`%H_bina$X!T4|$dkPRvujI{+5EL~FZb&JIGje_M zEJS`51w*0o_5^^lazQf2669zqizLcRilO?7T&F9PU6p^Mx#ybfd=;G6<*QV?xFKg# ze0oz}+8<|oOXeHEa$EjDE5aT5vKzo%x&Hu+Wy|$6c$OnK@CPwhE*J{&r*d%xynZG( zq1X1p=?+LET{egZy_f1S;j^oxncHRTObo z$;)YR`z#;r3At*yK0W9)a)VHCev!3cU|(gsN`P2}Q7QTbC+4J_Ww3Bqnc@j8!OE7^7(1<8qJT6+iGBgkLY2n*fSpywQ2jbgsZH-D zo>OF+;KLQ$2@p81{GeZ$xS*`3pzWeE`2ti&C`r_X5~+AmmLN*;+yHP%8T%`U(TXQ^ z#9dZ=4nrn z3T-o$4UYk`l*(mbd8~Br0l92t(Mj0LQRY)s^NF&uFNnFyRN5C*Di>D5`HxB%H zdFR0LSyAZ?+iInXLev^%Sqlh!Q9e@~@>LOOxA;wQZwByPxj<#?ABtNWm^D|&^@L6f z)s}7)OSKEVqim&ynqh3e+Mp@KtyRAe2-v8llu@%)eb<6$r$$j4!(07GTeD+o>I4vv ztMw^mbV7aO1mLUMQYz-8+MJ$7Kee|%4Ed|mLV*RS84ocQsFvOU2vTRe0i05E24XB& zEu9C>B=zHKSh%9L2*9aaQ{9gPyRJ^T3YHt{WHNhG4W~@NEwvs^I=9ssbbH@Xt*A}& zu4=Ira`)7iRUjs-%nvO0)ep@ekfJ`R0+y=Iq#8t;I*axQ4^-8j}nD0`zeqQ|gM{d*-mD^{P-^H8D&p2AgntNu-$Nu}ziWgwQRC~Y7jQ%!~fD_4J^ zeOrYZa~Ou+tF7wF(e_eG%tec(9HFcB zZ81DMs+A4^i<>r~9#p$)p3Pv$L-V9Wo~M?13BXI+ln*&??KEYvj%ly%!jO+Pj4JEL zwM_a2sT10d1<>iMZEOS1liG~UI9or>{UU(Bwumy!0ot-xj~+$MFUR#IRNXqE zcceX@uRetKGAH$ots(BG-|d7kf4zj3!2tc^d#Dc7a~YHc>1O2hDcxrY1cLRftzbE= zXYT?yqtBrn+`DBCtC;D+hL0_X`KOr&rg%2Yd#1M)An;uGO@=_8{*byU zKj}ZRptDL}NMCBT{-q}bYV^@GL4460-2?clpKyWPH+?jPM&I?mZ9)8@8=WC%ZV;&K zV_~SF^~lm-LC=wuVNpC-_8YdngavCu2t6G(23uEXu{Eq24Nf~l{VbfOt6|3&2)G%t zECAdM+lc647#s%7(-7Jg;(>}&GHTJDZyE8_)HW0d&6gXQSO7mqY30H4c^JH z_tAj&tnuX-o*sgDm0^zr)t?QMH)G7k*z*&#*cp#Z12|yp9}78qqvj6G!DulaIu9CO zO#(P%45!qSqp{8zSU+a`g95ta#-E1b5}YuOrL2mt(KH?6Cym$X>iQYOw*iD3=aRq$ z<86xdFB;QkLUn{OXeqErW5azAk22PyUE~ep;NB3wX*`+&mfJ=x9^j5~Ep>(5HIAWx z^`6naAy|@)q3@ydzHwkjU@6AvL}*DhzNDt0G~+1^;DNCh73y9Ze@=qjYvZVP5GXMI zv#?z-N2h-pGQ1sQWa3?6H@x!2UK&{P? z8fZ?T_Mlq*FlxqWLq6DHB}RvQtqli<)}gRqQs48?Fxk@&JX6h6z(4H@H3CilX$vSb zstEPnffewn1~h?g3@qr;gyCe|HQ%7yMfRZ3}On!04jsjiGJv zS2I{y;C%|mt%17!qn6=#z+=kU54=E8-=Nv_OqeCoc?|wG0J6hfOffoQ zlY--s<&^0i)oT&-kN$|5_&?jF7I3EDjsqAoHJ^>+{-bGv8PG7F^ky6b_n^W=jGB4T zl^i^bwp~No(5o;*ooPiGwu;I=!>`g4F`^SiAtOgbLc^%Rv>6^f-W@z+97)4ihxwq7 z^RfZ|_(_yLnQVOs9#1(`h|#GNdVn&mFYQ97pU15KpMNIpAk)Ro47$3`p^&B9^E%Mj z-LMKWJ!W- z^jw;`yuk6`N=iTsN$L$;Gbnr zJFeNCDYG(X8x_sw4qT4oc|kNU&Ywt|^99SPXtdBAcK^F7gK6{B|Is;U84&UUUJfj5 zh0j3^CqtK6*J;o)cp_D>hlEm#124W|aff0j*#H~oU?Rb|t|6bcQRLu;U! zvF`t!Yc1MLbo#3=#yWf2g1gJ^Uij=<*At)Jauz~Ycgwx7-J|6TXz4SA`a1ibqgK&> z0-D2{e&$!;ujvVjB>G1^1!cf=`e~Daal`RBDBup+n^FeZ!Qr%;4Y?SF&!KZ&p?R1> z%@4!V>FFDBSOtCL_idnzTC)v0M>n1c%9!_sI36ofhx54R+0Z}!+;tpJT7DTCCdZF~ z{wel}piJ#R74m7zDBU{!_a%^;ac2}X%&cqyo>_Be<9K!xiYMnhrxkDRx>#tN*KGtS z^F6G=v!EeOB@2T$;ds%A74T|tqz61-l1^*>(gSxOwd?|IYnET5o#%@2lfl1o@<{Nn zT2J4^>c!N6vnEu;=-TMx;9plnPtkh2)3CB(7iEh##!xu9X;KD0H@`~)WlL`=@ozOh z4xVjlUC3^Ka~AX+K|>(BGj}93?|L2#%5Gr}IQQ(c2Is%$X&Kw=o&);6%M{3)Gsk`y zwqUQ8W89MUBR8zr`4afFpG~Fwpf$Tfn_e4c|2M{L*|aavZO3NQ-uD2r{s1|9Cg*|Z z!20!p^@FSpRkjYXskcFNWM#B}aAK)@K|IXvQx?aWUA_$B5!QJah%T)DJP=)(&23;u zS!Er#gg?WX4`uGGns!+pY#8oqtd=p9r}bjlO(5>g8d2ut7z?NM(TANo2svN&jPm*? znbi=C`7uWg0(gy%iYEbVL_W?TkbRB?2x4aRPT?u`>{nP1W|qUja+=j&1$$?hk+z5- z>_;(_g|adV{m!xuG_!@VhKu0mIi^q`7|tTP13S-x$le83dnmMAWIorRGlC7J{a7R` zKL{*}{kZ|)5*xf40@1AP30S|({^$lRG3>Wgh{v+xI0(eCN?Nw!nF%d$32ZlZFaJH% zpSFYEq32gwF@1XFFQBdX+@rv-hbU;P0b9g0jBP zD)9MFPWE zaJ=hNAhhjHJ^`LR&(pyF@5@MN+k2Fn2llbn@Wh-wruf-{xzd+q$=XmB-ilp00FM1^ zC8Zm!nX3v;8`hWZ16vl64c!jx@hlJzv6O!x;K=N+L9r7{qV9{s%fUx?VN_CcWx*`~+?Z1bXmMwMbO+|a3Vgxh$(EsqkSCqwz@et)dCPn)G-!A1e6=w&zQ?zYX>o__NCJv|z&68FY z<9PDeXnaoD*BX?mZ6Y8w&6N7*rYF!>J);NBxHIcq{XhS{9R**Po0b^sS}_v7cYD+p zesqtb;$n|Wv}*S36$+nvZ7YY~-tmJvr}wCP7H9QQAE0)2pU0C)yAcE<6bNwoTy zwavou;D7018RAVXutO(NA!67_+N%w}^*1OZic`Qd@*8dRMr{}kZKEg9Dmi95H7Jfv zqDC*HLghp}!yhfA<5YS1{V?6alwCMbUewd#U(>F?&cYLaIS+=k^lR_Lj$C zr_+cI|2KAWBdoWpI7(x6Xe-dE`~QC}zGs8lYvLSm^iEHu^Q=oVa^FDOB>c0f2}b*s zQ_N%f7j;$iUqlnkfXcVv9N73GJ_jB94LoM8qdVbg5O^kjtikA{ThvuF`4B}S zQ!>WGgQ?aGR;I<2!TR*K<=~w0oVG19cl-m&tP{PVZT9d>pwDkWG0}qS)c3gXK4p#; z`O<2b=|Rq z^58q${EN?B!zih}JK`=r_w>I1fA`C%fsh>XJ7qG4#$Uweux1pu4F5)%{t-Q}E0IS2 zIRx^fhEsGsT5y5hF$bxEc;(Vj6*k7`{FXCd zZ^4fQ@GQJugX2ZRXrW$wkh0QC>QDx1=}nq%mNlOX+2yWzu(BePX3>@B0>HDX4ZZKR zdN^e~)^zc~@mkx~;9Qr$!Lz=MqT3BCLU6qC=RaWO|D)}#$~#qMsgySuyN_dNH4x~sn5pFe)zeLVIx zuQ_vS<~4KXoHKJV@nlPINP0^%DA}KKb}4Sjkka)e#n`DQ$#?A5VIl@Cvz0F`P0<(JPVx=~S>B&(7sM!6zo} zDd4dODb|kbP!{j;&$>Zk!nz)y>e4D4&%`rjz%!}MpMWRdAon9BgyN2_@s#yUb*v6P z-Qp;s@4meN?>*KG0la4brR4gIB&(ao?$BpVXLl39FN2kxjRDSNzIjEi*DZ>ry;rsY z*e8P4wXgelK>U`r0>pnhrCbAC>F#Qv%_cAk>O{Lon*)@BX`5<=*5JJHkl*g$A9%J` z=nABM+X1wO_}0KP^e1xL!u~V`JbW7MDiLZBs3K3&=~4%iexQnq)Z@Kl>lVO|PKDK% zJGF_!v-4jSX`D9A2Tp8mG~VNWA)g?A<#LR1f^!&fx@29!Gx29~aFXWI^{eEh9>7mI z?F>lQj|!ftL3hBpTaz1*+r4})@OxOa!h6rZX-DqUl=_m!>QNFsoozUaS_Yf`5lk{! z4iZ*IYrU!tIC+0?!r1sc90WPOEsEjk*O0!V|2rQ%10D|mZD8C4JcG2m(6r5~-yp3m zO9E!_I6p{hH~Sb`+mE57xBfh(ltcCefODuRS@*E#6qAG>9)oSo^er>laC+V4$>3C&B$u%QX39+q=+O1xV7#aL(pYw@=Zk0ez1T(N9%>6bd!F_KP9Mbs9Maga zPUuEDD|HaH40f>(7-q7~uZngxFLF@5ci#jLpBr>r)7Q%vJp5|XskMJ9x#I!Xqwx$p zLb<)5A1T|?#%vAV+j>);BsgRXp6w=6uC#qIx`d%`M_IX$>0}8)FLCG+_S;G*5neqF z&xjWc+#<`A!h47E%|IKqsS;>AdW`{obVxq%JKZmZXXni=0f|{d5q<2bJiN!5hu}Rv zunpc5rf&pJmzi{HD{)LYJd+;pL0^*Z)BsLO&`CVICjSEdsp+(qyZr%EgFn2o3-3KD zQbxAtx`*gX9~;_J(pa|(DAHNi7vPb>D%AsWCc7}Y$c`Tx3_9;B6#M#&egXNueaqtA zZx#Ki9DgkW&wvATDj#@{V(Xwul(}hB{y*T>cHcB;8a#}$>g`I7LGRn&C+n|Io`Yw| zL5iV6GstHR)BgyZaCcgp5x*S;x5$dS(b{3&6G)F5c?wcGI*~6QeYzrWI(?)-vU6}b zz+*b);2HZX*`c_8G(z!hiUTL%r~7zzsd59H69?ADGpWioK$0VDL7URHK04a9wI80T z7s+ey_9g|-?tzrg=rMspoSqjYJo^l|0je~%bQdb=Oh?~1gT17WDB8;(9f78e zX3od6@ow@LoAmR-v#BLnh-UA)1Kq)GGoFs+%pk|f-V(iWen|b+J-CZ^7cuEL1If;MG#?7xJs&@WzCH&6AlLVPd2sVPa0U?n z>;cd^z~(A&0{;#Ie$Yk%oHko27t=PFVvykTlx=F)-WmAqtCKC%yO{zWl20=}^e}nY zVFgtH53e%>kcbF^L>9A!J{@+Jf+kUAe}Ke}qoVPQu0c^uCwsC3o%>RjBBnHXd9iQc zwHj?x;vUd9X5{}j>DUv`rrqX)SF`kmcsg8riKkv(@ZKV`HYB-*(s@_Q8tLHKDt#@UZsX{L!@V|L*6b&+ek8PL7R-_$wej5EklJL@U2tq#*&5i*e#yqWga002JC-8<)oC`J z-Z=OA2^@8TJUy3QbZfZziY35lv5j(QuA^wyw4B4ivsDlJHLP15@-N-9yF)*ZWu%|y z($3VwkVj~3eTFi$e)$e~_kVd2m;oormItn)JX=u1>gY+ENee*LHj->b@Tk(@)9#2N ztM=O)>fzuOfQQ&pjxMy=3vdn#9ESJs^1X`e!T7&{*Z9C1v^AMl7QC9yc7VpsmRjK5 zA&GACI9?*Z!O4!&8_wRecj-pZQKO3k`DV?{j#3Y6`~*zbmgIf69Pk|Pt&*ldKexBd zf$2UW88{y8XpB6cR)m&b+8Xd_y(9upzgo0^`Y)rKYXMs*a~_!OhG)>KctF~eqr^;G zjWTz^-sH=*%ctZ*`)9N>>Wh&-88W{s^{{|!ZrJcRz{5>D6nPqJe+2i&>&=1D#DjLq zrrZX2%@&il>cCRa>KIOkmrj8hpmct_72I_-;Hk(i#pyht`CpW@Xz|NRJYBaff;KJr zX!N0#6U}Y6MijTZ-{}ON9+zlsdo~LMmDixgz---+EUI55ou&D|rZa$mjvMhF$Ql4Y z=mveyHY2Z74=a5DX7HOEXl++efY$b<=&9dBKfnt)U6*<|gw6uPa>(k3YfXys7E{TW zYwSW_sY%KrU^HDDj-E7YF%XmvuYv(_tk)YfP8C~2p7W?dXw_W{M61hvTCvUDD1*>q zJR%v{b>~UyVO9Y!TXmzvmYYWN$Nfk*V0t)`Kje9>J#f5AyMl9T&uC!!)ou>Be=hB1 z0p^QhQE;4S<9h-a%m{Xy?$e;@MPC;H&& zkwYG{=Zho2^va{St#xiEK)f^P&b`mR%8>0lp3XG=ys`lCKOKcW9`2Q8a>4edF-# zxPB;fh(1ZV{!T7rhdNJ)1#Qfc!O%I@l+F#}M$!t3U)3Gl5^B&Y>#~w|s6;cef=Q2P z|4cr$8~7=clE9(s#-6}W?Mc3Kx1cvgcB@Bm$ZqC9JDo%IK6pB|UIt31Ep*Ss`FQ{! zI$ydM0FuMS= zMzL&bnO45HFJ&csI=98UZxyn9e)nju`ENc7s(}0|c(#?vM+;t&jMjFyhl4}=(s|HF zKZlaaAz>8DhCZY#=3$QHafW;UhG#_GlW2|XOEarOi8M%$va1N3j<;#lqDRs1hdTA6 z)0WN^=(}0R4YH#?w8`uX+P2$tfCpz#4z68@8SvZpnvJJkREAa| zRXO0HlPIAa##`VSuA}p^h~drAi^%Q$@$As58lF)nI-s@VNyJ~qo;yv2q*bi_4=7f% zA>>1@VVq3hT4qhL?mCu6$8+mhU|+OtV8h69*~k)dAbk@{rcCr^w%|5uTUe_HP-ZK; zM^X7Uwwew*x3jS?A$JF>Afat1+dz4+UF?@Na5=?#TtM4tR`vzj&afxfKya4D(pAWFY%HDWoM*9g5O9G#O9#P4rhA3j zB{rc0M&dFHqlD)bwrUoDSJ{5LCUK38A+Pm1OPC0P8*FbEpxk8J$c*1&M`(Y$&9;XD z^A7uY0f2YeGhbldV`YDaX7|}Ux?1{xU7>8;LsqpEQ1IKGnIL$~nhZeg32RO(<0(5g z8LaW!onGLH-|mnRe8KYRj^Im{vmPj~*mR2iUNe6R?cT6!t3mLVO&$e;cPzFn1ifcw z1b%j8iMVE5(4i=%)2xGUYT>CdZ_12BtU zAS0H|8-;-N03Oj3bOZT@T=ZxVKfWD22lJ+wVz>dYCI{rW0YDaWC|70!IE=6E2D;(g zaRlf_@VpX08OimRK$pvZ$p%UuKTXNVQTzst#Ase87xKpN-V`y7<=bczAIA?+R(U+X z@fvg!czv!I{!Tx(r553>j0d| z)ly(Ri$C!|Z8q;iSJdY4FblAr%RiPx$L8^Et3WrO*Cgk50pCG><3j#^E`W=;jVO=6aNCrRz989 z?KWP5E_ZI{vt|LfgWv7~x;=b0?Gbyq2G<<_Ajf&~A@}npNDmp!!N)Mbtt!$cWW9*e zur`9OPSiPl3vz5HlL4>W`Zk2w{Y^8qUU)BHHf(*dFoD03`%(sU6VIa!bu(W^aqbpA zgdDl8e4iOQvW@ShbII*orHF7Buka@n+RaC9gs?rlK7Fsf+#Fsf)H4Y)s8@=zF9-PU z3ursY*Ovg#0$!ei+atV+CyJw-{{)?m@!7M%`Z#Z73(OOI%_h*D=b_-0?gBV zbOjV=`0GC)_AGy#56p9X!WodC=lh}nyuh2j0`MZQF$~f#@u_sj<1)9m1j-ejZ3*(L zJeCqm*Z4}BAJ@4FB>`@7tqO{X!jVGxNuoC$;7t~xSAaQHbe@2=X(Fx+RGKbQYoTqX zc%KLISt2L^#cXlp7BJ_EAvq}K2@6Un&KJoK(6&${SfjQ`=rU0(7S?obw^S_s6U>&0 zF|nvE7cbI*xl*Lx07|~-wI0A#qEs4~tr4!Bfw@-fpe=KqsNDr!*&zHK(3OoMa1Uym z#4qDeY!Npm0%fb{HXXHXqTx@V+aYo&MX*!cT!OY;V#^lr+#|-({=Qc<*^b&iq0ph- zele78)*lel>j8LBSW(LKkO-*_L5IaD1tFPW9AA~OK; zu89D;V1Hd~=?~U7#mt((yd_Rk1aVvJosZgG@gWAqJ+ZX`$nT4V%`kKiMC<|3JrtJi zQ1_AOMsECLG2tNSo`_8vif3Z+T%bG`18K-#2;X19<(24I1>~91dTZ0L1R!-PU&Yh*4;KilTW}gwc4rdNTF)g2 znDyOF!P!3PEI2eM4I?i%oVp*Fjj}^Q)j0ni-kbbJ5q;C9bd90eEmG6rFALy1P83FsXrTD;gnBgpGL?h6)nsWp=ZZGJZ!F@>vARfiZ zFZWzj4DVjoD9hA(Bh3fzzsMZ=w5L(_-M$n!epe2Ir+)`JqYTL32An`U>Rr(L1mLt; z-4*?6`}ZhN1uu|+-)=HiGqS{^(5iQM1$amc@`^o@6`3dQhGL0sG*8||+Z(w}v-1WFbg z+zfKESNT0)mKY(*tM@s6Unm8^RsXqL}BQXyd#3njl{HS0r}x;3l}rNY;; zn^(YP9jlQI%=Ikg5Xd*MKf0o}k-5)-N}Jfj`RK!Dc6>W9x3G^pQEX+;&Y}%obu|>* z*_DAPcChLxXxqv9{Q+Hev1*ecXgBLv5yc*s(G0BjGE>R~?qfeS0KtA%llIvI>}pG( z9Av8~BYKG0{sqj#EP!&~1?+JqfJfM&4xl^AiqX%1jFsBVDPO|Q# z#3}Z1DCkbJ|Ij2l!#Yrc^enr56Da4{z!PA0o)zl~%nK}W1!@;rd%7%jiCNBrgv;#3 zNMK%J3+qF(t8Bqjpj=~tI6sG1o%IIHZm=!%!|I!?UK(_{#kw8=!EF{m>8m@;E(*1~ z><-;Uy2lP40>J~;yB}CTWX)&_KVrw50Qi{AS_*@m5iPuZ`OSAE8AwL+f7*K9leV&@I}r3s3+%yK-6cPw%O)P2upkz@LS^(8a&ky+Y9 zLK=VY5Gd(9K|xyvH|Y$_O#br^0QTiR@sQq+uOWA{KM&4FEsMV-M<<)lCueW~Z$#t+ zd1qhn9K^kT1mb9c0@;Ty^1t>v@mO6xixc>Z048$0>Kvk1Lcpl@)yg1f`7Dv_O9)`e>4Q`;0@{i z#ZGSe8whssUZ+so&F7G#vxi5W0B|pF^B)xZxEtj@_VaxuFoFkodAg-^kYA=FheP}& z9ZntQmCi$@0)CaklOx=lilaQE9aK8TcU4B)aqdGZo!|#)8$HSSLTGl1?;QYUr+FrY z8E5!$^6t*^0J`6Kj!!Z}+j-uaa(WkdDy6?J@>2aE_7a~p9?UNDf$z|Eg$L4xewEwS z1W%5A>xH59zV*KG#*T^{fU$nWuposfQ? zds9N-0bfxSZ4bF8<(wXIDHCEJ^WybE_k@pXhuTw~X$zER-2DIup7RS5#J=EN+JVbU z{+2T3uXq7N@tX5>(B%zZHUKDZ`IaD{yyG?%(Dt4`Sq#lS@G9$2`^aZkLtC0?*A-&Z z#X8CYW{3y0lVl2e2ekDSH|Ux~KM`6DMSr0^1~5x_cLjO2h+PF;28b9PfcVSaboew# z^c@4~gM}^uwIQN8t*RWcWe$Kt#acb+hKUA^P#Z2DSfMsTjG$EgNKq^iTyjNqn$UUT zO(0N4iRCQ;94!uKgBkv^H$^RDMfnFn87E3cU|hzFGHrk|K|~&dpoyX{6FY?#3G!VcnnK;( zqRK$D?GYLGQ0x^)C>^n1j6;$WvBQNYQ zRJ8pW3LXbxWRG=bQ=B7l--_eEVwEj|#LB>kb-`w`@iMAKXVAB$p@ z!1IaNc@e;;Vh*|2&qOiW?VpRCJ<;|;1kucTDQqd3^-7eYjOJ^hr)}wtxJmZ`--<eT>keWlLi-}aMU zd_=9k^ozhiW=ZAfI3rtX*8)lmke<<|KTuNYfaf6TND|rxOS@=o=16@n17)c6`*jq< zq-P{(xYUKbwGmPQ`4c0hr>wA)XYMqPr0 z8Pf3+;5kz=83N!e>0uo(n=Oq$g}%=L3JB&(8)Cs_o>YqxYxAY-PC!{84NODZLh0xY z02fPD_d?zh$%FEaOC|R)s4bH`RIpwyo$`R76;h5Rc&?QC(e;LWsnb&cS4kCU4__@+ zr_E-KWU(J@Yo%zqF|ke>LqD%yFRi8_-yoG33T7Lnnh5}Ik}Syo+$=4obGa?j(-0I} zrAizUwn@Ki0>O6a5}hpVkYeaU;!bI10E%7G#(j{mTPofYn0us%x&ZE#a%r~ilOB@Y z-7h_90rCUV*dL(aLFxTapd6BhQ!41Nw4(-!0?A?vhTw=~dJqIhr84w0jbl=;{{Zv2 z^mi26PDne*Yd$4yDgz}>OQtl5&PdxS9dcI62m!%4X*9(h=cO|bfO0{qQ5q;0rHX?A zyd=%|6@o5HyJ%~@B9*iU%2mnYDvE2;0Xk5|a8S-AtKm2u*{7`V|D_fEq(@!2n%c8&hlmbyC zT)O}`KrWaBf`Rf6uYfs7o@Rw&F#ePviXn0$vCff?k?%HCE;k66!{h@SA$GXjn9>6y zq9VqZlvS?1nBA zO+z7VV@%ctmS?i9Hq0jJ6jXw0U`Yj>b+x;$(#+GfaepFx+Iaw$60 zm?dY8MQygc$6IpK!?n$<#~yy;m^^} zM6p&LzY{3y^TNxqa083Y?C~RzU^jt8BP5yvi&3IvQ>Uv11Q_% zmXxF1F5CA5aEE;DJ!(7Ue(g}(C9k{>l-+V&Iw{^Oj}8QIpZu2c&HLpo2LL=Emm>G> zpqyF{tPjb#Q_yx;jtRvm706l5q3#jOY*DVKz>=C z+X!N>$X;~m^eX;&Q54tY3BN-6bzDCJmmBgavJ*GukY-?gOE#sf!)^H@o$}w2^C|Ma zi$9hP3HVbmb;0w#oW39A59DWbVDnHmqj2Dnd@2~lV|fPdP~xxkr~QT6Q+dHe)Sk(= zC^~yCyAkFKx#BngU&@$Bq-QHt z?a?+s8TSBO1}gU{9W_X4Po{aWvfTl-Axg;tD3PPMOhqwNxjGl*!;}pahYwedu0b(E z*+j>pBbAi0sO2i{$Qb7-A6}z2N=b`EZM4#fj^@TFFAks>tNeTe(#I*S2BMqemDc1J zOiG$XsTNojuw%r+}$nn1!9C5ytHt;%W{Jhv%X zGXdPL40Hy{4rTZ;NZ6?~xemHr%1^7H%WkC+jqV<$ZlT|gV1PyEx|?-Ysr?4>&MC#spv!sX!7a$Upp<$Gf{RKyI=;Q6 z+$MMVvNDCP=v+}woCNtbr7vw+*OfAFA>oFS>W<>3(vdvJTZ#u|)Nd=%G+XZ|m&jGQ ztGo(8aZd@SKQ?n;iL^rPfl{89_CsY3&51|KpE7`tmDiNud!n?8hk{QPQwsc^DbteC z_FUOt41!)Le>?{3mx@Hj>Xj1sA1MD?nXngaZbhd{7*Yg6Bu& zEu9plsT2MLO1e7Q0dyJaYC4+BRDWLuo_*B{ln?EvzNc$|{nZ(p!81#}^cb~l^~ikG z2B-~=LF_=aVmlBFQm4?F)nK(jAF$3*XHhD7sH(SsykY93;UE~U4rzyCgnD!sijitW zKhWi>wsU}zr|QTb9Hk!iL~XR%Z5i6es6Y5Y-dNRw?$nM`*V5_hcy;{=kWWze^+j!> zI)x70CaL{P0%fwQP6PQA^}t~iQ`PyjWTvV6bD+|6HNYGMGt_6bfjLthM4`fL^=Hap z%~2=WL;1Ptvju3Ir!H=Ww)tuc+8Y69;6t{$Uft`%wq#cC_n2s&NMSMP2EaFrU|3*@WSvXqitqo&g2TdV%~6S%BX z!{{pOdbKoVn>MKB^TBMRTFn9|n^is%wJqv=nps=bu#c#1Q}6FWv0W`W5X^R{8A+hq zscJQVvP-=}lXkc2OgqUQ^)?;j?NxguLBc-ueH3c@)fTj`98kCSfSw1{PIRVpNF93} z@(R?8k>GL!e{KnFN7dfGz&xg=gn{RAHGxiiPN>K4fb~hWbu^SXrH-IKWp`S=PP5>Q zx}Bnsvuf2Ow4GCbphLa$>PHhuxS%@UNA03o^E`N7QafKl?Xr4`4sWlhnStPWRrRB@ zp=+u_+57A2YhSe8Q2UZOys75C1MrqwV=kE8QLE60yQ{V_c#dkd?ZCXR`q0XGpbo-u zCH_^24ef#XNS#WjfREML`6!;KYbZ7MRLy$^lxOO&^FVp7X6ey~7wQbUhW1je5C)W2 z>Menf^IDCh#r#IiSpxF6s#t*9JN2i{sJ&OyIh6RIZlWRhsQUY%NYhr`0bROw=?O+6 zLz7CO$ke93hM>M$@v0)B4cH zH%dEy8FZty1Umf~qrIfO)L5&(Ye@PpRf= zlb?dwJni1kK$)-Irkf@Uv@?{sT&O8@{<27$HVe!aYgQjnTcWKW1iGbK13F_^rXB5# zV!7tl0c|TZzuIV9sp;lHLcVr@4B0B}7Nx3JYq_fdT%#?aYY%I+u9PBOr{(np`FibT zL)12Cn@>UdCau*$05@wR{sglv+Er5kw`xb%0k}=er)=7GtrMLy?a)q-MB7g7KpYg@ zrQIKo+HQ?yfNqc0a2ZhcY9}ZyvQH~#59#~0y5#8`(9&I@?m;az4?GWPA(SpUti@2O zr9fLvKEo01>oo+r|BplzOOa71(XNcL4VLa)ZS47 z<&n1fC~A+jM>Bx(MC;K81W&b=E)eug>%IlWb8R(UihQBjkV-GLD*nKHr9D25w$~bK zkJ=lpTy@mmYNfJ4{!VM8q4r)2I0p$IG>j*UvT;F(4mcdgUY}3^YAKuHPWjnjv{n@m3^fg^i6Izfn)nxh!%dgc4|DK0$!OOeX6Ns0d(BmFguxgK1K0+5l!qrEdc`Q@TrA;Vda*H8{?-9ZU$NqAf%1{%P>Q4<|HDKz5|z&hhZ;4giHkFKX zU8iDz+l?BE_j;`+lfF>#gjEPSr0r1kVN&DLQO8>vtOY0Z)L_crdxw&D?4KaoB$r?~d>3P}8k<2S7Z_ zr-MUq1&W>8ZP|#{=sSMk(CIRzo#P@h!6)8}LaKz|U{EDFlH=KR_cpZlnwbpz-fMFK z?^BhQZYIlbj$$DDcotYWEPfl94`q!hbR5A<&w(h94V@4CQS1!e>%yrr&7iR?=Wldv z9NXR>dQ4zl=Adm7%YFmQ#jLdz$d|A*%6BYf9>dYLjP0Rh&2qN&F^ZK;PyNbg$5uo7 zDt4cY`$KlJ6Ij1v73e(iJ-bIn^8-601M?%>yBS3qUqLZdI=}uSG|S*q3{fJlwhh36 z{E|D0Dg4LXP+~T3_yENcJ|F~``Mknq)Q<8H@)~dQo|L_M!N&%nc*n~IK>B-ruLo*< z#gHF>GEl@)Dr}e-@fyJ4Vpb+tj}Z~o&^A_7rOeM15rf%`Lx89<=+Roy;vs5h#W6Fq zofBEtQM)PzEe82Dai4t1o1%d3RX!H`d!TqC-q5UlC#-40j*!MtGAdVUHWuoRl6uqG z!)R$>3Tk7ejA+!ROT9Kh&>Sg|ZZs^E-aSFv5^1;&qqIV*K&kzFX_E!CJ}s4{B;^o!l1JS`F-P7-zj0Y0SEg*zVmUVm#Zu%ZK(JEI8V|9neJr{azmb+C%ZM%%98oR69f?SH-vhO4m`{fJU!1It?i~4>T#|K6Oru-34 zLHVComBPivUr<`JisL$qX)IBsT7n(cXR25a7(OLptoi zO@1a&`QK(?70WLJ5o_7X>yW&G`H^3?nN5LnPqs!bUCRrAa(ScCgBJDd@Qk>$2E*2A zURks*V;{)EujZZ3p|*yHFG15yem zxW}JB+0UIQHT_I_1DlNWWs79eNS;b}>~_n2%AnXId(mx|z4BNmqLZi5ErI=VFvTYa zYUPe$frqN8~9PKshQuqFH!M-bMN9<8p2Z=yF01o{8e5JcWu= zvK5_Ko|gMk^l%10#0HnMa<~dX=j1zQpx}A=Q7*V#kk_<_yo>N0L2yYf9Ru>qa+N6{ zxFVk?_y4Nga59Q(vgI2PT$g9k^12~cd5o^ylzWmhc~{PF4M9)kMB4M-%8}_1G)Un; z10_eDN(8b@XU(xlGY z!qL!GC5!D+b`{!MuB8d;=}&q0z~kgM1y7+PrjV^wp<6`17kKYbvMJ~jt$KoE>cJg& z{;jkDyw{-ecxJP>{!ni)n@bz}2=+I5;-lGPI>?#8j!l86MQkf&iI=hI^!u26RyPPd z)-sE;K-t8~kXx{w)uq+Ehv^N0EsMAcE=O5sx@UTdJv|84IEuIhT`#awOHf>7TS?n% zET=)CC&I<=0FEIJS!Vfqdlo-56WtRcZ#CATwOqyB| ze3GxxBC>RcBVPyUDSOuE-V_3zt;6I*e38+nC z0a+lJ!feO`p2~ipMKz6;rW>*|*aBB@naN_-Lfu(xq#fE8v9oj~@P?F1_fBp}okpNG zNKPR8J4b#-{{DWs*E|#l|lDkT=ILpMrQ-dZ^4>+j44aic5G!Ladb(y?i3Iv`Hh z$^V?mtbZH+&{`5!|d;`xrcPLw<+0qA!=}t*`i&0#XGD<*$TT-qs z+8#+wR)ck#+?RaH0kYB-z>%`sOsF(LPNWRrO!-O<2sX)0=s;wj>_7qO3Avs*bh#|| zP5|aTx$Sb)-pj)&hUlw|tbQ(ZdGi6qK*e+%bQ!GtLJs8+ zr4Mc4IZDhNNFS;cJOXgI@-rzvLa9P&@{vjd-4dbTWwqjS zaJizktc2QCwYfRyuBo-QfZ26*(>4@0)Wa`9a8rF=30!WeW6MIb+iKN@z`UcXwrIPn zHgH1io?7ZMiu0z-55el+Hc|YOh0pGDxet z1;t>kk>PHUmR}7#M`(Z1UNKTj8wv7UZ9XNgMr#xL19Oaaj}jPTwQ*I^_X%3eY1Afa z6_x{KlJ@f&bY-g6g*^IcTGu!bOxGfoLf%a6ukMgOOPdOtXE0&a8qwX1!8{6{gAp*3 z{EuC1^969;&$eSZ7~ze7fQ;Hz=@%)Mm1`94ymQ61$6!BSJPHNhg<=ry*c;Ki7X#qW z%DA9pthb6J@`&DrR<4?p2kM^VFG6Ggvvfw(?$Dk>Q|VNZcAb`DG%#9kyjf_*EX=Xp z%S|3mKtGy3rBQEon%pRd_2is5mb!&jr_I)YJAcT8?z#?nfVecJqsitcGNEOQ3M9vM z!Wy);tV;&0)d5?;-LPN7;;z~a&K`lZQ+PVlboF{g>%FxN+!Wb6kBo)Sb_$Mtd(y}D z>qoALf6ab?2dt&@slc7oo1mjh@ZM(HEj-(frk}qAtCXB>cm6dX?e7Xu>4)#bdq^?L z^o9n~^?|Ufl$8npZ6P>EWYFdl*^jaa9Zu42A2o}dv5w_?L3*@%TS)8_<^V|N9m#mc zG$;u@V^`2hi~IWlaN=+81XaRty3W)knJijjf*w>!(G>P3d*4D&Q+m*9?>e?FT2luT zPPd5y_}!=X25pZkIe_#`osIXu1@Z6Io?N`%EwjL<&mbSb(^y#rs;9G?lTgcGZzxTe z$qrM7yf6D1*&W<3uhIr6{TbT`VOcDJ!h>u!%mu{&w(BuC;x8F)1yIjb&-Hn~r1E2Z3%pdrA(*1lDpK+9tAWiohnZUp-JvX0gXmOksO! zK>94!djNVgn+>P?&~w;U`mN$zrqSo0$HtCFF`s$S8ehP6(zWk}Y!P|TNVX0@v6$iH zhEfiT2ZQHQ=0>)58M`;Va9&p*OF1Bm+=*aN;}O}9zf!sZrRJ~X6xTYN1ZlO0{|Qc( z?P%0(7ScgkonGCclkL8qz^u1(EvV`@&ISkj$_+u)Aler=jVe-n)+7za&#(p^Vks}8 zt6mv+E>rt}SM%{h@N99Kk~gkW7HC=yqIu}KZ37@)?@86x?S2E5fB$2^3|QS3IDt!O z&IJ8PK4Y76Wc1taPs20Vp#^X{)Ex<&DAVJ>={RBmw29`;f!S&Fbig|o{0-@`11Xss z_wp+6<1?>8R)QCqsV)u3G$$Uu4g9VRSK+<)4r{>syrq~bjjeqSf^?R+8nq1O8Gs?k zWS4tFc3;*j4Tw3c@fh$Q$}X-3i($-nJWz%+TMDB`unT=aH(yYdV4p29}ZLYc~bnu}r@Yo3H+I;%l`#thc$Jy_3V+buybi)~s6 z;B0oPD~dU+eJ23tvPN_iGmmZF2F&@)j-vGi%&P|kEo9}3p|+gOr!4FWwxS7?U&$8J zk81MS+#E<>#hO#XaW(TItFne|Xo6xb8%GJab?j~xuwKu`TnG6EcD(~IH?qI)gUcrN z6D8<3vt-)vx3K1PaIuv!vTnPX(|)w=VRbu!*_G&WU0~LhM>E}BGZ8KfMt+`hitPc+8(iDC&2nK zdrx;ZpRmg0JicPr=AwAbbOX@#hQ)1#gtzQsMGV0^)&M&b@^i!JR?`PI>J^HQY!V&0 z_v5Ru!QkTkY&)>d;y<DnM-@H-l@Bi}#UTfjO8DxdGq^p1u?0BYFEu zXv^hm!of3-m#{@KivLjzBQcs!e~8)`o-hKKV|fH^)#G?lCc9{zDHCOyOG^qnOHT+3C1p70@h-bio6oyup=|*_ zb`qEic~9c9h?`Y}yv2O(Bq*_jyG5Y3l>g}qx@Ei<<;#}yW|TQx!SftYtmHq^5o$h9 zzYfgxybawK*uWpsB_;g4lxFfKzKZ<(&3yS$v~A%#=u>Uw&AiaIjh9OW&+R;jrv6@@ zh3qs^HWBU=r~V84^zO z{d8n>hW}Ct{X5HdkxO`vpQKE}dA^fe`U`ye2(Z4$SFZr;OS~lw$Yrj%pmv4((c!}_ zerp*d+~(y5g6AFn`Xp+1`A!;_d%V$H@O;9{j|a1-yi;kQJmcl)PRDb;j;@)!;APK% z**m`XD(K#G-38P>@J8E!@{w2X2+h*Oso&7)ba9Ei_YCop)>x+4^%vR(2z$CEJy19; zL)##+b{oVF7Pe#(hKP9-LgomaEsCLH^AVs76QwBgJxX+^{*4x`AArjkVf7!#8!M(# z5^J1rq(sJeF`5$p6U4fYC?<;D6tYYbd!GPvve>x>#T3zaC4f^!7WHA8cuxD^bg{7m ziW#C~brdtjuNF{gzG$)swFScG6?9oBdM<&4MWQ=ps1}RI@Yrzg`v*EtSt`owP%IN> zI%u|B)F&&oLcFI#u$96QrwzFG?NSo8RboFKsIC?}=sMFHF?%7})`~IY9BmdYb0Kz% z*b@nPTg7R*O|wm8?SMSo?WR=U4l!UiP6b)qXB3x(LeBUV(cnM8yd@g;MeVkj&<9G~5mtYL=Uw4c6?FH+97+{G5y!@( z_Ec;pcj}oqxfTS^#V#|{UWj|-JH8ZmDVz05{OW_+YtfwkP|`=Savy4GQlnTXpDx|) z1-cArADx0^N;XYU>noM{6?FZiyL1Pyzf_Lq(qL&}X^;<*R#E~YN2-4cz@gHC8K4^` z{WTta7%o+#gvV&UT8Hd_9X$(aRNPE=^>0ms7E2Vp60Q05P(kNC*PbdMmS{m3Mg4RgQC_}tfva`mJuah=~fNs5H zO6O2pCDU~%wn-Ul0o*RRy#?zX(%c59?UV|_K(}AYrZ0U!GNsRPP`W-5TnECb#3L^kWkMPfG*oRQ`-qbpe29rHy1G&q@AspwfA%?l{ygNaM(V zxhVB^MD3DPITM0zOZoKY9PUW7)&Y1|ilk%pdr}!wh`le_o(I7LY2!~Ax`)!pR7iLv zE!hd4kEPBu%b!TWJwX0c>R%qf=h6o12M#RlNmZlon&*S!uT)|-4ABJ6+7H8C-Gj@9!I zfP9q{OrBE(vr<6)@%U&sg+{t~? z+YrdvFL~3c^a1Jq7yu7TTWJp~koxsP?TA#64V0tOsezDiQaXMZwNuh*`k<$!RkYEZ zk$N)#&r6;Aqjo_mUIyeBrI&O`=aO{gcW%_Uj+Gvg>o>&OH};?;ol}kDtvaLI6Zn<- z&~g$lmIJCO{P}k9pT?KlqiqH+cNUbh_}0m&&EfMdK-x*sV=GY3i8#6wa#4 zds1>_tYvgua%5s+NHk_$aVfR_0j(7m9~W6GGBhkYI65voCM6;=I5srAV{}~P z|6?gh-M=0_!^nI!494*ak4=iksD;Kx*jQsI461&Kn%pruF(LuqsJo?;yN|1nrR&!? zhHvzJcJ%!dFdT9J|BY}=bXagyc(`HgKaaFs?K-usi^luQPJBg>3{~r7QGK~|W$(Dxa|A7df$P)j5jTs&v*C9IUOJT;(XY<*pM?}Vi zcK_cP27~@Jnq^pgXkx_IrppK}v`_kO2{Ev`kr8@Ki!XWnV?iXuf8J2Ozx$Y&75?P z3XdTfr7$iA+k*Gf27T~S1}qG-@(Ux;ArigAOhfZeMcRID2DI#_5$;GMY#3I6p+aa9 zX=G zN=ZNk7+=~JKq{D+BqxNz4jSK+k`trjqIwuBu`omE+owu+N)b~~MaKjFi$Rw#xCN+? zVg`GOclxBX!G56#zNr!PMHfH8u&03v)uNAX91wi{h$2WtQVLK2i8OpDdg+R9VT2Wa zGXOirhN2JM=o_OJZBvL4n_LKq>lz!LoJjhjIiZMoLTn)bYsmPPm|Qgdx+i@mC;}!M z^aY2Mq(Z)_5ru>XmPlb3dw>^X59q}>rRmMk7rYqzLNA4V!E0e(@Rk&2>a5rI6fMDdb?R)G@L#R&2kl z)Ge%h8ZNL-4ll${4ll$_4ll$@4!1KBB!|~4dMWAvMz>G{ycLQ!4m5Zg2b%OYOtwNB z@*AdkXk1b>SYgH+SAp@}Xu|Q1$sZjV8QFmr0xVQGOa@I3qx}d?jKVvn@F%+hlp=cu zl*qV<=ulcuWZS;1Bt^zVhSR49l0GRq3foR$B{@1avTG>KG&E5qDIt>P6e0ernvmQv z5gYm!@`_#|uE<`14zEV@1#oB#j3Jp%^!Jk~LzQfW!9?NxcXYGRWWt;oUiF0r1Fgt4 z8Fp2?B9J%im3XaWn7Ut}nSm19pdQl~&6N%FSRbB9U4c0?Y=3zD!zea7yff^43WXK` zRxvR#Y-D(?igv>X`^RR6hH8cEix458aFSLBiNV7H7Hp{TT@RxipF(2{kZ~*fviG!Y z8KNv8{E3Ln1h+KtpSWVeS~W4@5>w2Sj;)YiLA+Mjbj*ZV8d_>AZIReuOhRyJpeiQ` zF-bFPWoc>oM`LH=oxR-Lj9qafv3h%V4Ag&V)cc1Tdip&SLx1Tb zdFj0M&aS>KYz)n$&n^iY6FG9m`eg~0cSeOL5>zX_09j*0& zUTzMq9y(_;>-r{Mp7`Eg4mR~#=$kvawbHwKTA7&_ejJ;67KX{;=i{hv;pqcBS1%nN z`0gG~Zr(sFoFMhh3=;%{R@d69J|>9H6<^%b!^M?mmWPkdTJP=ZgYW)rc+n7j-D(&d zcW<4S&cWB(#?BnuTVj08h|uIv3$st)x`kjfBiw*vZf#SyrV(JGb9QX5Z|;Sjk?v;r z-_T(bU((Uf!`Ir*Sn9oXK004aDLQa=MyU@J3logJlb^SbtEWf( zqS62KQHmOD&CQIT%gkBlq&GLTTNpuxN=>u+wqGnO7dJOr&7LkU7(9eu4 z(!1I?x$7~x$Q*o{8ii{CbHl2B9jh-TBe6gC-+A3@1z|HBp-W|UQ- znRRO6;NhWj)9aj@`=D_2^ld@!I#?p39sVxDr=?~v*Z)HMhbj8>A#APabJL*Jv-#GT z)wBNep}$;!X7#L##>~Ut-C#Oh{CspidT&opcfGI9-Ahm3o8|`On$6*tomV_cHEUut>go z>|LJT2HEutvPrOm-l>(&!?<(RF|6tU2Y;QT-pSR;N$25+iDyl_7JZ?AHWq??Anc-ZxNhrT6yr!K)LVbxge6_1;bn_*8mqS+M!|ICe$byR*Kz z&I7j4NssU68-#C+t=hvMeej7)_DYP7PmE6P-aIir1uj&Sc_6l~uJO?k7I-V-;N3dz z>v1&>xV;H1u+GC*AK>Kc>F#LoLUiT{$%$ZNC~7sK;IlRcnF4TqYIt&dY#7o2p~;bk zd4NgvrBmYI?W}ik@N@Gmn!nce-OpWF^lA16OIuR1oZ zK3hm@ClkGwr;lr(9>eeH=k28X$3!>IXV^(kKjT-lwkveSyqye-%-zAK6%1`17b};~ zYsAOP74v{RHFqCu-GzjytwoCF8oZn2S3p>^upI@K}w0RIikrmo%p0n6In=Kq3aQ~&>fW%qwktByh5 zr|x05IkK^!AkD|o-NDz}HPEb%{a0SK zox!XAVuSn?W5Ahm_rw0_5rBLOWyyJLFQ&g=|rPGqz{!0`LTM0Y*neZ*6C0{%yEn z0I(~6tJSdX^-(eLVWBbl=-32gQT5nSiu@>&P$=FSL5~T23>z!5yEGYGeB2A|TH%{c z@99xE+%~`_UywpuPj@fU^&8=Vt*teM2ZV?YTj^a4#_N->_$iFAv9cytk$O-VHc>Qa zXIm(&4ut7D>T#AD7hklI76xGyYJc(cxGrZPF@FJFMKs!UGvbIVKu{RM$|-5 zSp+f*t!Wlk(<~exrluM8(a*Ej#_FqptI)T%v8ww&=Pz(9OdOoayYce#HGE50UhG%o zKA4$*uchc?ezV>1HED%^v2(yWe4623H+^Gze*=m5T9_1t8;(}>i^2_C3OBIx8^evS z8~zz?eASLj$#pKYdd z{9gwzM@I@Is6;S90Y&{Umg46nVqM4T-!5h060x?i|DQ_)IG^JeXIN#!Xy`l~9NmoL zVQo_6Lm2D^b{q$1cN(mJe&6pP8NP5~=w@wI@860Vaw?ye_&@BlwUu3wou<`-FwCIY zr;yOf*5Jbv5HlvgyD&_!`jjnl)*&X)>2)5?UY__~dL7mll12!->U}bPUnY%o_OO11 z-oLAdt1nU}x|G6EQ2Yc?N&8Xa}_a zW>8`O|1tJ1%xxT5ny7vX!V}R!^~erX;X!a`Z{NU+B3mE<1EfUv^hSj&QEu(%;T0)c zJ#**&_Wi!|$jZ#hBJG(L$;w2bD)Z!de&?JXqv9kO6|Ez|y{@vyVR?dVsAfZvA#hi5 z?<-|~eD@`6=#&!nWwy!RAZFc)}KEjaehTn(jVb4eV4t!@G zzZ|Lzs6bSQ<^n#rqwgEOhF_WAV9CE9ROUG3-nFzge4_rd8}d7QeCps>i14>aPSwSO z!jLNAw6~yy!{g*@1bcV0UA}03TF>|4RZD|~H!V)n7o}oDl5xF)YR&L;0Sko!2J&P$ zTEIY9HgLgKgVDx~n^vLa)?OjbEyBZ35)Y85tylZy4(~2R?MLQfaoAsNU%|UUedQsW z`Qy)bU+zEu{^l;2&9P}^zAXKASi9rpg;>4#xtp0$%ip1ksXvl`jOzA}!#Mgq`otmr z#9^eWEj6OSajnD$@xpDV!YYQ{4ZN~?*2aFOcVH*WGx*8*qk^_2z8E>~*^n}Fmoqzh zlVC@H!ETl>)Y3c-WDuRF?Mq1I5Ss8kPABNCq4lX|xQqyO9(cyWnZ}}79hUp^tMes{ zOmX9@+sJrIJS=aU_?E&XIlhs08x&@&429U`g&bV|6mNSg`${AJMa56bSCPStO9a6m8wK#}sL}ljBuO%f zJo$^NK2_!V)pq*i;&4_SeVvZ!GCH~j)&2SDpXBeS|6c#ESh=mnRW1-+a*v0POYSiu zLJ}`=*+^4Oj#32;eh-iSL!-V0`5ak&S`>Ik@qg{ZN$0Y=lV!c{^}ldPm)`mOVpOet zeQ;(>lT9vWT5LSl>L-4R5dOj`iVsSD&TNK024pH&5{UG43kTKt)J4Oe52WrsxBM(4aDaQ%?r` zcefb9>#j~(4Q+~#Hke%95xtGDB|0I%vGj+3q%fi%afVa35Z{sr%sK5WjL@hUw7 zPT9p_A#WJA=eskDxQPYRz~Or~-#1rK0`yR+H?s*o&%vk-;z9JaLA)9HLe*SL&{`## z)BSu^aRVg|gph$BPqFB#Cwi2i@vRo{F+k*OVA(e~9&bN?MPTk}{X<<3$K&a6d{QIE zSB%P42H6J<1VBSel~Y z1ohykZ^WskFfO!0nWv(I?hsMvT%u5)QzK-FLyu6|ME(U0`~=svn!go%Ngl3Q7N*H} zPz#~11}wus4VbItNXc^q6>(Yc>eS0>343LAxHguICs;1wwNI_?d|1AY3`k??ra&+# zc)ee|h>mgZ96iku4^vK%afu;4Sr*qHPUa1{7mf)8?gU&=mxR6<7byA@h6NrFhEH^R z*Ez3u`vWw=pc?f}(dSc94c=LejD^Db0`EK^NO^;0zB~e^%KKOFroq}s9=tF^Ki=|Rb4-Hh)>~I_dPeo5w37LB zqQY*rSF7^_3|&!-N<+3z+!u9$>h`R+fC%WPWY6+3{g{i}mt+l_^-K6EE)XlKpWJ^F zrT*)ky9hN}#|P)}86(E32W?3O5|)VJ6kuTGZn{g{8q5>#&^zR3w>*I$9$zsK7QQ|h zbk*2*yES>}=kLU0&FgS_cy+!)fctE|fz}cGBjOQ%oYJ@)Jg#UANf`&5uQO6G__}j= zQ@rENV*dhSe+3!^VRy&4emLxU<7~Z;!Q)8Ru~V7`3AZRg0*l?>-I)+e5jGc zUS)PT6X`;QU&95>Vl%&dAt(>EAv>4-9Xg+pXD6TF+x>`V0)q<5L*#%P4o4JdP!lVl z4=MzFFJ6CRv_R}AfUW*AIQkiy`TsjO!XN)b{&0833FL>UUNFk2be(K^cFbL-rgm7(J$w9O?_~z`rrm$TQHl|`#WO1pyGllWLM-#=Pw001ij|3xUXvu7Ru)H zW)oyH^*z)Tw0%kZr8&pbqkFj6|D;}51h2)j9(3Vq%4eO*v${DLU(2k)Xz1w^_*3zf z5i!G7i9t1Ck6IK|HLRxCBjxbPoZr=>yCK#z^1hYW(cM z&E=61cb$1#;IILl3iuOaFB5#Ta7#Nq%_)9?s(BD6y?Uq^^c6LddMM1B%jZy|c;H0{sYs`P&Y@SMf;=>e;oLY+$8} zlmm>pxMr(QTg)DsqjwoVZWrM?%gt9B0Y zhFu;$IlEZy=NB* z%b&-aSW@DFBIJrZjt1h~GX`@N@NPb4O%fHkiKvm`yoe%*Oej@_+?PDW*VbctT6x}s z3fP7moWJx9E6MU)m`#Il@p>x{13n>CM88vD`nc$a$l#U!4f$ogGx@39<@^#80X%O# zfWV!Pk)1#dMgeuQa}q}3Nz-SrF-mcX3#weiQz)Yzt5*$F8F+Ln!$$Z5+`aqiqOU|J zxo1YZHeshIZeqA5UpgJs554*IYxDlg|7qT;HgROh4UJ#m;L+261IJrkEcnYWZDJs@ zh^T?QMfStH*B|a~(}ae|>oeVRAG0@cGj(`VaD^jNc6>B0q-Ui`4*ZErL3|I=@5^8UQc&z>1gsox6kb z*1k-XD9ejguBo zOol0_YUb#Yhi1yHVp9c948#?vCPKID8Sw2a59?Y%LP_) zb{}w8s?d`O%3t;E#+LkqlSkYT@PA}Vj!#^!Mdxu>a(r2D!Z*=7Z`P+*u;(I?&>4%r zSFNXrp78c?#u!7bz<)~_Ph-dBrJ$X0;Hb@hez_V$+abOg(sKCib~=6Qir~B&#u`>d zxvPXyL7y`e4xlBcK;v)D4_6rk{~h4>AKu^H!>E8r*7chhHrrLEoL4Y)aH&v8oH<6V zhRUgTuzV34ht3R>5B_##wKTe@fhk1SejqQy?Umw~t}A^QGaQc@lyNb-$)Fnmz7JSe zZfejCE`Yb^a#zPe_ng}Vzzv!fZ0Dd!M65asrAeL%!JA_abZK5S1N=`H;1 z;rg(PJ{YStKKvjV308eW6pAh=(W<862P43+jZpDT?+l_!%!4{8|Eu#o<&ym<+IXUv zrStS0dj?lEb9EPs9%pcM2C@at$0f|v?xCu-67gtohT$`T=}u2C0*WA~D?lM2z)8t4 z$y<%*qz|??dmObl186UH>JwdJxk~Du=8+IBq#clLz?&65C+mb~zr2PPv`56@M;NIe zUqAc!o{6u~WnLWMYV;b_urFX&4M#!k>J2syN2W2q+AkPfa~-C&--C50WZNv~%d<6n z9}#J~`Ik;m;sn3OPKhEC*f@PXsu>;g)c3$hz`$8~dxBxo0|us5}Z&mEwn#HRi-?gTq;wTq8gc5=J=AVV?1pHKMv|?XG%fKdtfpb%ETgY zDadU5V>v;{&8lA=eFctffY4i|zp8<`fDcp7Quqbm0k1(xWU2TOdT|e&VL!m+a}f$! ztj0sSQuX|FQeQ`~EW)c|P5~|$oeCd^D`UPy=sS&(nmUzDvQwQaJN6oa=!D0XhCoA% z?7Q?ogr4-^EGouEP2+(1xH-SN0^nI4W`idAGx&|6FTm3${{#i!7cCkqY=3E%?z@lF zuIa(NTyI_F&)l!qhdrV>5SrLdL@+%q=jiCn%E74j626FIY!y9U!G}cYsXioiIsmR7 zCzV|whi?$Bz>Sz6Yor=oUmmVjizE*!t4GjI(ru!$(RFN}3F{bsqeES0tZzhCZLcoi zdlE`*rDQeka|}>3eJr~hbFc;o7B)*zj53#y;CSWiW)xkDxqcxk6!twL^7x^8v}AzTQo)7>?*H&=t&E{c$dhxz+MY=Cj^AFoH3^qhD(tbIWna@s9eBVNtzu$gQul$B-bsXPx74L z0BqJkOHIdv?>>C^F3J|i#LAqifon^&PZYquXj2%p=aqsOu%G)d5Ga=cZ9}&o+6jz_ zQq7FNR*zP@_-pmBLZV+J3JDyCsN%Rp0lB5ri-cZ#4S3)L>CDcAJ$dKHO{yN3C+NFM zxV@eL`=MTZqGa5!fmBHT2QD4)t`!suqTtp!q{+l}JFZ`#p6y8+f&|{ab9xb;V#Su| zh$H*_1p}}Y2h2c!H53S$Aa{}S+*s7dI>obQSq>@V+%d{ohH7GHZl)vbV z>0_Js1Nh65_oIeoV|7Jhe=;t6%91I*0rDxatWlI|5oMo?Xl5aZNC7fYRhp#_XkjCT zSCRdzaBqO#!d6CJDtN1|U>j=WNbFBZjxD`bPZ5ot8XAl3_G-5WoSv%Yz-=(WL}uK; zqQ?3NH7WoQ<1rhd^pCnj5-bUe^cd@>x7+n2^VJkG&q_0dOVCxDXYi7q#EIt#vke16 zb4ESjD}>E%d9>|i=SBITF(Mep&CBz{Inw2DW3$StaiNk?g}k2z>|%wIpgd6gsx1`$ zD{G|Z#i_+CmD$y!4i0}wSX0Q}yKqw2IijdeU;SBJO zUP*cmQN1zChz5Mqio>#V=FT$1{-p%u;yN!vqu`6j!Z}(4;Hxqv6lu;dB(Aj_a%K)Y?Ru0`}|W5OvEi zuIOUm1uEn1Gmj7n9Ey}Z*8mY+Eq0WSOtAD%@z?K}DC;2BKa!s4)-k}@7)gYHw=Z|s zgmfbHmW8>5lfXog!xoY<_j?I`Lk|eVQJ$bQ5|&YrKNs>Zo`P%~Hl4+l&VhzR^%D_A zq38+Z(^&H@ed7p;WTb-j~^Bjy#4eZB-hgt!&4?+P}Kc8ZbDPa2X!U zN-*ptb~Jf8*u;pMo5!13<_Zx1J;jYI-Zkp>Tppp%VtZbDpqaAJ|!zJ#A12>=WVDpyyc~K5>A15n3S?pM5>JB0J6g&y7#0+DFhcC_2 zH(0F~*96zn2%$Ov0!E(2^MPIgy1LT(S~mznAn8aI5HG(7cmH-UJ@LTI*s)ile@OU+ zqCgZhWZCZ#+t^%L$s*x(#Pj6XXbN2%?&4+VF8QErZ16uxE*0D};R3{PU;`jZ@IJ0@ zC#x<%hT`#zix7^0H$s4T8K$|W@(*gm8*QXyv;xhuVn{(61 zonA=(x+cJkblyAcOZLzt`I1(r*3>LAz&6_`ggIdO(^zbm8d#AGon@Kcv&R#?XUOx0 zIyl~dG4#2*F<3w7=;nk69~9+pSHyBX4pX|^i5ON zt^yt3FkyM(==4hwGSNl$H-{_rK}2~cEug~FV=Oe=8OUO@`FNyyqTIgZZsMKxFb}wJ zX3s^}eSZPnrzNlg3&Lssmj>--3dZDn9LXf9vk2n!y^YeYgdwzg$gMC^`iQTn}(Ti!|4NVA6E_2POebz*!Ck zt0g<{Sdz|a;K1PIbi0eJHqdi+y+FVD3@*;oC#RnE8@#6o*_k*@yr=9A8+otPKMU^_kG>eSuadxdd|AKo%k4+>zyn`w z?!Tes%)57JfB50{(=Ye`h_yb~8FI8ul;}jOy4dgni8hfyik#}E4_h8dd5+MjaJ!`3 z9ZG`ZfU8#|`XF4VQSD8NJ%sEE5s>k*sW7b%=sfmH#Fz7pxj<%wiw3JT+v)%^D9_BN zQupBUg+veM*J#@Fw+)P)5QXTSqu=Ss!I86lags9dX*fbanFH^Gbsc$2Be9zfI7i4n zCy#8hMM5>EkeIqMoUZ8HhrP40h~ThF-LNbcN_Wr5m^uBl!MLpJIQJrCx1xeTdOg)K z@?jjZs~2o7vtM2>N1M?A1{*>hr+bK!sGJp6cZaf7*Lw?ci|Z8s0BniiTgb85=_Ox} zd_WeYSsWnGS#qB-^A+-(TR)x^aBzig#q22=s)9rY!^9(Z`_B}`unir^Lm2J#-Gek4(yllI^69ZD=tUq?3?y3g z#MHsbzoyc#9p6md#~WwV#2!s#+R0HxM-@(FrATx&+RCv#+H&zbCs`X%~3f7Mu8LSZ3-Z!IeKjdB{A z2gdb4JRcU+<(3@1eN{1jM9Bdf>l(PH?=?>1g1U}AUI-pWiNp>phAq99^dun#l10AH zS9R+`JUwO3t8#X!9&x_9Nz;8hV@0mtouzEwcN+d(MnJM{7E%;>kfgZ)Z{WiR$Wqy#4s*$y8iO|<3RtW1-yK4i8)$L9yD)d%ELyoOnG=0WQy*B zJ0Y1-6s)e0Z2~QjI9rX14=a~?SXV3HB3TR&(#YUkqip`3ZJ2}*h0O8BJNVR5TSFue z4_NHkF~OF$&r$T#gZ*>jDZF(6dl0-0;LH|EWNwMqwBC)^Nd|CC5saa(x61+Rg+sTR z%?7jMAR1gUh+t5CKS_NF@&a15i<9sfc{)|Xs$noIL3XgCIk^D9h)jwCGQ++k`kZ`4 z{<@Rg;gf~2(AY&-2y7$*!3m@*%#d4$#{|!u@2;-*YaEBXLY4D3^S&m2fx=jUeU)bM z`=PvrUqe2bw$f_WKqf6L;}ISm|p;6yio8(v>&A@@>!Fe$i9c< z#J^FvOTRatAfGzDzCg#Z_w~~o-gCvm2KsYpFkoC- z9irbaqTfL<0<>6ecW4}jgk<^FDRgi+R!L^z)r&aGjTU?chCnVVheD1 z=r!SIF-NcwC9zoD1DGWSMcKxwD#$T?MIQC=>M1ofXS}d5eKU1|7T2h#d5Nwa)PW?AEKVx3z1pANz@52ZO$L*z zPz+4;1_5ePTSap;E_CgNzPG9%;>-&0n!BOXWoFjb$v}(M;?T=6%0HJKqdvlaobEyP z3xw;@sFu?GfX*7t;wLog11w}zA5VpBg7+MJU&7aB}L)}opO1;1k4$X$JHc$X)fLDMvwAr!Zi4pL5Mz8?l{ z6rpH1CsYRsO$6ydC49s}6+r&P{vT|VRmmO-=T=ZIPXM3~FuwpCxI~YNph31LAQ=~m z6tCjx?g1^%9vLFK5DJ@}`Zsrp{+<+)T!=} z>#(H>azGO07N$LGx`M?PFZ<0pgL0?bEpsexnQoecUiW)-KczSGx-O`qFI&He%G@pl z$D{uOzN8yz!C{=QI;qMxMykXnR?uA7T-^YA5yIw?-W5$yqO>s$H3`#1GSbdU@&XTp z!_gj3@v7h!lD#7){{HtiH4fYrDkG0nE5@tiJ~>_;KQ72ICoMVvF#T%1ng7&mQ2im! z9gYTk^^3!@0em6zUHE#ex89$06e40R7M;m4n-{7+>5fRPybktCc$|VP2nFxA^3aoj zRvsfa`{xHq@`GLLOx{5eZ1_Q2XmT=`KxJSE7)`3eF-AZispO&t7h(}zjtO6(mMsGB z;J)hCBgRagW(yJcUklg)N>=t%64~pK(gRDzRxi%}HUP7?STmvAQ7>GN0C^AW*3c)F zh3_%ROall)C#9WPr){{~inH;fN?Ob=$#142{u}JSnmHP z$b#QqfB1@S-(dee6ZswF+t(=KZy7Dqv4s;x0xLtEOzCqb(oB{YT#f0++W*X*OYyZ- z+Qida{jBGCMDce0#r5u(?Q|RNI_X4BcYFN|Cr~Cu#l(>_JBo@e|HHAOyh$3^uwOZd z3hcbwWFm|?TPlB=!4Hmz_#sYpeV{XQbP`*B7lg{ZXl~H|gh_A_#DgD;7=AvaG?t5_ zX%V{l@^yI_)PtDzj*T3Cb^>Q%JQ5zNLo>hmxx!urKaE+?W0UZXxMcsMQ5n6J!6@EI~=DdqSL(GZqODJ0sP-S ze?Yg(54SjiZ*tX%RE#Q>D1EHku8q3_=fkZgt|AEgVJV)5|1gji%r>H~Gy^=i$Rw=zz&p3&`BUT@PEB1Vg;t4lb#AQQ=jZwGgs;8EYff~Ex^3Be&QI0b- zxd3N&?0tMO<0|n7a<;PHqJs-opP8-(fkt#AQg^(DgXA$gmz0E}D3O^vCldaV=1 z4u!oFs7^h0WhpWDaoL#@^vl3$Jnwic$sRaJlJmiS;$Tt(`9pvNjL2(8czzUpq;?2l z;leq@5Q3)YwQ&%}WA2#T72u1D@R5ReEOGei#`98;&5))JCqqu3RD8&qsOGj4WLKi> z!o@XmULdhi1QS{{5R?|(5l>Fr`O^qlX}h2}JD#ix!WdplloOJwRI-AkT>5GJNW^?x zsM`2=B#|R;ph~uw*K3$C3VOn$hraTM(sDF^FiJ2VJ{ki+bn3Af zz?=ph`M9eFfIUgA?50`yYQl{NweP+qZvTeSY)x=?wQ7h@YY4( zpJcqz^#b?IXONnrToZ^Zv5}`3@bT~MP1Lhj} zH=)8UnnEZSg0sM6c^%pw!0&|0bp2&l0wUXTs~(0gnh*0GbsuEE))YRS#r10y#xvvcw+rI=_6`wqSAS7S}1AAkS`!p!aP_j9)3| zh&+=Yn{%x+0W%=@H-_$-$5tl&6!L-m{AJ_4?Nz%)gjAIb4Ks^ngxVc9=aU}6u;(or z0=_3Ei=BJb^EqyV{fSw2eC(PJ)D%+op7bp(hhRn>aNMj7L|%(r_DO(wk_r@< zKX<3STwway1n!;cJ<|Lzh(uUwTmcH8E`kb=NFNh4>_Y5q#@L(ruEx=6oWKo8l#r#D zbWRyic+EL5V}bLsyvP+wc$CqvY8@xa160)!uflkge>!wW~BtE=5{{BOQhB%+@e`tPx^X2~Y$DgGM_pM+eQEUWk z`#IsRm9h{1jnYaQ`!R1j<6R+u-5pVBV#`wvJ=Y>RC{+N=Bqjnbhl2uwTtab#P-l7m zlOIkV`)@r(8ITn*usmGi9c4f_1>$uF^>KM#G}bErCI>CL-gDC88G6(T^$p#!lq_AZ z$8Vk@(eGI%RK<8ub>uCI5tPmpeUDBY9*+Z4+36{+jLQ*#D$!~NfJ!!CYAzu$9-x;m zuRpzQKHYvpCnY&gNNo}Eg`bcgyd9A5g5E|xON`!T1)}|P80HXiZT;KiT_Z`S&m{*K zAqFoSDa}C0tKeB82fc)^Bb*A8%;YWOkw9q`a1+#7ju2xH&eL4|iYU>BhA7QrW%m;4zbC zgS%XV!4xusYKD02@d=ZRfXT9=lIA0l*?xK_ZyopHeq; zgk*{5U?X9)GY=CO;nn#mqy$qj`HVGejylZ*LPpSmyBdxjxwEGU`C#Gv6{qp56H}3D zmgHS}?=D!AgQIL?@(C_ddZ)=7#)h$$i@4sGibz}op#qA7e$)Mg+=xb|x6oGijP#|e zfh_4A@>`ZC$ZdIO$QBY^Hl$-fb*BSZ{k7<=g7i((Pb2%RFdDH<&1LC$H6Q^T1X*{4 zKp2@l8a=ClAQV05mG%BuU7bhzs(OpbzteGfcUQHYrm1qnt*t#Kt+@CEwfiQDwsD15 zE0(|!TLo(57j%aU^w=|zkD~^V@C@}fwsGsQALH?iV%@c}mK`nd4(mOiDQ9~c>_&pC ztP-CSzC30WN@>?9wFrtCtL$tRY!Z3}mVZE~E4`zS5uYo^holg|bhp?pW z;hvTig57uxw!tDV(nnK$xmjI3k2^#c$CYG!OVT7yIgY(UPUVFOvdwO0EQT|e7f>wC zB_Cf)jf;3X{4H2u;7Qsly!ywJU*CWF`1M=!>+83}==Uo69nDH50H)y%Wd3xHP8iWO z5DnR!xKS<|QVW6^ulb3ZRB4)nvFP#~SqCs@K-rLMstXdr%Zn;O`oNA(gb68&@)R_2 zIzJECJ^Q`~r^?qhP&QE`m8u$CNxn&jYF&&*j2|lHRO;E*UJY!o&6`KEUua7G%tQ>4 zt7X+dkq>q{7kUtx<-YW~4nWDaO>CWjw5w64KZ6HiN25o%P&GnKE?)|fb~phW^^|C@ z3Fq#L$jsAR#)>4&HxWLc)%2j@Z=cw+kWzh}|!C?8lWfj@bi@ZD z(kwr|TtdZ-I}U{v2Ed4$E1GF*qLRmP#C9$=wv-7`?LxXIEhB9=;_w40I_FxiF-A)I zbXLzf!pUHJ*a*?8Fi4!Kli-k^sB4P45 z`luj0Jxe%`{AE21+Fy65@PLI$`fU5ZA?cZZboHYkX+LL(#0RvhH>grIzv-MNIq4FK2RV9Ign8Pz2cTY~OA>%baLf2+UF zMl_83tTOl_(2TOuUX=XJdQo=tcetXcYHC45*)&@;l{Op%A`wrbG!qxWzyNO-^6py8 z1aTsKPIZ0f@n9mb1m)HFsra(KvpgCc@A&X`v+$@)c2%d^!qew&H8l!GIzOooE%qkA zFx$V<4Ba{Pe|TM(Z1pKu$C9UjWpTzt48KBXhfhAf{&w{G+0SSKD!&YVwuC)2QvV5U z3-pJ3IAf=g-3%+b-a;zN+P6d&Q0NTW7gShS8}J54Y$pDw@DzB9IYk$dG)4&{YZP9w zb;Luw5S+c_-XUk^r3tcy;@6rF!}m!z1k3^0X=Mtbi3fxy=vbeCf{W!<_G@NNK4*o| z-XVX4e1d$vlP$7;=cs6EnKF?L%?Oi>$#j!R;ugS1aZrLnln=F=$6Y}py4tDPuAI7Y z8yujA)4jGgpo1;2kz+KO!~Th(gnhHM=_n*5dEG=^*R+|IWyU5KQwwk&kAYkaK9Sm{ z)@Ee*jrEYY3N*1k2>~i4Td+`QB@nE>3e=(Da>!SacC&P5FOQI%{bukl|1w~QS(4wP zs$y&s+QyGT58Ns{cyU3&Nb^(Tyx#2(z(0{&K0!V?D|Y>>hIKR)A_$eGS*_9%Rg@jIF3;{9 zJ>d$DnszFi6Sz5@1Whf85Kc2}lvtL4Mg_@4?&TNtsCfm@!_Fi1m32=dFF!}utvKPG zv=UrGr$e$nJu>w$MDL~pMDN`5WUU$k9R#|WXt6HsR0PkifDjO(`TEJI59Q-%&S@|S zZdPTnh)=~VV>j>RCLGdN#F;_gyZsfs#_G@?w%(Eo#jL}D%|huBoAM)_&9M95-M{_( z^}hKcFk<}k{a+9b<0VxnPY)NydF;0}zu)OZ$i$DRRFDq(B6zPIU9BulMw_DB^BE)^ zcf&4Y6M+YN*gD*T5L;dPv7Z#pJ#|00Gs1!C+Ttq2^VD6BWQ^Qa3In=OrgXdS@G|rx zkW>|%Q0zBy?!e46ewi^8e`podc_c-$hSS5uV+ZT(r%=e5xx+N(`liXr!(QV(&G{uy z12?Ox)N-JMZpY3gstY1w#o~b|&5wU2JxqQ<;E}0f@;lt3{`;ue&{2>Yl+sl#Uq835 ztl=6YS*xn1-E%=jBIQsF5%qJ-XUpRm)=-YFlo#KzW2$1ZQG1Pn6vT`x#EhNFz24OJ zaR|07y?XIY|pyXIz+hkTFvtX3dMWpk{ z1HJmv{QUm@?&!mB%*c86@%7z*JmUoxmeFfcTPFPer@{XZnS6)l+0ti`QwLAU^z@OlR=Xmg`doVi}DouPIx($yHx2wb{n zyzm5lGxbSS&q9yGNRql^k%dCc->NveKuLO{p=L^qLZ&b@MzfppQ7XAgz;&j&-U|iWryb#H(wj z7><*Gad8aDxD)=9tnjg8sM61mBMb;S=E9JlUoFoz>zBYIHqdcje_-hT=`VZJaY0%VTso*c(9~Ja&7+&3W2l2S!d?&77kq`dvJ@L$hx}L- zKC9>aWlk6_=oD@a zV^|zXg1=C{6l@9QDyxo#jL#^%X`|VyxrhOT>abE=CfbS{k43Fp^epkWE(q9kf{~U4 z9Ol(=ILA2jc8)9Ldz`{FU==)bRP0ytLB~Roe74+diIUm!A)yG6VZSFTX)1;C0YQmF zrA$%axxPq?y1p5h+oBE`zbtr%Lj?c3$*K>ZKm9VG>d)ilt>HY7kz7ko8;B~YR_#IC z_+n-@#vcHS3=q>vV83zwi|Ar{9~8}K$07%^kO;uED{2Zr1nw_@=vSdaUqjQ}AzR@6 z=T90aetm!c<^9iJ?{Ayt=qQZgk8kWD%=gw>z(KPc!uVPs#f<4o<3n5Qi9z=Xs>rEB zASRSC`lw>^%j4mX{b)&!KGr{aDE*@YnMZK@!z(EAF)eFoB%h%>#|K|C{ML)y#3(Ya zwAKP>fxfpSGm9TzKHR1WGuDKDHuDegX}rF()#e|!Z}CS5yb1FImJ&kfc23EkAn$k2 zrOppM$=nPpa@j3_H}5TgXCrc)@aiMDBjg8M`uc{W%l5Y_T&u%xP<~m|H7~QBI^Rk& zb|}G@cN(4-I4r!I?zAg{PWF++q>rD-4Wci!@-~RGMOHJP%IL)WRV;xi8vrk`{c=0nk=px>j|uwh-$R8_tF zaP+uQE<(BW7Bh}KlQMM0pqXY-v(mD1DoJzj-Edw@?=XtdgJ4a3g(M(LLSPE0i;sG2 zICyk`GRJXrDWwR+3w&}Lh&W{>GxwSXZy6$gjE9l;;QtkwwQQ>)WY-I)IG>@X*ty*G zX7`dyiJ0jU^#ehCP{5$2b$t}v4>*#}F6Ia!+(5NCMZs(QPcOH=)vE4m7*o~L;JoB1 zEtOcr99sEYvWUZz$>jN! zHibf0k3TZ)r2Zq*;5d_MN)X@=(nB_<0Ul9+qNsgGl3N@U8Um0s!ck%(;W%3jI;?JN z2}J`XL&=A^*&gSvo$t*7QopcR=`8uWGx!xFP8WY6hjbIMJ@8t;xUcWVMcS~7)`48qznWfwU!S+Xu62>S&IM@Tg+R`l&IJ?EAO^@tw|f1F z=fjC-ObW9^il`-h4~v0~dgvjU#G1!t&*&f{Gr7soykY?1x@02Ej^$|Hg+9$H#Oilc{&a>fK+imRN}ddPK{t>69nIA3j)#{6 z5qjpde<*4$7LUqDu!697PZGHFh|}=Ixlh7os*|ZN72nm} z$&%R$9Y3IZ(g9`M`~W{A{Wv`EWa2dn7)Wvo(1ubbqI}(tNOx3rfjZN)9!%8|)q}F! zK{Ij{L7qg;k6za2OPyTU5;MqZ`8w@Xi%$a0g|arNabPL|Ui(3f0F75d@#bEIU{rHb zEG$JzvUkxn3Wqocvmi;!1(R9w@}|Y(?Eo+F0(VlwWk15B;ymN$Un4gRPM)FCgV}&& zLNO0(6kDwUnDg(mthN@VlbY7O)8xTgk*V^5iJm)DH(sN4945e{5QSzu9dY>Wo&9%B zO~G=u%Bn?x1cpk5zPvqizPW721V! zs8iJzHoIF0AZhZ$bo`pVIYHS=G=roWEykd8!|PL3(0%kAfPNB02IJ-fSq z{pJ1{dK@F-)-<2ry}P@;_pyOo{(jK8E+4`|o34Z0o@Tyb@yDOQf6uSZQHtPiVC=CC zgx(Q=2t_N~9n=+V7VGB_hp1`OEYXrjBx7p`V^86x6e6lab#HW2ToXzy%~7D)#Z3i8 zxTICI06!rQG$&o&a#|}*yOrQ4qRDc)+KyvaHzLB(aZDxM{-znBW>13*C*(hku!-=e zjc14uAbkNy8zhvBhDe0wffg(tlTTo6A#>q+E!hgtuHwRnsEb6T28txYv6B`7O@>@9 z6h12~2#&bG?2>}!@#Vr%OFt)@6r-fNVLJm!A#gWPHDu6d$Dg3uY2ut>cM-Z&t;~XZ zwEVKaV&^mdf|4Tb<$5(=nw&|3Ps<%jM{FAWFt9w~7lg}~2K<{k!}3jBViKXqna!4# za`2cI#tMsCn#Efao%ZwH@2`JF(ZL2)2iwSFbT!zpZ8SZ6y%TObRQUG7B>i$(SokF5 z&A`C*q_H;=!bTdMsMv(cZ_rkZMIkB}2(t@d>X3e_NOE&jD9T6t6f9p}&f^tV8|X@l zu1-us?O1LV1SqnC@KnnQAQeL1fIH~o6(o z1q2-H5WyXG9ycU(9ZT{Uq~|+6t~Q^%3dO%)c2etnMo5kMf?#P;!l3;{1`;(QyaH{b zsQF^clHR>T{#~UB@^#JS=P$SSU%z|;<-J7$djPo;=x*=Nct4a7))%2J(qOlte-DQM zEs``;!smu4=9(d)xPsnk@))t^-&&IeyGIp&>1L-Dh4`3qu)Ej%<+Bm}LJ%1((Hepb z4jOj|M8Km+WOz3*R0bo|RtcWRqQfn~X%M@NCV0LY!|(WFaHg1D$A$1xP9Kl>dvrf3 zuX6YLZf18_6&0~fb=!;HK8EXbMo+{`N8I-UO-o4`fJTPHX4@(rrHLf)3yY zg_9%_qXU;Oj1|~?X%H#Bw6+R7y>y328IhDB`G?S7I95gzZWPbqA$|Jf{{6?>FLz&mKDz(y*Joexzu$ht zbH4qIRMR8=`u$(-f1_)GZO|~K{rmgD5vGT~|1FySHJ>jR;t%k`zYX5MLx3WDiA?&R zSc?3szyH2-^MCz+fZCM*{%!Ez_`l!(fr7eFKs(q{gf9bSWg#656$q;^N$DywE5j;E zN#jWI@13J--M~m^F+_5=^Gm46m&-K@dcZukl5bL}XLv)_Y;D|~&N~)5*su5RKv*VE zjPn2c4&#HxM-+BOwGW5U)AS8u7f1(3PPeV1A6=d zUW*+TkwoH-{}78j9dtDc4we%9bRInSK$(6x>VmDm9*f9q zamWE}zu2MNMsl7l$dk>`m2FvhHS%ymN1`B&|1s+Yss7|(K|^E0P2{tz9cQH}6=9*0 zs3e(Sh(f@%BrP?=VeE_)&SH0t9!1lnTZqq)qCeId)S?Vu)*UK*TVaxZ9msW4*AKK| z=IA4Bm}Sbt4S;2eHUN(J$eM1v!v#7f$!|_4JgL#Sig-{qVkgdsCU7pXp;oXu@QPri z;x$NoyZS}-$P1fJTPb?FLUiwHhFT{#@M8ibghz~^Z>{ZulF@4HgbowoT;>D$+04cr z$U7fS;a3AJ>0Eris&8As92zR}I;8?nAjlw;k=N-c0>;iVXLT`4qBu|3AoXReq!@qN zkuzHV?x1b~6$gwB%{h}jBGDRJNJyBAmo^b^9zRK#BI^&qR~A0mW^|5cQZRseNmdA< zLOLdQ7)2lyL0PAbu38jjJ;8|=tQdS`VxGSyR!sf~8nV_rfER<|WrmyF@;UuVq2Y>j zXPPWq$As_?>o#_Vnu+B!a{g*gWVH0aKg9OX8 zXA-Y&cqEqh=j#B`g*9#(dL-agYtEoPwBITwD;+_r)!Es_1(ba?&zSm7w$S2+1?W=W zz??2!b{(KFD0@N@cV>Y^1SbLyqvgZ$8Av9EpT?aE405#!5=o_%IM6Qh>r=y*Yx0Tc!mE zDiP}HjGv7Mv$oBU@K>rb?o*8zgCCC0oR&T!1!R68@Fg#Pb37E_0bR(z8_4$C!q%Z6 zWRUkn_?!z86p@^N_|n zTGt`W7_-8sA|x_hdveMKjhY&Cs*@Md`?YJORFpN<=v1cFuq`V5@BQ@W4f3Omta zscK}Mg#bOxrJ6n$FaYx5PJVtIUgJhwW2Er-qpw{1=b~BV#(f{8=Ge#&W4-ju} zhue*GxHab|%7q2^J05%EKAhPZWjcyzh#uE6KzO2+X^R-7B;Xi5(-ei$BCqxcoTMvB zNmu}-Q4+S6^gly@@-vI(`Q;p)4!}iEI@XYRe2#LfN3S~><)cDHiLPd04=@1yhGw)s z`mR4RONjt)hxiiIicT%+A?e@z!J#pOR9etPb+BAur=ZwmhnH%_Y)YGjv`CL$Tvk-n z5eB_U4KUOsgB^d9C4`tU;Fo^ZCmko1Fz?nu6(9A%IQY3#^_hg@5FWC%7e?dZ3Qws@ z$^z9boqSn>j9Kk*N;}H6x5bwzq$moxv49KPQ3p8@7~rBQWDbhb?1Qp@BrB|qD0BOp z?LIJpe4xMsI#YPZ)}N?}EKrjG!1jo`3rUv-@f>-c@=^K~p-ea{4LyT!<{X(LEP=oQ z{%jgKh|vUTI-N~%|40yM%QM29K|5GIm;sWKg3N^P{_&kFBI-yBoXk86Qu@PADkIf0 zE;fA0`IOI4axc4DsN=8%y61MGwlk$u-jMl5JmI}Veq@UiWSv_!6Uwg~K>JtjzCKB8 zBB(i3l|6$x(teOdNl`jQE7oWskauaYK;p$|d}D=VhwpWd z6ETKMsg95qzFYltIlq8ZoA39ig2_-i(4AyD;Q_Nav7|9fMlfA!)6t|0lKf+b$$RN3 zA+^J@A-0yNamKIDCAmfi{C;-@1Gzu5twp&|(NE_VJj*XEMQxs5QjH>Ny1+ ze_rpL>_`hcMPk1rv%*;q1Ns3sUZkR$j6n?u3t1d3EN6M1E-LiQq@%|0hvD^RAL6U? zDrsv~wZ6m7K<1;!#|8IbDIn1I*4ywJU|;d|!VBax^wEuk^FFao#lT+55vNj%dO-;9 zXaW-RlP`Fztm~MxMvGfXsteaAd1VXRf7uC1f`EAiX-Xpr_KrnF5fC+pGF8-Y`oTEy zyE~^;wMRu$L{h=zsCF=t8W3Md8nJZFlaLqGIwAl%P2$kFH_w;A24fwtz3$AuFe6Tj~ zPG?P70iKbADCG&ztIGO8lmwtz14N%eKLkQ`RJFhcKz>Ki>>@-EnC*NgX|nuzG2f%S zLG&>Bpf77-imX9U83P%_B~>7)2uSP)7<06uzq3EhQKmfXHI*sT$L}(GFk4c;=2qFRgSTYLwM*KOumu%cA|Z<`-x4Apkvn`3D?D)$Io#T;@#vz?>=PsKc&0LB*ew<3z+96zJwozgUj= zkWy%DH0WElg%<}%`j5?gwWD96F(jK)1!bUrN7ab?D7p(5v#Mxj}Lf=oS8t`4!#O-8>CpJp}9R9QJGq5>_KK-o$qXdVyyh=fuuY@GY44C5dy#u^I4apP~m*eEH(lJKrXm0qKBF7H!kp z)2d(=ScD=YsL19~v#~I(&@K-}!jM5q4GS*J*fyUH#v}(*lS?3tq)kfC1eapH_Ypcb zUnmjji#b4QaDl>k0IQe&tMe;#?LC+BK6n^W$mos1G6j^OyJc|9t-C^H=nK_+=2)M#_fN5Wv=;`vqdM@&hl6u$`}kRn%`a3=T^= zFM_?=6#{+fqnFe$a2U~-bVDBAHY9xKxv^E7)O~(D9nA*95S-?`zb+gqQlRbT22kI= z%pms69z#&7PrQ^Cq%%}u?MXP+DZ4*1N5$g<_nAHVFf-G^AH2!q4>OzX9(@>;qL`NkiiXG?TJOB3 zl-hj!87U~hw?=RVwCw5-xON)CB;^e;vvlPa@U9-^>MEi^urWEi$)Rzpc5~=P`JX z1QWu&Tky-QW*j_^SXRwqdv%6x@(_Sl)KPLY^|}kC?x;{)D>kZWCnwkC#`JNWvYJAv zboMMIhrINr&@PJlN8*en-u&!zuG{$m$a1#>r2{7$S|?llL8#?$i0j9gB9cw;TiFu( z6qWG*iBdKZ7KUzBR1=lwzwSuKH%cR+>!E(hK#lzf zWAT(r#P%Dy2^@W8$oJ?dR6@j`Pyc<0x^v^xr{(4}v^yt4MSAyRWHT|3DOBLywH716{%72{U) z4(VxoWlrs53;E)}QV5`^%K|QGm97TzasW%S-)~+bUswm*EN9O)z^-4Ng_G+iEg3DG z+44L&u2jRZkLvISE%qZ?=psa?^}6{h(42%1+xl3ghR(3CS}{E4TI>Yd{c2kcP|!~K zpRlwpTWl{Y{bn*K&5P#bp0sH8D2`;8Kv3o0S9`?Q{pl^b{=h_e5976MzA`P8ze+y!3IQ$RbhK@mP_ECxw@t%`Bf+x)BpvnLenogqDB3{bX<-0$n43*i*^r&!}${h zcp+xPr0MVn;02;T*oV3vrs&2QWO>S!8B7y=HoaOFi`p&xg3Tg_ks@ChFydI`<9sTgb56p?;wdVDwPoHV{9O;-| zeE0Q}mI`fv@j(>tIk2xdV|38U)s3el%HpSx(3!MFae2NNCehyz z{Ow#%rDHxE{ID%=<6`2m+JHyA4Ne@Gk!)n}hiUbDByw>W*}(1yb<<8WmvD10uil*? z>>;8cJGt8Z>GXht{rGaj$7N&Ac=~3@t<@&-bi=sFZB(?)+{t7^@r;ujsg?fRssWD>1!#_f72NGKfUxr5$CC`EgC=C|4esLP#U!BIb za4V}pfykXDc_%^KQ3&FiRsZw#)}`HZdgW}}tb*1N;`W_u|Bi)!~h;{BT! zl6EDSiPKZ?AsA|~h11~FPAQjMYVl$i*_EW<#VGVhP>dD5Edf6?MXtOR)a4t*;t(@C(2$$hYhq7F}r+DfrcKMt+dpt76Gp%l~k30Q05T904sBd8Xkg&kw#Nk z5i@y|us{HqxxK!;3WTMO9Z4A)F=a%)3RP$XTM+gJJ097yR1enY!hHR{BeQkGeOuR6 zy&TSYW0n#g9S^DzJPtw`IW+N+=m#oT+R>dszvJzIS_Rtp3J6K*0j8_OoE)wBdAL68 zOy+rtnNXIh%PzK2bDvzRLXmM`)jDJP+|wyaAY0xOK%FFY+leUnqx^b!?6`S&3^uLL zLFoi;++R_*O8KBw>I#nDc#0g&pv3YE+s#-$0mOhRFY|q=@Z{YASf-Rlym&Q!e**;x zK8=51_V0+L-0CZxOc1(GdQb0AK?gT&7C)hym{x_c2PMN+*l0wnh3WFp?h-yOrsq`0 z-oGMABEe<%C)plY7#fkO+@mYvyCY1!GPY+jS8fGR0Ccd2;)x6cDk9w~w~nl0Q9jg> z)h4)ng#c6~U()!bQ(8c%kn?7v`Y}DMo%m@G6D$+J51W)?g&*G&-H>chc0kZBK)Efi zz_6LfZnCI4aa95iZX&u|7%}1&>kV{y+&F+JRtHh3c(Y#bc7VX4m=CcCXfgE21lJ*Y zrYWHZr}(jo!UZB^v6PUf!&Fp&YoSq4c=ubr;Wrbi`H-%$LiR}7+fx?HJ7*7pHP1+e zGXlCfM{$z#!#UmtsQ=*%h3%pvsk^+_DDK!EN<)G=i%+HS<5UA?b8hbW8r)eyOw2KF ziA?A+(YU+>3ZXpnUx`pAtXc%NQ^QZeWbkP4#LTB z&?{V+0KXTgyYs6)uSl)rbTDwG;}M}+hFly<~T9+%8qQ{yr&Bq8%9aOE3cp| zfhf$_VB{I%0YAcKb%zR@RhXok#nauY$5}nh%nIyiD*P~`gi+BFl=0{C`cXvX={czc9Wg8m@l0*xJ6&6r2rhj=Z_M#foP@YJMVZRp!NO01O0L5x_tR$zv{rVy8Qb%y_$J>u@KK_b2 zVjpY#Z=ioZfin>tXD}N+IVB4b2lZeoVF_oNRR{1NMq1Q=FFR!`JCgVC1jOI#!NMp~ zOSs)ZpUAjurcU-wQ{EMI9HEFN0|ygnfSE)%@M_DXERc=J&W2@sMZ>}s)S8q=%hb1y zq-rZ#7wXTzP&Moz{d3`&8uzwK96#DEv6WdTotU<*@ktxj?pxv$a8E22Ga=ftZ)mj) zGnF3!njUqJ+ah2vG3Gl@QF76%7xnazg0GgxJ<10tcZ6IwC?8xw;ND{pIoI0DO`aZJ zov*H>sj#V)G<3Wi6g8Kq98|~x(**6QKKXcz`mm#xB+gJ%1ttcgW}USp`iZsPUSbL*3c$NuIWST=1#69G^EjGN_1=BS5P3wW2t)9 zQo4zi6}2}~G(x}#tv{0x;r~rTo3Hx_6e1f2e_Yp)1&stjwwoV6#0am%hs^Ppx zYm+B5+0qPy0nm5!qLfO;Q<;+8b+>SnR^YQxDp;pPcMjh!z_TuM)ywXaNSzN=$ft7o z0|Oavg#U&8=!_KhqdTXiV5_4n&CbqV*@Dy33o2MWcJmx|6uZfW3P<@sriA4mBz#tr z_C!WD`&TH{n7Ev}<9tw87A5jzr(%C|iL?ae^wGGM`L?>`eshc6w{}T7EB*@g?x;Sx zq?QnA9|e_FrYIX_Pw?9>U-CBLxRmKM=%1hgqJSF*W3_HTFIS<&Zrh@amOlJ*R${m1 z5Ard3;Ka%6FcFT2IBBpD0br3cjn5di0U#AIb3}phCZ4G}40~0)0&4|D;Z>E?Pf)p7J#;l2Y z_G4omT>>Od=q30moEk(SluAyy+9*?n8e+kAxsXVH__*3br5W;3=Hgm1uBG=wy;6^i z=Lf)Pi^Ae!1fY~3U_g`#Ua?2x_gS+~lm$k)4HWZ)D@f#{0*9mxhx(F{aG*-T@Yt(| zjaV^mgC-pt)R4MdozE}927SL`oS*b3QoYg-Ady$v2L8h>k!PQqH@|-MTC#9UgA))c zDm6R66l!>NscXH`$~mk%B!$Yz09mjMic?@%-62`pv_U{&5?8lV!*G7cB`GN77``%4 z3h7H1o`gxNj$yG-Z0JzHJW4B1D>_}R7O}M&T@EFzg5Yn*M*Q_4m_iJX(3p zeX~#k;|S~lUsK?2-cUg}#c`*g3_VurK(yk?*lCTO(Qg#-fY@OwE+siA6ta^s+nI)v zP=^hH;$TpRGiWYIF_=4N=O7&{oCZ>1 zeh(o;C2~FkpmvH{%${M*;F+w5V`rqsTyV5P|!ECDdmjM$_3W#;5|ZFi$>D+LfFT z)}nSH8fq2AV=_Hnc{hO0;{>nr1l<|YWrWd=C2UH9>F+mXcOnxHMF@q}d7Xl47D_kN z18F;wqBiir!2AhIPa3JZ4p$g43Q`139c~Xc7uzs?1_I4yDv8gwz?e_Wp?x z77MNF>0%xW6wB3{AmV7JZMO+X%{0<{imoF`NW?HwSP_U!G-0R=C@Lt`kUNGF#U+X z{4(=%InLS-+%xLWjDmCA=&KfdL!vy6ZM*8uTz!nc+Y|zv)cj@|XwU z)gG1*!V`VOYv6Y*|F%p@ocU`gzO93*+m57H_6j&iU<;+i?kXQ%pqAXA)TGQJ(dB;3UnA0 z<62;)&8r=~(P_XN9Ps+jKr9 z?-}b@VhxEVYJMOndpyhb)#9##OUJ`LlAE;NdTNv1sdbRU&3tOv_a{#_$i{5my#Dav z==IyTUzlF|=cC^~zkds@<*EGg^r?@yz=YmPVL|52&qY*Y67j`ZTLL(BBR3K{w zHbS5U+K~v|WXyR}VTPVyG1LIDrcDKYU(k<7Ln*k7L|Skwb=RebFnq>P;m{uhqC+O{ zPJFBEc!RJ(^55?J=?K-YG1J_$>XdC=uIlMrz(*c%PtZ>AyoynYCDMSJQl!B!3IxG<^&5DRB*Np}k60!@c|27C{?!?yQi)O7hn8ZtmZl=d<7 z1;`AJAZ-mr=i*+fYj!1IC7)(@#SkVq#eWf+g08jbl7&CfChQrEu>WL(TW}Cuc}m?M zkd5er&EMAh?V7b{zhLmcL-_ytc?X*lDBJ}w*C4?Wj0>^vN$8qms_CN z!o(3kETTs15rM8=9erhqct)W0SE>k}yJ9h^Q7vK)ftVMANrK8SB)Fb)w0jX0!L!D4+SDHkT@uX|=X$um=w z@bsSI*WkT9g?7HN><|2ua7xqeeP|ZDia$OJy_NsKEJROaT2QH>R!LfpI3~J^vnK^# zp}}H=GwrKATn#6WLI*GhhyUv*bbx!y7S3;7d6!3kX@QLW=m;AuG#bS*wO0B!{M z@diqY_7aV>S8p?p$MUM<2sS091S}6bzs7Xz$eNZ$&qQ3v*#-(<(uqp?R|JMpN-~&OL1a^u&i)O2NzAF8>NrDP>&S%2lm{8c{b7Lc|xdm5V=s9nc-BL!zC-M;ua&U26SZKm#!z^ME+7#-Wg5Ai=)Y zREnq2Q9U{8*c~hC6U9&wYR$Mhp>9ChBVMLY4)Ot0Mh}`fyeI6x09iHsHbu~w|3OlH z8=V3hhyNgh?ARsfX_QW(w*n6v*NJA$f$S1VJyN91mp4go%gRfbyp2xm0C&2mTB^+To zSTLrG(@5UQ8=oTU1x;@FFOPRy8jqE=k&H=Sn+_N!a#|4vDQS=q^X#3YlwiYjt0=20 zDdVatcjxFWTB%T@)1Wp13Q<6Vlhvb9IBATd9?68KdidmzX9LVhm|PnK=G)d9{rnL= zdWnJK7f)}})b`Sda}|&|ZovuD@4X)ylKQi4AoeA>T?RrnVa$COKljBEMdveUhPGWe_A<;(}EIqqk zpyYf8A1o*oBosTgtyG+gjGw=JF53rN6Yw39I6R2*%ENCbIIm{l;9v?dhmVifqQD-g zzB0LOh5zXyU-K>8On*sF)DB(3x@JZgL8-@|Xxwy!B%n{~d zfxl4we8$4`x&Le$$f`3^Agt~jB`vo;c*vkT;YYY_cc^gR!lamHCD*cY`9{6b^rf`E zzB#tsp{_Ri<(5>Z#Gu$*%Yzpa4Dyb*ui|rPzCs`%e zlmv6=j!vgwaZUgg&=#5W7h)SZG$ zSRp7{f>O!@I(3=IZY5wmN9e0*q#A3}{Pw!}@c!=Jtetuo;<8iZTcu500#gDq;vNo< zyN~bh?~%2A`|0)1C{h`l%K_X;j?gpUGNCvu4;hc_Zvgt)MF*fesKhU<=+)jrW;;qi zcY{Z;wsb=0+pK?rM9omVLZux}w5ok5jUY8&TWKjiIvR{{B8c6HW`I4)by&s9irZ+$ zv8kG{G#1E53y$A#_^k9zBNL)Gl^5hc>tr6+`K7TS^e9nDDP2-sKId%| zfwS=%ybZ_ES#=5pO9$+2K`AK8pA>8Y9BwQ)h|!I7%JTvcS~9!kFJLh&pN6-`_r+ca z;>W)oTe~V$J~HiZF%;l4U5i)^b@?RMQjbqO1deUUI>D5oLhAm2^1=9;3b~jh%@8Nj z^`ovB(YNwaH)|~V=yX6fn-A&g$g)Fm66RVKC}0|0zkAgY*MsMug!^gHB)2LPYIK8DxVK$p3(-t1uHGNce68)(tGEF=!J~B8o;4w^(rux)y|V` zn)Y{cSSO}&U+ynT3_nh0V}JxzDd9sOZojc-j{) zDq7>Iz$$PBou{;eSemk755#&DcK1Ep!7oH*j)6!)ZU8T>+N-;SVX5%>=Az(AG5Y287bXDqapdVMmJ3AQlbnHPMdzC0`YX6u& zMR^8o<59NX%&B~5^toS4ys_p^a!IC1s?7_du$?0R}K@{fVtx|PYD z$D-hLJ21X9q`MvK+xC!~?JG8{ji{!7lHMeEfr#dmK-v1`^#D2+9Be>J$<^Kb`C4d! z)ep`NL^lMA@Z4Uso6+tPC?#+JcJ1={-=rP=$M>Iz;X}w*ei{4>lToT;Bd84ymvw>+ zw@nKP)V+lS>PHI+WXjUQrDW&2u7?<#Ue=a>u%>N{f~SXxJ+3y(7khpL4QW%C4V=Nc z=&(w+`(WG9eF-z|U)mjlRd^!uZf?#d9l>QKb#dY&P4+W}ty)2?+5bXb-kIGYo_SL|vsL{}^J zHxBO`K6&%`)4TV-_&ZRrK@Sr&3XGaR$?rcj&D}fng-HLdvcGHmjgNfyo%|4}QT*HE z7ygS;#b*PX$ou>k{w)9hf6D-zNcd2*pI@%V$O>JrR}F6v|BWfh-!(oNK0$jT~Z-|}C>|L*yXPj0L5@Wk%u;J< zT{H}_g|WLD?2W?%erUu{T6+~3O%zcWcI#z(ud+fe1qKG-F_P6dFqyM1!o2Ly-31GL7Qjf}pXX2J` z&bKu)$04$zOwmg*G%p3Df{9+mcZH>wDq!@TkiE4zTd3r@dBVgr%|6%sG<4GWhIrez z5R0rQu8WJcPEWMDUg`;01vStS+I)=Qi$hrdL=4Hi-E300SSLgBI(09~yY*Q{7TXj`BWWZj0}>rwJ1Ry29eDg`JX)FKpBU&s36 zKN_ZtJgi@lPVqyP)i%qZFyQ>K^f_UO?cS5nA|rb#=f=artzJAF=}J$5SePofJZx?s zHc3yNj_Sct|GGge0BMdP7Lk)(F&5leY%KPbG`2&MhwB()nq+X=06HNNNUlr!sA|*N z@ug!e&~*#q9L}VaPP+`Uwn{oUW_u{>tNA2dH>z#ni!PSIBamG$_yF=5-2F&sYe4zse(cd5FDeoS^a14P z&Z}Xqe$ZTbwkIBDzPZ514RKDhF(4cQ9vd>VeL`-8TIwKBJL<+XdLyErME@- zx}T)(sGK7+Bs?~+u7T_d*w5X^s%K*s~#Oirw@18i5{=v_s%$4>Q z{MV=(AMJx_Bf@>#3HIb@2Z;il*fVeor7=JZb;kmkwL!;vIizEryeCepioPr#(ys}^ zzY1y$C|QbFJ76*&$dtwWgB=r?9FjF3{XA~3c4Mv0qY;cnH4d5^EIbckU;!KChM^)n z&)J~*p*o(7PsXFka8^UBIiB2pkLaU_SSMt+pKyy{_qO|fSfZ({n^0)s*7fh`@sco% zX@j-zt`w?m?ayI8kS+?M9q9*!v6>ou(m`GLKnuL!X)XcoPQWOgrY#XGF0ZR}GJOCc zFcXA;+ZP(?P~V|rx}@x(+QyMd_JD2tWjy-<=jHaTdv+CH5yD=gU26bGxhMi`S_P^# zfT*INz{Y$DhZp&^^?r|QzK}G?FvUlh3@9Zx&DD>d!e6nVQ}g`tdbv4&u|~mu)==D@ zB4|cQDH4R=7$ucjcel>4$Wf^PMM-7Wu!Fy$N$1N0KJW zpHfe2HU@4}dX-rxrnh^nE)WoLl&T6K)N8wCAPGv`rbrC{O4PiW|Ng$uk2o?T0j;`8 zfEiI)nGqg-e(v4~q~`g~aHYD#rhd%{9#DiiS9($p@kYlR@=sA9H5 zG?BrhOi*2Kck6_uB{xlH=nL8jp7L3wxCZO2wUs_80Dx}Q>H($q(^>zwawc@e{;wEZ>EE z8^!aOHhLCXlcd?o3bpu=oyd4>k;zQXh2}>frl5Ul{|)Fo&RegGyz zzWn~f=QsC)VMK{C7$~q0&R7qKGm$IKczBvB#uJYGWf-AJ}9q@-%^7%yIxj=(@)A~LVV-irHapC=I{<7-t z=PLxO%!;5I5cN}Uq0M}2wgr$am`ECV5Q^|{HG?v)kVT*va~caFEo zgUb-Xo7D~F$wPSUfn4iqjX$@XzReMOs?YcTIC;q^#fZ8AqU)%4UmbjX@yGMt*WQ2o zZ@oWE%bo;NrgZogl4AnW%d-@Vq&G;DM`brGpsPQEpQJrhpsd0qZhjIc8RY(tp)VU+ zg=LPBA+;!}tlv`^*&J_IUehx@G16FpGbCs1fCBz_S~p&g`0ZklQ*TNB8>c%Oj=J zph3=1`Ve z?T_5n5EB$mJv)YJ$Pcb0fSKeKq8mJ>2eB%T4C2ZB1huX! zoQlDhY?Yv%ZLm7HqfY?GUQwnlSrbQQ96m7vddrhDp1KFZx(wN-vKS`3YZ^61-z$bJefUt6N z5RJci>}ZSVPFg9@stVTiNa7;V;Y4Mqi}X}e)}zc;EP^|^X8F>t5kFDIaXnT-#iQU0 z1;pbu0jFdpjp+6a|AvI_8u9NcjAsWp=zLwT>7t(Qev14Q;e`XpQ|e_@X6xN0umeyz z#SPdwT}g z)xrszrfV`UkExq0&oLz+&kp6{cm`(R^&L}ni<<(yZSe|>JF$4X zrsz{ON0H@4ZXg1LrHK)JR5>CEFe8nrxR;-n9`lMVMnh;X8s#XyT$@HZ=F5oBgNk=s0+t$|!W zLZVN`so7!Hd8mj#7PoJD^TUAd4A%^y9w_H5y42w1L_YFZs3%9*4YY`a-LTPn;3mx> z-?G94*)Bu!r9FmG&OCPgWX7e48)MF53R^w#@SxGrTrH$e98apyxp6VcyCKfa9C#iU zMW`F6BKTVA=z>xMwj8wI!dOB5EzRQV(Mi0VL+rsxyt-b)QGD<%AfFm8cih3S<<1Ht zf1)MOkQ?4O?|r}$vmBYdAUkIB6BMlf5!`h4@(e4E!e$!M(|&Owp>4SZ>q1{2-PVr5 zbYmM+WMi4O%qlTN=JHFg*Qba=q6jF`A;fF+`Jf9q%oh^(Lj-N z3jK|sg@GPG1VxZkQFvDE;HHy965#Cez*i%*mua)dEvR~TVR=<>elhZIN5i%JgpC(Q zb#0DO8*_7Vt<8qEz)86hm_mlqBNr@ec}XbGC=kCLK3<9r6VUyFny>2`uIi_z9Pjm5 z{u%}!bw6VKQOqR9C4bFz2x#GnAIqQ2k_lEXX}w7A$cD>}7Ntg$;G2Ld1v78A?**4F z9k@Z@OCFPi=1s{lufGfXI4)!#CC4;1puy{1a5SzrWxkW5YVr-8_9Xo zcwusGJLi~`2P1O~L&M;x1VJl#UuoGhIB7yuzFqbVNFs7JYY7Zr*){#!2hV%AUmp;Q z*rNmWr~SJR_smF?>VY`uubJhBUo+>Ho-4-XT&=7Xtt(`L~oJ=Pv*Y1o(k5kOI-OHk|8je?77o9#=#y5)x!0Yw;&SpZXvnuP1x5l-hXj= zxr8?Q3>X?Psyh^qW90Pq9i3>rmRvy1L___PHW}YQ@M9(HPoZ0;pw(T9eEqZ@Uqg*o zRuh8w#(Bh6+gx7&^Q6HR(}i2Zvbo^#Vcp_MUBrMKg=(V7h|3!rib}?_&9ZlR7|%4? zFPzTKUrCK)AuN>S0uTDShWxSO30COQF3AHFd|vdHA%mrB#EG^rZm$&j^SIf`pR}oz z&oCIo)o@i-RfG0w<>PYiFTZ?#XXbxZd!};L8xBw9#5S_$NU+%J5Dj$YP}P^D%^215 zAzBpx(ha26%}4Y-IQR(e^WxKsn~$j4f6#kFe1axtob1#(B0m#m_W}2GP6qwr621W;$sIlRpZE{6H&!HiTHH;K&b`sO%4C?&}LsIPg=JnVz($h`25U$CCj6437;rG_1AD6-+gWdbsYdOqw8_ z^&C8`c-cw2Fi6!&Ic1fGMnQP~e4|kR0r3jQosASlS5Oq_{O-^pY}ue_mnO(TFue&) z9#rX6r;nIzk)`W{QVzhGW>!W&y_j1C=o2nMU-^JYOk@Lv8K z>2Mvj0nh|dCJoQb5HM^OcQ0Rea%qps9*LdPOL+&-63=+&bZ@M?bkHLV= z0)dODGg&+}pP*k(Hcw$sUk!d|W@>P;ONm|ue7BjL>2R?{6q1Bg_GtKky`MXZqv%ll ze!B3@bjS=FP=iaM;;`>>=Oo$Z*84DOC?zZT1VCzovw0>Ar(<3?7DMyhIw7oJ!{BZ>^%{f zh94Bq2!3Nx6I4%v)}|UeBPeGl+Y4IKro#jLBDuHA4O2gwRaTX`Yn4_1J<^71=)t`r z>xk~yR2;od-6>FU_%o0_Qnk>veujlm7yKN#PD(I^Q@q3x(ylQbN7yGg4RlOX3J4WA zq`&3y*#f>{tQJb;RB!`RWe>J_PD&2F1O`7FE)$y#p#cG{Djf3Vuu<~TTamLUy?2% z9smj5{*-*9>nPe^GF_?tp4r8B`v>%Ye2><4?|N_>c$=2k7MR}MCw#k5XvMRu=ZL&4 zgDbkqPPKz>!H#M2xb9{vWdaQXW6zvyfv1w3LKsx-tCpHay3z0_>&1Ee9bauUWnqZ? zb79xr6-S>f{B-+k^mNISE0P?$I6=84l{ET+Q4AnS2m$uRS)pO;B{(W><;Y4~Lw4Gfbb!d(}h{5#l0ArB3~N9H8w z#BDjH;c<(jg~u(=v&V%PSg`Cg#1EsM{p)I7jb8cB-0|+kR8Ch%4aa*r;+rIK=;yWqt8W9Aq@%F$m3q`FBt(6!9=RHq>1(*` z`dXC1;tYdv2zWME%G8V<5FZzb`qms$a?Y7C+5ods5FWaG-B+ePD(k|ph(&y^a2moX zN-yg-f4RRwk{LTS-hABu^5rXY&EU@e>7H&95%Tj&Y9Eha2FB=6dM&pC)9JypF-b#K z@VB74FgGb!YHb(-3=&vsbm#GP%n5g;$d##Sd194;CryCYNMOz6r!fRSp{Dps0> zoASJt_8g^aUxZmTq;1Or(9sr7ujPx7PEVY{xSQsVK>37&H|X?j0mTWrK|lvH+4J&T zn`$!oOl*`C?_fs!VgV(=iNe3i4Sf3Yc$D0-J=(rLS?-R*Diy{GJfJj7SIQ0&>a-Vf zby3;XYc1p=Iwb4t_ZMqewQPDLE!1aHHgWrUQQD+QV|>oQs4~wfdIfvR6^gxyS%DIAkL9s~I4#N8mUF^%)#} zwNx$KD-59HC4O~%(%ix#mm4$Wk@hzaw_iUzgyTa5|7z|H$oL*+ileXI70d`|IsiZN zR3_AzWk;b~M#1GSJ<*e85r!wX!`)zyQfo0SC6m%aSZXohCv6ReYK>662Ji#NI41)wVqxMKX_cNDm>&-VfC7 z`f}qq>(+IhE&@n|Gg@k~nn5O=6Zq0p#51S60l=Be>u!oGE4zrMaG&4a7`>dYU|t-p z#pYO&>EpAq^4i?dAc59tGh(b*dEJ6ao->GgLq`Z2qORTwK$uv(%bg63a#ro&Fcu^% zVPRC4MVYMB<;_x0%nr!Sfc7*YYf>8Jy&=eG>M2}Qk8W`&J-n?6bj#(0AtHm zJctxH<1dOb;@*VB0I+D`iV@p9t*hwEWMp$PeujMKWlcf){=@CZcQ0I=9vpl@xF4GF z1DX-4i2awIOkv^*+0*mh-~XOmk$uaZh8nl6G>l`q9W*JFwh{V;w}a=9dzIDnN>-I2 zm+`bWMpgMHZiF)AI>gb5C``9Y7y=_ZPqoliUzyI*b*DpC{7dx6qKYF=KW|OVR3Re? z;j{VAr1-sS= z2GOi*U6O@^{BH~h$@zicR{*EV=EbjHuU6o5=2DsAOPue@CMJ%TkXwLj?J@t_;-}@y z=|{Y*Ygit-CYdytOB0i@FmVH_so+^5^Jqx)dqd57wSAD@NqtI(z-!4n8ly^P+(QSg z$E{;RjXa5prEnHf52}7rB94LM+KW7 zHcqa%r(Gky#)WZN;T9N#1~T0R?EyQ!&_)~(MIWAXFL4&60|mX8noKr@kB|imV%Mc` z-(;QQXyNANdHM~(pKZx%jDKEIQ!{^M9o+&o+ybNrw>%do=U1sH$*$Xy;JBI&llT3M z%m&PZVDPAhA$O7d30g|Dg!<{lhB}^(rI{Y7AKsN(R{>mcto>!gTOTP~jGtqKsRb=V zSnZUBxlgypeeEiT{&E1{qrV&#!D100yhD`xWI!j&Yw`$qeP&Pqg4}NoIa!t_2wgh+ z%PW*3TnI#uc>!BPe$&DPxhJSkoRc9jNL`f}Lx5YD5Ievwkk4m7WFW30jX;PhG~qZt zJKG|h)H&o&QkbA`r|g4HJ>{!rUrvq&==XNWU6AK-ljMjdi{Zp9TdOqWU)%nyy+7ET zm)S^bjG&qZlo1H~=&U9P9X$w89SqNy2&n?_s5wIkj4paf@m?%Y;&#i6!2OV+^Nj=S z+`ZQZL~IVrbHf01H21hGE?JGA4oqTB#%!!(80{Kx|I<^qM-oobnxZdf-o(CmUB~Lq zuqX&1N&LKT&w@M0ws?7av~bzCto0dr^T4-|@C3)nSmSW5zQF*|fQw|t4xH*}PDnkZ z2@~cwspzIsm%XdXz_K2};Kgj8G#)^Z-fCF|$p{A$ol&N|qqzHP^jN=21Z`nd7c@8k z$rSrBCO3E%AO;~RJ&>lFUxA{9u97b^0xr$cF9lh{irDU;g-iP1w_4H0FG|umt^(I@b|A)KN@Y#Xdm-AOh93S z`Kt8$g;i+|>8ixjUWYCp!4c%3!Qo+Wg>_|h)I%iQB8TT<4RkeJL))|7Z=XNjJP0)7 zn@^vA{U(Xe!0^0819v5v5k#8ngT|N-r+6Jw@A_nkXt>uLK7#nm$xI6_!V{1;(deFJ z^xuHIOawsK4od2EXBy>w7F8LHRF^mKg{X`SVfuhE1PxEKAxkN5aU|@G05A@Ve*Rb{ zHuKQovU}(vIcb#{Bs!rVyz4Cki(q!l5{@XMjis4sD{c{16jmI&;lSfbDIJ_4t_zi? z$t^_(K@AIrED_aN%)?o0jTFvWdybwp-SI(4jAoH?f}1E8mvFZ)Pc)|+i5bFLCGc~E zmxAYZs?r|^#;g)4w5QggX)5Yn6PrqZ^-*lRa~mFHKX1*;Ms}X`sAf7ypr!uD5&Z~{ z8w4qs)M0QBTD%rM#FLPG#vU)UBb=*bb7b9hg<|h-1Vz<#>if?snp#OY5V09~BHbhl z)o<@Jac-7_0TLnjw5;su6Jp&5;7#DZmvJ_UW~$-wjGjqUD`3)HY{WCK27y)5Y%?5| z1r8I9QJ#-kvH>7BoYw9w(D$ql@(EvkK|WfSp?`(zEo3@MeEgG;18{g}K}3jXmUg48iTs>aX#q=~o@HJx*0 zrwa;frF9{oqw|Qnd`9#S@ia;tgw62CX38i8r4yV!R=z~_pD{eP$8)db? zHLsS;GtGT?N+jCkaDdhn zt|@i$PpB*kpM$k-gl`uV!qAUFS|ti(o|@=nDGd6Pmp(81M-U z3L6?!Nivt~0RyuPA-b6YlsL~VSUAW$I{aVHMJ$Irt(D0Nt$KkwG zqYTC2RxdA-j&EwVTYy(2Ii0aVj3w5U4eGOBo`Yc_FA^C^zkUAj4)HtCG@^gVu>c4e zD}nFR%I_jm6Hed)G{FCIT|<-&c;OzeR_a2*6l&N{E686j-zVgRMMMD>2K^-f@(k(? zP&d5^{0cb}K>^QjpxZ-*BVCxJhZ^rcxQ27KD@O%`C=JkEAPnQHC&rJNnv!^s$m+w> zH?9{Ry*Wdl+i5@4!Odwuv>(lBe}t?vnaGS*mS>o*OgEjX zcln5OD+y-tt@r}Z_%0OBWwo}7(AT__AmV4x#P$?P{MdTs2iGUoB*sPjT?!%N^ zU0s~cb|*^&L~fMio9({-a{JTGbTyJg_t+d{+C4pKP;(MIrSxtPK*PTR zac5Txq@9l|VPh%4PPx3V&v3ZHKed~@+g}LI<@Fl#0VV9WzkUG-|KrUc`!Dwg@(Yr^ zD48K3--XIx={f)r&nwd(ey1QzVhY<`k>;<@)48az&K2&QR@=xs;0qEJW52 zPpV?7!+szlB&V$Q9@P&D*@Y69udwK=+k1bO6D%= z1xGlJ=2r-$mmxs6Ftf|fd)kA-GZ=a@Ro2X8Y4(bpai?F|x4+-~3P9+W zK@B}50TU&^b4oQ%F6eB)icl&R=RW;hdG2$1@Hn}^ngVITXK25xW+X8s<==4Bo&_#I zQ57#2*g#`~@&QVc*_A*&3g{NKZ*UMp+HdsJv0@l05k=aNObZYm0@9h=4T?Me0|9ax zzum+q1j70PYAg!6iV_pTFFR!U97#E1AuI^8am(iVWD+xB%Hq2_qS2 zLCWJ*wPJ7h0tE)?QUTrS#yuBlw)`=WadV)s3au%+7?CZGo?wgWl4B`VNFEV{5Q1V)N^%3}pdOT=VO@dDW9G~grXvgQ$QizZs14gn> zFW0Cn6ZGEJm=aG@JveYZ;oo{C?crbZs};Q(u~b=f74OeiuNe~oxZq+jBTFX@P!1q7 zlCGw}k&?j1v_Ak#a^5fG$rqu{d~tyYB+gGT`(PZPl~w zoM0#1vIydV=R#ytI0<^M=w4DQ-keJs-4^U=1R|A^kwey{LZ!_U6W(Wonk{^n0gk!( z2c`P9N=pSn(x-wCbgdM!Tbe6H*BC=Rto^D-c`WbNXuAa+B7~uvLrM`SX^0aS5~EbY zxu9F4gq2ijkfKV-X`)S}6q_Xe!fO!R^KRa3#U%5$OYN`<;BYTcvclsZhb z350wN^bLgrDOa&Q*-IZF3eLpI#vRygq>^PkcAax{vE?DgNS8;BdE+4#$86{qz!c)Y z2v2B(b`uFt6GwE1*pUQ0y=?WIjqq;fJykBGdIU3O6$O=oK$2?kw4duTsB{2B8x;)x8 zWL7d_)PAzQ2tp#=aVuB~EEba9PXEyp+h7W(!+!tf%ZHChOWeQx_#duYNxUP^qch6$ z5&b%d1X#W?O%(jljNobznv|diCcH;AG9I4JcECEubO+K5f(P*eC{2B3fyj{&)m{>H z>0`*&Bw{OqD&uF1cni{R9->G``4EpwG-Us@;t`qu%hQAKa!%we$tdT8?A^CirLE_b zCH83D(tq3@MbWgUBKI|c%;Nrc#HaXW@a?RCdx`9L$bzl!vH*cXc`xuz{Ru^@AEOZ^S^Gv*cNn6fnd_T;|EDrY&cQ zDCZNe^PVf`lMpNtm+Kbd0JYfEorN&1nDNLwr>wId_IwcnLRUm#o;)E%kt1%GMBKu3 zGCLA4=nAGJrsWnoUF7uJE`ThTw0kc&KCW0JoO|OQ#Q^m{xi76LXs+sxN%CO({STpo zAvCq5`{Eu+8#rwB0O8yBtF>IFDy_P- zm_CNZ=Ldj0OA@>qYakWcyec)S1E8(I9@VPgfD0?fjUld|or`T@sni;i5Ql=8*ZeDs z%XH2toWbt7T+4<$D7XVuQ-YYH5lO=z6}N)-(&Rdu7jltkjS}!Ta;#Cm@6Cy_<}uh2 zBK~d1EnOJ)J!QLaKbs)mNpmG*3sq-jYif`&fel9e8dQsChJ_%(mGYSLjPj@X+U80P zuPv_B0n0E2_M25Eb)6}@*kHfu7>V`Q&-eR>pnFJ#?MF+sSRohP7cRc_Ly$_DP#Ao5 zqg$XoMb_7OS%%bbgkyOyeksooG7^{@8on?p)9I*u7i{M7Qqy1#4h}A9pZ|;e^ZEa* z{#O)2y4{jy3reW2q!XO;hodiKTJDc7PL=O+#a&f)tve|P8cj*f111L$G#5l#bD(gZ zT2uIC?Sug473>z?39MS;T^{rmn_W*mTWZtj7|`{fpT=zGc$*JfH^Vjn;?9W8^D81Se?sVyTw6Jz31^wQ!!*YZVjwsf|;Z3EP|1DQ>mq z;g>Syk~rwW4;0Ezlmm;5NQT%%Xm27C$)RHC~~i(0;Q(8157eM=N)Gcp#?yw#QJeR*8B7lc)%(KuIYLRfm;0;LhLyvR z)mHcA90iuG%{w(I2eebT9{6l)RL-jyLur^c@YZGB^WTwq>LE8_!gb)7^yJA7E*8k? zlEsdQZ$ZS^9<3&Q=orZ^$)`{u7A55%kd?^y3>YC6uCGh<^5NlOA9duSI6V_^-~MG;XhTTR(`BTMHaeWg}NYf!mP z!isFIDpm=wSI&Yhui zGH9~>NdJv`80qHNb~k3|-h_jd1V7w-%-W9S>PtH0!RsY3F|o2eodCq4@ef6J+eP4` zJpGVkaJXK~P+AP7k@xrmRdU}$=^gnE&4PC5XNymq%uvOP8nks@3YsQOl6W{{O_XKq zWS<;N?rdmlK_wNR_P|M=$r^Nn@^InZIwtCCIx&azVKE$67vXEVCKSG?bEb?)!78)&uY^gc(UxD5hiqRor;#_mS!IKKwxa-&K9trwlA=R^E{N=J~l2y~Z@ z+#}2P3MN9dMdj!Pi%E*3Nql(odzu3>=`R^vPBdQW_i*l|6Uec=LLFTEt|#h-(^GAb zF7z=#0PbYFV?&rfGs|DKW3IgOet+67HaDnFwb~qi!C|4zXlQF+7;+UQ!h}Wx``BJW zxEdHS?VQIr$C|jtKs>)TK7P?C+^R?w#gt_+D@JhzWL|Eo?#>G?H2c=zGqkJ7Xk zy>M&$fa<@UcYQ?6Vof5^n4$?6{0M(QHB^-9deW=WfPMSN{qDote~N7Z@e0otnp&C< zLWuJ66xb_cDH8s6pP$kaRt!uUE~DcazEOX^G%zMEt)dXl8E=T7-T#4Q{$>B`hfgeS zhaYHw@{yhsFKC)4u7&svLpKR~H|5!sbq*?Lmv@D474-wtx9~H$xt0Kjz5-CTtEZ}= zub!@kzCt_g$MB~7no6soGu;RUzu$qy-#k3reR%Wb;dZ}2AfQjKOIi_@0*@Qgi6wWq z|Mk87cbT#>3Q@2!4NXB2OZJW1WgQ+>hk>RECqA%Ve`1oK`tnpc^x-%uU9i2I$a17n zCVh+=Mh$b*#6_fC3YblG3TD*HTuMMb(od4tD6l?M`ItYZD+zdI;Iib?@{DbWv1VK# zh=wCEnDzz%PFD@aP3=<=0&Y>}PRPN?5dvjcydD!RhOh@v^WBH{?@EFifEjWF#^fngY~7}wy7R(XnZp`W~c_zyfef*clcINGd!kjk}i zhg?P`NwJv^6?kM}5*IS!D9Tkit8!INT=rEG-Z_WS2=W7BNPhbZ>?sn2G<-dSsWt-` zp5TMzn1hz$bv@yffkJa6eiJ34$&e!5b1Ov=0tI!AIQ_K7m3jp91GEfeCo{Sc^v!}2 zw3~vGG4$+hHPB!R2?i*ba4108FyW9+esYeU<|w%j10C`>TtK@U?hDXKIKK>!t$yTLt-SUt{_G?99C_mysv_YiKN#GvqjX(*H?$Wd3}!bpKa z+H;gZsCMBYtNr(=bFo~+VxP@{!aZA4?3Pp+v=e09=cA*;qs)@69EmCZ22W#hC9yH8 z6r_L%UqY<_DwqFXB(($Wf;V zl{z=A1r=dZEEs2I3sTZ+MX{9i(hrA)BxImP-*z(Wd+6-JzM9_#eJmDI#bkqnSiJ1V zra365hR4%eG|BhFxP#v0H@DgimAKYfMW6F+(CT~6S36V%OPdP?J}!DS1RgxwdA3>@ z&>apGMX>t$JQh`L4m?JU_F1`O#B~}xB^KgT)4b{2sOj9`x);jtON$L#dlrM>5||>x z(4YnYAy168u9Hbd{TCh&en&|`!}}${Gu5b zeT?!ObTp~0&D8dTw;ylr?_V%mTKWy%-hBesy8H6>0jdqJ+K)X;5c1!D?a3#4dW)T0 zLsOK0v5ae)*13qx**GFCxiW=%uORQNtrg@wYxAhR%J8EYyg4 z+&ma^kW_iZEiTVeUtMurH$=SBsOcT5DU5nUc%_X9qPuRshfxg=ppd~>!uf$XcI-15 zc99xfK2+Gl!X({6ao}=|Ksc6kY5IbU(1g-|yQ7YU3@ua+ho8W?P}~aeVwmY?NI{z8 zSM!Ns3FDK2?ZF$7vpqvbU_=+EkeCKqihO#<5_HLlYK-Vj5ZItqxHw)dURjv=0E)x& zm_*=R6*ee>y<5&xyUcgJIYnC9q}mrpv%%?0Bx;MwfnFj%77^o4i+`oF+4t z_#UV^yTu4n=8{!cqsO`Kw`ya1xTybhn4#_?%;0(k(2`fennVjx4z5lMe{|68E>>=Y zmWVwb#)H6NhadsF8i+HTgvNvdiFQs^LXB(^MN$=&8FZU!W@&F#9kL(B(rQvblCp&S zD?FC2Zn$1}n&u2UEe5(&FfsImV}{WTPYx!7aG8G4@y3NIF24#B`6Z$}_2qth90TQM4*;@6OTI@(Co~kR5QA3VC z8HOZN&?DH}fB1#nVMT5CgB9q6C(OOP0aGhNP?_rXEN^(CKKjN4JMmJ|9=2tgE*zR< z!%d}VsMA-nE~sxzodQ3|i(~u_Pe@F-AuPeTyv3(i>O&Uc`HV@HQKUDA^m%k=!<)Ll z5Q;zlgKSB?ky=UiH9{7pt4=KKF`*SO1Dg4D)hcYGm%SoJX8qSy$k~nOKRj)B{gqgR zNKzRHC0kPue-1SKS-Dh7 zLFhp~v4=9?PdnhKfI|)Z1+S|5w%0C$@gZ^ymLI~ZeziJ6O?X>i)>JICAwcCPyPPdB zF1zCZBqlhNSwGm%cuiEB_i#;npkZ<~Kd8WLEig4dLA56Q8u%Jj?tG}g+6t4DyP0*- zPuF0@B13RDX=OkI)gOF&NVEYBTyN>srAG0~JnDm=5V^_mX%FfFN<(@3?MA%<)4YBo z(@Nd*t7Iad@^%y)mLp_mBr-0tUo{Ehk0z#_o(ayBk>R`=riC$38NpCb z?SY&OTjPxVUG4&+51>Jf1PPlSXfYi^;M%$o;DlD+OA%WAti%`cwAB|eZ(eUaP-8gi zGFf#LT6`^_vgp+@D+M=pKA3)1sE2v-(ua0&)?c4qZhJ5iZ%tcQ99`R0R*&3OrZbX- z=_hJqAqluYm4FBLSaFVM+cq~>11dBV$2aR&31k@922KuyodcJfLrN=cQ$X>wS?lJk zQ!-1n@LrDFMfYU36_GB=aPL!uVhsy3WC^JRBk{CG;wjEvn;xJoTk&?ZT-u#o(|TmB z8Q7EZ#}By(PY1?jGvsS*b*$y2wp<(n?xSUE`h%42nLeq90{{crQTF-dBH1PIx< z6$dc2ZajKYcN>pXm}@a;S6d<)G?T$=7+e~ID?E$6Prpt38DI=w#pzM$93*7#cEN>zas1RC|Ni1EIz=gFH-Is7%W0yb;djwH$ z-+g%duqOjJxcv%WMa&|>KauW!AeUdTOfJqsyj<_zSU#)y1IN~qYqN9lAt zoT1_iD&9O=T%(?H7Sx;j``fz*uBDU=k-|bfXmaE)jzAA2rc8nE8WB9;WHb08TG5L^ z0HEm%Qzy+zLlzp-Lh09;u`Z=|u@MB&v!8AsSoaYyPX2aq|A5%JYpL^oxUukhdN`D^ z;DJFrFsDz}$B1UIOZn8(3In^QD_9f?*k7oqdkN1$4L60n3PotJbT`-MGYYb1PD*=@ zo)^1?zK>+P1a<{MZ5|RjLIFkC>{$ehWt>=}1%03S6TUQgQTjOj!v6mK|Lp%S^nQ*L zK7V;=&ZzPkz`!%@|IQnnrzB&`W-sT-M+(vV3xUe z;FDpB>Qf)7S|54xTk6(F>PNoimoFb5@&`M+Jl#F|VDgdd!Q>;4J(zqXdocOPV-I%o z?p?B4P|MJo+dL+NDiw?{x=<>OmXi5>)-aaoJe~izgTN;lWB0WU-lMY$%I@Ry$xnF3 zWBDHBa`twZIFb*%1&KhLq_w`s|F!9}aql zs6pq=BB0A2T*6@*0rPzT<{>!cRV41&XbzQ)=!<+^Z*fHVJBpoLnqC4vF+hoV^go40 zID(g58fc&>>}l#h0y{F`YT`dyu@pX(o>TNt#i>V#q=DwR`H1d~x9>2*kUX@vu*TB3 z#|nYOd#8XM0mWv9AuCkVglos_TcxLVZZpqa_@PZPAmD>-XjHTg~G{_AMVn zWL^e2=!fJ>kz)E?3P>ldhZIWsG9z7RI2JV{IG?p6Bm{1KCHO_fAyT4OjhOa3scgda+>#ryo$?P?j)7yfjEHZMD8d zh4+&Mn99{^u_H$D%9Qq^_8*lp$x`#Ox79ps|omhDPuILUGmi90e_<6 z7Ycs4pzwfEvvAZSI0HW!s1@ubj!<{lZNiSxl;a?s6{&M5f=Ni0WbV-6;Dp}%{m#pReAkn?PSQO}}H>UXxV0&ok&1b+5Lond*7B4%Ew+4m60~8tM zwoXpbjdRb4^ZHwYkaPDZj0-i#@kN>AGY4MN`6s44c^f!jp1KXD;5I?Ns6{6FD2muEG#d#U0!M+bku|ra z9Ud4)VXVL`O0$$vgqn?5)KIPh!DO<9aOUzpEzKc4Z^B2c+CgH3_m8B4AV&>6b(NvF ztgWt;;9B!ebn=OVt=|t25#U*~Dy1Uf)mKZygTMoSL!~1tFQkJ`?WHL~@$MDaYsx(x zGusK=>iYA0^U2`SdHnT<`0Mxc`1^VC`ww#wb{ZqF3Tf(4t%N7S^@-9*g_?Vydj1FI zj3+>Tn>-1A`jg;Se-ixaPr?cQ?VOSPE>8k!P)bz9p`xHD4*ANJCdkV9k|zAw_I^Q( zAGxPRbj6|pz?hOr)Qne^elBeN-kVcjr%!jc0)p*Gz ztg=Rb&v4aWxBZE5`<4!Q&d86t1^H@HQg(AhKuecTi#27(L(Xvy0rk4U&t^N$0x$YA z)4)jn%(U_F&wlBjnul+-c@gTVn!40LII=|{l8=Yq$Nf|>A7zecrJ~}vRA!{AgMQB43cc*QQ9zMT{O+#BE+OV2{bMY!E zic%UZ@Ymur5={)S&6-`sJ)G4ZXez0VcI4nHcw@XOT}bvL35BSau{}i(EE)@Q-Kjhv zJmEp?B1oXBLR=s#xf?+OZ*C!Jfv5zq(gBqyxB69Kc0qb<2ukx~oH&jf6Xf|wDoRD! zURLNsYy?H63(!B9Ncs*I6G9maY8?9Vu#1hjswqe>aR7%YY$!s05gr1dHvC>GehH>2 zpF{=)!FaS4*#u9p+Ca5*HJnIS!{KQtU50+vi`8~}5%ENf60?<67%5OsdybNhnl#lU z^TW{%xBoaE-bjT2aj4)i{dgVo9Xujj9#nCCwnb_AypLumG*Qo3SIp3=!r4Hh~0 zA}N$BAcR9#Pyki6ijFC$%2AgY=BKVg(N$Y8RrPblsNZL2zf@3(OYCYxP_1Y^u`7j- z=tIdVXPzLM^6C$-0bCe~$4$Bq1JlOFlz2I)-8^FkeNKb?NrWGWv?Rjs2chseUoCSK zbQTSN+JON70k}OaBIHCtS={#yzU_ZEI^O8t&=4%|Q^8GubDb%xhC`gYY=ukR1ptCn zMG|*?|MB+g4T4Yz%vb?o+#ept{dPHHVPxp)xB!;#v47U4<;zAdvg$IZoovTW>$5SM zUG;{Fz|geo=0T4qgKqjmH1(tJpY{qQs{FLSozZ0&hmN_j>d6T~eJbdoAu`w)QBr_~ zu^Fg^fuEl6ieHiN8rFa{{k7w)u8AhzgnFhC{Tui)XqiMC^&-lsGxU{_X2JT^TuP*c zKs@e_$MQD>^^cm~0BqTtkN>>?@E^B{BMNmCnpnF)VEv%0FxpZog9Cj>v@tAroqA1c z2vo32lONIbDuulgsp3)n*qlLh>g zwx5DlFtm^t%afQ^fMjEwp%W1NXmkjM!8IdFiaQmBxBLdXD3*Hc7%!-%T{FpQa_h`B z(9>6r-BxqnwMW&jN$BALEid2#WqI1@PZ7Z?>10@sND$l{DQEzqZ@9y`mC**lSSZ&s zwsJj`;RA>52D*@|u|Z*G2@R%>=0zD<$c@W2%?|X?#OgBv7EO0tAJnpCL^i>-%0=)Z z&ae$6+ki)pi5x7%j}5Jc1C*OaL4pOaUPvvTOC<)V={^1~X~xm+4r{#GT&Og6`17o) zGwT8Jz-*)nNghFRqd!t2*db>Vq2C%4vIKK4e41n-V%Pm7jV%JHN0(8H<02l|XbY$o z%yM6D1||YTa9Uav-87}wcrZ}65%u* z`m|2a;yUo8BM9EW6xwt};p$r|BRm&7hSVTv zfHFpHIjLK~r3oGYIV6pWBg*IWe?8EySS0yv~s5(mz7A`*2IE zBb&n`vs>B>xvMMiQ;Ll?Ei11=!?eld*&G37hzvqu6c^juzK;LEv1Mi}fO06`bANjv zX|BCJ{{3)s2UKEV)XjFaLIe2;q){ki;xh9L5*%5y5@Kr(Ab{-Kngt@m*Hy6Q5+>PN1IH*t)ve1g zM8sD#=4J@2jZ3oxi9AOPzG7n%bRCGV8HpK8=cpDB6na43xC8ws6^StN(=-s^Ny%7;5E74|#-ZqwtPZP(xy?lQE{{Hsi z^ZNq|>KA9Lv-t{8x(L1Zaqxal=-CWCW>G+MGs78EFeIHb(>{;b?x&Ab`R>z#Ms%5f z6h-fO?|=WTXC;b6m*yL!RmCA|63IjPX+nTP$QVSB5y`MH3E>>D=#@Z#lf>>`p02sU zdL|iRO+=x<-G*q)xI1pAKj?M;&i1DQTQUbAahZ-Lh&-a-WD`Y40Y#I?5dJ zuDT*s8dAYd&lMC&y5{*almfAZVBH3Fz}5ZK+8HudBI`@Xn)#V>sB&?%a5T#E`qXhzJf|)_CKI}eqyeqVlz(Ab0K`i zr3TFsbmBu%b34)G@NOzNRBNYJW=@x<)i8RqiL6ShG1@97XsMET;LQwE$^uMqKEzTP08M!b&#o`2<$kfhBo|jMM zlTo!f+@8r(lwCbI(G^V&Nh_%eaR^g^o6pX=`q9vYn|Hm#&cq5Dazk`APQ^CNYshUA zSXu~ZbA7RCNU~%=_oFGH8oCFSI`IxC#-QggiND88chHMRu8c!wvxL^Tyb;6T57xLE z54iJHq^1J01B)(rGWe0ksD8|ByQ(FO6p9{#U70(&nz%cfv=#-x87c=SU)mnj?}Uto zYWw=#L(qZ|G81PIJr3r4cz^Tp9%=51Utlr}igKdV_vQ8&kUl3n#PE=wZdk`j3~H<4 zbhUAh9*D*WU=(s2u$q?UU!@meO0%f^fA-oR2rM}BSBO6&d28ds?K%4LY=F#fzIm%} z&sVp%SJJLx`($|LEt^VjI?|#BSn$l4h*0}#QIP{Al$SJ3rV|9Z)DOBS!`#Ebh#t8Y z;&+)RAzXL1*cw%)$jBa=EM&(E>FPw&25*D5^vHY-x(0ML48_%;e)x0A3E4NAptZ(y zLFKYLWM6OQ^s=9=mL~}5sk$oB$=nX}uB7nSg(K2D*4DUG!W^(E+n%D_1y`65#RV)@ zs+z$agxHIRCqmt;Fo;&jb}G@ep-}Q=Ix3&aX-E)(khFT@=1&?R;Y3=~njl#X7lPnN!tpziD1wtL)EUs6N%7~p& zK2 zXrrVK6vd#}$~p5|JJcXa|BdbpX*nKF(Ir$546r#8bb9gb#qEptFMfLQ^NSBJ{!bEu zf-d(Ce{S*TJ^uWJKR@Ho2mJXz;P~Jk$1|d;)rY92x-`*&sXjAP9Y|&`+gTWL9#;6Q ztQpQQkpKv#Ge(yj7&3D!Ar#cEgzNT^75n^I(>3PXMX(t6t2Hj)Y06kXRBQozo-MgW z`l%7TouGecIZvo4%DC|F5lb4k9wx)C8bzS2s=Rh zZif~4|Dc&J=L%MW*W&A4KlXaIZbZD1=WK{+{A2ivilLppIjv`&ykM{f*QKCz)NrD;=Fzq znj?Uz05rv@d*c$iD2R?N>&(Mj^cWQUs2*A1P&4MLdZ$^F9s`M550L@)@AYfNjT(kf zW*{j$hdaV7$+fypb2RMbyMG?Er}wGaItODiVvN0 zY}o@kI=5fl;6MSbOi!k8NNkSgumW8SU#dS==czBdIiyb!*;1+P}Xd@uRKcihHaZ%lIq`qu#@7{j?MTWf+-_de87X<;LmQGtel$Ig| zE0BfT+#x~?$uk2>C^FVwX}4IRIIu$W?fCN%(os2rfvuQ5DODtvaQrf2A1r`JX4#Sk zmB5Sdb}I#tM^p+F2O}UD`HM@a1q2$qMtKnOSX*QI{lY~UWWp`TiKJZsou4Ss?#qy{ zp=?;z0c{cP9`^6=ZqWDf-R%P^Mt%7F$$CP*3pfiZlx}!8%Z)l|wC3m&LViP!1TYk3 z>uV zxnoqHC_O@=4(<~z$~R-tuUv@9&jzmZ<&4%n2-i9td&szj@6j`Se1Y0%xN6&E5_V%1}MXr|I~Gzy}6A6z_;kOese6uHPD31*8{UnmRKA3K%k z3^<61NXmO;VQos66RVtpI?qZJOUOyXl9e+J6ce22I;RQs`H|P%^|rXqZz^f4+c78m zeCV|4@!r6A{nL#ZO2G&mK79W9{$MX}T6E zkO6slNclc7;)tfTDFyN}OYUClSsF9+SrXmS9cz0;?dEA+w9Ywt3(C4C_QeD3L}jEDTb?b$bR=daa=}BtwXvXvxWHVIXBL)6h0>csg~$EYrk3|f~v{gEXZzGOI@Xhf7DNIg*WCGg{xZ8NWOP~M1912+U(Ru@?XmO#I^ z9x>Hn|BlIwJp9K_a`5y)zO$$DT6`G&Is z(zf3(&!J{5TRwnj%gWBC-4ONr#mFg~WQF!O=IE2iAyX_;;@O3=tLUYM1<@cB?3{LR zJ3V=Y+IE*Gr^pJ1#N5m_FX8@VgnK|GzuOg4KjDd~AB}9|<_jqbVq(u!{n)3u!r_57 z2OtDj+TKY{C-j;ClaICXHrK&Ig~*33n$+o&kI5w+DCl6VDWsGDMWI^`b^?z@NCvZB z57*n>TK2TD@aiJKq=clhoe2|kHx2d%GGD`Cl_-(oy|K%M2hTqz_fn-XChvjR$&B1` zYG}GTn#WkJ0=|51kP@@Ajy-%IZ10)8Tq1Xxv-Azcw?;Jx{ti#;MUDFyVb}7@9&fy| zxSC`P;*RRs;>pF!ppP4)1B1g}J;*bTeP*htk?$ps2bbR(o!d|z!3z1oE&!?j_k-8X zJ|sgj!#tHh2n89a1HeE6Js1y>(rsbuilc=cEYDN=Qzs%r@=1xxNrM2@VA5#c1+Y&gj3AZfwh6?_0iG#+v(*oT@jkNkvQbjTWLQH^a=7p z(9nv!MYyscabj)NFlxa#`U|N}Z}Dfz^c}kf5oi^JX<2PMa=->3=wg!8`tH%j@b2>+ zFn{nz2|n;Z@qbCbE4b>P_x_*12^uh{SG3;2L-56b9fJoX=WouZ+0fn#gjMwi3EFMk z!WS6WZTvLWZ49WJX!@@==(vt{Nn{;~;X)w~Ux*Mri-*1f5@# zhpC61;H2T7Kqn0WPa;QSNGeo!V|N6@<3x}kYP&Izy5GP3`~@vwf|+A+Jvad$bFYxP zeTp&@ePgHwh=ZW;FyLF&_!>P)HQR5NmCeOYiLH7$hZ{)t3mFKzS4g`-bo?c(;3E{1 z_d_l&RsFs*d~kPR9HWNClxj1X%q02}t zT{;mrnYa?^?YW0G6pi;lUr4BMpi{a?m@Il3pVXO|`!=9+xz0w+r?I+ZRCg8pW-e&? z@G8-_JXi=i(G|~m4I@oVhZ7{?JDw!Fn44+Y2S^zt*CS9XvhghsjLm|i;I5`?)Q6V^ zh?4Phd7alA)65ajNa29(L8T%}GKcp9*K1o1e~N8&N(^lOMmNXy6jnA;yOkI^XE>AZ zm`BE{N+s^#I;F2A6B)wq%^F5xZ=uN>IFC1Z8w7q&TdXlwVD_b1%IWhki842&hKba1 zV2LDeveL3*N{A@NK>;7%{9tBCc=%@GidZ>va>zj$Nhzg^wZ?ocsa9m4mR01{%mfds z(HbeNMSG5}gbU}E5eSnQV)@Zwf8NeX^4xo`aRDzjvh}m1$ISQXz$5R}Nn4lF&mw@5 zUL}9ww$UA4V{NZaw#a=B>tT-aI8s=T_8eW0riejyd?H7x&Q2HApJ;V~IcneVu#j6d zxFNj(;z|%YY+BeHfnf&IG3r<$@QK8rMOc`iOC*DZwJA>1Yc=IS*{fX6|4oWyv1racHEJLH+kGI#e#7hFmu zW+ETV!4M9Q<-}+ynurZE=+0pgyL1>cUo!~gVZbh#hbb`;(4(cjGLd(T$U8JUMQke7 zsX{~E_K5B!g_CSHbMhrT){?Ztsd$f=4E}}M9sXig$eS-8K0bW-w72X3iXxXWrC*fy zL=O8Hal83BfLX`v+uk zet6gW@2;$D7O1(3qFxqVwAjPSiztzkg=_jH#^`zA;njgsdWm=$ynOPXEPh_$2mwsX z2SyV@5svz3l#F?7u`!su5<(%6Utms+p5=Az?tPsh3X^Cvh~NYJ-BKBceZfJpwT+j* z#qU71#P81X@{IZl9?JQ}Yy-K%kELWVe^Hx^GX=33b-{eVN@HjBmaD|FS3CJmBBMc*}-nd9yv zDA4po653Q;7o^U>e%MWlq^tzn@+@{&ftSfL)nU?_)8 z6tJ>9g_VX432%WGlb0Cw=TO{@Kcaw635{Bf9Hg3oJ8{`D;)&FjwSYpoq{iAfT%FVO zm^BuCzK6r~3@w$~)9ZsL!|NQyxp+_Tx)aGan{LCxovbyC%&;l z0HSNeDXB27L?kgc;m7!EwfEdA2E@cvNFC|H&}l_3e(Ea#a_P6&ybOwAb=jkhA<(PygjAm2L`hV1z~F&3qxdr4sf=+wDQ3;>EZaYLWxu zu!*dab^RssR%c~_#tfawX+wCEl|iCZ!|hk+?p*dD84pvi_y;4;LsEeg2H;ueeFBqX z5>L=_TO$P(w>^hDDxiO}v8Vw7B5j^tsI~cZU0~C0VB3bSS*Vi;mYa&zU_m$L=q)H; z!YR>SH}YCoi0EK44h`4JsOlerLpOt$2{2JX#LWrL^=yw~#tF1g6PI;*u^}$gG4+Kd z%)8{>M+~>%-5=At?+ojZkl8mVVfrC$CFn;RxU^75RR9CXzaWU0}O^V_a zKN4TS>85-5=2ACT;62w{ISBA%l9=bs-rk{LWCO4{fsa_1wi>&i1F$G5)sQ>sVgLkH z{Q7yGbGoLjdHVdic&O{>-+}{_g2%CfV1#s#GkZ$RWuuMCaAM72p$sy2YM&BzBU~He zdNJD}?fehobo5`9{L}Ui0yM!DJ%-M1;~#3ECrVeH;kL)*Azd})!SCQVf83(V%J0v=u|jbO zehm&m4eUs}n$1t(zk#<^G)TCBfiZ^2$zKqe8DT_UQQAN(@YHtTx&@){Z{@sJvU}X_ z>loE9NdjO&NB~-)+PfU|+7JZZ(Mq)6i1%Vb8d`FLJwb90aB#%hxkTf2{2JITwb}i} zMG9JQM(acRJUmZ$dapn#zq(~f_p>tT(k$5+iYG1?zYeLl7rbd`PSvut89pPTxNNA4 zWdNRS_M0_6Q>Aqsgl2iTAUQiG^0DdNeton;(@IbbFj>ES{&@57;p1)Z;G5sy-+tp} z*0I_I(te$`5>i6q`Q?(xxO+!!)hu_mH7v{J?h6mek(15N7pr|wjtlQM%kspDY##JLqttnbfihibQ;-#K*&3+R6Of4lv z61I9HEHgM8v-Tw;o(IxZhW&yyGV>#uk45^l2rrmRpaQ-|yj7f+I`1U=VA~X6vOL7aM2hB&j2h(W!;6)C zdQ=5fL6bg|VAcRE)4n);Mu*q#0s&z_Mu8v2pWxlxde}1I4-G~p)mB%@Sn?^U<4cr0c@t(J4@$>=72t8 zQp_N=T|l1R1`*m>8Wo8Hz7VBh22Qz^)I{h(stQ}$U54!_s=t2alx z-n})?US3mA21N%u48DH5?R=sp>GCq z#tEbK#1pqT?S%^pzeK)00?eSEo-(**&Gu*LR{eWi@9*&~%LTN=tZPZw4j-0dC3LcY zmz%N$KUtoIu%?_;3KP1hr8HFuMqdh9K`>9nM;~M5mGrlv-A`o-X*x_H2m1olix1;@ z*v_i~F%e(FCae25sZ>{Q$92@91aFiuU}FX*nx#cpf+sR@rSe8Gad6-8Gcettaf6u# ztvT(Sz%j4QGlFrP^j2t49+<;mD7l_i8b!z)sWPRqDRE3m1t;Jl%K-=Vdd09|OQ#GY zF@8y&RqR(V1k6sUfuxXDP%^372x8IaC?n`^K(9^cPE=UIV1HFJ33@taL2zWN3y)%x zkvE6*we5?BSsV?mr{PBdOHim6%0Z=htOEbiYfNPIlM|3S{T>|q@ZD(1RRf7#Phg0x zznvVv3Q?2IYZMz;p`j8?T&5#3h)CwnepZ@R&<}B@x;IKoog~RYkXnHZB9I8?B{>j5 zhR9}b#J-V{@ecM=vcaRSvZK}L>AItsqmeT<(ZmqS2lOMNfM9hroe+x=IALp~zyjNIl=sCt z9F%&n*_ihb^cEW4s?unPoEnAOt*R^$FSJ;10IV%vcdem4D%*&R&xbGf03g1*4L3G6 zNMD(9HWbve@LG_v+r{)Ow;j8t=;FcZ6hU$(#WT5KVBHjK==V{PN#NDltVRkN85_+# zI}U(wCfgX*uc2TM`e*JRhs?ETdg>nsd@f=g;)>#EuU4;-q9~0oD(WOEnfSaD_fCn= z7WefCa&w^J7H@t~oUd3>3s+nnm*8Yg+NeT?3gjSHOKR$z;SME{u#uN2pkQ*CE7T7M3yWv07 z`STji;QUK?iuS!xXm8=`{AkZbqR#LNT0(Nb&@u9Cwv|JSizah^zFKcv}vp@hlhv9Ob`pw#d zdSIO=g56ogxMd!zt>E%ibM| zp};1QMUZ4VP}ne95!n>oD|!9^L0+R6=OZ9w9nK$AgnMDleciHg{U{eii>ZWmGHu6j zV*;O6la@vRlMN45rAZBvLr?^iN zf`|_me?62k8;QK4kVg|EB*iRVVdZh3$+P?4Z+?Xb@C&P~Y~$}4D?{D6A{yN0jihN=LgAU z^z@ZW7?iF?^^j4d;LS%>M|0@Yl&$Lur3sNnho@fen7I}z8QDK+{1r^nM=%+oVXDsI zV&E1bKMu+vRA%Pyqlz#==4QTRrRH)@q~XzQg4{GA4e<;qU`oQy^tLj9_t+GDGP<}z zoE|yD@j+1#4~WknM0AA6BVvCHLh?wU0~YiX%NwzR{;Yp&;y*2!89{Z#OP#)g@Hhek z@e^Mhc4Fw9Bx~T#=5dNuOMJ}`eH1!K?Wm#|iAp8vh;e9ITQlIoA?@)cp&n~`oak=C z-qj;ZLtO_?4q$yi_UK<+7gU>b5NUsm%vcE}z)9g}GP%|~j&aDnDecgv9Um`G(hPdy zmU6uEaak)@i42EWL_649HV{{A4iZlRR5$R)nSggK2F_E@eKlJMrcgo~+7tw(_lF)Z*C((r z=ufXMPsx@`U=!F;+)kcd)h1` ztIMn9cqI#amStgQ1J0P8ozzywSF^V%?T7*rA2uvkYz+GcHtq40pQzghc#8E3ALNc$ zktieBOKWJarQ!{`&!%1pN8zDOM)c4YJUx0#wc(nT0;Vjrq|CD&((u)WRU42oUD1jH zSU_G2MccAQtWdF=p^QQB22Y+HpDh4xMzsX-9OGEQ_b>l_0j3C|7fe@UTprGXVNJOu z_675_*i}#xw&WKsEWFMNA(^zg6B8RgiJghFOr=TR<5fs}f>#{ja7M+o?y3jH#hBK* zZOzx}59q#=4D?hmSQ(hj34m=;a;OOE*Kq!UoxVe+xx!^e=rK1!UjSzd4L-(|nM+Qk zhH#d9ax_L3&K9u0dgGzvM5{?y0D@@w!CP%q+%O`S=wfyS4JA-)>{+Tqe!dD5LSc>J`_;7K*K7ATvY*SEg}~LHizW&o zk}~NH5%w_DgKip$TRqy?Hv}=Av56V40L7?0CKvsg+wSp-kMCw0$y{87>4t_f@2vbqSg@uCpy8=V;8>Di;J~jM^5Hg^HUIu3VCsGyG*5A zcTUyiwbg)SlVM3S-~=xsk$@|y(M29r7*W3X_UD_so3{_QcYA4C9@bvu4qc7llAB!* zscfzph~PMhNS4O+f?#aU;0{Ebx4J;k?}Ho=&TTw7G~|NseMrAr48zkVzd!-6g!8ut z^(9HTdK4wCz*k$f7pD-dM7L(>2)b72RyM)Tf_FmO%Rrv_ z&BjA`@XFtVp(d#&pA}jyltJXV7fIk@notIjOK`;G(7<|d@F01?pQXIWmiiIvMl`4h85#(Od9>5LZ@PP<3 zLF95KOcZ4YFb$kj^m|2?Mc)c+CSvP>OGF2<^mlQ>pk*-}0RNdyk^zuDjQ{7)9;;Lu zPI3nQ*0_5sNnI@^3(8Ya;TxP2q@S0aOCqlQRsxoX)ca4DEUEgCNSXa^>d>D7`CBG{ zo`gNi^A&O;c~vqZsg7PTe`_~C1qlgVPcNe`AxD&fSY~-AM=vNF*#!O4xD3c+Z*-ur zRTw{wD;NV-aDvcfQ#8-F#VR5F6@yrbbtxJ~e}4`gyxnI|ulq@Ce@eD9NMY!wo0#~X zUoP;!#suE0yq(M>LHwh!tS$&FX+!c&n7ks-WEzJYOEtx}%b7%8Fx-Pb%Zao0(6uP= z?Q*ZJalJneGSxNT)^LI&_F$l;Oub1e!stlsJ%5JLs4G_U`iw)q}kOXr$^FJ(&d$q|Q^wwd*manIn$q zp12^46_bMjUF&2ypq-!!F&OBNZfqo|etX$uj*^0DVDOetQ2=Z+JAb)Ci}WCP^6O6P zE|#)Uxt~*xv9(NFtol&k9xdR(8U7uecarb1a`6JQnR?lpP|1S|3Pctp9^D_b9aqq-Q0mx6r~(n+ORPl? zzksepVr01osa1r4h-EZnb#h|@Hh?!I zFd8{m(1C3S%q`Lwl%;pV(tsY6(yAl0%`S%=wIvS-AED=#4yMV&nB+UKH>@v~j3o4W zbuAxU?vNHCWH`o|hGqHk_TdUu>p$N?TICnaK`;ZpVIOT!a8p~Y3fK)&fqM{h4+P7C zB=2g$e6u?a!OA_u*w_h3FfA;CarN$A9I4A}d2u;MjkY~$-?)5YCBR&XT_IQaa8Sk| z{wTl!AUT=g2Ejw#HK8C~JEw9(VUMx++x7MS@*I@ZLkR$+!ZeuRZ1>CUPdCD={`2t} z3P^e6Ca5$+R{H1++$5$j=lsvzK4RzNFI37E5vAo6#1nhG*uqzPMH8JwZ%)W+q$;qh zV)?QPN%_6!bshW}@o?2hbO0ryq)P-O4`?v5>R)orK+13ph`$)nWo-NGIDJfc_<1$f z8lqeDc0z$5R9j#lK&}k1M9m$L(Xq*)N2Wl+s;qisPCXhwIS1W{q#Cd=8NA5rQyuSsnFYO zQOeeJ*A8Vhvr)2^z<|f$I3?T{vE0Cz=r)Q4xp4|YxZ7My*|6>t6v`j=*3D2MXw(;y zkchww0SFL>6GF!Q=ukO2^6JX#%bk1%%VRY|kS5m1mQKg~jVa<|nzhg1OH*3KfTL$8 zH?Ha{_(qrtp?yxEF3Q`N2la#CZYYqZ&MZ=mdHHsiz%PPe63-&DkEZ|`z5YZ)&)gnw7D<8k%`CiP{yR+HGqr~)kx&l1Q`louRJiC~yzS#$yZsvo& z17WmOR*>8S@DvxKe)v=$DFcjJb95D5xe85G0ESpyxDMoTxQWsQpZrnTkQ55)WR}#d;iPL{Xerx7>o~*yg|AIaafCMzv^Gv8k4US zwj+){=_cD%gt*co#H0kz%V4L6N?QwS1f($F-`)OP_;RuV7t75;;K~6Lf|eR5No+5J zR3ypbH2Rd}!|q>g98#}kyL@M*WEsUk;I=X1f=aa#AksRyn?-+&Y6=iqlx6^ir|BLQ zOY(#aoO;m8Au~r=4Nz0h+zhm~N*OqqLr$XFFs+-Y4fCmuVMMENCTvFp7GimD!3RB& z>z-UMsE5rN+?RO1q5)|MzsF1d5`7XK6MWzOoVKRP_NT9C7(Xi{k2>Rb_2)9ggLNI>3kekhXxU!(L~`Y=Ta18u@42#eR;HyAk=z+3GuH|M!laRB@K)91U} zhc9T*!oqk_xGr8vgKvnn^XUbiT zkort9(Ya74SN;x)+h>gs}= zj49O#|AAG{_wfBT16dkcwt2l_1vG};W^oZ% zL4Js`YV1-3GnAvCwk1Fn$>vXSa%hUdI#v<2+8*Tbj(#UOY=_T&{qX6RFJDoK_T4~f z&5JEZYZ7^^q%}N__!2JRb3&;Wm3ZpjC~LT`SimSQVI`<{(#+JXIz@3P2a;7V>Xwqh zX`38ce8YZ)51Wp981PYWR~??pq0SX`yf^aSlEW@Fiz}+Q7(wBC#CMJpW19!Y3X{-i zZ1_FY6NID28=BGBh3|uG0`gB6KGhq1ErDK*J64`xA8ydZo{z1 z_L|_Yh``yQAP7F#6e`FO6ZACI!ie9R?o{|&*p>rWP|?PwKooh>_z;^cEQfx-C7^P# z$}A#x0ghgjkj^L5i9*M=TI6d^Xy{U-j-J6Y)U6*Z556EG-vdN=sJ}XMw9g1NqdX7a z0&aL^XDQYhW;sTXQv}_*!i;E13d+Qi9?mr__coCBQ-QxCoi zHNR%?ZR~NZp#Gvg20VmI&*G0ooQKS~Z|njpJ87C?t5+DUs@>*jc4EFSAy?0ylW2%% zuab`Q0o~X5y6A}}?dOba!+XRCdo`5CFYzN@Z%)KZ_&``AygQwp{OYWjIaWIyt64Za zK=>pz5#h$zPZ5=AU2>__7!Y!K`0&f^-Oamq2M@pf`r-@z{Pr9A0loW-%8dv7_1nKc z{I-YRviG+hr^J8%rFVeo;opBvrUR0a&zB472YBJHy$|o{F7}(qq`&_SR<`{2y!ixw z`Ah3Nz74bg+WRm3@AsdjtQ_1#FvzK6RaL88f?oEpbEdmj)znolKVDgLDm#c?F|#;F z7L{9NtX3LR^SD%+Y2zH&%3cH}La^i&iNY|Gs|$g4n}M50@dR{0Zv?+5snx*43S6!7 zG5A*IFB$nyIB8+jS(O2fg=O%FAPZ4W`9NfmH)+RD3W1>1QBF0+3OZeB7T3u=ezQ8d zy=u6<_EOLr_1ajN*qM{yWQ;|}q%6EL9$6aa2*iNl=jI2AgrO< zEOjZp|18RQ!uC}wl0YQUWW!7Zc((CV9;``etg;tK+Qv1p2N*4mhG^b|ORlbj!(>w;D?qbs>BE9Zbu zZ&0@z%5z8ydSsRoTf*GDy=oJ-g^E%+oIEm6sa|Hu2H{a7|6~9?ds6N}$O0`tW;R8i zk)mxf=}l0P4~Dd2@g=VY7zaeX1~~vVqL-o7`%WbneRtbKvbS=K`IzpCCpS)p6+4Hdh8cl-XsCm6Nb2A9;xekkxz>gMFt*TKx-7h{6g2`r%0 znn_2T;tJ!kFM+DptPg@?EM)MEYfps_+4QHUbL6-}RYLinBWM;&pf6Jn5Y`P1NY8)F zI%g*wpgBtyH+`zDCNil`S!-^6q2n=ooQgk?H}4+z_wJY#-W~cjUQypJ6cu&B&JX7k z8;t_Ay8GFV*~EW}TnYXRKjuc*|E;U<#KzM0x=lmLTY3bDVIB zGiAyB^;38mHZ;hfC}T%j(rdOO#0IE~%@K@|>-8y$krI`%x#*2h5=pv5%<7mc7SovO zLC|1>+dXqW4@PC*;UGAlv6Gk5Zfx`7n68k@OT44U+cl2k_t0IRwHN9oFUK zRt1Y9u?;t*+#H%yAx6Vfiz>OQr$Dtch_oryG8We#1UHjPX7B5YXd&$Avy=0a?GE~~ zI2w8*<`U6^nV&ESzM8vXR?<|F!uy^jtvE&;zV z%je0W+%gz8+hLH{4c+irhF@_aEFpVSN`qT(QCHu?i;CaC3|jDAj#i^bD<#R4@zYZ# zPfuYfu|EZ*q+(> zStu9`*l=1f*l={gqjC-hQ}Ar5w4)yE4i^r~t7;CFC~yR^NR%wkpyK7!J3@rYB|ff5 z6>n0*xL>*)B^8%^1P5LmVC)^^u>aO|eKavjQs7p!oq{K8(x0(=01vjxP3l`xj?LfR zM15(7EI`5&k^&(i){Vw58N1Y;)6n%xbpmMuSn88|@=e`DaY6YubDY$5DP&bwWwBFN z?l*}*h;bJVV*E4=Vhj|7a1bwn#6Y-tN+knMS%1Y|+LD$}cOJGJ8gzVss&0kdoTA|s zdJ2gF&k<-^TUs!J!v+?NljF~{chE85Yg-tW#nr(35gb#b&mT6+vulSFn_PnQ$uzl4 z{1gO+QD*$K?4oE74m+t@TNy^;c2aH^0Vx$Q7Nm2r(81}0x|3jK)aGt(7)~=<83QD1 zn>EK~f#<6tR|iE-FkUd5Jyg^jXvT^f2 zA&bL~LL9cC`N0fXUtnWUR#CPGN+6!U;4~T2hfSvDu3UFXL*=oGb;gmz#||P7Ra9e+ zGpneos#qN!vkncbdId|8R2oUXt{tS&Wa5B0gtzS6>lzYce+z%`Pq&}m{$WvyL0YtP zcp7Z$EbAzD1-SX0O_2lOOb6l`LR)HYBF0D$1ft6fR-)M`N-GhWg)P)p9sAGk-{0RN zx(q7R<-%~iu;v!21|Hq+{e=|O^@XcLC@jJTSis&Nr=e!@fe=K+TDxzvp+AF?|9{rr zwYiNX%M#R2!DQLCQ9aBW1Rf;VW4*I@kwl5F1VE8lZEazcL}k)S64fM?rJS1D-@fPE zhd&}b$o#Nnl@b*02t>GFzwdi4wzV%6PvAMJ`swB@c8CUCX-F9@Xa}#_4{*lNMky|_ z!exdph)^ywEb-#GnX=w(znZ4?majYeYLDv8ATkx6T(ydDn32dHJ|n~&ST$ZKAl!;f z1>NJsR8IQ$*_I~&r)q1~&SlGU!c{zua#IahD3LJ95RY4unZB<@Vcy*)oV0w!dZ zAejyMTNl17uMM(X530Yyt+Ra7n@l9)qDqOS9ndMa!Ot(Y5|5}P@L5bx`76Um6bd*Pe%?p$Onmc~3!30lmfu}?k@a&1=F zR7jd4NoILRz-n6|ePyR(z@MNs9Dd)zBB%4^c@T|!uH>_IX&D*-W zhhutMv&ZSBCEJ9$8ocn$A^n0lf@!arV|PLg3PwKiUw|1kzl3d~P1EZIjQGrmLpuT9 zgS(%+jUH0qIj9Fwu}nCgIEk=wJmO%z1sOSKU_rzm8dTIE)(zpJMc|31fIpTs3K3eD zoN$^0LO011x=ui|pHI?*FYkE6m(8Ou8^E4vob}1DGNe_fw)2_ z2u-?}Za`6D6i7E%o*U90)K{j8ba22fxU!xO!^b>xj>Cl<7yXr!fiWB#^_LG;==LDqgP$6~K+YK^p=J*rcH8pJC(qctV z4?-|k)7|vMn-7sI@&+v-_S`8A${sdAY)5KF}FrI4pAqr<^qtbB%jwm z1%fUO76`gHO$pkwFVT5>dAOY};GhdKsWqlAQ9s;#`7lC`xF{9K1ps7`vchS9Kj|2d zL=4Cx8tD=U2!O4FiSjP9{-ihRzxsId>G76X5jf6+F>Bx?I{>Wfhfkk=5EU|P-fD5p z{UZQJWB-zo;uhMt?4mt)l?6KzbB);zv%6o|RU7)Iq7qpOn_5ev@775eIlJt?@4Px4 zl;2MkIWt9cVPIf=d%SsgV#4mnyI&G+ps`$yYkRB}3<10w|0f(MTpyN!>~9w!${RjD zR0{L8OroK2$dvew?$Pzk--a<|F=2S;ZXe#?e_jpVe-`M)73+Cdn>E9JfZ~?z9Sklr z2?wN``5SO#AnsduFqMDJQD42{9Nj+mPqf;mJML?voqEGN(fArRJ1Y2+0t85U`!v9AFWFans>^m2PH=?uILBJ*lGx(v90efIm;Tai9; zXC6m94I#x4>6u;!&J=XY=8OV+>YmFrQ-2l~6mQO(HCZL;anxs$j4a!D48THyi(+-P zd-57BloPJj7*U>~F;!T{BCdW^w8CWGi;2EDv&zOk0!l zVz#E77-V8qd#qy!0yi9l!Zf8*CnCk9Foh7!$qo;PW8zVOa`d~PAbvn<09>D%pV z`jql<{$6y!;%po(XP$B?GegH-%eG8eU@*qJ*lMl@5z^8hq)|yOXqWA0ZGT@jrRdV8 zB5B)m$aptxk zm6(sIc*XCl%G$5o=SlB1yrDoIHA|)%w8CJLfE?m$c>?kvw3Jj;Yt~$8*MY$$QV|0V z2rVg4Uwf!8ea(~Mb3B7C@5o2&o`^6V?e%q z`+`L84?DkwB=h^b53li0W^haX_6|Im>R-iSTp=X^d6zRJpgDrFds?TL*Tdd_2O=0taJcEFxSL=M-CUug+s2U=9V&H#&Z!I-k?M8@nAG79y%ou7bO#C4rr)1x846o)uX zggDc(ni$M}tEdzZPNAm%;r16T=vRv+_6(DVSk)V$W=H9(3T+p0ycr~5+D4*wh#be# zL%&MSy;wGI8!Xt|0V#9pg0)iSluMi1aCCw?y2yE@@1Eh)gQ%^joz3xFCz;_UaeM;+?`5=~fDFkh&hE3Wh7r z4J>xnY7gp$7$Zg2KtrmKkM2c{Dsu|$g@gn@+VWOZ7TNOQ{5-2KOKZrIDf;_-uJGju z#}FSkMyN=SC{@JCWD=z%Flmmz!p=tA#loN;!P57+OYRe?ssO7KbA1@5H(@l1U_g64 zL}YAl6O${d0?TIo5K-M4WkP^*GrhbqAPs>&+zd-_@ljWK0sTkXyNPN~>!)iFe&X&y1Q#T!V=guiz{C@Y))3WGrprb&Iqh8QJ`A7 zghdGd0I~>yMR;I?Nf3Hw^2|afF2rV*Ui1-+esLR1B$_@g>f6cvERw6kZEkA10@Tg` zavS`7gII=x`)W2AlVG#M$0iCqVo)Mn2QV?l1|8^(T3=1yEH7~k@Kb~m`y;cQwmas^ zX3_8*^hZciXjjYj>2Bmhr454`G4NndHiP3_zyt|&+8lrV>LVH_+&}D~B(JU?!#`4R zWDSuR=+(YyPRFR06ku`W{PF-PVIrr{k_rpJx-5CdZ3Ax~?OIp$kMS(EI%2(o($gemzWLQcz#|A)($v(NdWh zl{VA-MKOad3C;CF(e)hthK%+k}_x>0i)42N|yBq~wZ;k%5hTxczkVd;Pd0e>3IT zKK!p9p>7+a|CU_*_PA3)cjMEk++qoaE0&F``ktHl2JrYB3F_3Zv0$@)Aqt>(SS z<|!lYm?q4E3|h03kO=4)& zK3R^$Nb%@?6559|ZZq4rB6l<#xTGHXFH-aV`ak;rDdnJuTU?!vWUW&mMXd%!+9DcM zzw$Iqd)n}voB8qb6s&2vX5&A=bo={wEIO*U+$MAsnXVV;O?X}}F41zcH|i{JVDpEI z^DDaWa&Z(2Ai@k;Vn~mUd9pY4+jFE95RNm^A*!L5J~vYYD*!)vA|xeVDIFAZ#MepP zdr~Z|p2RNnnMOVdrPaa?Gl0ryx-jn}8xms7J$&u&%V~K1>cjmvz=NhHaG(xQ67xZ2 zKakR63O^XaQE2ijV%-yMYVw4?I;C9D$7=9%wi9W8j)6rNU+ZY$$BtX{$_Cq7t6rSfTYB!K-*o7P;i9S zCJ-CEOsFs-Tl@-%^XtV5DH2HKSZcrIjU23Ad4uP?5~iCYc1t8p^)#EJ9~6fTGEG)StB0e|hnu)KCuF3J0; zfok50^D0qHPtK3vyo2v9wCYm32L;1O;Giul8rH1Z57sUzOmxQlVy;7HV{uCzh7hIw zfay7%qJox-x1UlW&n&3WEt`Z2eMG4cLNg?pCf)9$u|je#W(LX$jH7xx$jr0F28vja?#taXqXwq)Q%@PPW4F z22%oNJw<%{f^cAyotxcvyYF{D?B4Ev-2G+u*VjEC=-ur0-l1~{&d+Y|cDMI&xA)6# z@7LGjOIcpY3ofseJJtZyw$simJ`G_WAcR@en}NU%qKruKs<9&IyFN$CCUsv!!jdSu zAt9)i6x847H>@VopNXM(YMzl_!{-_yHW5!jMP&}L$!pSsQy?}Ax_f0A*HO6m0fPz{ znggdKEI1?d1SMcS6K}2r{MKZ-2SFs~>I~+;qmfk3u`Wpbn;4=WoA%cU9 z^JHZ&!=FhPU+^PD>4>jwwigmsRHRL-1BYcN{pj&wbp>g~6PjJgrh2W^C7Vs-4LJd_ zc~QGiDRK-~RHC}(qJo1;kx}HUmn@*;fgKF(Fc;^@A%GK3eQ*ZMhf|G%@5KxFo0;$) zxwXZ{E2hprOjDYatK}tJ{y182+$c5l&wJ9O;`d6G4I?hb%Zm zV`M+Om`jlC3l^H71V?y1*+_|4*k#>9>2!mW1Eq6?ZmEnzEq~lw9KPBd zL`R}WU{da^Kcp9-p782_lin##Bz~q6JerYYqF^sX1#J?!h)n!};`e68G%h(aRf3Om zg@m#Ii&T!wFOVOhEgVtbs`?70>(sm~8v(6b1O7j{d};_zyt8sHt$Zg)@?l?c`TEdU zal%ZJXBYE*01kNkf;unN6m!%qx5$Ken1vKFVu89PQ6*S06C}L=&F|Y2yAe^5;>Vru zyFD}|z5n(U#jNmJKj47%0S%F3KOs5s@$=mi`z+pmVgG>-BIqqY1yua6X+|mOfbb zj9kdiUvHjv@NxI<^Vd(izui6{7!6nJ&EscuI{V?z7{RsP54}Hey!XQ{KjLEVhyMp3 zbh?JguLOfUm_(4Ua=@ku`U@PJ4Sq?z(nxMjV<117Xdlu%#kf>H#a0j^t{SwrSOC;H zSf1&{g0-0qa}VJmVWpRZ&*3@3d-Q&+8ZP&)4FOxk*iwH(VHT#CNs zF1OGSdJ@DRTDLEjXXgmSK%<2GgFjVVGOtH}J{a})#(SgjWK<1Cdt*Xu4@Pu<*5B&K zU+>x<)=pIxpM-|Q4LA7s2erC?%vYWG1SDEs#I;u4`V$ecJ8uXkWCiQ&h{p;R){FAO(Z&@X+-r2AUI;5`2Eb3N38*nP4Ju1Sdv0@V@ zYzS9r`Ich?GFqJ{licUDhrsF;)l`k9_Wm3HrJr5hK6cJ=OswsOsW)$q>WT;>M!&S6 z2BHNyD4%BnSJOb34?wuuxEY9V6*_x{tCJ9D(-Qb6WJ&l(agSapf8U~x--d} z*MwAGHR>6SeF2B;PexRCeUhbPB8xy|;iMHGQZ6wBp#$}xm6@B4IwNdGn1nIVLDTM7 zH-s0WYs7C?9+#U2>pFC=k75yh!09$}1$GO(19?MSHx@c{CI!DMbLrSuoXYmaYB8!0 z-^`$-An6lkk-IpuF?oRItI`RwLS9Pwy1!9#RBi>6k|a*U5`FUA<5mll%L?k{czgg4 z9JGn|fS!Lqu$G}(?SX}6$Am|%KYqIT<+1lK|K9t5flY~>S^ppYb$;lrpMubD9#^6@ zj%Fka_nh%jK!qOewuLak(J@J011^mXo-^p;=<;QHq^xq($6EspoxC}&^>wBU<7}?C z%av>>7X>f^P^jMT+|9XG_cw2LPC%MezrbkoG?x1nk zU$Jt$c>huE^?JAWg#UQI9&YGjHL8--J&~591*?3LZGvL$i!dGZgS3W2z*4@mi}}lE zUw~s^KAd68IzikqN|jI;H zX+i{I8(DRgM1M<<&a4KB4D(Fo@utEZM6SRjO=CV{E=$OV{v&E~UBmM2R6Xd@KKEZ% zKKG$}CJK)@Rmb906}G0JY{pqbma?4rAp(&nfwM&K4jbeqI=OR|e1g6L1)v$Tvy;P>_{9QaBd0<$Ceb00FQtK^T!$Qn>}yC2<7x1V zp*I*F5~YQvnhT$ioLdQ5+iw-%h9vwF5U8`?0=$sxt6ULTU0Q<;Rf?K{#@#e&jae#C zLFSgG5|D_*`cDUZxh?ob1CP`*G_m^v&@ z_L5g35`{&Q{=YrMW{dIhu=UUu!fC z66Zt6mW!c1 z7u7&Q(DBRN{kumj$K_9nymSu0-BNI|D|gt_4-V)D_-gC*ygwWuxgo{NMtZViR)C4J zE;>{u#iP`mq)Xv%iUi+ANLLP3Kyu0t)gD@s%98j|rKK%ri^R9RHUx`wR8^{rlk=&Q zEYK9d*KO0yJ0m8IJ+tT4pmuQ$P|qk$)S=ZFE(yZ&M3StkQ~FF`1w6LZ9!m<;BS)Wo z+bJ)6BXP=Ot&6F#V*Z*x4!IE0#u8l33`V$jY2$=b5{M7>I!#8NzSHID_Gi>)qOsR$ zx|^*r-9){!d@T7zoY+z@`m0C;@?*< zHncAUIzN{aF&U z8*D@Eg$VLFNA4zEN)Rc_{r+D-Nj-dQsl7H_9XuN}<{bw8m#0*ilF<)D15#6#g0L9P zwSX!PlN^4jANzkEBOl2A%4`^%Iz+FQ=LxT(scYJRk1Bm5N#p7M%cq?hVFeg9kAJ`U z3e5ulG6o|)dZdnOz$ChghCvh48C!y{Cx@Qc4`QQ*bbaX73$4Ccz%1V_- zhg5QP=R?W|U%RICls*2@`3Masnc zj-^GyzKq+THkWWktpfm&tOEJ$lBpy037~!@+X4{rv(?FJ`!m8DJm?VuJUSQpi=b`5 zt8>P_>GFhHy{?Pk!6T+jgx^nM=A!|b9e+W@cn}`whmnv(aomXF&F7o%y`9Iq{|$3h z|E`~;G!?4)F;n}2UySVLGt^(N*HgZaS)|7pnV(#ub9oPGp=VnpJJpCP<5Mp_kjbUc zctKt;rk@4mZd9t6-U^ggTXe}y%Q$i5Jd*(hbslZrd?l;I~~fmE)3$1Y*M5qm)0Pr^2YND0fb8JbJ0dY9cjO zu^uPp0@#y@-JS@e$9+(XuQTplD!ghJC5iI(W$BS0zo_xQ$Ut)aGy^@ z?R?HJGjet%oVJfi8wQt6+A8dzg*C8%wN+*Hzq1%zT!<)9q?X%dL6($G4C*TSE>2q&)&3Z*fyQ$*$IB`VYcJ@bDXBN& z-q+NAoL7-{^io4oGZkW(C2ZmlBYcNH@?6Pq*)`KNQ&I*=v`H010B`CLN^=oP!V6Nk zX@);C2?~$#et$s;noyDBYpgu3&#akJfwcxU`c2qB=cVRvm%T&&78wbm%(`)MCeoOj zss`0T6kJdl_yTW%NEQUMqcs6t1n0$k6%-0C83c(!vKfOT&y!-ZL^G&3=I1L8`#y9| zlKp7eO^!UYc^h9NV5SH?K$vM(;6XE8aQDs=1`$3jCk*cP?sTJ6>`2F#5M1JlUJek} zRM~Ge5mEXhj&Qy{L_I*lnGyr2Fj04^xde@3g*{7K=vy}2>HJNf6Co*=)J zvO@?>Y{8j8^p>ytyEjMWRw8rTVP<`J)?|v`vmeQ9UU|GWV^AG&ygK<6-_gh4>!V^(SsYY=P%#BiqQAv4oq$^m+Rhk=WPQ@$pDbv zc48^T3A*_juAcP|(!>cC`)c|UGJzUATGw9|l1ZB8{3B~t><>9osan(I{-V`PsMWae zk`<=1%M_F~5(x2V$XC&faMjtu`y&V0;PVwrQi}V+<5s9=i)R|~jWiuGY<_fD_9p@? zAL2{J8n{8IvCG`kxa{s}6N00d;7Z{4Otm8N7fZ|#b2YKxN>?)C?5g!mAs1gRNo|F3 zkXoFrF{RX0w&Ec*ND$T%sA<90U~jF!Puu|E#R4bEhLwzJA`GFQ(Eq+=Qtso^&C}ic z9zKFtk^B!JGlQJOKVgF;g=NV8msp5JgOCDqxq+%7B%t^=s!o}2VIp8zI3o8zk11rC zd2v)0NdY=jG2k5GnIg@oU7op<5-|`JcX7cfP>PmAWtuyQq3~sRb7P3^Arc5 zIixfv;zS+*5a6CI@%KT0Xb8+~+v6@!>l z#iisnlh7dO0t3_C1ye%sf|4L^7&0}Q(JI@@!FU`fsw;^SbFvK+w3M!A51K`lwt06e zIlI`ygZ` z*-oU@2Gnx`=emG2!LHsw7r^B~B?`o856|FL-T89!`F8i~!~GMok#_#I$A6+Qfd9sC z0wDM42K_i6kZtsR_i^_#=KQ0FtB+5C(0d^tX=mq=1OaCy=KX73e`llY??5wSIS8BF zuMU6al-=G=;}$GPz*qT|A2D0IoZvT+)b#oF>;L&rh`Z_OX1{;-OeBTFFGNx}<%LL! zOwmY+sbwNhP&}^x?0XI~QqRt>r1FplYgWI#z_AE1-RS+rbzSk6Tyh8zwFk+Y8T&xA z0YYCtT}<#9F)8~O1VQ>wEk+W#8Q7-`wzG}$uM9@tO1Hi63TW)_# z_;E0~)H@HOOx&<|L2i$;(gs_>Q%hyyUI%dK*ermW ztvEFyhw=n{<;XLKEe*<8CUhv5!Cf44h}0DGoD2WA(zE0l3ZwP_n*Dnaa)R;ZC+QXk z=z!Uq%FEfXhH!*eWAsfN17py$$)T6QkQ-UE#c|%DaeWQ6G0l|$P7ch<7S;^%J&Koo zqg#U}cUMip8>mu6Z_Kr(1QSV^c3FrTj4CC592#;?6ceeYieEtQstx-s@}4n>7POu| zOaD!@pcciPs6^;ac1DGMHmWB2p;GZ*yFurWHB#7t^EV2I%t#+9`(4dV&W*=q+P! zH*%Y7r0_27Ir=V*gJnj76DiQo()<$HBf+E7)=dN#3jyI|8yi!c_`2?;ye4s~g^F%M zlqi>QfL6Q*!@W$FZR)&({yy!x;FvPjjOb?YZ;~nyBLz)s<51j6Tm2&Uj9V1GD}ZLm z!AAib>h7WunHZc^e~4eRcM9P!)Af8=HTRxn2j>ybw=`JTvf?z|H8UBjc3(uzX!4Y< z%w(+gLQ10U6{KQl?@{e#{XP5#CVr>Pq=Emmm>f0<$zfnj)N@x;aI<1VgA9^xR8}74MqvU^aMZ>5lu$D zeWJgC@KCUdR;z4$^91dwc}aa#3*3b`_L8Rww{D8yu5^XixHu(J)}L}Ldbmh{{oqd0 z10}6KP{)x zl&4kDUw|7motLr8n{!l5kaUtTshJGc|45{cDnP`Jv>nvNsDQo@z-Ja>pTUXsG~(=&z#^0R(=!SLXe7Yq-X(n{en zVwS4ijP&v#m{#?YqvZMeB(Bm-U3~BW0iU0tlkk~jc`h6H^J%~9&+i^S-NH=;_tb4> zoVVO1X=JG6PKiyi;`tYyEIM6;J0KNrf$&6URmt9H@qAGUDoQv*#2nDGRWlJJZm7Ia zc#ybt)Z@Rc;Ws&fU9Ojb%Q-_?pC4%s)!^0l3#j>jRTgfc2fHK>PO28|3+Dx^6XkEv z3&(IDnqHOc5jd=OQ$+|5%CPAOso2)qI8|$0dlNDjEg{h=qi7f0*f>W|Nc1!{n?f~4 zB9CEGY;BMwff#xG(t!>JkWEqYlgj)Pwqc&jpACW79F~<0$w9O@&w)F)zBJ5HFvWo27DP|=e)NkD+|MJFhc1~KRHm? zjn)+1jfN4jh3`orO)Fi&eg(|eST=`Z{xs-OF;icKN^79ubZd?`Qdae}aeMJefa;7c zth6sZF4p2Woh%EI%;135oh`sRAb%<<36a=gXo~*z-CiKzr3=o%yY#V=5 z=fbz%G7(#8STYkdPJ~VOU{>0TWm;>EQ@%5Se0^Bnl+Wp&X+yU=#E$|u_B#?8JRsE< z1V88jK${FdIR@kQfG^{#o45F0#&iqAx?RE_tKh4X(%?Y^ZqS~~7aL>Yk~zk6>1t{m zPj06HF;Su!ezK~btj~aS!4^&{#H(6|sGhQsv%X+E@9A3_>`QtrKPu^T27^RIUp8A? zO{g8KJTSXR+f=}$Mp}LFNOnz8_MTFcWR0KwyxhX?m)xLhN+M1`M-m6uR45kNYN?he z_lWN&cWaF3O^|`I1$B~KkSNXO6`(1!LxTPZ5Mjt_81zJFlg@^tgA`{+*xvaW^_gDc zN*9NPXHY5s4Wl7H7%R0r$BD@*6&s`afGuHnc8KZ`JvQ`>D9lvH)Y$gHZFk2kCgiwLPBp zQJX@CbE#N?qt$5oY$<}w8UKMfdz|ByB-PjhB36)z$4LmzR518LER@-&pfw{V)Ne!N zdq)t}6B=$?dVO`Ts=0n$PUSRbJ|@Ppi}|_CzMyGqZT}Tc9+Hh5e2|-$&(XcJUbVDK zZl0bV?%sWSxpynBy#60&hYM6^ZT?fs z`>PZ3(;W=-{;!@5vC9AYba^zbr^DH0_XQ3jFk*6Hm{zX)p;B3K{|avIcxaI=5mPHf+X`sQS8FUH zYp3v>%<@!S3@r&lhy=N)t{7#h1tRI%5hb&PEn7{17_%@I1`|V zpq0fgF{11+(HJ1g>RdvN4dl3DFh=}8=ph$B?jOGi-!+T`hoDVV1HXG?Mx+EmOI$6q ziFyRPLmI-~;ezrlHGS12G_kQiJH)I5kfxj^_kr3d%62)TyM1{=^JzsF^C`3n6e9ft zD(hlKo_=_^i_de=8b1SVles$t@MV*0$sDjAk1*jT5{6_nxP z(V1&lzsLCLDm^IAV@ZdD+x2W#mpEkFYKFSV`f4tc|rW}g=9Rr z?n~PGmMl!7*NMH9)czYqJTs$7Yw|jD0Ki`nR?_99rPA1NVKqA@>WZdZM2@ZeVdBjd zyYi&)o{p9~$A?-f6!>nu3x^v&+81;1O_^E#;?Vfnmm9 zUYvn6C|XuL{Laus5+MOm*3>}R6iu#XqT#itnfZ<;0XYxG#cGejps!rv`+vxO;&|q zHW<21WJ!BLzrx)}ytddZRWzC3?9Ro6Cav(01ygUH2(cNffW$^YZV`OE5d8N3R2G59 zv05&~B8G_zg96(2^lYQ9Z-8{PTmWQM!TygW-TjFq;X*&7bBKt==vP5H$v`4uLr`I< z()HeJAy9f|?%p40m9mG_a?40pv&9L4p3dmlVsrFF5zGgaNr+{1z_{fk1ZdQ@h-;gN zqG2oNs}9EJe!lokp;9`R=BG@L1Fz~jq&frk70+1NsssjE7iys6591-q-<1dP=Q9#O zKeuFA+Jm|@ST0p|voIQJ0Z5yoGaxE|On-0m^>Y22(pGl}KYgMBfU&$K*5-n@C$=?o zVvQ`o$>E6Xe4ExBc^NxCu|Jp`pXlag-GTFdJRyTO%8sP>2R0o0p%DBBe?E}^Ef0t# zuAYiP7SM-~g!;$xfIEu#r&tOnA;jMXBZ(@v6cm&0UMt@kmluK(ja$R<2cB^W&W-~V zXir&1=YlNxiFaz0gaFQmV4IO!a>e%;9=^IWxko7X>Het z^cJ{irTo;=BM^-&)M$rC58ODGZ*!&cU~^%TJZVr%P#GPp)3lm8xtJ>@*%y#ib98b( z1Mictb+7*A)BU@fPc_h}Y1G4rHp?w*dlHObQW>5wM8`lqXCMst<$&S0YeBVXQ#7rCCY` z7~=6zb4Jj4c;VcD2~J?DB()>@MzBG)=G#vzxUDTTsWY^DgYoKwao8yL)p_TvQA08M8WTIdt*PRyH zqe=@J(u!^C|$n2YoIZmyxjNnyZF269liUZcM<%Vro$(2Px}5rWuO_deZ!`K9;m z%j4ZIUv5809Zj-aOs!3qYbwhX(#8;_NYloI6Xb(bnT28Bb)^2HT~(&Mk(+ zD&%rMJImLi z^{@4}UNIsY6vHE|UYSVs%D2ZGG?{q3K^vFb`T=1k(Pd(ITJtUDKl?+tHAJnYFiRf7 z8K^#Kv*&hL+J+6(oL5-n=Wi^ukL5PJLGz4$gFlYxy(lPjcy&i4+zDgiM#WbZ;?;DE z$*a4E{{pYSd%C;%grH9S+wJ?O`-jJ!*S!z-hyeYw_xE2>+`Gf_Iq*yBkC2MMZSlhp zb(@i!ths0bp4V@Ghm|f37M8a-O-a}oWC$A2jUJd?m1=oP zcNzRgEDJLHk2Wib*?YoEt{R94mB1f%Wz_7UZ=gHsGE`!ZZOMy)-?@3j@+v_T;*Kwn z6WH7B{rS&-js+c1P8#(ba)lWPPJ?zYxMM_gvk(H-3LsA}9`5cR?w)=>dbt1g^$yB~ zS7Ee=L`glPzR>Al!sxRpHX}@sHj-qt77C9$)1T?Oq}hcqPCy@~9ySU%DNT{AaIv5d zus-pk3wnl9ds(tcK^TyUuNIf5r#~a@6-FGwZH){#Tcp{WzUR#DbwF%6k5gx`>4YVw zYWtSa0t5J`bHdAw2d^H#y}SSVbo21bE9Gquw>KZ^zu(+FC9Y9)a3`RJK3?Ix0vnpP zNM^nwcN7^~<_}doCsx3Lw}2Jm|HU_;B+h2ZVI`}efr(Vab?}3$51d9pmXJfMe{;EB z!VRf_m3kD@a=lbHOU~L{bhDOT-DnPjqu?61MyJ&~t4nXnroEa;DmrhoVRin9bh+-U8CYd8Zo-y%OVx!JaE?FKYtKdb!JUn@B>XzJ7)-*}~ z5vU@@(l;_J57RaW8|meVNYJBuwq9PGs4)Sfi|xwDvW*^ zJCVFkC^Tl9d%~x1xfLX}oUyE4_VSo-IS*cAhAgyMFZ)><`yUGf>O+`U>1@-UdqU+`U6!)(uK@2 z!gwHU!;ybtVVH>0R;v!@%8Kg5vOfM^SU~DK30UV}TdenEF{a&` zDOECI?S1MLQ@I0b+US|#nO8ZOJX7~1eI~M~q_a7KB{&4*lw3mFw`KxYg*w$2$)!S{ zU;YM&Qmx1WVi%21YpAMTbFsKv%S-(r8PVsLy-ri<`XALhq5VW^j;9!H{va%QX<%6NXZYnDP{yw8d1jUM8 z{p@1HnURipBJ;2cI934iJb~+(JwPwE$ILaO`)MOU<;o}Xq>Eq{j-!nmAw0Ej#%DIcnP2BLVSx-p*qLvfUM!l>;p|8_0)&beT4@hBUY(J=EhFj}ZBA77mPY(( zc+2LvJO~FYtBEP3Y%sRQOBOGuH%q=F_X%f9o?09hU}g*+x~8UTN%uUt4Q24v^vx1+ z3H@t%<_OzdGWtnpmxod1p1;zVREe7Jr5_UWnqn}&>CY0uU*-s57t zX?*?b7%m=cCYxEf7)PEj9X(*H$a9AoDp2#&#SD1Xp!lcH_1{0-{H_YHL&|Lq*U@jI z!BFtu{Yt6=^c19+NUVd_tAk-)9j)}6H?wxHMulbxct*ybvKp~bZwU0%u=Iy#mq^=b zW{~`2gkJm(}8Ft}+Rm1ViLTU&e zNRI*aEp|I>__9E>!@~tDXidV4$JbAlKpa5n0SpQ3ij(PjN%RJVocc~>Z9WI-HFA@y z@f)c^fWJPeLePJ8Rw1C_(E!gz8B{1Mb>#qJqdY^FpB(fjZHr*DsUzuoS@ zF~K$(0@Qc&<;y*5u6J}=oF&7^X-8{_>G}v#2F|P`cb=XPv>;4@4hy5Yn>4?w{ustQ zLp$b`Z!FNTI$7s&jPvuiN~Z}x9S%Nzlac{H9}-BomC7eAVgh}Wuw)5k#55IZJmssLEUHk>n)}v!+ z4V^>2Mx_a|N<0P!quzlc+dClw_q)v#^FbxCjC_$`qr3@r!!wO(b=04%c?Wr_mNzGC zUTZ|uoP77%b9DP4H`GW82vZ5yZx7lbBA*!aksEm68{7v$nv)2Ig~|P4ZwQM;am9u) zudyPqSf?WxMu%9bEl2Qt4BkL0$~{`KLi~$V#FeSMs!B}4GlD`aAB;l#-&-h%A|L_uk)J)R2C5Smv5gw)sMGdo`A0S z39Pbn_CM79un3UwT=$Fg>2zYq$Z?WQ~8s z9ZMDjYF5N*4cpc=p|E$IQ*{IRFn-nplz=B%xFK)tT3J|P4y+q=G=t>4JTqwHKFkFzT3?y+jE0y7o`i(*kT z!P`34Naa(I-Y_@1JUG%7E>qFzLtu#3RrHwKX59j^+_J_tNCqASan8(2vvi+A`bWi{ zGlQDxAHysVfgoZk1C{-lOA`C*GEbM67ICo2tcXmOGGu0iFd_FH`7?<$NxX33 z9+;uej+-IAas|{=sajG2QVr-u8PO91UU>x8Zw}VYF=<-#!b7BiDndYzV1ecL_YYZO z0Op=D6P{m$a{@kAdbZDIa=OE!6~+onR+<$VzsgrOXTh3yxnD)5LBVCY7t!Bg1V;D` z6)2{xvaps}GPp61Jw?g_+65?rNr&#(u<%)9ln)a;m;q%A$T^3}{y6z$vzSbgfYlwPJgNY!&7cq0=%$x`yi?4ZMo`Y={@Ju|1UJ?;j{oJ2^q9ZPz~j6Cd;&?HAR>+m74Url0A_j1Qv+3Unb zHtFnXu>S{o8temjKsCH+pXGCsTSga%J_DSvaJg(&*EI|xw1J#2iQhHpADqZxYrCsD z{a6~|dftgeu>)2xsL^u#GNqgJdP**WHEHRcfhFm#rb7jmRG6eJss82W^XD632>6sH zfHP@rg!E8}S!;r}UUEWf49G^M#$|ZI^kU)JvSEEH6N=T)>-0m=POAEcP6IjC?J#uj z<}KC5#XrF^;MWG|P@3qF4frb+z9+9m#;sh=;etFe+F;`f7sO7enp5*0s5I|x-v3P% z5Ij|g`#b5I^2!@YYfWrxqpqEvH;`& zR!v$m&q_!qk3mSZFzHIX<7hRWK36;=Q}$k-vj6gw z38pZ9A>i5oZ9e5o)~MG&oxfBVLP$!2X`etoFk0|WSX-Km!=m8Xbm656z6UhIN?;k- zC4T(^nLn}WYc#>xgr|=hzBM_f?PkYgPS;pj0I$xV#dZ$)_LL`3c)OdR73C-VRBZWk z(Bhb0a(vJaVw^799bu`jRkF)Jc2OT}DY~#=rm&!{;U$`Gpma2oPxku<gbsuJAZG?)rLm~t_W+=Ia#Q=s5zYTpI0e%qg@q!=hhv>s} z;R2=m=xrA4h;b3|U#8}8^gAuPCO8_p4hD?iF{Mwf%76qscToEm39uj%q}t> zC66{mOxIJeLAt?Lhs|eA?-B5^)LG2@Ev~bgmRrG02)bNzprFsSrclCwS(wjiBrIE4 zGwoUO*TmOq({TOhVs#F8xPA@)Rwzi>S@D(~S`ZBNYV>sp7%Upy>rsj!MpPc5?o^En zg^r!U(vjJ-pSKWfokPCJ#R(!cGah9^201Qp6v^IdR1+L%V7g6U6TvPXsI!<$X4ZEg zT|=~&J3$p90^l{nz(n?jp-Auc3eZ@~Lm&|@cBb3Ux4+!%jkJpOnJFmreQ^%@kYUd2 zSH~&^;7mV6X!aB~uBh4Y% z3^(icB$uqxgtQoBd%F9Kc5R=(?mYeWb@vRMa z{ulUQnDUKt@Wo^jFTL*lTRN*fiF1Ou)JQ+*8e1K>h`WlZq}q`35t2p(ED#;`Y>ob; zQRD8IpEj4AiZgn;mH0U5c3RaxZBdBZ8x3Ba z)bD{F^!e@sfg?2&1Jx~kWc~-`O!$n%M69wP1>#K8wr-_WR9eu{rumvE&jm%-$Gul8 zBK@!MpOH~@czAgNegbx{sz!ql!PQLHXbm)!jpjJ+nW#~SEF_!K7>UQpI4#!&2%MWO zumgh6F5$#+T))cN1O)+!0Egifpvw_I*`Q>YFi#onQ)5uQc_p( zs=k7A4HmFVRe%Wxz0bJz+Xc+H=KcuN#z?w9I-mX#{J6NFFBbvsVTeGqFw9Fj{`3z2y~lqa@ZT-|`-ly@ zJVWQsBWPxVrGVNurn2wceE9GnN{8lEK0ZBsd;iqirgOl`L!(bfH~dS!1dZf30i@*_ z2U?p10(Y|`K8|HCdfkGBdHBS( znW|rRD1O}vnc?*LtEDi76kU19F1(8L5kMgsPrpe|%WNr52~Q%<7MAlNn+P?1iEs|z zGXn)p)|ULZ?7Dwzp8UuONLjND@JT)dRjU;ON3+nD>6+V^6&o;!+BO9EEGR0vun;V6 z5Vv`-MlU_gLmux7y;d#-o1 zvihWXw5eaN^661x4qKvVbk0#BlCmbGQqkLtzw#*V1%(7MCb#R$`L<`wq>&Z(6~Tww z54$}S<}>8GQxOC$=wa0etlpqHP}7*i*_#6mJ*@e~SnhoY1MVDUd(c@IDH3#Wk*X71 zVE$=cWJeKZyC20MPSQh|j2EOx*G$r{f=g6;1e%9eTfIzTkpxkfI{5`ASf-7bLNd4H^Az_skc_vBaFR`oGb@q0Vv>wdTPsN5_S7p$}s z|0ZzVv%LOfz;9RlUUWi@~%z;}9=WsE=fk)fy1i`T3mjP&47uFu!GQ_t~1MCp`KQwuTRYj+o zkNQ8+V@7BL1MFYP-mmu3#PB}7Y+B;6fZ@^QzWq-B?QpOd3QOp4;L0R)aC~_Z++}}c z*1;e7L0FQ1;9kRtJQo;yUNl69?q>65bs;p@|4xXk^h_LXu2$&nThA`n0d0kmJ0VSH z*LcotO4|lNJGSf(LvX- z8vTJ*CL`dTE^sUtw~y~1?!E%&Ni^zh_o00I-e*f|Whco30Y5G4uH>s*!B%r~zR>jb>ca_JcYDJ6=+D!m_K+K0P zCxQ)u0-ky=H4NAEbEEuCBRs{IuQ#dp0-p-Hx>*@lXxcu+jt!xe&-V^y8$%Yb+2}~N99PNw3 z6QBtNDwOy(fJk0cU&Nh62rD!F26Uz$XYc>l9uM} z%?U&3VKI4`=9tn}LxdspQ~A*=4e6JXN4-GJGFu7*P-45n`Gt24s;gYla5ypA)N%5M zz9l=UAzPr(X~?R{KM{Z%j`~aRPfnCL@`_TkP#mJ}%Agf4=^H|^{FY8%l`mASz5JU} zXR$g0@s5XbZzU=CY8i;8DOh+EYjr}$>|iv8&nHzJ(LJO?ajXtrMm)zHR{-D?_d0J; z5-4GHS*x))C$aW}flm=R!cOFxgC8AiAa<*L@O}e)f7|Ir&8$P5e%NaOJOn17s(oG! zol5m^O({Z;ODt^;`9gGQTE0*c`^<*@P^IZUlXkP@8l>EcVS=pFbRP!%9Zn6~{a;|3E&vfVG;_M7|O$3|alr7bt5`2!ECd7O<$i z##U4(7h@dxX@aa&e9j98A@#!8r6cVSl;a{()lWXrWil#QM?X6!8Le z*3FTy{DQM?4%`{o!OuDCVA5cclS8#n$EdclAEBsBENIx%v(s5`4}l71i_9`Kygi&p zQL}M&i-{jiNy9uHC8JmMc7-FvY!<^-Cyn-lenR37`IE3T~{2mWvz(m`1 zh*qQT_Qy{*zdZWJ4+d^%XXywrM3k9Xxa{_K%`SyJXb^0F`qOv(SJ#30gkP5Cn2p$J z6V=S;=mB>!2vGWPUo6i9<1Y^vn19Da5|pZIi53WWMCla3u%PfKoGg*;Ent>Dfmtj) zp{m?khOc8v_pmEM@TfGfaOwOI_ON#uBJa>3q^utWG3W^_!`3mEK3E7Hz&gO<>W#E> z0oGIuowSW?tmVR0UB5!~#?__LkbbFUh?s5$tKXa-ER8bOM>b9sRQ?DXQyMI6OL3ZR z3Z;NK_N-BC&A(~H=|c!kfRccm)1hgi-TKH8f*3@swD^o?2vdfd{jLHSP&8V0` z&X~tRT2Ou#3u#@?;~~vq{?0exmVNo9{&4#d&^I6SAnQ69zBL&-YQM5V9ddjP0_#+z zG5Up={MPfwoc8x!2hbU>>QC=JvOBX%KZvFkoXIS2ZbCu|FUiJ*+mg-1!y`$G;n7Tf zfv*EQobn5MR<%+v+E~D<2r3(Lt1dl%%BpxsY>twp5sL^$StInkHUD9-b%RAz5Qru# z1-3JG2nh_*B;xFir#JMeSNpqro-X_BF3;t3p>G;wEc6eMB#(>~IOsnWR?Q0s(Y)Rg z0281%F{V%TPc=yma4kGgGDEgP-ybmUFb8Sj?|BDiVI`x>vVvpkQ&GRsb#MQEzXE!|>()3z48yax^fY zY^<&^3NB598ft}*Y_0Z@xXK@5Vi6%z%jX5<6(zJTMRUv0SJX znsDtxp$^iZg^dV<0wZXBQ?}8iylY_Ew=Q{?@&tV!9!KW@LhB|6!kLVwV}BxNl9Y*@ z2p$;zt-wO4c*Mc$9P$?^O^|mW37#ym8E6rKR@*y2CTXqKH9{=FpaQ7knGN8|O4EUoLhULjgt>z?n>%1qsrt6%<=A|6b8h?3t!#KFw z1lta9@Nr|(%57v57j_11XapTZjURf`5S>7hCCXXXN20MUFVJ9lw$|RIeg840v+-FC zIVTf~KsGVCNM~RvW;y8ybx!n)px4s3WYPF?JYlfWskq83MQcRxP5>$ToFavI1NN+? zq>j-X1>X~jHyCyOi@_wioeLwnLG}CJp5A@?i1n~=otxEXFb5OrNH4c&!v~Er_EOnJ zT?^>g#vI%2e`ygVt$#D-xVP`jak^!-7ozTKAP?7nT^-GUO)^H76yl?2xec?2Mrc&^ z(!M2hSYErOPlX5ed;)=dgt|IgD0t+=3osq@T?2q9Vjdu@j*}%+x9vGCbaGn!r$0s4 z*YTSTz+mI2qSwe-Qfs!X+{5?!5eTi{9_mNG$5ogjmSeGy*zx)$CRjSvjH^I z^o~jlDzquctRQqR@ZC<782p0h=XvR$$jWEs5Evm+1di|r983cAwK_Y3qp5umygu91 zqAZ%+;-)U)&`~z#^9+bai36ks@HE*WTM$}ITj03sBD@cB-_zXJ;<&D+JhEw&;BBM> zb%%(>1Q!YTK3H(3=h7E4l7e*d95N)WRtnQhzES7I|G_&FPAwHp`^}0bY^rA=HFwqP z>0iu1f(d8QeBD$uc~liJc(alqi=lRffE+UjLbM^AEY z_F71KW;&A|`u+iTl{GQM96>1(^-^)LX;4wNLTt#MK0sPj+gif0EMYr+O|Lr_Z7w{V z`>>`(Ksm9X`KxR@+zd7Qj^SmrBT>+C6{22MAqY#@rvJLrGP4m%f(dPo0{68ZvNgbP z@CJE^*_u+(DV4~fWk4EW?V9Fq*ELz*k^IydDIJaMnfCSJ_P3;7k__=Sf3lFu8a(XP z9C#LQPzG7AVcdu5%%p`6FEVE0iITaDnT%b= zAOxXJLqj)UBUL`Uyf{nP*4JunX*eQWZh4C|jVQQ_oD_(#p^_T`jSPSi9(ME*IS0C^ z;ZIsMJF29>rMmp5TG0NShgD~25_N|YmQ#=m`O;ljT}(G^5Y{Nx2j`(2`I^ancLi~vk65`l_T zl4PU43MAPW1;L=S>&(-wq#j&dEpj2(4wHGS&5oEO_?j%jW*~nU78|q zEqu(3LW>Jot~VkBxjS2Aab%`_@w%HpOcCK6%sIK1yXn$OUbSL$MHIi@xk(VhnT^fx zY{nB=?J0pH^tf_ z_@?D1kSj}~ryhZKo97m5=qg);&zF5x+fuzGbmo`>B1C{LLFZSv$uT(0{N!?h7GF{p zc8T(jktPgzJ{`KTxrdpLlU~+G2RWt1v%+#%RHzOl))xjZa$&6C&MnO%DdAKy3l-84 zj0qoM4&^`_I&67rrr%kRnt7b6;lT+HG0S{$XfM_oBfrA#7!Z)=&yjT9WFs3Rx(2Rw z8xnL{uEgh1NV$@FCXs%wpOTvF-J9ll2Q z$)FMnY9_5bQi72Um$HqyOS$E=>&T@7_vBZmln7O+)~oGpIE%G zc_tcH^@XBrc0hq64|^PBg1d2&lM@wh;pH)Pdfp-NY#&$ztfdf^G|81Sbk49inEt>VnXPDJ$ZWMwLi zhl@0?xgA9t44swe40w0n(is8qjX+!?K#$OxfjkwdQeu~SQ5jf<5~$~HvPRmrfqX;X zb2U3pyAu`1l?W2Y(o)J;;UI=1Hl*n!p`(yT1(z!#*g8#jrI{fMz<%|JwFc~0Dd)t^ zui9S^&6X}3*C_m)3__3|LVS6yLZ|~2ODue%_e>-aSM3JCwsk#_GiM{SWrGa(#cg_SC`o_ zldYc8q&{AspPgSii>JF+9AMaiHIf7P=bI&bZ-tA#I?W+n81;KdxX75q24RVSlM~s4 zcZaTl_ak2=gN(b2ZEdcQ#(wFaF)7T>#qPN^a0a};YE=%VCP$sV4T4l5%{sE-O3ZUP z9pRTkGG%{`MENMdBvB++2PXT>Oq_7#)Ms2(A*+9k%nA6617<@&v8ZTQIIl=Vt^=pS zzobrgzQ=lfiO`JtHarlgTK-RX9!jGHKEOb}vh334W#1{W46;F4@P}KZQ~q}QP~U#J z0Y)V=&`qI-v4yy^o!I7O-7Q?z{e^PI52(7yoh*e3y3NM_Ly%hzu+y*;Kx0&YQ@0&f z0k{pGFy&{>A$v&k!^|%>>U7~wp)+bA(o;onl_qv})tq0Ug7Avvg?Ms!f>iMk1RJsj zgwZUa-K`vNn2WqrRFUe@L(F!$Mn{eHrbawwgFLZTs8*>t&e7(DW z-TQj~@Kk@j`vTaur~3Ze6YwdK_4wQEr!Z$1&@{Iw$-?p&Ewy!M@-dtcv9DD)waVWp zgVG`0&gjWC(u3!~%=U$}o<-+QxvOrJLQpog=zM!I((N(l*tq4dp>J$F4!)W!+BOcp zG3XswDA3cWr{^CiQ%=1|0O0WyMe;&cb5C{o#Oa4GnVvG_4dinx?=!ihrY|n0nx$9m z2P(N>840{_c~WLbHh|%|81#W1*D0zniZ-HFnO8Dg`F*6=zcgd-#Tlb#p#t=0UY@e| z@|67-9|cV)UV0z({&g7h@~}|U;rtVi3|NYAWG|v;tICc6XUoN5;gKYCiB?c%X&g(r zli>lnPh8|y430xzMBzDu<0g6~+JR)-$`LJf_)iz}91VNHlDd1ln@ zfIF2=A$!OtQod`79%DZx=8(uV@Nwno;s^LCS6=LOnk0TmP^k2YMEcX8s8y7uCu&U5 zCo$bI5nGFhTY(ix@Ya+@4uEoMg$UCf(cN%C#>0Pc;VRXCO_( zD*=dzoLf1ogMW&WxFGhY40hEi1ej@+T-yU?>j9WO&Wg=Z^D&!TED14&zH6 zCMS+k9Rh+#&kp-jc23e26FjzY#A7RuNpM5<+v?jZH~s zD4ms;4^?+41MMF3*DBB8;)^P-y*8k_5yj6Fa5SRLWGubv_iBGb)+W`A?9d^#A_lf1 zOy%1tkY8c4xnm1Jop3X?$K|$xALt2doCc{NK(Oub@~l2PNB8#gtDP@5pKo`+KHNV+ z>)_{}eD3+U#t8y1JNWZK{=X$rB^H60Ode;PCM{@cZd!1l0}*chp?-Jw^r-69?&q7w zzp?n}x0_GUbJ#IYu831s&LQ*5P8meEN>)9ccJ+BG!09P(@#;*|arQX&Q9X-6O;oN6nuFV1HDPANCL5z>oy4u4$?E5O`W#(t06f5AC}yd7o0=vd$LQrO zHB%m|frn7DMAmBHNj-UFbrAZm)%Rb}T>9>*zIi}J1a8ia^I9xDk_8+`A@@zls@Zn)8ALmNeNVSpEny(f`^| zJ?0wg$edV>hL+-k!tWw?an9~c?SP`{_*q;RZS9@LlaQ>G%^``T9juK7b>z0~aXD2q zu#hRn3OG281fF@_KvtwPp2@AQZBdO%G#MaiBzCWG^*OtYzY%m&W%>pM(HgXat*Nh? z=aEKdm=J4M^?;h9B+_zu3MZm48U?K;yMydP)q$sOMQ<7E*dz}Wn-4V^HgYW{(#F6; zg%42|gl(M2XOH-#nfYLz+PzClx^yAZ@&tc=S)pt|UxD&1_(CBDV((c8YJX;i-ohDV z;$skR0-X%FW013l0%`ZsY$XE)REl!kS2pu>NM4vYJ<$Czp;-`w3LA5%*-)R963&}Q zRB=({&Y!}B_(<-Fic)qdzD;CJqFHRv6~Hn)vt~V~Bg~5FHH6kVO@4<*JehH^gztqO zHLND2Y$-LE;i`8x4-a>@4?9o@A3yhgyZ?0aboc3Y=Z7D9|MqYH*8Ab}?dSW4-+utL ze17*3d%DIh4&s45pQ2J=N`P0>W~3Yf}gt|2EWn5|5^0AWSV-KL0h(2rlo zs2-s9mdA=ZX@b^D^;@!{PFE|u7ej^`i%0%@;=<%1Y9imZhK2OfRRS4gtS7FYsnqIw zvmE=`$@J_Ew3jW={J2f6%dSkd2IYsFIg(Xf1_spYey{eof69PA%k$p9V39(+zOHY9rbh1_>yP};iuXwLvkk_b|W2SJ_gvMY6l24~5u4^l2zs+ZI8W0@4C%;GBMpkGr=<;s!PVInMy>`!d7I@D zY2vHx7RCSyS7HBYI*v&g>=lW`5qy%XdP0q#Nes;6TP2&MSc{pwm8dsE;2$7E0(8hy zs{P*Rz_wD9Ec}5A1T3kfYb2M#ayABJHPZ*w-51{sIlIzL$m^ANC{FPo=(v~sPH+uT zJqc2fb$lW27p}qrZ648~@CaKFQa6kO+_E>(#zFea5)(g_LfH~8?n{zE>Vd@9Y1#w6-FHVvdO)9nG33&V!Q3N>$@Jk{+%W_N6+rUoFOZLml*2^ETU0%A$ zaPZCRQ0L@m;wibSD~pWRBd1}NisqI`-qW( z%M_$})RlLPPTf62Ktwn#U4Nf@o~!&?5UaZ-E^iC;7y5=VV-9E%$+gq z8cC{*Ib31$yYizdh4nZ?(~O9rP)VGdrK3XIMK#O$UwKg9FiYMbW(zO49ma4nju(`7}HaOvyou}RxV1QmeGz`Pm32qXxvmGw4T7vI|dT+@F9x% zg|L-#f6K;IeLY?@SQ0uEOQK5$HLDMxS>3o{>Y zXl$`Vyn9WJ!0c5@GlkYN>rv$@9bNcD%p!L-zA#Il+Zk)jvU9F%c)=zSq_tr`XBIlV2Ebbo3Wcw8Tz)>64P7(& z6iQaPO9Af-Spgjm(VN`bMY-U(nv=-LH76OCPI8YfN0Ef?c=yDQq&v0w{c(Hu{%@9F zxOua@vSqjwzazTB52HePxSY#T};I3U}7Dp>n zi8>;DGG(Y*({oeUy#Oa4WCXz@jq!jIqNfY*ED?_OWfVu#uX-|Fon}h&;dCT!Dw81q=D~@Oypp{=dH6J>2f_0zriyZlB0kD4k}#72khD9X>rs{CthtMw3`Qspl0yh= ziC~qZei^_Ld>%c>@Ti2dkzFmEhCWSZYP$+Q)40dMVC<-ib@Lr+5pfpDAH73vN0u=ls5&-Y|uBv zujz1h*=@53_CAP%vq<=h&N{q3IeDSwbi1XP&9MZZmZNN%H>tt{BrA$3n9#hc2!=P^Pd;gR*L zy0hvrT0@hWZjlX}`i!szPLg5I0dy<5G;Nliy8o4o#c7QPr9yx zF^USaWM^bm6_X(>Da}lE^2(?O0S@sYEJSOhumzuNNd3}(q%+N-etNisEdk{JQe3@Z-~Z- zt`Av*F|qc&NEk8hN(hf2@QCw9HA33=ljTy+QZC|I8(CxlQjW{y}jNcTKp z2zayw+Oi*~ol%8L7QwdTh&~fmDZ_rsP@jsf7E~L9@gkb&q658Lj%u__m@iKjfUyYz zzc{YHibabV79L(36!tHe;x1|0TO6m@8$sWZZG^9<-y0^r7dnJkNvY`5d%#+7|4%jW zo|KT5Y8}tgYfT;$r>n!qlSaYe0>dR?B-abAE0)Z4(=d7Zrg#I;;?0LUFCd&Yf5-B7* zelW;m6FQQv4rH%t52^zm8kr2#&$;}__QAdcJiVCWOvX3N$#vJHhS%l%tVKZ z9Yk}`;qHdNL+#GW;a{BM^wBj@HZo!2`VuD>r^k!Uo3Ni<6AIhfIaPNTEFK3X9vg9i z!f`f+6HZH&_;yOktmBmRO>JWf_Q`X$St4<+uyVGylK8}|kF)c$rEym3hBy<)KY1_? zE`M%J*kr1j;l{QnbaH7kTwzWCUw{+S>szHo`af{8784$t!^2&Cp7-Z$g>l&XXOPS8 zcfW=!J9tV+IGwr-$WNihVNenD2a|=;Bvadk<+K_INYwy|3y{k0OHME5yeIpD$xAf} znSqcfO!i#7sz->vfq+RYI}?18NtAfAm>!>>sM8XT16Mn|1aO)s=T5jG^Pf?Nsir=T zR7vvWrR)tE?Bc00NoZU6`21KYO1XtRIeHAhwQo7&f^gRFfalWdevsPVlAj^l$wNT_ zB1GAD$DrS~{rzxTlh9)3gcHg6=|JHmwx;L_bR|-%WUWvS@L273>{sj6b}6mZcYnM2 zgiP???(aUl-ogKxP1$88)DoG&zhgi4-oN8}_;#>Cfag>&L54AckVRvL0STRZEOd`U z0jypaUv?<4AtDkCeKfR0Yj0FJN2o8kL%t8~Z^=G1jXv6Zpf)^(xZpJX1)dU3e0h{c z(mmLZ+WC(XMfbnSSYU__=Y0X3(+*C90EQSe7n*2;zAsy5qT#aRS0#KGVouD8Xf^^6 z4ayS#$W!{7uzE0&mbssSt#hNi@R!kTg%fAUkb9G7*EURf*asKNBI$pm2-qE(S3 zu?gzq;Gd28@^B%yMNA$jYI(ALX;|ONSt4FH`X+sWcowJYQy{t31c_RmAI=VGHb6#^ zIKAAo1K5~>EEdRbunEp*C1 zhQh0Wcm=`9Vn^9L?3bZP*gpk8A}E~Tm<-LEC#paT)o4gt5-50E{46O?9fU9(s1FhE zE`#xfB|mzFEaCTGf3F{IJ`eC;PyddS#6O|W<7QqkAJ{+r0Hm^b4;~t?9idyO_&AdWbDd z)L!8SjQOQ5TN{`CGmQ-DW1eo?IY%i;&kB&7vh0x5ye4N6>FTU$b~L@P&?_VQl~U87^rH?uTF_EKevh5jYdQ=reGvbUyqhVw}6RrAfY#{;>D{ghc}EJY+S zlt$uHx5nj;!lP^N@;*QLt1w;N2Qg7LmOGH~X{LswRTB@|CCi(V*pu%OoA{~M0})Qx ztcNSOr15K;(~za#+xg+|AMcQy?|Ltc1Vpgltdt%nfr*xf3n!vuB41SP1BDs0$~nXx zvNh+%HYUgtb^A%BHKGqQb0u-zjJqJ9(3AP4sPG67P>=w_Gq`=b_&)}pjoV}VSk;FjvYBX6dAX}m{N^16Qd_1ounD$pOFKHPm#Czru7Mm=rfjg^K0 z!@M^F{6TIRLvuNnpTjbnW}w_f41&VpLEa-FoxMvQBm{*;@=pp2-a=j9^s}Jk6+V}! zZ52lgq*R`#loZKKWLkC>ec>3jCPQEjIL>ABWvF-HZSXP{(ipcobql0L?9!c?6XS`i z%eJKITX91dnQV!>To=7LLpdt*Ocpr7p`3+VL3}@XYW&G)tS75AuIe55k|N(MI@o+u zdNQ<%1u*NejqZ%Qt0Y-Awj|43Z|#!m*!SM7k@fqEN-_q~Z^r7hf$@06U}LDl7SMNIw0vx_;cu3A(Tw~Q^P(T0#Qq#CA< z>zXd1-#8GfdD`fe?fqB@b({9%(zQ=o)0~9z;Wi{?Xe; zsae->MEp-U4R&GgF8!^#&nRU@Zyese38Oy)Y@q2fSwK9z8K&1O3cPp-D&+D#O=eKE7*DY z2}i6Z^ezl$ED7=QYyr(P3t|_??K~>mNVBW~_=hZOh3&B5zi7QY>PrAeAyEiKt}!Jg zXJbjO5(DzzHY6rLXPPDT}95J!Izr{DGb79P)cMC&-(5*dP5=3O=`L&$9tCpAs&+kffADo@EvD zEUUw1Xw8sXBuIcEw^|0>GcI1h#`HM_t>L-Ze3KIF2k^)ZvoAvf!DU|)I)8Fd5Lp$q zH&6^f19Af65$l`hw9+d#st%|!NrT`yarMzCc#bM^R+9T~^uIH#Q@_8z|J&VdIKX2K zCM+((0UL}ho~VB4X!8p2jy$g74M8Db%b1MUsHHJoqxB1L!0YYtB??R+&_J~3rx&70 zfh{17G^~AlsIcUPNxISjT0AZL~?f^i-=bDJh(X-vsb0|yL^Kc-zx zRT;t~AkALML9^pM%Hm0b2p%=^=OL}>27xQU#I=MtX$Zg##6QX3T=*fi(w%O0+UHaQ z#0ffUl~0V|@>Kt5f1())n?=<_j_EPv>w0w!m(5`}92p5M$@UCX76W|Mb*#~Ykn zb2iwQ`)rGBTO;~ee@JJCc5U_Tr6(jtu(GH97ueHW*b^m* zMS)-vwwiymhK2vxsjP;_fRyGECYk{DtnETsqrA?JKhH|8DxAMv^ZWFXw+D1FpqYB; zVGVu^r84NG%^{;U!5h3ftp#`Wr-A(PDr-ttN{X)VEhFp>gxqA)x}i}(b}@NZ!>j7`NRl

    q0!hH z0!9@#5{*=`%RF--ErZpP!3CRL72*I(X?{a)?^JEFJmvI}vvf?78`xc^HPv)Vw9|+M zMh;gKzE*;{$ag@?;xnMbG9)52mi1Glc}CV(7*ST{k1_D<00d5rve9k{{I^pMbcuJM zi+2wBvXv*$!5|On3F%ks|Bth`VQwS2vIX^1uy*VQWvhEo07!yw-ueXqf)d^QYJikv zPfS#>C918pL}^IM?%tVszkScSUzu5zMRu%bT7oJQg{sV(_w(F)m-q;c*80mlGU#`% zO_4RFqT~KhwDExnjpm!O@zbo(jlHe5Cp(5MnaP4UA-zeul$k1pK1>LgYFQRWs#I9Q z`wDp&-qc3YjU;*=HOk7MI?6$)T~ruAlSwolg!XtcnS?JE;I8v_IhyaYcZsCKh0>q2 z+6mNJK?hJS2vlnelQ*t_pSb{@y8uqivYA&*@!)jkKPu%cY;|29FuRiz^IPGk!Rfw7 zvir#qMi zj?0xL?@Z7|rv0)%AMNjVbci_R=o`WHQ3b`zGGS>+&+*m?^8lCxp_SK(-@Qkf@t=NWt3%7pyuQ8t!p?>}#VB+% zEVT)uBbG|IM3S18Iuk)E;dQ{vh0o3*x8V2u@cGN_r;mTX+4=C9xt%XQe7w7V_{a4f zKJ(`~om)P9x%+(m0A_)JkbSvrUySU#qx{Ptd2q3*I@nDi#Bo!t7|GB68TPhUbx=^W znb1wDlmx&hvaaP#4AZ@SeNOEncZg6DYd}iM&|{05*HVZ+)E`wat8-+rOTl1ANR%c$ zM&=*ucY&kO$U49kF{D|z$3)~w@HnT&j2j76(X)AqX6>>^0Q9U-mZ^PxevFP90;tRK zRo8FyM#Y)2bQBvJI5(Y1dUojhLj9Zt63BDsb)7XIpFL8TSJM)p=hVMTww_FV1Zq3p zM#EJgDcWL8$dmNLa|9A1(wt_^U)LL?>LoO6KX$q(${o1;HerCVt^*%S%z65Grjt497>maS6lF@IVU54t8rqoE_LM|7$2=}*Qn@*K4X7Aw z)bO{}CveY_f7Q`M?7v}qMxZdw+{8f?aAYky^6&De%Oscp#n7?lKx#3J6k!n@2Rek9 zN%gTz!TRtxE8;fqsOH=+uww@6IeL(MjYXg6bzn-$h%Gp=XR zjw6efhmy;)$g;SoBWjSnHsUO>_D$Kdu$e>EK=ZFN&zcbh)Qxher%?6}k6$_)pdpc| z|K8dN1|ke|FlP5nz)d2i0o^Se@`tKSkY{r9bPG7mf2va$LHDF_qyM&4ZGf%Gym?W% zQ=t|@JL?vr^+P0gcwH7KoMqJpS!am z({p-JRo8Q#?j6k5!G4&M`3+yZpE%1dtkWTrG-W>PMqp6C;wH4sb&(NFii= zw6Z4kdAcm#MxE?Cb0xS%XbpO_f|7d@v{`n7CqU{~lClMG00$KVRtLZc%n!s>;3^Yw zkz_$U3UN&tSFYwuStyC@#%tGyEAKrpQD0m*r#sFH#ic^C8aHnWa zmvQLskiMd<$`nPT;Y<@F?BWdy#*)>-nJ+e2m|sPDSMEtLw;CK2aA&>()Gf1AI%9ss zhh_)?nxd1ZCtiBBDM%cn;GtP^3t3bIyzS@li5x*x2sP{Z0KnBieF)1uxu|z7O%jzb z7RMVDZGVl53k>Ao-q1+tZ|*3>9MCe(*_%N#x;Up?MT|g{4_IuFnSa>nMUQ5T9?4eh zA)>mcC=lVi^g)-9Z2opWr2B}n@~FGkZdT*H+1vJHb@+CKGS+^2m?CFO0f$0H6!i&u z%Z|K4zPN;DA;@T~gE-U)iC(~Q0iIE(NtHUxk$kg&FTQ%&si8k8o9JS3-Ye)tGrE~O zn=G8Hxa=qst`r^d@&|>k0BKNqPvv5D$RDZqU3ns3t`Lgmr~*T(ENW$zu59Lzvg{*t zVNo4g@V#`zRNNPq-%uIxrxvm=$Mv~U1$te0n^_gp-$wOy#sOz@pkrazBP>hBdWg$V z}?)8#AZi2SHrgt5i0xBbh`3Wge+ws|{zXlgcZL zF=9(uDXOfbC3!B69uAI!*C5v5`H@8Y^}V6+kaxibd)nf&df87;e^3sOKe^S_s5}=9 zUowpDe!5{w&!E~lwOR5brTql+>SA-OwWJ`guqGJ-w{Ueh-=G_YH|N?d=@~2&X^gw* z0-O90|6#Wdt!LMXPXnO{>@lNX>QT=ARo3W%3BZTcUCK&~xI?IOh!`aphypib#2#|z zjYWs$x^I-2_UvfykD{xX*`d8j@(UyoA$l$y2oaxMtxj89nqK+7^Nq!@krcJ3A0wPMgTW*gEjC43UVOEobmWjg86^yJKmf9>sVi^(dTuBhr+>aK^ohYbEo} zhf1s)`-CvM9&h5JjzZ1{E3Z?Xrtic93Qy%BJkToSG>-y-&@3wZ@&W~#8tOv=FlzTQ z$uucq7YLk1L50ph`I6AO%W<(FI|xl`W8>_M8!M-5GCl0#Tu#YoB3Yxsxp^NaVbiOj zdz8aMycGG)hXfu`3xh%Cp(e2iM+X-{@f4P1u0GsLvROKcnKR17Bn#zCo*>t4B$~tQ zPy3=hdUc9?Sp?H^yqmHy0PIJkh_A(>r~o6w4-HA5`~}$Gy`w`aF)VdkH1z}wK@cme zA9&y7{1ls?uREv=*mKMoP}L-w89?^7U4>`&u%lC|ORG0US)Zv{;u5Bo6?ESnsL*_G z3Kz_QLkO9mG%FOGKVNMxkpX_$B0&c@P*zj&2wjm9uZIcth~s5&TCN zKowX46Ic>Xh-9{;(|D=wK;@-+Q}m^{)kmxy-s6H)*T4y7f6!meIW9!-;&4o0Zg!-S z2q01|SNwI8c6S}n03ry__ z(vbs+ye43agCFMCI;4{gDR95rbZ`QfCylHAbypo~qrxG41p_Lb>-Emp zhdXx4HL8!gM}91TWK{70)1o$4k7} z+Y1zgJ_A>7>F*clm?UhQ^*wdxa~NkdzNfQ@xaxHY%8gy;*%akdK6P zWdf_BGBk0f$3;LpeiG^_h)l~>J=_vjoYW7?7cV~r-0@V!r>RAup=lc@1uI2mx+ z-&5Sr$z)t^8xeB6NHDu&?(lV9nadV!9YGn{9=JiCHrvw@-bBVr@} z&x_9=Z}}YBy8-wPJEMPuyI7{8+y~mWwWB|wKo7t0Jk8kQcQrTTVu{ct!lyWo*`sKR zNR0R+Tp5};z-K=QC8*y*3P6Ms;{hd7K`}0e9HzD2%KGI1I3FGgiWw=J?Wpt0h(3^I z?)F6E0UAa^KDthh+|&+SLEKg;DWNzyO;K=1_9N&b5545R7ggyydJBvzIA2I^0N%X2 zzWwn36SKpwK7!cE`Q_==NkT_Xv|zr{wq8(*DYZCbR2NG^mHvn)n&P_QsS#Lclfq8LTTb{`_J`#N}V$4)RG~Azxhj40F#;eA5osV1=i$V{U~jkCled7n{>q z`1){V0|qAQMu;pD9dxX|d5JoNGLX*QSkIk#_)S3r-WRYsRp+`pnVM)gcYI`qo&kSS z<-&M0ROo>d4yKd-2&%witMe=oVo>=%CdB`s;gDty=i$DfN;H!;Kp%WiNki~FB}Xd) z9eav6$O1`Hi78Dz$)?$JYZ^XLs`kjH0iR{LAN6sif4Cb}i^<}o!*z)qBb2Y_I_K|S ziSvlxxEJTyi#X40AMTqxxn6M(WL7~GRZhk;UbbARPE&#)d&-OX!SxY$uZ&gbtv1Vu z4JVK~9b9E}BIKR~Zlap<{%rXqe%((d44l*USacPU1I8Om5Ar#5e*nZd8nF`M4 zd-RT;9L#5Xvng7xAQklHyV>qhCVma&ARz{XJtfHQ^x%$Ry3DN_h`9}uD!teup5G6? zEQv0++5lo$4wnunhLtOsp&=u>#ERaV7&=rld$rUX@LmcTJrjtg!$*sp@nTn(OHi&6 zjCg9Krm2y&rv7(B%2bVn>JZrGu}Px4h&;#k?J>$1CTZ4 zxu6un7pSAXM#XvD167568_Hf9GMHd`+DlzatBGYPSV&|(n{Tf^?uK@Cmb`(7btfLb zEiA5P;vlHP;=*OOxDYbMl4+?S|I9i={)^US>&@np(neki4hk}|gcRw5KHvd-`Fbg3 z#CyC+Ln6gSi?66{PcKl$fCCTDJ*!Q8ppXpqTpp_9GQ1X=RM24B$C z$=U=sjYA{#O_y)Vle&`CL+}TC>q7h8X!QPz-Er}P$BTdr^gYn}TS|iUl_w^ju!^dg zi8C}s*Q~mr9&YxpDyMKlO0~<`6;M^isGT+!D8LY(CsQ96H8ICZBl__;$`Hymt#4Uz!(v?i`t>Gb%UEp@ zKoEXvwjeTJs+7JTK|nb;Nz@%C<-w8sYhqMIGDlTIy7!S=CjjQioH<&-Az`{|$U9dxwdfC%p$Pbggg>01 zwL4yAb#iqGG}{R40S$F^x;@?k1-98rnNc{ux)&jk;6xRr3?0h;YgC!By;=flt%!ZG z;8nsyf};sI;@GMNG+hlKjVsef80O`I=s^YrGQ-~w*HeWj7`Lfm}(^nCP3@M-*$g?pdF`uN}JU#h5^z!0j<(+AW6%GioF zMUvkIK;(dQIxXmw*y;Spgw`arq z;1+{|-if$VYVhVsh-_yoUzr^>n_iD}#i!s@71#(lDwDp$Sb-qpGa9&Y= zH_!ph0i2WB3a}9TBsFB{RXqNY($3mA9AC9#Nt$?M%9>N)5x%*7zeS=WeKxil+L9u( zR?S}}VT8UBTzpHGRmdqj%Om;);54H;gTI(qiT|{wlh!=0$};9(^IX>lbpsOzYj%5B zMaQ!3KF!0LT`)1ciF4A^yva~@wPL0g-?H}?Q^COSly<3bWMxF(Bm`hH<`D(vv*`Vh zUn~t!6i-TDkSLfGt92nOCmTu=%AM%BOkJTa-*yyEvp7WI?y8*mm{WGCW!Ma%Zk8ES zu7S)5m$fK^E?pM4I{@WffBy9G{^9v3K1%6vpaCZBpAlg?T)hekw3=lxP}zju6h4I< zm);S<58`JL%@H^t1j^|5zvojt@NZZ%>UK_qpjIlP;*NF`1CTy1HFvC%8`PuDfUi>T zd$L00t7b!3qw$N2H_AwQm;Fwa?}$gogn%LS8Ak>Fnq&n(oeN+<$;2q@JLP>w6(0yb z`uMm%+u$sDTPj&X)WU9|?uL4mOfy6v#7PI^iZ&VhN{1*C6JA*+GVRDUi7Ms=X!`Z* z{$RaPc_8?T`}II6rZ2vmHYl**rgGCW5hRopbx})GxryLpA$O#%L^=mk5n5#_0!ko_ zrmA|F!*mf>8nROko(|142Mo<%{q^`~$?^4Vu>OLiHJn@l$)ZfWwb6_-Ss$*P%z=sA z1gK;%259QR#btkyXsH@YwVX>FPH^R!H=AQ1+UX4ROfo32hLDwy4m(KJDW7a{SXXpVNiYblHPFDdUN?Hrs^ zdo)5qP2^F8JP*R7Q-N#%Ayb8N;AiRSg7g`Nauf}|5rSE3j{LMihi^-@o7RJ~(L|;^ zQPT*{f+pBs9wkChEn1vPIiF-lACOU>f!csSI?1v8p6odVB+`jT`&!1-lY&;PrE|JI zJZAqW9_h+}-?=v|H@>-j_XWkle{QWR!_6&Qk7iUHWMRBcY8Uce#vd-MVd&!O^1S`) z$%U|Wqzt7KE#h8trqAj}x*1E$(Cn^);SfvsaR^5~MX3?oSp_?M1N?yIJd5Qy+#l)% zC6w!@j}O4g{Nv{C{$_8c*xH?)Z%AfEuEhhp4aMhdCiXvF*Dw9)PowDsX3u}Y2KsGZ z5yS((1JU0Rihx6&U-O)ZU4`vdQ;_CY@z4=!rb~?q&rjsiO9jtADyn0>m`h&V5c$G%#iLj%G zfR86T*Drp0KDvfN^ApOAyt*JHeTOPO^d79G?Eua*b_3g@A7q?1CX4U@TZ^J`C(lRN z13a2$DjzMkD3HE$AGMeXt9$o%F$K>~B}2Kz-{MR{k%~*NjpI=zuB4NDbsYsekrQ?i zKCXPF8B2>3gTQs_FEhZ%o6$FMe97I8I|Mvv??@`oL;4W^#iYv_YWIMVJc9qao6P|N z`}XM}QjR@Nxoe5Vy9duC-iq6b4T95gInpbr{+7JTV*m0Fp8XK5LlY{(b#N+qPf^Z@ zufJ^1FKEPpzeo0X#uJJuN?WAq<%oZN_otV4U%q|)x8~mqbf}mLh;3e>i{mfmn?FJ| z6u7K;#wIP>t3sfQ6@uIf={z&jf4aYS{rXs=BdClRo&yI-NNQtuX~Yev$5&Gy`&Q|| zo#eH2Va#isdj&i;!9}SJ>!K)z4XC)uzJV_%O_=LaLP)_>y%NKDC)naAG|oXgsk1Zj z5NU=V`sBD!e>uDIykzk;v&WLV0uu_5AMir{1t(P2M^;s1{G2B1qm{5v2R|ZaQ@?xg3=$ef-e@`{ z6UB2vmROC;Xn&g8pgL$+kp>W@svIO6yMsl@hccQ-CyQdm7n|jlx^p!F&Ve9e_{krcPf~ZN zKc(~FrYwOt*XJ7PL2I)- zRwhdmTu0vUF6DrJA$g(pd&;djq4pE_a44X(kAldqM5ON5ah4hklWU+b+e@6#Lui7X zEb&Q{DHne{bNN}W0rC&U8ZtLkUJ`H$&no0UG$VNCSWyNZal&!%ADxXWIOP?l4F4-i zC64Aa&aO_nu+WnqBKlwT(N~nk+b3bB#N}RlMfnUxLdN$mrRet%0&saR#0{>3TM2K-Ga)22J!WeH z-ZqcO&bnGOj-H;pj`;xd?uXDta{FVKAq$k?`@#Gvpfa=-TOVo`G&!84tXk|N(>`42 z<-^MCoJA!m&umDN?;LgXBQnX;;;-DwX=V_B{P$E8BTIURER&y-A|LcJ&D7X(LZeGA z%itA5OuSJ(PgXrIK?DQjoQi|y0YcZn?+WTOVG_p)JK$cp{ywE-f*eAykbUyrNvf6s zz8UAcKccHfNbvD$yMa&b>~MQYcORY{n2+QbK`p%+YCTFBmX48GDKbTI_F9>anHJfZ z^6Fr#S{~{uwC%PQ> zV-3ZRzFxWt0X9KtmC+uG^=4QsI*Wz8n?2--4vqNHt&R&3A=RfvVv)*V)E{Cf*Z$&G zLG4Mc4~FX|JQ*%$)dX?~cO@Ot$3!)ya4`8*f9&2j*1jt@bp)~0LXG2giPGwCkm41a z5PahDe4r0Rn4mUEpNSjMG0UWWyt?fpzFl}O66fh6H~7Gd_J~+@F66{fR3Lh3HL-wi zXM2wFNyL08o?9V3uYjBAR0-i1fENHW4pU9RmKF9C1Nu_=p?Gt?IYicJd$K+P84Zm% z71hRtii896&|0m~?L&#EMIq;5-6Yrf=7jbMO;lE?g}UFAN_+c9UX)NR$%4 zJvfzbz!nFP$1iUl*5b3JJ=(UtYfe=GvQdln@~s^Wb=0~=!^$Q}u!I|Gf4razk(za1 zwT5oZ*A%%6Xi3r^;_GNRL1?bgH&`*)RuO;KIE#P~u&75k9kE>fp~^y4Cg~E%`itxl zaMq(>aV^s^obOi27aU+Z_kM5JvQCqTNX|G-DD6Z*= z=8h>4YjqB&TlO)z0Mv*Wo+)daA8?!}XfIOH)=sULH8Ffks!^+Mlip?DOFnTiD8I$? z=&6IpXd?yBXsTb8O0D(P4pSctI@n!mms?xf%%xfacf|4EUmsl3mb=JU; z9fWR2H5c}K$2lREHBTYVCh{(^)^O5w{6eVUBO^@q_iU{3u4iLmKGk#tOStWf0;xr2 zywuAX(KDbSKD;?!bG<(f*U)Ibi!bqHh+<(oFlAB(`G?mlJzGj!WmR=DlVO^#Een6S z{oftvnhc%sa6L|#`g@ifgdh zF8yYf0WvtnIeB$rED#Yt;^={6TKyYUADUOc~#6 z=)4y}&oGb*OqgIl_Mkv^p=4>mpS$}#d1O<&)c_EFjuw(Ew;Vy%ff>5ytCKZEd({C? z-Wjha{gH%f;bYSj8v#~s&M(hb=NjtXx}CwuNzKk^W=xPUef6NKuO1BOs|TU4x*9BC z&h}#a*ES`V>`^#q6gRVoxIL1bAkV*>qFpB!IIh`BMHoy5bb-JYY4God5Zh;gn;}xw zAMdlf_1Tm)YSNx`*rAN;5B6>ifHd@#6N#$8)XbXavUjdLA6T#pRHSmVR@@B9s6Iqr z#jXH)dDXzGjR9RXK|eilX6BPEovdGH=2|3z)n-*p7C-OR=_Fs+x%!mjXv}SnyD6IC zR00U7-@m%}3xWqu26}5k=RvF5&n2v?s6JbnRC(G*ZYf)Xht2hgBPl_61Xpuj3(S>M zXW4z%ZqlMN7K)Tw91WLrUc(~PVwS~Hc+EcHH80-1c>m(&#fKN@z~h%k*Dppty%@cN zGVx+`gN{2dMnBi9C8ch|EZJDdjX3W(<7oAPEkb!?yr76RBpb&mfbkaz%Gt$^W{`iO zFjSDIM>u|wynxace$_c%X4>eOu)HcYnPJIt?gR-|=g7CaTvVk2-e+LIpQSr2H_Oa6 zQ%h9s7sN0)IHRAaL7;imOrT!By?|b_^IgLh&bF$Oy`{0I*@>77)=XqbGa-#Br!l`r zX#|CwLg*_i#w*IPRJsw`2QvaRifd(zJ{y;J6SKzk@Sw!;t`rB&Y*1RI#6)D5XqKub zG!DiD7p2u*0G9I2M%5-51PJj-X*NO(Uo8lt3@+IlQx3^RcNC?h{;ba>gBRUP{Rk)F zus2Iae16rpwf_xy`B45^$_Q&XiG94iL8q;M0=CvZAhCq9VcCG&CjQCD66@mh$-$W+ zf`TZwELaU@(o`?|)71v$`EooS)}E&cU3IApB{Rt}U)*0;-!va2IAnjQ!WS!(_zcB? zPE|NK+fA;c?VIC6An|B;sW-Pa;xAkKro1dltliw*fzAXdhk|H&0V-$I!@<_FFKBWv zrF1$im}3)s@f@Cj;-3Hg7z>>)mLBi!*OqZ&2ICGpaSZnRtIV!ghf?P~tPqWJ4yZgI`FX(K6&?DuW zY)wmhdain?-P#wFh+km)Q#mLD|SkGyn^sFyNQ!iO{Z3(oDe%ju8GUPy2} zDbv$&g=P{qyB=kR4|IX{MDFEis0~Db7p*kA!FU65P0%Xnsf=jMpC!r3Mmcy1?4Oz0xSQef|1}2F;M5iNX;?RY!9~-AWJ8X?Z!(ZT=m3A6IA> z<5}+egQlQ5ZSQ)i)^>+8LAU_gWpVZcWwGZZkU+F-jRNBE86v0DFV^kRXs36{-=I6t z-4jpJkYE$}pqixqD+uGgz@VC}knJV$~pvQ*{&@K=-Bfd8k_TVR_p!E<7-iPx1WOtBWO4Ud&5~ zz^nZ4n?pAj;|T-=p7b?Tf?zIyZlcEP|9dmU{*BWy2CnXYFQU@xJiM69oPi0r8CH`q zdTCOf;78;zV9AVBq$KL#Jsi=^Gb3T&y%!P=K#6XRG&vOc3X_Lc8rz{^!>6g3gK7E(nr|+`&^j-FF z7m&1O&8%LnQS51Z{PGMnPLQ>$sWZ>omnY{-wz9cgzhz?y|F>HZP>6r|h#Z=vEm*xd zHo$ zTxlrj+>R$Q-Z)#rXE;7!1SRuf908>()dIoQ0* z(2-K07TA(CAJiLxA@qL8+wq%4%sRds{vlNIV;rMxUmsnx_KStNmCEsrE5?y)NXeWB_8IyWoL^CO!AM>Ojtv^FHe7;vteh>Mo*kj_f;6TKKTQVr?a*Ch zz2O{BtgichB4gLNZ>$&{H&?Hqi}5f2X=Sp-i2k%igC|k`v&76yyaGyznVCcnWCRl~ zfjhdoJZcfh774~`3cI8IJkP#RGu(AUi6zhFxxn0i>yBcI2#xpl16pseT#$KCJ*fwq zM=IZwi0bMXMZxeZ3wH5_jmOL*z5VGkIQVN##SfX9|L6&2}t3fL-7|fB@j9P;K@{fqY60D=|6Hayt&mu-?bae$|F+<=D@(&r*-D=}nJ- zQkH5Tt7pO;oO>0{Yr`+0CsHo1wyPhosK;lovt_J~>spGk?B$y}I+a=XpDdQvNOL7P z+E|-w#>^_T>_q2XxKm&&{&l@Mhn2`^+|4f!H|XO2<(8pF;d49xIid#uL94d?_^%^& zv>E-OZ67{=9l?6ke?I^EuOrk6;SE0G>X>T9$I<_kFMjzz?)vrl^M4)v@sGIt+`j$C zKkCf?1~t(^(oKTs7U$qXIDd@H8N^HK*K1rgz9p1H`U5xuX?4A&1Id^IXRaYN4}e-h zn-Y8((ZaIko8`opOAOppcqUD{jq;N+}8h0lN>I0p5z(?M) z&?O4e!sJ@K-oUEtcr6x}zkI&=`Fd}*oQhB4u}OeBa8?IJhqq5Ide>D<5cR9_O5*q| z-0lU8em}6Ag&<)$1nAUo2BQ+SXG6UbPJ%jH*_abg_1nQ4J7sW@!FLWvl6bkw0=Y8c z_pFS|9rd@6oAv4klsRshz$KqTv{H2tahq|S{(W*;?r@c4OakY2H!Deve z3q@Rx z^BM3AuEvcR{wW|{WL;d*m5LCu}UcO6w5Rk%TAlJbGJ z{rb@U{O$VgJT*iWy$zP1;KY#uB1(iX++kKcaWHjI>|AJ$(K4 z(0)Q?u}^85Cp+rz@%`1}V!0U<=!xX-<3qsD0YpKR&-20klC$e_Kwc)JSKs8*?YS1U zYZQI7XaqebN+B9zfFjZD19QgQR%h{&0n?1}1We)PSdagcY?~Wi74vrR@5^ zZSxs=zplFWXkmOgcQ&J-KRc2Lbh)AwQboSQ0sTU@$4EyMWHl*s`9tGpwy4SBv0h1Y zP=_kFLrW5RSWL>sXlP!%LJyzY&+o5)#ZGF_H}`eGd_`t7p_hv4KJt3GlddLgau#v= zj;iYePRX@lo=q|(VBy8oGVUrNS`7j=sf^Wh1n_U7Q<1k^6vYV$bxA%vHM7aASM)|N zd+eoj9L%DkW1!XXC@e5S=z^AmkSBy$zPOO?YS7_`+|j{hZKP9oRI+2 zfNpl?XTi|sa(!$s(*oai`$dkLDtp^E$a5z?gg#oQLsT zJ)BGSL{gE;gvG9j@@87r5fi#Fzxom@E%1U@OwcZ~Wy>)qg6g+<#MHOu<*8}TDWjRo zKTKn#U)EZ8QUpRmqiAI3u&YUqq$BOH{{nSy}OV7l_HyL)s89iIA4Xq+dm_XG| z`Dci+&{oq}McCA4@h2lDs8Ocn;_9&FA8~t=vYp||FAPl7A4$9-LyV{0kBFf4YXHc= zYp6DRJKvf;A`n;v6)sj(?aYR~aH9j~k<0^X==^!A}lCE(u4^i)-fjwTk>SWO$6UuO&Pk1+#9sex}d-6MqjaBHX z9yP+%jH#s52KPPFvFsrplM<^}^lHKxCa1eTsOMW*P7}@(Fi?UC8#qr)PwZRC=Enk9 zy`J%md!oNP84m=GnhzIOQn!?StmWHe-io2=7MFCBhW?_A2Q&vmyWY&7-gVk>B1Q6A zHE}L_Bb6i3pQEQC)44*dlqg||VWJH01Z8-$T_k&PbaM5wFJNa-NXVp^IUAteL6Ztb zZu^GlG6!^<qp;p(|32WvXsy-c8R! z%37!n`)|ad>E1(rNNs2&P-Mn4WsK()C6gLgOSe$@6;mlJTxVq>05p`xP*6_T!&DxrBBVY$qcMejg5J8h4a#Y#{^Jw+H2fIHDLWEwhGf$!XX}YC@M(xF zxqQX8QzsIb<0dF672!DK*(QC*yiUoZ#j0T>#%gBpVuhc${{V>d?H7rC2u!Z!g%GS_ zpUN@k3!Q2wAoYXDRZ@f+?e09u%y^**h34d;ZaKqJcu95F|WWaU8kMY+7wGq-#&36&f*=K^kVhtx%*rF3x-j4am~yIMY9{qSTKf~Z0eYCj-Pz4*!lZA2G!WYRaD6W}dut8Hpx|~4OKp_v zy$9-pO7_w~l_%EL*Y$Urp8grFNIjK9Bl^!;BuKnR+Vn3E&TS5=LgQc>YgR3={Mgj$aBvg8Sgq83>V$vq4CMe{%0gx`yQR;8wf>@f>>kXF8UN}y( z8^D7VhaJFFWVXj?>0GazmhKEaEnrdb>c4^0lek1+hOaJRqifwS6vTp57)tZtC^~JL zJG2L>Aixnb&@kbt84a~CN>7*C9o!8L2#Q#qMup!AT^07Xp!&8 z_;Wp5@{bn?dFesIih(r}FV{anh!OBeD6nMFTt($DDJnnF7TyL{fzKT$DoG2VNKryw z5h+gh*k2c>nQB`_uKk z>-gD7-0n{Rxl0(ZBMrF*vKn{3TYOC3=>-YP0{eD06dkOiW(G4-7{+YF1=-1hxXn0V5+39_6=H)sGZ*;2>go$RFVHI%zB)a)?q;@ua(p( zp(MS^(68(r{(F!AZt&j+{P%O_>61<`$kSm-zep3$FLphB*%)M9OoQOU zAkn8Pjzt{hufiyD?FYb;5_w5W&5m^Aqs}A=4s;agWnf=2#?%?nbxOB2>ccr)pL@2$ zz^HyTxk1A&8Ec{+xn1(;g_{?5HIbY}X`9uQ>{Lq)(m-^@mAONpm%P;QuGAywmmt>b zZaVhAB`n|3$pEi8*C&P-_+TFHOfC(CDeNS6dYMlTPQ*0`Fr5eRGqF0)J|nVN$Xh7p zWPV)Y0nnsu>Ue1?i!7)~#|wH&iJpUp2rma8D~*a&lSqO4GBi0*4;#}Bkj4hGXfFfe}Z)_mVzkY=phB};@@v2aBDI~JohSXq$jw6rJ*G%TJwM}bRRlj>mC! z()pG$5~vc>16E2@O|OBG4Y3QyqhVUX@cq~IENsvhu1cel44-*?R+mWk*{+fKj2^qM z&|;MpgRw`Z(rBUWNU2I>=u1XlXy3ihglAzLspN@9mbH`Q^Jfot-)`t^BV@elGizeW z(M`rl&X7#v(a6@~gC1iJcDUB{tnleNJmj-^F76(ht zE*B`RK`ilzuM>*A8k4H>GjkjZE3Qa4AXzt-+zqZ~5GU$ea{;Uoi|(RAWAeya1NF_C zhzg;|TRua0i;{cYD=9&-J+_GxMl!N3;yNG#1HGcVMLwd-Lqc@8e`HCkY9kAjF-{MO zbC2;rjGXfx$esd~#uh<;^dIeBP8)*5L$Q2`Zok`9Ee#t&a^g>x6XbZb7nhsE<0E(( zNB*MGp+_3@jA07J_UOihZaH=3W91NE1sb7VhXV}s$2-2?m?e)OV!|CosPc3Whfghh zx``U`vI)tDlM->TPO3x>SdV8;E_Vfr%jzcJU$=;;E4$DILAXXor>ph3h28RAzNfAx zCQJdk86~l|YgOWgILb;mim>BK;~1ks2@EhR7FAWkKRA<0(OF#%L>?gb3%W9Kt5g*- zVt7hLQam!5Tc@g_Xz|GANiCqn1`OIY-XL!6?J)|NLcDES_n3|j_Mg$Qc`S^^2QwDI%+H7w>qqpOicli?g0+(4eIG<*9SIkB-7xF1O+3`0SpwVL{yXerb zKus6o>Gy$(%#-iQk*cVj4m(J$@yU27$b>Ykh?opH$1x{E8Q~;qq`g3{&~VUIPKJY( z7;}cs<~QLASxzRgz1TiOYZVtuS4i=NGO8kWyOEyo+`8cmu_#!HH0p06V3usv2>qW{ zI~h&~djC%M9h49>p|r8ic)8MwUsq->n#yv#o2yH^qbcAhVp5 zPf>3{XHs}60D-FY>Sg^wHn{@<0vO%^#*L@dDVYjhINFaW!r5;W1#h49UHbX<^UdwU^HEnOenng1Y}^UKGz@22NJSQUMgp&;2SfE)g@{u2RF z*tQ3|ql110q)WhDoC6-@_dFQEJ8Iy9jQ=EEN!%GkPR~XtvW3$yT_A5@DEN;-0Z(=* zf^27f4sVfA9X5#C<082WPPQ*d>13GaG# z4#gW~tALE48eO>KMBSwiTzK+4CHU5@WX)r-1UN zsCQtQ9CM?576{?vds{{|f#AJ{45faBnwar7RszQe^s<@m{`u`&gq((Gh7+3kqo`b& zvj6lHE!UZF0har=knVfc%fZ}C$zgRDwhgr{5vE!87B(fvyMOp@i3)F>iG>PO(S>*> z3=UStl0J`+t`@;nJ*hrb?xNz|tBbq4zazoL|C=EkD4tbgJ3PLKP6hB?(D1iAcIL>B z$-97@rS1{31U4Dj_QrID$hAE>E&RHGv+Nxm5`Lqq*91{iO>r^u-<}|uI{S(2WXO>I zLNZWxhcdf%pHA%B#qr?%JqsK~lO*&eN^pN5ewyN=>7(!TvWL3M^K^jP*K+WJ{Xhzc z3JgaznjE!=%Ub$xz7YILczI-<3#@fxo(4qXQy_|-22U(uHNLXK!7tB85$x`vI7?TI z8#=vdLJ=X>M9v*9GC2poW~w3|FznzsUPXQ~3E4YnI!+KsEZU8-GAA_nPhW0-);|C+ zEK3d%so{ZrWzb|waB00lw!WQaf39~rF>Sky&!2hrrF33%jqF1^l)d`R9JL_sn_gKb?; zGe8N@rwMIpNJ&k6=by;!L=_h4x~{le2PBK~mooFslE_p*dHxxK2D6lsV@)o)7FO`* zny`>I_I6+L@Vb+P%mGzC#JA=_WITK9Tz8 z)f-c?QVpKHUWv9UpnXJ_jCVUw%)z~Ee#2g3PKJ67czi4fdAcj`mVr;kSJvaLOtM8u z;60SVHGHeXr`g>uxE)u}F(7&Phu0UcUkWRt<%u9S>SjUV9vBE_QT-m@ zMTKwaD`sy#x&*~oqiXJtAYo+jj{S7QH0v+zyRY9^Lg)UU*I$w0^Gi5ZnT|+>igN8D ztOWpM+cyg!dXCQ#r9_7){(;yODI?5h|J5Loypyu9hL&j5?bQX<-EB+BK!2gQba*G_ zZi&x`YbNfNJS1Q=h66-=6p^CNv4l6+3<1TsbJ4A@2c;d>gta;n8Xv94wm-JVNSnly zK;|Byp9qW5HcMyaY8LQ%(SpqP%kq{ zM@=En90~HW#4{z0G0zEEabpl2wM~QVM$A#fB0D34Vpi%wR>f?p6p8g36tlEk0I@hf zyh1%Cgn)r`U88{d<{Sly25tOcKW=$;jr%?i8k(t$$knsx^daCc&!HF?)ip^{v)Lc= z>=Ul}RQ?F&glUNiab5XKRd_OUze}MCvp6^zn?^dBHI|G?}#pA_U8K<5$wiTa%@t$h; zxE~>epI-K0NI?V5!Q5$p8q=g1Aqm3nRB1jX)P%Avs^k@S0?^p+1kpHKoo)rMH|ST; z89@Cpk3>!ECx8S-MSG%!GDI*Q?J4%egZJpy5-X zr&(jX0LO#If@|ngzCwrDe7K^^4NO#?(H>v0nf(r1+`}t|6D9>!ko=D9Hds>nK}My5 z$^`IPERp)%n1%*yB0@iWJ3#~%SU~v)QGnoE)kOJ=)7W0$#)3{zX4^TAUYD)j0j$7x zmuH&w5kj9F2yTf|DHSU{dMGHUB!HN*zt}+Z!0!ly@e&=~uFj5+j={%Uatal%jaVI1 zH9D*-SAMO-hq58)amlgA=#h=3?Z)R2+|E=pAFx&QX*q5Zok3$p4RrV%F3 z&+k6{z5V&-_U7*T;mcj!rE3ljf$GqDkf1!UB=l@k@r7>!aH_NQ$>`u26jJJ?Ki!}l zkSV6}$?lv(Xx$HxYa#JI;t>~nOe1Wna=&3@#2zQdHf!xlpg2Pfq5fbS1Z2gA8SyUp~0zWnS-Q@e-@5jIEh{W?2DL|zh#3eT`x&uNUe&`Y};$9)& zCrG%`6x*g$$a(N~vaWD3B)}Y?pM;3xKNfqaUyn!cAVqbT^o3CBL0G+F^4yb-f>PnR zOJJcP`j$JP#wY8f8Vk^4C1w;N(=a2D&{b9$2o^P7Sw*}&_A(QmfU00D`iGh@x___4 z{-JV?#^mB48+s%oBm>t;!0#fECC${?bJO&+LXqQ*t9XU#_ zfK}Cq4th>0F62RSNEL$aAk>(Sig|^aG0K|FRo@?%cj(!`V!4KT7YG1=Kg9bE0eG=o zkT{vjki9KVPClY9%E0^jjj+RbQrab;up_=HV3O7j%+-(IIo|i z=p5tB><%I~<^s~)&*YQE;h*^^Be-EiPSwk;*z58%xYig41FfC^-xlCf|{B+zw)qSC6Sa^ zHN*vEkqaOnv8^I2p!Aq>yJA}jN6l5o^f82=^SQX$=ZdXF7F6Ov!o^zDWxcx8QLVt0 zXL8Z^$e%6yaaCtjI09KteJ(dA{He{vMI4%CfT+Ty+Q3^ZTt&Q-MKv?Hg@;D`sMf}X zC@x;Y!3F^?CxDvhAL!g2vgxqGQEOj>jr6bD;?>kWIwL4KXA4OaI&2P16Ov3aszd0# z*bDG|Llc>wZ*SgPmpThym#=F-CI*(c9CKw~y1b%3g}kwJ|0AD{jA;Yg(~U78VvM_Z z1EGOgvUn9Zt*7hNhB8ugwiyb|R1_L}vxdPZd{9ny|6@ zTsYUANu+*ddRV|N1f|xi`?TP3Qzh(D(r^h9;$f9*$t((X5%~MrToPH~hIzf;B~W5H*DIbOKu+^hpyF>ORfr`C3B@X54?MOLombhLnM2Pb3 zo6YvJ&-m58C}-*KB!)k>MIFd^fM1afMV zt`lfoi6^HEX#`8IRJf1(xnJb5$#S>s00Bn~3gM$eR~2wk1~GWteD6KUJOUEik` zS|k1Uhnwq%Z+DNKYbVhZWzDm-_ej#(uZvnCtWvbf`k`I^R&@$KYFJPWHL^dp`f zJ^qMA=ju*aMl1+}MRGNu@uP&v>vPL0euh_h$3NavEu3g^4Ew#%1<`ZCKQEi9hv`Iw zHyX)@A$YY}mvzvHOW8dbHM?_KkX|Rz-RI#g^?{U5K&`4;naFyf&ZeVTz!g>Vt>P79 zsC!-|s5)33%r&(1%oFz&^d1@o{oKtv_|zx@1(J!3GX?#Sjwr;%WVwL^}gitia`5Atm$qsF`a+Mr^0H*ny`E9}9b~Ggi^u_z>M$Bs#B0nqYyE<}NJ@jK!DX zWnI$+oPlpH;a(q9q*c3$S++lBd`o|7<&mCkFh)EIx)9W1#K);sZQ;nnqDpIDwB!Bh z%O|jv>xYNCk3W5TxIs%_)K`(g)?WnmoJGO+zm_MkeJgTB~W0_y|Q3q0j40( z@Dko$J!NEamVuDb_^cN?aw4D2pQe`?ut1dcDh(_x05Mv1nqa|cFI6T8t4L4LuL@@m zkrjp;qS*sL9JUAntyW0*Mjv=Ig9n=aLZ88muiCggg6d0Wk6>Y;PN8_(qd-?qnT(&F z(qKv!pdhdnb-diFjKGCoS4#8UHZ#lA_%Rx$Kw;^L=}>w-HQD92DC1_gFfis@q@K|{ zWX3^Ro5PRy*FSx_X@CCo<)`aU6eFl+_U-G#&hrsn{vYrDqkXSac5ndk_&h0r)+NF> zJ9p-nn~Zzprtw8*wS|XsGLA@Pd-mn__S>gVJV}DAeD~?g{ml+Pvb@^e%>$AUZ_uKN zeWkK7>F)%Y;|GNP6#NJH{8Y2Q1={v(1qU|Ny~AVVDP007v({LKSYV6O?f&@Bh}wZz zUVe~j48`iSTQRt4keg+J%xp{)gUTkHHgVt~X@3+~5F_&ikLBqo<=}77 zXwOmi_0#nO&1!#vMKkvb5%9`Hd^lYzA3mRs?IutGTCV=h$ddUGJ4rb3cP69B$~YL< zUtTz&>a{wGWd1Eq4=2sbVOGJ>W_R&ep-q{x_w>D%l+D*g1G zo}RJ`)PojRtnpdB%hOZ#51+itzQ8Lev+QiU&77U?%BZm?ijPIJAAw3Bj^PjW6k3`v zc^y!iN~%Mq%tFMS$xrRaH6@8RM?uqP+wI}n;wsB#Rv0h<-I+?&Nb*FVA$z$8w?{GK zu%{EKt-+DRsN7YBGiHvU+(A#%*(zr;+{q`^ypi}FEj^hnAK$Mts=r2EY;nASwgw(6 zN1i4zZ#lX0@ExF89ZWfe)I24XD6co1$~^k;qMkn1Xy@WO)psU0VlldOn7!sh+H-iJ z%HzChc$`%Z!HVQg&)}D(s-~(E3(p2S8t=KMSi%qT1VSUdfevHpj=SGQeB^LQp1|?H zAAC}E?9KT(HbcYunG#ni;#tfT36KjlRn}acM1pv;&jhNlz$Zeqf~kX72mU_p5ZcR{ z;lmVh5DL9=T*p!{4o^}NxLQpYSW9+zhN9C|sbmzro8=TgG6hxspPGW==~TJR_0TB% zyb|aDh?3rikjFd)>bclJt?^~H81T?6S>mLZ%z9WW(@SQ%Puf+p-HIzjT5p5GWFH{D zre|D3eCVy94nE4+@#RPPF~%irv`=(lv-gK&p-Mb|WfIp3ayV0-loqw#x?#8>pdX*$ z>dw$K*^NTQAg!htU^Ib|eTH6wEq8d5J~UL+EK!ine-9C-^II;i-cCng|nZ+K1jqoUJ|Js75s864rXviu(euRz`4ivNj}fa*YmR6& zZ6cPi5OPCza?Pp;w<=U0mv=fNGsfn<2Qj8Me;U<3N0`RULM|n`bbcMM~DmiU6zgAd1wh`6wD!d*R{NpRlJLgWGnkB-$;(jAy2 z#9N@75EYjOYcOi+*PZ9}M&({^Ht$khA$T@ZS0GPF)?6CK-MIiL^K^NPbf)Y$c3lU1 z?eZM$hx9Np$V=NY3jreB-M!+E*txC`m}ihoJLSJqZpl>K{fVt!O@K;=MV^5hTy=AN zAC)}(kB^wWL&u)fIb=_woJ|VcFH-CP{Kan+b?a7JQa!bO?eE%)AWA=!!{hVJ*~LI2 z6%N@BZ;yj5wKhK~Vmni$f4tVIw#s?6m2Nk|kClkh+n3X1VX2Xr0`H0oOFQHd!l5a8 zAn3dUGZ)%`FFZti=XyoHcW3Ze&k z3-U+8W1|B!uo9s+-h-7O<))F3YG4zV8$>jkg=XJkK?RE=5~di?H?s9gh|2}?#89gc z&j&45zEz}j`wFt<672-wK`v@dF!H8+44*%(H{~?Pup# z-q?mBn`WIr(T5JrxBKh62f)T5o4(wB`1mu!iPu1!-xrHH^zE$|!b8C7EfS0+Ftms2 zDN_x)Tk=FScJpZtag{>`T7yu?0Tb8u9J3Em*v!mm&XV$up z6Of&oe7tfJhGy!C5ORXdkt2q`%K(-2)E*pnt~z)ZAx&Qc)r9ab3xa6kh>~?>j*@l6 ztjr5eaC6Q~%>xbnt>%DswGIYu#(?cR@&oh-@a2IjJkt)%1rRYGQp)CiIyIYPS1Mzb z9jVRI-3aFdiAh`+;3viN1gYt$KGMk=`ArB9q+kJYS_~5OBr-2}V_a6~=PzoG`|21i z9?vT6-F-lRE3{AnzDQ$OV|kSk{YvJgAu-}rfxa@^7hw)5?a|KxpVHL<`v-dVFn27b-DL+BY5RM{nJqB2;W*Mf}+SAcBRW!cNJp zhoU>0}JO4IMq4w+gI!_0|_Va@qSMw6+QRs=QA2Q_; zdU^Lyqe>@geDyhjUYEVDumbZprs9CdXNaP)VpwY)QDp;Q@8>61Qd`*6AalJWCX!8& zjq&}OxK9+DQdBCePta9XWKhx9+I|=CKSr=C6XaJFuhkPQDAr(9kfn8ZWL9XSnTMoE zF%)-$vuhbSIe!^ygzI^XJ)Nw6IO2;Clfm6{NFyqz5Jir^aKuU!c`@YMRr#*GWqKEb z6%W0No@7Y#@j(DYOM$lR`z$k36k%yKji&#uS%~|e-2*+dZ|Fb zkREd)X%?2UL?q+Th_6j$+}7r73spNIE|(1It1=5UCwZNx9WY~;foc?qRSIpnlj;g) zS#3tzosl>USQ_}h`~kA)rfHnFi*A|*F2$QlMKhsn{BfRcs^SaypOkK^rz6QW8I*Mi z3rG{OlDx?5pE)HDzwDkbDFG!+VuT{6M+Vf=*L=0u9KKlqw{=8a14iiO=KLhpR6-gO z4ch{q%zgD^Umy2(9-Jlb;#_A*Z2@d=`wHp67(t%O$@b08x4juF-R)cx zjmi-)QnTS1TShYR%-C_VNGNUxO@ z1i;qE8V!McQMkO0Ts#KG$BCgn1N@`-U0v)&%Ho41Biu#vfpP=SxRFS9b3sn=KPW=! zMXbprDNN9k&iM6bHg5nTLqUCcMA1{9(IL7*izIh}n76bH;h0S*vQX#*VfVgTq$h4K zbK?Oz(eWobrs8Q#*e)Pp_FhzzTu^nLqC-=Z^7PEZkfCyLet6g;`w$0k5-2U|-&#nb zlm@*iq`>FTKK%2`*PB~_@7{g+^7oH7FWUA4&fbS_x8>y#6ZFBYpYJ?>j^Y`d^0c1hw>yW9yN1k&PvTG6lw9qP|X&34WZkwW8gJm^-LsbnH)o@i|*%T_LVNr^UrJ_)L zp(xIwM`e3Kl+97lM`o566DnH6;8dj-*%=RJ9N`1feh-gPtbS)`#5qcTT((-|iXazD zAS@Ffy{JV=$=NsLoXCza;8m1OzCZCe5JcGqVO+dK2a?KS#y9ViD{q{2 zvXGp&yxCbJs7vG{wn(5rgawsiHdkwSx?$3Sjvu)Py0!@65CQ=~-jB0I&32t3 z8z)*l!?wE;yu}SVY0>JTQzYMvyzPJCIBL{BY7{#H^COYFgT$PYJQFn3i@b9GsM$S@OYwKC;16B z>=ZZ_0I%AUar@)t-WWZlH0>G=T>Ac)aSh0yDebxAVe_PGf;03LAyZBs#m92Ac^r}| zQ&?4e7$_=J9X04X5JZ=NG$&J{wa4_0sVEyxfq~IL<2V6h$ug5tzqE;j0l^Yhu#ETq09=4N{Zl?Y`f=?oyVr=P2?nQPgtkv z6vEdZH=+abxX`M^iIB>cJZqsBf)XqNSIk13Zzdn*EMAw9h*Mr|11Os%vJG?EINZkQ+qr#&R9yJ;&{4L zd3Q3GvV43z2MVm##|8ao*9WLSpP{rbUMLh|J>~0~Z!7ae^@t~muU5z|#vUgI~BPON{dHB%>fJE&~ic@l?COQz7u^{)y~b$zb#85>|y? zk3Jmm{aGtaKd}oWDoZwu$a*y(v#}uAL~{sky7DIrAR3eD5dnxIMW>*xqxvfaD3BFk zh@?DIePsnG$f~sisAEUAS6iyq&lvkg2z+T7ax6ogRr}+E2|(w}#fgf(bXixI6r0Ha z^cJ{S9leB6T!|`6VmS4?(1Q84-fCc)t(5$F*Td~+-$ZQg-sT;dFW)$|9Ij6ygb6%VzKF87q298oOMbDDECTvUbPc19rMVlJ|2cnK>i;6{W*g72g)T_l~ z`9>T?Pv6IsC7JSgN|H=@JSE9~=ux%Ie(C8sdkay_f<9!e;PVv6p7U&{2QIVGLndH? zT(aRf*I>Corok1ivQ4BLe&Q)gkS$bHf7u?LqonxBp~SoS!g^z4i+ ze@KQnKql~I+<&pC3IR{U87LXbhXR zmTOs{&-uuF5)(yT{sz4P%^)S{8(C4Wk&pVr8jhod8VSvwq(xZ(W-{34VDcLp9bir} z991d;j>S?pTdxcTDLUXQL1%CbSG-jqPoGmhaMJ4G*14U z3TrV^Q%F82XnbH%DiQ41Ua0?jrU?o0;Ex2+Q|wAyubheQ3_T9q8v>;x$eM!GnclRL zdPJGtB<90uwVui0wQ1GAQCa!TF%mpc$ifE}ub->ahfMs4E1Kgl;*W zD^wUR*@QHJ9dFRfOYTd%RPPeFp-Sp(jpgakj0e4VUI#zPFILv97}f<#(VxLSA-|zb z2)l&X@q@Y4T6Jp>(95%{6_^VkYhVRh*-~r4{e>wKLZEx1^9cJQV-JEsV`cL`PtX&i z;(neqo>5q&Lf~>lLPP}vV7c41v+O$bThJ>Re){t5_C1Q&32TFNnD zN<1`I!Gy%AAhZHy5DRMtZY&>~UrM?9ql;(l=b!HH9=`nZ-FCIqXS`dQ0_&MV(UCYwQ=nJf2<;RTjM$@|xq(@->CP+5Cz?T2L4|j8h}RlquCAr|s&5AZ|rU z;(V|vtX3LHt{tW$0@q-F+6qzv>l&tY%BRV#MRQnWJgjYwG2KG&QX}>4( z(|oJzgZjK~imJj#M56@ph`hTve*-;7^*48og<&BmFYCiB4hG4qFB}Y1c18V}vb?X! z1TmHkS(jOHl~H{@(n&$sg;rCHR9Hpt+j7&w8@`qtG(5fdMZB2A`Xk4lPDXt2$zxEL1ocv6%0)KWy?XXH^)3SyexyMuK@ z$qVOzoe{|!;CJX&iBR(p&N&moAu7-}0J7o&m6u@v63#Ay|Fx**D*xB#PCSVKw{L)5 zfZHqLf=au3S>FLarpFLGXA>2cJ6CloH2`Ch&^#O#q71Mc6UN8&OFJ5tHMY3NSy5Npyuea@g1)ON+0xoDxeY_=qMW3p zwLtj->nkeTMvsml0Rd)$HhOs#&NXGMa#SjJkcY#O)e;?O#W$i_j=$NgWwqwhAXjvZ zwdplOWJAl0v996Fr($6Z&qgXDw3ehXdox9Cqb*l~cP__ev$c|hain2Wan*7*Q*orjHmW$%*L0-g+-tiWR76bGea9g(5|m9- z_6U0>fYYdewWr~c`sv$;++bF}AW(5@mOFgCI6h%Io$JtQNIKyj0xwUd2Kb5i+$Q~^ z{zg_}boL=gS#uO}Ds)Klb7Kn0-c5%pk;1bfR08kiJhk7m4L<-y2XZjrOzCH(!dggtT{%vjPE zJILaonb3J;mL3REZYKNCZro)B-O^W4GEXTGl_|5$az44{oVOcf`FMpcMDpq~kQ?%!nEQUxHnzAu2p>B#1x;vLgO0Y!pw_WtT zT^4c&bEter9>!VBqOijq?ND4SSxoVTTq!%~6+twe41Hr9rZ}XM9av@GW_J(7uC1O@ zvoII&Ihm-*BO0_GPf!(;v|yHN+%L<%=+E-i8JH_8g#JUTi@#U_%hBhX&+oqeIwWFF z7aRQYfc)X0|6pZG&_-^K?20kd&jr-$ez&e7En?VAfjOcv^BEP#aBPA2a!6i(sKVka zllZ`@*cV2DYWK*`5)OSu-t#>IQkE##z@G zka|6VnJv7N&B4^YlfrO4uMa1!<00q{%Wpbcv`St=Sz`RcC7J9u2pA;wziaQV|JmLV zr}uEjOb7%(zWWaU{J`kP-ROUg#-sl=!dxk`>ho{`6aU9ZLnVLk>0kf&M=ZJ)47k6! z`-tMP{E5F^-$9(+e$g7O*SFW7e!c&Ak9$bX*6Z8bFZ8SIV8X-S?ryH%xA)f{ZXSMZ zeJ1zOnlR*Uip|^e4Q;Qk`$DcOcg(pNb{w=-c4|w}b7IH?rNRS{59!T}P#D>gf(h~m z7MuaYIL=;NdV9Edb$*hf!-F#_jXKuPi{}IUkj3H>dJ}6SL^!-7* zGeaPUM9+_)2jMXGs`1M3qcLWgA;rzItxP;RX_mU1+~_nqyic8Zx<^^;uM(xMjOuH4 z&$H#wcj^Lx59G=9kbT|n2_MM#IAe5JvTULIgZc#S0aC?O@+*?gT^p<{Om&*BORkM` zaS zDg@*t!@r|13e;=LWT=dKOkM2Q$XNuT8AoFM7=2>kypcvN;+RMI@IRY3oR!4W#~;(j zKQGf~R4Z3sJZAs6#1UmhPDVB|Ma_}%O2T0jXr%t~ttLw5eUdA2Gj~%VboLahKpZVB zI4=tcmkMkK@Ws@s&ah0h0uq*qCYCPc%)(%WBRvJonz?w=Awq6$-m@I}1G?kf1MP_5 zKlG8qElTr1X*)rZ@ZqS82!(F?RYaNaL6AmqpCcVf$kN*1^)obb1kv(%LAAmtiI^NMXOzA~_t)u2(nsHXCvelDz=%%*z}^>-;1QDkCsA5}=bC z@zqsM`&`^2WR!GcrHYB9Z3RaZwM<6XMNmjq#RQ;{vkUfbMspqISgt!R&bG-=H3G*9#)-pYUggPD9UR;9qqh0s z)n7*wr1)^BL7wV1>o7G)ZvRE$thviyTp>M||C%oUbjrN}k^BIhNwMMybTOU-^a94l zmST?QK4dCQ7Wd%U)yPe=7eJ!D5z}VKP~7C-9&IYFuKh;py*jPsLQY6hDN6-Ib&~8! zN4hvb?Fe#gaLCP}feCV7tpEou6iGwIn2f<2(Nl@aO`an}O(6sR3=Bi{vj22%P=1u= z?sRuF1r7|KCSw%DL+)bF!$~9nHSPX@o+loYEEJ{YFu-M0=zy6JS1Yj-Nk(@@^fpZC zI$ohLCFT-#N~fdPJXum#cU)fs!cbQWlK&!apF}a4jo9ym#tsJxd*@2>y`JD^Kd(BZ zMZS6dR%~%>A5bevWihX|04gY0AMq@yFtT(JVuV}(QanC)i$}XYk~tHA*hOP?w-uF~?Uub+vU>L7U35AlpP?usH~AG`Z?yu% zw|3R(tT!e^rd5e>vK{%}b$wFcLzhfFd&#I5V$R^cSO8Q5ZLai0~Nj%&m0%bNE??Rx^Z6GER^g+Z>_b62XfkDcd2U(gi@Z_P8qRcN_ zsp7`uTNOdotVB0(OPs7MOSmD0dg)>O64AUs83#U;4satvIgMR!LWl@=jx%}NPjlvL zQZbBLPaKv%Fz9?kyK^*k`gL~&8A!X2IFicwubu_UAmfUkHOZ0V2^jpYlo ztTUo?F+oJTdC00|8yB=AZ-qF17FJ`*=e5$$EWpi2sy>lKE z!edmv)ZR*<%@q$+#YTeB`V=u)Zr@}I6t*Bhn@(0fbK?(bJT~e# z9-I^RcYvodhK`0It{t~2wf2c;U_0D4&qVVqSZkBYIO*;)n6q@)0yTe$GQmg?yWD~E zotX#x3vTz@n}2=}Ed9;x!}q`(0(|)Q_S5zKgK{1-2_%WMVR^rTyLLvE^vJM|biGuC zBf%iZZ3^ia9Kd`U;P@)b3g`sLRCRw14l2u6f4WG6=g(eU-@QL#RbVauA4Y;reT_(A z$9za5`i!i`^!Y2gJ>9hkWBqh}hnn~(O>_Sle|jURo!^aqho}Sp?{}E=)i+4r zhOv`HUI@y!X6E4rsSj85$$^O^QSk^^Vpb|Xc(FMj9UzyOCH%bfI6ho}b7cfl8`jlN z>WGOP%AI)b>S*P$>+|fXi+VwRX6Bv|Lin_)zD-k)l{H>prciyGCSqkt@tF*DBS4eX zr(K#@VlDB?GzG*rt}eK6FsQ(J5XibZK`9UDb15wfC-CCC>E!CXXtKUK! ze)=iKV4ZPpQJfFj1gj*{hhM$y{H-%6T#dx;U7X-r$tj{7QDUG_g8@K>L4dl%FXZ;f zVZkuf95`ji102n$}BH|;;}Bm za+Ab`yEYb`=d&?4CuE7Zkciim{b{1wfxKRdg4+BJo@@+{ZQ`n+D!Cio5M_4w;2b3> zm;6YfWAk%#HBhWJvebl#a6dvBO(`TDO<2nVwZkCgQN#lY$E=Cdxzx6~pLAC|Ku-AG zh2hG=<&-asrD49_pvqM;q?N;_c zl40TU9`EI*&7OdQ%5ubXhnYLdtCdkHbzlR;GG_?0;yRY+15ikXEztE#f_0RmMD*9^ zA*U*16)9Dl#b18jZ5a#RhomZSf*uKazbLWa&}yEX($nzbX=;O&$Ei-!=dr^nq-yX5 z-(l6n@)2Dz3xJz|1H~!y-o>MOPwZ-uU?@9I{$LjPG6)L(PwgVEzu9# z9}5MI;}o0FaV$d?a|-KMWe@HmuZ?h(+yZ{l zX!CZYO3pYIX7kpaIpVl=4v?7a%JuAKk~)>T!p$~fe9YtnvSXq`hAxkCST+V$!TT@Y z5Xz}k7zCHm)52nYjo08neHKsbwvtIiMXU2RNB4trOYGz3RlZ_szWW#T#r1+Nb66zQn1H}f7q z=j-*|11wzhj`?_tmS%7n|Ni$QUgY19e~hN2LENG{q2I@9dwllt|6}Y;nA=E}G(r6o zY_)A0HIG$;#6_}q=fK6I#OnbhCB3$>G)khX*pHH`MXHV&v;FP+eSXB10Z^~IBq$@0 z$c*st^KUIssuRo9o%XqIh*1~3p;e$Mxqqe+)ad*A6@H}q7h2-%jJLiEE zpDHm&8wPy2s>8Bs;yIf2rQ`UXRFWBi;7WW^60FZF$BTQ|VNQQnMt4j%1@E z!q$k9ge$NS5`7icjl5Ocd-(GCmmc8+q`lq_|7_p9>Eo+7$@VYF^|jA}U9it#%IYwD zgq?l4oP+N#7i<`Ih-#3>$jn|W&o5SIl2?VMxK_H5pDg9xR3z10RY!97IsOIbJYH&K z$P9*fKqKUWfX0f0Mgc@&3+ls_o!Br@H-rLPP5^af-+u-clp8et09zOlw!p$8?YGYa z!-6xa0I*^t&MG3H61bX@anV%5&2b=sM1srv1Q;21xsU?7`6Xvq`COr7v34(K%_QXQ z#4t6{CaM7ex&+AvJPiM!6Vc1c2gx@-rdF6+BITeSR|&UyiVq6Xspt$`&WuUE-Ts)N zL#l)tBU-*z_AZZ5DNb3%tY*wZ&#WU`oqd?$q5YUN&V^EpiBJGZ@y+wVdS!yFXegM4 z$`q*M$bwn&NtZHHp#xry5lBa{urfgd<+_`-ve?IRL~mEcVdgG+_{^U3cfoxI zO$(x1AzL`%KYsf1xovlDci+8Cx?ykuz++g(YUuhh|pL2oGK=nlu_% zzW}hnbN|wdg?B3b0x%NNa%KiETv9G0@*UPJLj8)S$<>;@QFbVUriN&K^b^Rfk5(7g zmuvW7OaTvESsYP`W>Fniwty#C0Ut%+5B~l+KJ?J@o+P)6RDj&zJu`LBkp0r5WSE z6L%TMAsL68j-(d#&zOV?eN`+BChs-aaaiUu{qO73jv!{2O zSq#(wY9sfFHs$%~f;%#+n^WM5kbUOg(6ka-tBfk8rGg}=4e$f(&4~Cj`Bh{a1bvyl zG@}FGK8H`Z{fw&CEUBq14@V8_q!i?nYg@;Y`K=zU3b z{p9{KP+=yWDb7Pi!QF=ZfgaE{=JOegD$UXu!`=Y8G%7JjZ0=B752Yp)&1{Q?WcI zU`gbRxG#{9M~ED^ypS~Fn2=^fq8T8$hQ58Uq2F&GzTLqIlwYopK`}vzfDcZN%9346 zX}?WO{tXLMs?;Z}js)b<0aja=TpoC(BxYI}aQ@Z(o@`Iha|T0VcId&u7MYrpUMsYm zWQfKr*e$$BKRo;ps4+*5G|ULD#>bnGlv^2>M|AnirIEfiVVOH4m6h(!(Zvqj(zJC^ zaTim#C#=W^!fzixYaWj3PSk1GpgYzrEv{F7y_})HEmvnqO($(_GPhPQXkl9gxL9RWHHR2BV+Gyh8~K1vrkp9db#s9DiBL#A>*9=hgU&&l za-d+N3xgK7eSezsT~AD^AAk$tmu*mt!uNw!v&55erT=A+wm0Gpdzp+WJk zqO6x>@(&%fl(`j};V1>>yS4Egx?D5QoaIaEnv~4w%R3;S45E&ziRkf(m(jXQf@u<9 zcy(sD!hfv+3*m3PeR#V6bk_p@N*EoYH&SPs-owSu*K0%-aFe}uzdd;IMm)FEA?}Pc zklCy^kv>^s;h;+PVLLlQv^*mgfBJM-Nv31T%rB2|5(8mY$Mvhe31eUF%(v5o8p-)4 zi~~dr55*vslO|3Q6fc6D5a^E@70*0Nc%C1w{+rSPVXSNpoA3hRH)9%hvQ%FSYlil|5H~(WuE-LF`PLN!bcZCM8X0+yEqRg64eb; z%??b#Dwmhn^Yd7K%>t6AuY?Q^*RM|&Xrsr&uh}3(D#y-OflWq>8ZEHEB}LwYlKr8N z@tKHJiG4E@upCoTIC*S;dHC`UH6|iD=}vmYd;oOx{cqpe`>+4m-aoeCqW)6oJ_2n8 zpOqc6V(hQCkGR$Qhi@P5c3@;N5Sv_r?~fPF-H6EL{nKB$H*Nk3TLp}stiUjsN~VGc zRs~f=usWkoj812A0LoCIF)b13 z19!4}rf)2BN2^#DccX6XzEr*p&i9St`49(U?jjb;`~`u>W;Ly2K^^$-Sys%2ankL? zKzVXhCVE?1`^|oje?dU+S&J!99xGtN?LLE5G7N@~IyQ^ZioR5zM-qb3M$R0bZqQCq zT>xHEsW;$c$XG9#l)pnUOK7p3trzXV3C4luw_Y!E+<0&QsP#scI$peYcyElZ}z=wyWa9ca&6rog~{b`D6) z8`K%Tdeioy?5|sTHBsI(A&0D_;;Eq9{0cF*pt??jUPsRn(?!C;Dm1CCJB$?6bT@OtXDu*UkB zc&uFWL90kPx2yM;x$@fn(Q)D=d4%ME(XMGstY%nZ8&35+@qTzY?)gHxt#$ z%o|EX25DR@e1?>DT?QIx7Rsh+g$!2R6hKwq0w2bJDX=L$%E8zz>l_Ls;fCxe1>7J3 zD@b|PWpqgB{1t2pE-(t?Fwie+GI|#6tu-+W&PYtm$vIrWCs%I;6=SBxpy`l_M7u*F zu*@PtD-t(LrP{0%!UNBP-?#T8A!s+)su^7VOpDIeTt(92VwJ)hH_Xtp&Q}FrgObVb zh9eY^>V=}-?zF!|9d{65KLmlLz!!9bqvg6c>?nrF zw$S`$$UbbN-AO`+;rAj=2O24p?OnRX9`2`$6+1=iF;c}o_r=Q_fap}xk@aT9j2P>a zEE_#N*RnZ<(@BMsRpoSh0%0SGJfl^%y*FP&$yM>)_4q}9F7b=Vge%9(*fWmOvk)81 z+29O>>78V36rMupY~X8Qt5o0%pz9L4DED#S5EMAK2zVjRzLd1kP=X2!Q2tkxpd#0k zyOF;!QJjVTsdlS7v^wN0zVlsq#vo)E&cAwd?MXVsJou^B_O~eIgcSE9R&m-m6n`uK zZulv;kPm?YzDcwWK1MdCE9joRP~HSFOPVF_-9y%Ep*RM~R9vr!q;duy5>5@6hs|Jw zV!%;t47H3A!(3h@kL@aPK0%*7yFHy!yf7*>;c(qouJbKfx|+d*Sb2I|#9~;#Xg=HJ*>Z*5oWB)C12JuZ3#)?2$S0~}U=s+V zAtQ^3pV=DTqb}fQpOUpjUDa=&-l02zu?vV|coIyB9#IeSI3N@xwkw5>)0>RPD3l^6 zN_-QyPT-gDMCM{Ekq#8;^NY}D^ALDn6)bQlMTO^ z^pmR+I|k)f7*{u}X-Cx2*iHnOwZU%&kE>Gtzq zJAdx}#d-_C<^FAF=dYJPHZNcPSNt6VOi9JcO6#0rR3C&2tg_8hAT*{cYUqKx`l+Z- z5=v8;y~P9VRbo46z0BT)7&5|#Q~L4wPI7wwNe~B-Tew& zPJF8JLi@_8<(o-nFEp>fucIl1%w8(^X4CC=Aip#s_9NUkGa~l)+@yn#cd!8~ItEr0 zY8RGJ7no?O=%_D7bXAhm2lggn=XwSpNMNE}cTzS@XgjLih8vP6*susASp-+wq~kWS zTci!QL2MC@ef;&y*C%0v;943xa9!V@%-wCGvUVoPbJ>=|&DY)X1znL$#sg|8=o+)K zlZMB#%j>zOQGU%8jbtNOt}?L&vJu^h)VuN;7C54`$>vo?^=k}=SoH_@o5|6ZIEYK> zpn4(z1H(HANZs5Gvt$V&7SJ0IRlYVUeH?uTDI-(1BV}aDcBG6Z6Oc|i{yFn>AJp+;|d znhgm{<%E4evsyx1=jm&63PY6e&fuq^>Gt$5hG?>OGeebB5K{A<_Xy1b_1c42lGV)g zkzjRohlC>YWa7#s66DJlU%!1uAeY@5KYw`lSMW!K6U9(mUiHCU7UdLu(bFX!9qnnD z&_gie^ql!T_|KNSgsDiKZxyXzv$V0*p(Uij&XQ=~b9!9p9uiNmA0A!E88JC(_;v`Wvp#cRt^Ky4$_|`pd)p;}ib| z+?Mi&|7L)i7uZA=!YO)=ef(!hC=9Uszr$YV;F z=jKWJxRlaaxJkhhz??^3Dgow=4Oi#lM^;vv7UB(Tr8`t%E0sygR>FE2`3WYL_2RSM zAzNnYd*&_x)aVk$I$5atgyhS}(iA2?RyeZhM6ATmH53Fzrp~w}g!AS{zAtgcc)A*> zsSp$l^8nRIOLzTxwT4qUfH-Qy`b;@{2>wa>XD`lSm@U`dFP;ij+{|H7R;H#8t12i8 z9N7Uy)yd)wT$W)^D`S;StA8s{(OI$~A3yGtNt2xE(bq9@T6}EBU`EnwGn%)5% zhQ^{Sn}-ntFsStHsuT3KND@a-0R*Aa1Fh~t%(NMGfOnn|JB;8vx`?W^g@@>W! zK{Y}V&x@xZ@JzS|WO33iK+2+8t>+#{HEvZlULn^_GnHiHdk%&h3*8OBqte|F{uk9# z)>muvtiWe9s89x|bswe(eskv$^yh*oI*ZYjU?4=7aXvvvIQK8$c(Aep7+!N=ifiLo zHLXEa)H3d&<|6q8SDhh>)e^pCb8@C&>;3>LbYQ%4jFX()u>KooRCZwVT-^hYPccsc zjxx9%?3cPbY(EV+3EEBRgCiX|0MZ9Cu3snW|fSi&GtgZGG%Y8 z)+SS!9g%b9zz2|(R8h}lfwMWfJgQO6n#?KJFdV2BH|s*VNK(-uak|&9m&@0nfQ>_P zuHbv z4Gs7EbdYu5?)9Z{6mN?zyxYa?0%f01u1;9@#|&mXBGw{@NT@r_FQZYKVz+bTL_dJE zv8(Lk1Ba}Y^s|2vS(H*girXnLI61i0ait9Z{ZQ^Sf2_tie*Rd^Ab9_x6dd>~gj={2 zL^XkD>p~0?&7LN+WFMX!9tM6I_)IcbVWrh+%0=}QKrTRp4?EFobwKw4jv!Oj|3N-+ z?c_vJ8)U3mr86QYzI0uwC>srtSliKI6P8X)f`+;1mn%HHza`ynHS8~uoj~qOx$505 z1QN}bxo9HC;{((y$wM7=EZ2u{)Tr85o=>Xz-^sDeZmmKp&eP*rV)>}^gAft-JL(C< z4~`wMndEZ26px5JVW0|*YDjK4d6de$)8)wP; zg9ka2-FJS|VZZy&V}{W5j*PhT7&9L2N@Ws7jfp#l|Br+P6c&eNko@z6gCPb;*a z4C%?D=+_Vq&Jw?sa^pD^3Zy3M{$#kCDzq-eHP>vdM7wsLYJ^M~9%*G~dy@0dugs&?b@hFK(lxD_77CuqC1qFV4F-BjNek1l5oIW^()3)|YuvRJ2k(3eeQZv@65D5F01S&nq9({3uJB zKzkMHhe~Jdg3UHVa3UYD9aBX^c3M>s7E?@ddD)PD$?QBT@=|?7{@|LD8L2}U`R^wP zYMjsD#^z${NEW#o>d3*1=_NZ^>SkJrL%SqzS#^)j(B$@L>h6q&xTCNc-VhE&jIK^V zg~%vD&OS3zOxzE{)_%u#97#M{CJ6uHeOTUMSFC5 zF~hpHJp@4RzJ3_B0g5jB{wDv>Q;8j`SwT+^)fq%k&FkjRJuVcaYiO)&*D0nG$RZ^l#g z+fud?@1Fz6@FFP_mg>@u_vsGk3ZZ)2^5_Io8ZJ^~@19VXis#zcC`xo|sk6BxteLu$ z;}eA%5uV6nDRQ`SrFX*&eH<}9X8k4@9|ygmc0kkpLp+_acz-K$ECJ&Ab-hbGMdq8E zB_iDqm=6h6mmM#JXH;&=n!-Y2HO|z<+w8^r0Q3uhsHg&r>sOsC%2+G`&xa|5iWb!FH-|FAq_a2vy zta=YVp1*uCyGBmOKQwvHj-!JUkc@;~D7x1hwSz7*1}M+{>>LekZQv?$o8fodX88PV_MdrR z&>*(Jhn^}pwV`p@qgZuLDs_dUP%JwK-PmbXyRaB%P)Yjn{6j)w-ndVaMr>3eSV z9dn+iTJ(PW4pMubYDdo>w8`jsik*y}rC3aPb~iZZ+2!G+XLkdWzUM~Yai3?&Y%+SD z%sA&ep65Hr40rmT8-2%%Jx^ql(f4q)t$GPL?EV-?(JlId&KW*G=XqLXymqtlB0t1) zlcsA(deB){3y&HvYHPClA=On{TyV!+tdN;XB^G%X@$v#t1##)CvTB+(lt+|ta-3w) z1O;3`9a7rbgx)sq?w` zg0kzXgPSJ=K?r&pkCrizMh~%iu@Ep$omlEMw;{U=5;zG;<6qKtM?SHMOYU%z%s*9= zW%U5H4qos3f6i0(4#C&eJGsDgz_rpKW1_Q_B zB4UiJkPvSJKD^`EO(W#>ard0KZ?`jx=AEW#j1Ca^(}|M`5d0FY-e;%1v?mN)(ZRQFvh~36%@|3(azOF_ltVgQuIxvWWNreKJQVrmEa2KbW{l)`ARvZZ_h9 zF=M@2jZakZD{0g^>2QFsh-B-uHy0?y&iow9(m{jJ>FQ{mWF*Qvqb49L_2%xDIHD`f zMY3m^qj(kJi$qA2mvyo(4bcmXd))vN*F&2|p6B>t(n-fTDLTsJ{tki0%n6N&P8ejv zmo-)$+0cI;eMZNcx#}^o6-ZhpeRwI zi_y9H2GMFD$*^ij6hX--1Uz_@0ekyw#bsNRQhs=c&MG^|&z4GX*cy@HyX>R~f{vBK zB*d~QpIx03aj@v+N)2}X4ec6lR_Vm!pA zq3{gd335*^pCiV!ONNyY+c4nw>kiB9oPTEUodMxHoqd8t3ESHpjGLQ29oSSrcWX~$ zmSS}r%ip1m*Mx`?4fHhp;#lJLL$sRe9RL4e*x-HX*-qJpsR@+V~IB9>tpx)OrKkGPCw4MPn(4_^Gzt$Xb! zo(CK=MOt?QQftYKUH^8^N5kEia?$8>P5Y=QCLlw|ev51eV~JWgUc9HUV~gF&3eY$? z13VzvT#EGC6y4&i=pa`GMF){e&e!ds=#acNAg3qbykSF-hWhaX(t6RvO8Snp`Y(Xe zFcZpz1HvX9q$2@glVKeYHVLKSqe{_?I=65{-zeT@WA@kxf+cD+meSOX*mEg?X|Thy zmmMCSorvyWe`OG|Er@&%01sB_fvnWOVH>Hzf@`d*6Rlkc29&$iKb+Y(`Xh;_#7RQi zJex*ziVIG*wlk)CiYilVCh*i+8)w9-Q=q$(Fbe7N4YWdnhSshxl&a4U>eUd@*$K`0m3D+5@3*{@H*Y0 z${STC=}WcW9?@r9dV{v;=h;5~_4&O>45iP;;LA#&B_Toq>(84h6fkNQl(?YQSjQu} zrKH#_W>r;YH>5U<>H(6&FuT$vSj~|Zo*cUFpnl1yd>Gnr?)COgBm2>+;9a8f2N9r% z$ceb$X)1VN@0B80F;-5LsChY}V+%Y7HC)qI2)-~K(ib2hX5cA@s=lt?Ty}c4 zgJ|M=$g*Y17(zhNl<2r3K*3&kJ+UM@(*pU*O3>|-=SggP08~6T`X-3W`IRxk(~GIK zP|j%4gWKZP3&a@748%xlz;7nS_rlg?wGu43b2C}*3t4mhC07nT0u(bd(vAi+EvBch zr*GHDj>j?}wI<+IxekEX5FZ`Xx$dBDus~yAMRi(vVNC*a7t|C>)vT|8Nx5O#t0sg( z%ATCD?H!=WsHu!Ljc^2F(GkCIQ0BvQ_(6c)iXV&aAv5v_2c<3qo6fLpir#?%=kh5o zIbSOU6gcJuZJRZ5EF^MJrDz%l{^yvNCSYE`F5&?Ku347RU6@w47d{3kR$-@u7jw8T zWr-*l%1XE9WvLD6lDlrKM~4a=i^rj~WD6G!)}ttw=V@9Bhd4Y$xx9wO^7!yAc5WSW zz8>M3xcktPj4w5{P}E2BJRz6ETSR&tsxy}QkklkSSwM8Z|MGxZG52Vw{Ne8D?)?)# zX_prK==%Ir(HYp@L?=5KmV4kj*})*Fb{loF1Hc3ml~gb?iHp`YhF@>?h9I*nWz12R z(`yt@`N!#HLiEW!%UQY=Dc_!HGaRYLY>WY98l)=({Qg;c0hl;S>?m*8d+~t4L;Lil zML!!aI^@zgaa!?oivz0X%SdwgWJYIm_{2yt;cnZ5{}InAO=XNa&} zFPEx7sj5d}G>*^@lHJn#8!BM#p#o-Rn5t$;^|Edrr}`&n$&$w~ zaR!yA4n#{DC|3VFEMjG>vW&G^x{xWuahxXpUSD0L0%^-EeR7^mClU*TCtR&Es$ZjC z4-E0BJ$yCOXxONTCVMO$T112YqZ|ntW1&`fk-XWI10_2u#x;0&u-7|?_CM@?xItJU zU>`l&2U2>4#G)(FH|x%YMoD0_@Af5L?8iM3t2oY{cQ2v=@b)*TRJVA0K0Sj}L<94S zIjr!k*g7jBN*V!ZdBcD+f^t|WtA*f1TE_z7`}XPS>;1cLPj{#=zG#c3F<>E=PYew5@wZq$$VAe9nbrtH%05^CENr4vwB;30Vu1PDU(t1&!_qk~G< zvVSK(H)Zoi%dZ{*ZO*`dN#^INX~Mn#0q?Cx4O| zX_e1|Y?7v8G0ssPtsLO`yzB@oNAYEPuCAZFl}yqV_GmJ7@b*c{bu;R}wIm^d1zU^T zD6-)BDGjE8e@@Sa>jlCfgh+s1*`_umD$*m#(XBV*1nCCmxq7-6=ip52UnWM%1=5Y! z=LW)1Ncm>D^Zw!X@o^WOOaDnAju^k3kzPlq^cIC!i2i{hq#bm%x)uL{cpHgu4@L;M zlQ`mID(a9e49wehjh-ES1lnj343ORf)0&E~aZWc9y`Wto&U6;6NwMoXu?O!hLL;)C zPJxtiFVB*tFDK~~_MB9cS5qi2Et;&5Ks0Hd>D0sC2uYi5D2|TzMd5@W`)`^{*mtL| z;wR+g3WPzEfz63BUG*e}C99k{R)B+moYR*?NjrX6_S@+U)uALPRUPqDT=}LPV=BMq zBD6B0nxT_l^ShL@l@mZl%}*BaL;>n^hFItfA7w>6Dmz~<7>|V%!eVHXQWX;e6BASh zDk1{3u!LHXus?mrHsq`YF~4O_g;&F^JDu<_4YjrMkL+qS>=Ir?*^mQmjG==5L#zU`PB64@)Wg0u@Sw!VY+nA z`10I$IgZmo6TI)J3j=rv@)7~8pj$>t`~T@>?a>414N3+<=QTl}0GuS4rOdxy6de?g zIZ+P)-`qgn1h`7LrVr0r6rrq$8#7fnLUb`!hn-+I-a{xi5p5FQ7&`)ij&awQz2?pN z;_?D(*hVMD2A~WR9(7rpeu!~1t_L<3LO*WcR?s7xC+Q-o9J^Xg&)0{rzS4Z?)3+Xu z8+*N~%y%|!>I`liYB9M59esHSt5uAAJ>bU7b2wYSHw5`s7|sk}EQGM{L-o{T(y43B1VYY?KW+(D2y zoHJ<5g+MnxhR3RmRUWK1OCQZ;cOIr9fk0yUU~HzIW*K{n*2HgMz}lO|Z~Ng1gHs_H ztUO|Mnm%I~(x40at2rn2_7Pz~51Ce>{djl#^zG{%U4Tx2!{|**{Kt_D2O750ADkbX zz?vVE@&AToGAs+gSiUSlj)>n4dM z)dnjoS)FFPoko`>e677usq$R{)e{_U#Pawn$nIk)R#(?CS+O=)d6w$5%Coq9L$wb$ z<2oM0T>F-LL24!Zk zJo$pv<`+;}W!un=__STVLXgScju;klSfH=y@~l;9?@ZB`@qH4KW=Ll?9Ioq$?&TD_ zL035DrmSQOQ1=%FSsoJJN#a7A0pLg}JS@HLxWR zJwWVya`d_igs#B^QQLu**zq;VF8lM;2IY}p$&H9f++^lqaG)t38|*+%*lGuIf_9GH ze239lX5FhEq3WLdpxdFP(?q8Q4 z%nHFm95m#ppufS>H`MjMeY*SQ%h!8!I>=}vhesYRV5tMHwexxRHwKD+L+6N(A07o@ z{O^Dk|JU=w1t@s^zgS7Bkt4oC#PR>#vjKXYv}uDmbTzqJBFJ<2a*>IXusl9VI#iyo z#NnKuFq|!@bOvtNQ(!jgsKO7Wz?xZ;Ud+9Q*%+VJEns`%p%%8H_SU-A8@34BCI>H! zRQ9PmM>i|TcGV70mB8dIyKrc+S%61tdS4UN!90{a*mJ#)D@oHJs7>LCJ0q1R?#|IC zrh8+EB7z5kQUtUb-c08P%=aH5Aj#@e;vzf1QsN7UN3O4D=NBu6C=H$EXsj-jOo0&v&BhQpM{ zCIb5a^Ty2-ggf=yQ zYLA+%`gal0!;ghXp7qDsxuu^k^Mm7`Opic5O^Z=-BXDh2`wG53^B~%Z+v}u<&`{Zn zsUex6vbXOCPG}v@XF49V9Qkm6Oq;N?6&ZD6e^E3AUDZa?=eQqKGE@mdREh`|OljP? zpiOPuvIha1y(zh6#_M$p@}PA%{jxpzSmpfJW+?|~g&fd%=JxSvKLKw7kISNQ(1b{N z_*+7_P&KO^;E^3+PWsxn_)Ga2CiRk=?Xe(y;rwuHCG{(;KEr(|N#&i5wI&|AR60W) zRt@Q9=#ZV9p$>x~ov4`}P4fsAV?9LQqTdtL>l1(x0iEGdlG6q6ZNeq6V`8vfAvgC# zf`f=#D`diY>VQLG(t&*UAUyWipD%NZG~+s?XdEC#;wQIe*feIKY7kc;!mM!8%9d!J zBYbhp_ZaTn?!Mba3oid>?{>HMZnyUynV}iTqlHgrG1^B)8yYsjlIs8Y;qDHzNV+)S zSMT2keH6|H3Arhsu!6y?Rgbi?IaJ~Lbtjk3sL+b~evq9Qee(g(1q2H=1^&6-p0q|V z_D9aKya>kvuagZ_&O~R59tbjM^S6p0IzR#>233yA<3@L@G0E90mf%kGpbax}E^pYw zf-ClU4ys0c09zz_hlr~itB8&sPIyUKVn!teuQ$@hPE8+250a9{)%$ak5qJ{6?S@fZ)vzdX zJduE=u>j%<)AW(`$=L#Z?;%4*=UW0=7~F1!oNteJ;rkNqk|KLjB-aY=i2?;TEqXLw z&Zgu*6w(Rl6eId_azH{GX&4B|I1vUjz^kbB8ja(izCe2+{feG(d2t%56h_O_qvcA+ zArKOsN{E#c3k~LL(dDi_>jSY(DYeX6pPd1kd#K}<(0PpjUQ9$)bD@ssr6Psr(Xb#7 z5@{L41pTci212LXAmf8~A0m?$smhaKKuVC7v@&uQ!HnX-IlxV$e?%K$mKEr-38d4a zeSBDO>*YKh?1k5ZvJHGT&m{?(g@+I6H^3t{H(-|4>wZW&qjE;#?n!qv2lv!APd$R9 zfq>=`gO#p>ej1|DXIoz`c#_-Gb#I3YZ)!?8=#RH?N_b3yND!cdKLRM9tiUwtRx(=Q zPG>C}cDeos94f94FkipmgV*a-3R9|%Rycoso^GVs5LwRXP?{yeR!H6u0JSm-vjM!s z_i~#`D3K-sMfY$Jf(l+&5}_`sFWZp;w6E~~kvO#^|7uCrcm&NvA&%5sG~40v>C11- z8V*qm(tjGo0LMd5g)MDcIcgmj&;<7o06XJSb4h^i*z0OYKocCGDF?FQ-ynS7q(oH| zrgPILT~sQ-v2>jOP)*~BK>)LaFe4o|L=FSD-%&0EPfF&xrjYO2eh}F%8$^Ca&f-h)-K{$QA z`_FIpU+*5xiu zs~LX zqDd|H=#*tAf@7dFP=wy;4`yPInZd=T~o{n}8mo#F7MnvF=4LybgfvAagunDz#h2>^ZQH3tU=sHO@0 z^EnQq<_`AOul-{nRfW54VmMK_NE_obuMioeOGNrNeJR}nz5+1O_717d^Bqzf?U1TT zjh#bpKQd4_lKOSba>kp)P%Wtto1)Q1?=CTayCLM5OsGhWP4^PoPF132Z(~`|$#;|m zouDjeTy~M^P!16&32Po~ul`)1M0|g|Hf=TfZW--t+l$`sUmk9s?jP==B9yaNVN1ae z6!pdRdXc7Wb@WM&>w$K!ndXBy^HYi{5!GToRi_AvC%+<$isB0W{_GhAhBZ9sHN*Wb z%UYr%Mc!S^)ri2=Fhza^@-y6>Dv(pk&@>B4LvkT(Hzkynp)TbQ*$la-UWZaj=Oh(V zHTY>oA&bdI-LF%vsuF_9=zJ%)bf!IUO;enEs;~Q_hWCJ*8R^>w$MGPt=C;UCcymd* zfPCyGUqp4j<1906KDx^`Df6hKQluR*%1WOi(vI*YMuD^FI(Hb$5z1NRkIoT&a>)mP z&IC^fsGOC^&t|Kui`P(M2|U~R?dhvTGVs@r{~eWf{`d*9@b2@|Pwl67U!T7G@t%#q zpY9Yo+yFa_62@jE;c&5niq%K%M+fWS5@s?{QuEbZL?8Z!#q^f{4%I;@@Xg(2Ei&XQ zmccoLmr<-~>}c$4txA&8r6y7&rkgis6|ASrqH4~hm|Ck z7f49t;~-TCtU!&YX6ONB>Q*dJ=Bdl&KrV}sMv{LKo>*uWKwo0BPp2#9V31{I(JQsV z!Jkyk9`yYdT7C+hyHOAbhm_7n6jrA-Ol5No1EhM{C2eI;4iX>0oH?F%Y-Lgsa3S;_ z%Sld4sNiiY-wt<8q>8%@Q7pxL=8=7miBN;oL{30p--*baOGRn^j*^6U@FZVP6tAdQ zhV2^cC#IxtRd%u1rgV(Ku=T}if#gZz-rX7LKF7=z8Cw@+4)T%v$0>B+&4A1a`eZX# zye#;13`7%8Vs0_O>4p5j!oVhrtVR~?*J4w(F+Bm9sbDuPG#ArHljZb|_MVGNwKlnt zr|sD;>K;nu!==dd`VA|5K^lP$HO~O03Ho9X=)1E5Ati99=D#tlHG0AwP0zNls$XtD zeY$O#U5QU2x-YJ)vw2WvNhsj^c6o!2l1w1AW~kK?*B|bjEJ!)0@-y3J>u-vGP;3e? zHOR%>i&~C`Qx$|-wdh1Ksx$%r*lIq7SoXNp9q#}jGT8uCoP-FAuVd1+9lmBH zg2z4R4EYMxzAJ0giQ#%j={@->vce^L6KiH5f~_c+p-o`Tj@gp=;4qgiuNks@{NwgF zoN;`I;$WRwsdlKHSbg}dbP z6ltQ%MLV1K;Kk(;5qhV$h)quQKEb^!V#Qb>z^p8Q)72y@ll0JVc@0>vEtT{0*%_9l z*Q!SU;DVv7k*V^aIwYvRfBO2MRtX(dEZlOc`iCdh&-O zzV{sRZGWE%hSt5zoBhv#RCo@>CY^Oa4>TivCv)`aIa#kjDGZY+yRwEowQ*Swu99mv z$bA)c6&6bFGdV#^^#}pX+$k8+w^kRwxYZB@m{UyRKlgh|jTs3K%tRs5;25;Hp=nXg zIIA5rM*eNgV?jsOS9rG|qmeowH&~ohBo;Rhr=y}craNcuan~tLO^-T{!q=2GgVGa2 z2q@dY5JJ#sn&=#dOVE|i^kUA!rs)^dRCa!9)E0i!G%ep4UH{x zZ7|HLvo>@?Q}kv%%2?qgp|I+>l3Br0VEekWt}>!uktZF&kVPhul8uC#tnyF@B4jDy zX2pQ_SZ8@8b4y`DtO~wZ@Ddv4Yoy`!lbUJ^P z9=T0Z^aV+^?6G2f^#bKYaz*(?X}RdW%YG9#cp<&1&OWf(|A4>dpG)KTuDddL!mN%~^iL|n_t z0A6K9c{b$J$6t&{QOIajsxsH50NBDSK+3^+qasL~y9Qp@xvq~De@UIK?eUreQlSr} zno;`2GbqB39M1N25#8hCg@z+32htYVJ*G{X{+cPPc_1q z+@FVg1Ty2z#Mv*0d^H^X{N@du3Y*S3CrJ2ivkP6O>}|z0$dn1D2t)xQUtXs$bwNx# zgLJ|aQr`qH&UrKHQ|h-^lNGY)+cO*n{F^#de8clphb@P6pWK7XLj`Q3E~?zd^jQJz ztkdi+&B)e(E6ebjGu@R2sUIM%aXr3{j&-kBwqbo>64BJ~;4bX{*3u&xCF6-pX zh7Si-VQh7`Kr!h#zd$*iF9_0Abc*^oF-3eCU3yBMF5Cy6{T znm(wzgIHe@9xqdOvx~WmpQd9xKq%&EK=uiuey^5qGncD}iQh{U8J_U>-@Zx^*^}nK z-aXxZ{RLIVHJn_ZCni9O;u>y1ap`rZYZ?yux0I^IweMjSXuF|1xkWuyC(I_u7fHEx ztP$a*LJnIwN-*rm>7aS@=FOJc2bi#I##^&DWXk0EDSOXP*$x834;?kn{?JkL><-Vy|2Sq-P+-VC0aF6qfrB% z_O%FiUZ^U&Se%MRJ}5XrR8Swf*$;{RNHWzmOM-gsn2fr(hnK@2u6)2xFnG8Kw#JG z9Gq-f~a@lyJHN^3w316Lt7jOwce(bnJBM6GoaMNF@iM>tMT;S+;FCmt1? zeK9Dt*`+rEn0JhN8X&ZB=vWr&pvo7FQNLZ zZ^SMqIHGiB#$i4E?QdU^7WA>t1~)q&KT${6{doWN@#**5ulW2@5-w~X8g#dQi>4IuN#K-bRnIPH=y>HtBov$>ubTzY5xgr~ zVkm8UbbdWQJ$bc64WN@#K@v3wH*|O2gyF5u)XJ<@T315bL0=H?#J(c?;gw77;RaXm_^)y|Yb_a9?KYjV|4RAd%YX(~y#i3I=)cdV| z8=11*wIfrQ)e^X|DISU)Td(1=4VHHDrlQ?Yu3&~fx2PSnekEGLy$VqRbO`f+L+85Q zh?iaSagnBZ2@>)!4%{e*PM)sd;HNet-qbKa-NIti)~@+h^AXb7vd^YhjSB}q!Z9!c z_*8uCo8@_Yz3i$!M+NiZNr6-o&X#B53k~kY=5Q{K!Qe#1%FqVBx;Q$@+B`|v#B;U4 z(pg4bWz#_6*^2lR&v*<3fFhb9M*E6a#%Z4^sth-iv*fW z!e9p*#faVhsA6Wx`Enp*6u?~DK$l=d`0T94ekP2%zot{6=JjfN$(@2IjEuh7>nIhj z1nmu5l|+POnh94{7qs06JWuks=~Ux?0d=dODb>(O%RWI44^LE# zaKS8%e_<7HWaVZ)3Baf=2Vt++iYD` zvWDr6=DtoBKZE?kPj!bXzfhT^H&%Jh;I(!G3*IfnX#~83FkR6l!jX~wH@ZX&uF)pq z-R-vzUjeX=`_dmtwL!G#y?{itJ;^Q>`;m@;l(d4^AjH$nHRLwnKza!ziiKctWxLQz zEo7!bhHN-L2hV3=93TmUtjTj_O`4!ORYaB{e-FJEuNd`9bdmZ5RRP2?2NVyI_K=XU znxfOF*E`n@z%%dl_n9#$4#5bP5T=6DvA#e(+MakOf`WbpnAwdXs{!nm)pK?T<-TNx zxKw9{mek=W41*yBf-A09sI8o#q?Vd`aALB5i|p07Q#Tt6j@-dHsIpXPe8eBT9Oo~| zep$4DnQAGR8>n3{4le%`=zN0AfPXT< zRt23x(*0sCiB(%@`dV&b_rvbp?#JCCuCwl=@KQT9h%aOcmtb@NdjOy{p_~rZ%?RU|E=+cbJk@{Lp zq0|U&ax7DAGlq8~vq>9h1x5qyEk2N@&FG&bU_&M)2nziO@{PWP?x?xeN8VvX4qz;>240Ddm7 z2Nb>QAQ^9&0W(+Z=QDvK@{Hjnq5OgW!mQsns~!kPQQADMjwN!|+Ofy=z`!NL3E2+J zQj62)B)n3B#n#AbLkir!q`)PlVeZ5k3Mmkpa!6xF7>+!42!w-Yx;@U<$*$`YL_H(x z5bHWdda-jLLb+Y-dt-Okr0vVg*F-@3Plk)m+&V*KZssv+>g9|Y)H70DF>`X_vOA5* zM?4A5_N$>IjPK4(FB%-WCyvB}#*Uxbzdqdll^h0pA<0W~OUu`5HVl$mAaX%3>kj1W z))|klectQA}zoi%y7=}#KjenY#gDVfW6iL zUGQsU@WzA?!+J}gNN7;;o++&b@mdmLMZR{XQ0yVEjY6WtAW8Kd;{cR>&TwLFTtxdA zT|A!gL#z^S z$aHt$s&L~?i$7hue5c1lLP( zZ(})WY13?9_x?{0pV8U?lxsj%aVCTnDy~;nr<|cHQ|PO+HO`6k=SlR{8G)6Nk4&{; z0IesyK?NrTxF~^AMx4%ssA$`Eq($*|NDJ6MV7dKOoHrkKIF`U^x+9)eC>4 z5JRa7Fow(?iUEz!a6Mh-E0PCkXgLq}B1OREgZ=0IPmeP4*n zv)@hE1_9FuUjQ@;`2n-{{OAf$mXrjQ_BXT~0THt0h_6hH2GbUdftl*Kt{Wp_LTTu^ zskUR)Iz(#EM$2*RZ(W~3kuMk)e1ceT zB=Psp7J$abqxf-Y&A}$U1C2YX)466T2M?3i7o@~d=1J$0@Sa(J+#4cSCsD3oLtWA{ z2=9W`HS})HalqfC_C0+Sb0E3pC)G0GJ4p7_Pi#3ww^i=!C1eg+LI(2Bo~OK=Jxw+k z_#siMJgs;oJ5-So4o7`98!p)u2}_w7g?GaAJaw3yd2w}g3Q5mrh81Z`I*j?MfUSX< zldhtMz$!EsSEH*etF-8o0G!vfgZR zRL{@=9cs49qGLZyX0j;hl{d}N=&;uA6Qenv&T>e1P^)k5m)@b5z1!Zu{L4H1_a6U! zz<+o6?<4;E1^@l(eo@e+6YJRBRN!6c<&dhln)M*zGC)<%Q1qMllj-TzTiZGc8MK0c zjVvtDBQ!U&P*&1eOHzozR{d9|b&qZ#@7_(BOXYwxR~+Kb(hUh`x?$EO6vC-rFh zU_~HSr*VP0cvT6fqj4PqX9*ujP8P_`!ty#x3CTA=yTNFlb4Ya`pq%A16P!J4oF?08 z7IU*lZaL9bvc@eN1eqj4sAWbvyp|B0oJHtjADvHApLz14aoo-d{1XX~2(Bm^7Qo`5 ziv9Tu?~N;ETu2?*ab1iUQVyXFsZ^`an}XE)z;dC&urf4Jy+n~22j?q_9^(hefb`+; zmXre0>LL9aGWM_6l9Yl*XySLud1Kf^Dehe8tjQ9@zD}`g7alv-$DxxC%*lPGl@VP# z$XexBNNAB#IltdNe7l3YyBw$xZ)b`Uu8XE^UIG*s!Jb=4Uc8%N2zYr%zL{o3Pe1}x z4JnW5#lk<7enFVM$KnSah95>E)87QhY6j93Tcx1ca^}OWSa@K$l8im47tLC+Xz)ex zp;vS3h)#zsZM7h+B6~tpbYdHH<4`tgi4J}jt95VBOV^`qT2e|1rBNnmO-Q1JN97Gz zAJDr%CrhbFB2&lVARxWzWCns}&ap6S$9?|wcy*8Rf$6v$ZfB8bg|J*-oT8yJ>cxpC zB-Mt?F=rgrZ_9n1rJ&rD_ydjsD{rxKdd$Sc0ad7H88s16(5xws@g<79dcuqo2sU1^B0g}l@a zS@?_g{qIi?cb`R5kQ<^HmPH)V+Cti__KT9KsN|Hf%+z@$Lc#1La>cAi0Rn&_osjyA zTa4v7!gC>5O{;J7op3P9$cL&Vlw@1RK!VE6(bN*@ii@yHlmJ>MbAGvUY93JyHm*R) zkQ8xn?*P?U(IK@EBV&5D-(Fs>7}Y}riKe>KSo{@R@aA*Do9k8}NmS{DL19V&*v_1O z#LQ2CeDlHn9w|mFnzF1Z+^`yW?hg2Y>U=M3lPF2BcVP9DKT9y%=zt!j(vZU0?W@XS zY>^lk8f0p2fJWx+DN075V~q>mqC2%Ft1G@$qK4>9jW)TTZ$ClKw=J^HR{+oSP!v|q z_vsX^52+^}0)WuI?8!t|E!4#+KMk}x(~464CG0~Q&B(k%At|Lr6A>&7YoW-pghJ)V zuW>vQ8mo*djp<>U+F_a+6e0N97_7dyygE5!wff_eqhrQO(`MOUetWwAbpOBac7AyL zfjvURBB7XplQwwU8%Z!2@t6cR3jz^A)7JWs$>TE zn$9bqCB&j=Ms)dFI&Y&0ru{6}bZNNwhwC%rcJ_&n1>IeXsc#x}R>>w zgSaAx!Pnrz;w~qas9Rs<<+%SHpy&6TQn8nkL(1E$(jn0#x9;Hr-!$R`R2vuS$zD__ zg$5;RkI0Lv@+DqDo>hUJM2QyZ0?H!`I(TPo>?$>QB_`Fege+4o6iem%tqbN6VEqwrB0 z8E}mu?6A+#+nK&9RxFo>L@tG+uki(R{t5lIJKKo!BSrdU1KGvFh=Lo~ALs@ui&xAN zxucvQbtGj44T39^Hh9Kkpdlafi4olD;sJfcX}$brO6Q4up_szMljjMj_vpl^vQ9X5mrl874g{UQuXKqsLlRm{RM3M!}Qj=FrT zc>_^tM^#K=)yU^#uF9qZg~6>xF~+yr)fks%H*^7|p0g;0vVA&Vc(=5qThj0|d$UYoUYL9jRik`tKc0hMjpN>cg#AL26&alOr zBER!veo^?xk3EFbC<3yQbzWOXMU5I9D{C-VkqV=I3CHU^ay?1eRJcX#NvTiaEhxi= zgL@-Wm<+WZW3&2*Ho?|jAY#*j;dLMc$Pxn$4v@dh4TCwd{JYeuL|t==J|6DW!00z2 z+yxAf-Ej$IQz{BnSgRsR;eVEj19W-hI{k(_$vzme z&BK=3EdxbH;PBM0a+MJm2tq8`xGV>CB17MGR)IX4daUtSBMb+nrh06BhSE-O#_Jh3 zWbC2V2FLBqMjSR}ZURf-4f`RbEQ?SMVTH&A*f~s0LZ<8G`UF){*?aZR=JLm8ypo7J zP6selgo=c{fD1+UPcB!)TQPy_1mC)4UPq3BglpUPfujoGy+q`>k&_lIRW6Pi~NM& zqvE2O&(J%f{_^o-La$zuQgl4?z}X3kq?k=Iy3s|XsztmJBSzG~yH}k6%dtRyG0-}Z z*8)hcJ#SA>h~oov&B+f8>-zLENimVhdw9*uv#T9cWI);i|MYiLEQy?P1``GPoYHIx z&dFyf-Rj$qh_XT(d9{Vf3e=-mra?sBFyqZu+$UJk{y7j|VExr;6=Hnm3rd^`Qw!DG z(fs5D4?ll}9U@_5k6@PA#>pEVxgENT-rI#aDD!wB>OWnb<3_+7zD$wL6-_9`sin-ZHdtBt>NH(@o(m5l`uo*%_`d4! zbX+2wJ+LGq`38lm^M|4HkLV@b#FG+9$u9MZ#Rns)U-uJG9hHSTT^~0)-zKA%y|eZ4 zKt8Es)e|gr_e5=S;fp8t5WcVf`VzKDCxUSWbnl;iLn&BHB zQauC>)*#-|oZ%TpEOP0Qg1n9A<7Z{5+(}ju3+0r^HBu+Xo(7~U{f-%^axyGk9|F3A zS*bcLbOvvsWTG-J`PV~movRN;|A@}Nv!ogBU}Swt&jK+Cc39KZHq5+=DVp$2>!H)=Yq=GGrK(vsGRDm?ZP9d|?8uEA1Sbp{%cHc0sfgNv z`9OCuyRcpZ9VO7cl|^P3j`!RyOdenX{07~SOv#iGN>CI4+6WRiy;#}uY&dfIaOCwZ z12eSnl6ZjSSb_P3`pBq@BQMz(g7m~2SCpwUI)Nof)3R&yPL0Q5n&%#5yt)`FSfvCiC3~ zBS7^t@!rU88AvcquA(CX&H@-i6BJfQa+?wvoQIcQc$vICA$8!Gya_WRN-;StAx9vA zp^LZeyDwiJ+NZDI?%I#H507{4?bo~Z!`(-;Q~H2VFz-uLdqUO>Y}kDuc_`-@?Gi2S z68#P+$Bxjjheb@V9~%aoFe}4Cm?Q(=X-KDsO0K~*;6Op z6!0!=NGk%f?8~RGN|;QR2c2Hl-4!eKnWlr@auBCSc`ib7#x0#<-J@O(6uh_mqVwNr zBSl4qxwr@UQ=4~&%05Z1v3IW9+jLx)8-haaxeT77=Ny+ZQCX#FD1G|URWSihIt#eb z_aDMK#fUzrYdL9D!nGWTLQ;TvGTFXfh2CIKmIFqyYL7`F8%4ak8(yXT;{3S+wlYJX z2W_gL{PE8|b8h%SN<#7jw5}u$+|Jh;3MMZ_rD*5MAt;IrrmPlgeS-dMV3a|kiAWnP>2FYNx>1{te9ohbZB-hb2OMh-d{|nmXtk`ltu_DOLwTExKt+TnH00Ylzjowg{M`MfWN;# zq$O9mg=Etgi>Y-RVxB!1S>>CuqAeAAgkVFAYPdgKEQBK*Yg4adc)o1!DJytv7!xbOj=MKUfF3Qeoa1XDWZd!36Qn-TU~eor`cleQE>)dV8kUt6+^75DmyqEs1^}6Q_i(stL$Prs@tdRaN2~9u~;tYl;k|IFFX-A zuB2oR+K^_>o~%*>}=l{yCV+CW!QSA>qe%adFL-@6tr)!sL?mD2;QoR4Q*)NKzu{NCXqYr`IEQ7#0}4pH;njVFJ*01^QGC1vcrv{`mB z*JrXXbaRiIGWV!O1M9mF5GLqys4BYWd4y-)JA^jM;DR$%G?)W7u5_Qp8{<4&oj{5?fU#Bwq%4Gjg>mx<1z$1E9BCScER))F@i--jTP z2uQ0G8oI_aY?tajj3j_)*gcr)zv?jT#FPGRHsC)xzqow6f_vp^2Vt>CsQK@oda%N7 z-~Y4B*WY(A7kymrxKu$d#W-tW$M#x-uGS0Sr~dUSEI$23d{E|F9zyX72hDehyjXKFdh9VBZ(ijD)i7Q!dt4FUMJJ)tZAjA)mIKw203O3;L~LW3FdUy0Ar>NDab zK#gDv+n}Jjs4r&dFY+m3P*an<;NG1-d~M&|KRxa|{LZYi-A}iV|74$b{pZWxzy7!0 z{|9dnE~ZSeZ@{}u?~0&9v=(J(MP#`s^4e<>G)7%6u+aqV+HY7*Ppo>F5W#WOM{yGA zBg(M*VBAR`)64Fz%JWgjAz%2-+45+L-eA2Q`_x=hH@1JEbb9iSS^6)k8G10Fof4fN z{sC0JJ#9Z&O2CxR;RL6jQ%ar%Fc`!1-8keTyD~vIv~O+=Hby;Q`?%kI<1G1&s`4SK zi_5YD9LL16*CXXQxyNt;Z5i}u*f>R=0dzVD+Ci*Gr<=uGk>2!Xg(AsCD%tev&rf&1 z+)hR_G(5z9ZkyB$_BqS(=0?kbxjGA{stJDr-k5~Ur#8PJ{2U=r4zEiMAImIvo;VPU zO1Z1mkuuE}>YYry=mHFNfT8-F!q)(wNDg>*M-1u7=B@=V9xQv}VzHDEC)BEfm5J1$ z1Sah+faxGh2xHEWp2G5aAux;Ku$EMo!qcy;ElQE7eFlA$Kmf44ylpF_RTyz<5zP=x z1k9Ucp|3373kdDNDA27SDLKOxwURSdUNYja(_YqT?Mb{Jmscn{`M%I3?L2uY!E9*V zY76?-hV&WzAcxiP@{`GiZk(gH@Y9<3Q`OaK$B}M((T{N~^zS)oUq3F^$>+1=vobZ> zIf1F^KgiVDkKaDC<=Dsj2Q(P@jiP{m{rKNCehOR|>|;o+m%abd>-YW*|B?n}U+*67 zZsD(sjm+#@($9=4A+(5=bDs9$o{cU&I}M79iK$8QDWu+c@O0Z`*(hI6;skTM4Ec{C zzA+eeU|pu-BKJJF@HeTrm=nBR{rv!gAP+Vp2f#zPb#ahBMVr;?^&=lMB1~Phd%bWI9Z;<8x!Ch`G6ft2!nMJYzE8B%tDDZ4_yCYDF$75 znU=V#VLlG``-gZtKjul`uCNoh8L;KOYTOFmDMi}>mbO@;?Mb4K!Ev)hC4@2V56IfL zhlduL{BL*f??2wdiB5}CpGQlNymfzuMYcwhGWJIuYcvkWB8zN|Ld_4|2orWJtdJLT zs}1XN;-#SaB2Ruff7POc4(vp9F$%Tax&^((9Vjh$6Goco6wzlWlj5eObFzp;+`%*= zv1CNT-MdLF2QI>(3z%A;xKSK^X&a&n(j{eb^0XNrOQz({8nul}{T)ajq%(E;Gmo2n zDyl%$p~ns-RBjlvq@%W*=9>d7-+=)5z-&O&vTfU) zAAZ6JuJwNC{R_u?KkV`&F7|%-pZ^n|bbe?}K$9?SO#peB2HTrpZj}Lbl9Z;G{p4)Wyh>~ zuOvpY@B+#)$!9zp;=)07;QHsPhjAm1EpxloAD0jW2`UaK83@mtujaho;%|h7-|}yE z!r46L%(ps2*snjT6;HDq7WvEYf#f!1a$>rTG*wZkwBU4ffbDB)Khl}^`f!k8QSssD znW-E2e4c#%`%H~OXL#_!pJKa&V6lf88-?nP97wWJJ1qh`u>&{1I876jH_Xs=v_bdc zO%V#za(C>L;ZI^FbLM%JC49Y_vCsnG%<^|2{@Olr9ePbR?3b5M|u04i?Cx+vPyHZ^bwiQ1~+!l%lH(HYT`Gm^AVyI*ihvS$3A{UWBsg z#u`6LG686AN_kh_%)6V%XhU?9A;qczLu4bMka+7E3=}+zlhhqpjOY%gN)Q&x%auLT z9)&l@9$4{$JjA}`j`EXy-aS0p)iENl=nw<=16&i4@do=Cpf^`WVPnqcs6O2F6RT07 z(Kv1jNdi`kXF!zYZQPqtUe7mtS`0&(A|7Mf>>mRHjZ~6LI3iICI+ZhGdLWQ?%rT7+J3fPWd6>f>1j&vKBNjH2pkw2tc=7R%>irL9X^pJ{K?uBy@8xGO#yJ% zS4@Pmg$SkMVR%XZUuNPPGoz3g0o!ZZ8LU(k&f;j^%K*tZ@~TtBz*2WVTvrQFvxyB; z8~vhlH42SUyi@5JR0ius%Es09g1sdOlkJ2apxThP=X|3 z&aB=d^$Yw-$kQ7B&b+WV4ghF=pN`^+`D#uNgNs)t$cu-kI7AkJAXc4<7;@s_I$SOP z&g6QgfTm@&J7Y=&b*{8}Gh3w<0JqH2+gwU^CG9_G8u0HSAWCa=@&Z|?qO8yb*+V#x z%qbKukd_&+11wVMamr$bytq9<)aJ^L-t`IkbftJ7ide$0T5ef)Tz)fdDpWxndD&Hf zwt`Vh_E<dhx~d-Q&)!IMwjy{|gTq{b)b((!cfaXX*<;7@nbc`7x`^ zirVy&Wvnfmz>uO(Yj2ZFYwvlF)*c*MM$B_;)6oUU_u>_7p_rA$!~xZ;&?q;{(F1pq zx*S1Iao#09SskmWD79I9lH4W{`+$GMy9e~zS}OeOA6-k=XjLqma2Hv&Twk-zNvsnz zkP-=%W#6MGyU?7}bhUen0RqJZrF8VlC4=}Jk&f(j(94m#e8?hvvM4Af3Q49l8^CzZ zkO~ZS6qkZlYjSx=_=*V(@n8m33)dqXhoh z0e_4dizUaxsYRynhQvYRYCeZ6;VN@KD~T%6aQq;&V#EdQNATMkzgrA?{&`mBOBJAx zTyBt8M(n0wK|%}GaL0Z>#Acvd8ii#S3+hV8< zH2ZI0L*R%t5LJ|59iUiD2F>te&MeCB$YOJo4I?X*Mh)a_jh-kN1p$)K)HV?nF!{)F z#03@z2KRP*KmGKRB%|#Qdi#x*Z0R>BdJ5qg2*MH8iS)@T4vN2heI_a|o`qpBPjpOC zyvYou7Xi+C4M#r>{o!E);{#_Ri5_)TD=3%Zz#4jtQ$ZZKJUlnon9O z&#wyE$YuXl|ML6azP0yX|FeDn_5SJpJ|mTdp{rmA zhXH*iBUm>K;7`}8a3;@9!{0PZ4pn5sgZ`#|(u4jmgu*sPi2K8+zvTr=YL&l=8TLAE zTnFHqH3B=8Mqswn3~|~ksXs|I192uApK;r?@Y1Lb0y(}o z)!rp=O<={6eeTu(btC|m&6D{y?lbG^C+`s)0`z-&s&rYAaH;h~Cc>k;p=l^XWZsnl z*>Z@Q`mhjiLERc=V*Cd20>G2OGL@_QAt@*LPt>Q$PgFH==>eh(jq4L4Vhtx6*HoNy4`vIr@eiVv=Fwm7)9by zoEV57JC-~_KA^u$k!u*_+3EEBRl7Q&#$3JZYt|W*<)Z6zKHsN?Xz7G!sA+lwA z6WGd9%LH2LGqp@G!)lqhMNk?_>Z)Y{q0_|-(5xk@*&~vNy+=E?pAOKHW;_{<_ffli zG#QWX(1jX@GdV2YgeG%;YS;_4(fl<8Q%OXI`h=KW>_)lp267G9@F>8O*Kyc9Np~3O zP^ZK86hcKlQFQYI!0Z0age%u?olnr);>#D8;sgxld@!l$MG99d_H;f@>UsGB#SVs{ z*g;>59k_x!?e9Us1*C4HBmP3)G9rSr3{Adt{EZT zf~7-S1h^@!t-$&KDu~vAH794yPP_O!;sjf|$eKKaQu@?Fghx~sH}16Hm|E?4Uh1CR zaXE~NQh?P$s<}q=iy#&LI^z*|OMN`YHrL)2S`)C%@~$SI1J{BR+}*&V>~45ltMrSX z4Qm&}0*LpqdsFFq9HkzM5%_{uAbGq690Pf=AO7Rpjld$+hByCPUyu!2t8aBw8KCj7P&eN|6OXyR^Ky zBLR}>h;yQf(+r2GTATG;GRn}hfIKMb^e)lH^yH-)fE_fE_go=A81Ac0&}vdB4~6LQ z|5Z)BfHUY!*@&pcHQmGjcbbd`&uVc%%0YYzW>R(ye*`a}MPbTO`F&Zk3EVvsO-$Df z)5^-m6>6tl0gc=u(G5sSVOq?fK>BWgpRqk#i0&x-lWKyYJV(LH!DC`_Qj)ON%bOiS zy4a-r_b(5(Pk{9HP-_3~_aFR)6@7AvG$wP>dUG7~Hkcie&cm}sh6THk3Opz=R%&;k zKH!L%m{XdOr8~&%<1WkT?_1S{PNK#BB<8P|Ci8H3I;YKs~`0cnZ99e&D4j;OeX-V09&@!0a$! zoJxlu0hdTDw9A=!hRbfA(XV9O7|taC;d13FXh#K;0ObgKZ~*J&YPyDdEQ4MV!HT|? z*ke=fSfvqp+R*ISe^YepAfx99d2^SMx(CEu`}lDC`(1nY`4-uYACjE}f>b-7mm4`6 zJ-d%1kh2~b_;UeVb$ z%_M^`og5YH*#Urjn?0)yI%wUi$L{A)2R2DfE$tSj_CO2oQfH1((2#ht0kq1*lc=)u z1m|%AL;_T`qe|g&Q(pruj#d|_21s8LcQjRFyqccC1j6b^euZLsEHiH*!$VZp_LKq; z=f~oI%$iJQdT=6{dF?fXhA>@45DM-9Yr=05GU#nw7wbz|TdT-&2xd^dtqE&trjN;EMEcnjG8CEv`>Hi zhB}V7zp#X8`_KE&A9nfS{==WUKEi(hDD8XAv{BP+lYouap;VQZXcF1kK`c#gR!s1} z0+S9A1KLR0o)Kj@AeFJo;i%2hgTW#_y}$K4<;`f#oI@OzWzY1w??*W*dqG5KAOvK% zAKlCXUt;Ut7v{dcTD@Ti3xe_P&nM=j;8{K!ziQ!#e0Ya!)EzIA!C==M9o%+VDFX}4 z#%!lElrPmx*~Ri|Ilm&=f5JDvyl)@w|9RKTEOi>{Hb^s_?vt=lw&+9r4-2kJ6AGTw zSCDaY`GR=(txhpgLiq*iY$&ikB%75?$WcdH>gBqtZxmd8&Ih8-O&z&*)%Tz~CcEH) zujFAR@I|-75+|*P4>*>cE^rt`DSAbrZW`ZO%N{paO5VQ7VbsKses=IjT%!!Jsg^AE z%ERb~rkJINntD}eg%spC0AA*tKpBCw>0fkCH1PV8=2PVRU_+TXz3!{o{gyn62s746 zu#j-zyQ+QA@ZOiAhMI)+*xvp5{^8r>{qJ`>2<%YxliaM^&!4|M-9FvzgkimoOfT`d zgF3tcoZD zyCo3Tw^MhsGC`J4d|ePOTT`uAFHUnoT_eI9BLQHM6oHwX?<%Ra!XM z@C2{XavF3Ktr%6*-GE4E$ioFjz@x%~NZAU96VH(itDDc!l_g6+ei~GVgBP=wld

    *u>aenJK-oN7O{ zpWZ!v`Qv^2NXCh^fJp%p`3ON+`%5-b&@?A=6al`09>7Q`0nWyqn#05EQ%V~`ZaR~M z3D7b;IZsLj8OncbyGgtcOt4d}YhkriCc_udTY7&}Q=PYn;r$>XqGpc%baXF*;?$Wl zZC~>PELAkkym7+kDI{^ZYYC@#c}{-@13RvI6s)+kvw(pD}Pl#H;v z?d=#Va!D+BBk>oZ>X@98z079kEy!EoofdaU=I*uU5Jzh3Pt;b7$<>9>2zRJho%|%)Ne8&vf^kecos6v3=@PRd?O4 z*R504-FGIFlT+uM>RWTWtK0RsbFUwb-W*+z9Hn_1=C(L;u{=}epiU|qP5hhd^+&S2NxWRhR63Y-!CLx?851E`t2eR8h(t!@N zS9t@pZ+8Z0gDdog2O>kK5RKGNb=|r?{D8+u?2dKs={ANl@v zSxFQeH#{I!TJ(S}U{7RBqmE#W8N1n9tKsI=fyo*?fdfQUt(q2-TY= zP_tAYaNOJ2+!?(C%fI1T8kWTqZ9`nq;2j;ENkZkSIh$zNuJA%4TDDE%Ow^REcHh*L zewke)A?in=k~TN9WhJT0;HXy)8VD=__oyfxSv{Jr*i7mG$ordKeWhaSsxJVFbpDRc z4}Sy|v!}@<+LOnGdYRMU*ooDc2)szAQ?EF;A0}+02vDZblm!`jR#X+8GG?vO8rp)0 zZF2K5sTc))h8#mmo57}cRh**o^UDjw>r-x9F4mBK1M%8=6%DU&8nwEn7lV>R@VK)s zRXT7!W8cKQAJ2r!`(S-cU4&b8%?$+?TIv`2{YHs;_nH(_OT+^i3uYCiq=)$={g>BS zM%{|h2+4wJ$J^s^%3{Qqb+BQ1$munT%#ib_zTfcE%BS!Yg)ag9lzNGX+`%uS`D$I2 z%=nZisViTp#Fz|j)=^BT*wjaj743unnBpAeBByRO4po3A%=a$Cy#2FP?l#G;H zPfSZ4ZC#lgd1}yrLxO{cFeNzU&nHLw2f!7RDYZ>h%J>VdLQ-ZO!d=b%7>UcHYaGI2 z#*Iux5ui3aBT!$(FmS`u*fOmpF2@XpVtDPeQm-B4T7@}k=XisHHlH3sJyaY3d$hQG ziF9%23uI1IrmOS^L6|O-R6tM+GhA+Opg8H)7Hu|2f4Gr4v`TiFhZFuo>@FuCv}Lr5 zN`k2;(E#TY=qL^@h`CMoX{J5xZ;7B0a@~L%iT#%NRe&uOwuF6zB;~D8HND}?xTQWq zi5ipPq8*USI@o;gHo@j1Ow}n_;b-eEl&WHVK zmtW}T7t~cNBwE?=>K)7a!|zP`<=hhHy-=gUvT1S^NTfr6df?*9()6IY4GP&(JlZDK zt1E1PF?!H|Ff~iPKV9z3lFoP9CX9;c56jI6wYMKWiJZs$HN#K*zIe2Lo>yc#%)CQz zj!|BG-5wQCo$r;WISGXhLy#BYF$Ao>qjGn}jn@KBg-}&{D@ zUd)mF>0<5beMu?BfA0Ov)%$e&ZG5pIm!*BJ7tDkD;BcFmzsaOO_>DYRE=o{UA~MCw z82MsR{_RdWH*5bez^VU~OL&6pOr$i;D8!{~c~}2f8#P=7OvBq@X$Vl_uA4!y=fb1M z@2n?GVNL%E=CE!g*FoRoMH>oyCeeqBwxx#8HS*}-AkU{DsEf>^8bg3_$;L$#)S<)m zM@{qiAm=~>m#aa#gJOsekf{L%6o?@R3`I8%9MB{Z5dg^|gd%k1V#5>E^}*>h!l@WR^@B8xKrBOI>NC&9e3P<$8m2<*HGFPskc?k>z~? z9q8fi=nyH_T)b;yuc{d*_fG(}EGH;FO(3yqmGgWy0^CW~5VgJ@P(n|%luj^F^MK#` zjneBYM`hpOK%BmjK|%*d&ZH7C>}?B7ZR$fBZX9KJcEuCr)BJ&i8=ah4D0Jm(OeMZ| z_}F6G_>u8th3EvsQNgQZatg0XU^%Qj!r?}Th_Z6xf?IK#@{P&_HF$91P(USDq6M`b z3C}O<97IjEvpIszg@66w&#u=T`+Ni!g(vE69mNSNtjSFbTuGuv%C^N&T9si9|2vd@*mt8d@zh z`brl4#W_4YI#zwwAh%RA9Ne8Q7kw7b8^}-M#YyIEf-Yo^j@o|bYWiw+uWpp?ptJVI zRtV=9qc$3KEn^;<>dM&HVpZjS**#|Qfj9o23Q$y zLC3s>G2DBMGxT72b#QU^5@CWLKDc;!{p#%e8GLuvs2OpmS@D7LbWD=RCqmyA34X1r zuFe^9Tdkn4TP65m4Bn;LYz%Rcro)R3b)c|!j-T`phv?aGo`t*!-g2PeeBkRw2owh# zWr4RXRf#V79T}lZT>A zvTvrE(SEPD+NPrwrb_X?NEH0TVEhfFsRP>%hp7H1uhT{121lorjFq82bVKhs=fjCR<5XgY-C6y5%PBms3XoxdCw@zXv*? zbdQ`F!l^;ri>KkpD}vw_b-qHZTvH6N%mBAF+TBJXPCf<>xOT zZl6&5DtHiB{RxVl0Zr=UJWL~EDzUG^TQ+uUQBo6OWt@|Q7mX=Wb(qa*)iY(ynb03j zG7Zmep?b{Lbo~L$MqUsJ)Y&_YhD#VmO^wvag%cRTwS;0NVZ+oVHUiDMZUsr@A|^;0 z@rw=oMmdt8S8bs#P-Y4<@`}Km+dQ*S)dLXkv@E{%97KrCeW|t!^$aEMFhb1yUhYz{ zjz(kkOrW2HCSZd<0qCLUqwZlWDnFFPg`;>^%{6^BJ6$(QH{0M0$^%hTdX@?*EWfQ#A&w4?j^Q?l7}D*>P1%v=2lN9V z3@KuVyf+?$2_T^`1VUFSL;J^D=IYc6U*sM274{)GYPdtY1w)?wIdQLlZ3&X;hc2JdufE$pZsq+~G*XziQ=t+DSx>$(_p`Z<(LN|wY^_uXVJR0;4w;))qH-T{HX*Hw8`9lA}tI zf;7pgMtzNTo{W&S0D2dv2vjg7vC0O>N}7%u*~l`QFWUO1L1C(+Zh9SctOxVBb!&Yr zK5~*%SN?Es6yZ{ef%7Q*^44R+cYIk-f{;U(H!0*qGM2a6k&w~6TcO4)AQ2VkoEUZ< z(SEXYCNlA!EPjSfa_K94W8lAu+;^ z(m3P~;t-jh=)0>Nyk56ms@^4A1d@Z#ezH47AqjJjjMJ_gu~f6u2!$5GSVNwJOA`+z zVv-S(?6y$ZcJU3N!?h1JXM~j#kVS*&!M52AG9R|tvD^-EG1kc2OPt7H1uOgP^E!4< zcts)1Jb?~onoN`{zei;r2wAql2W2Z6cD>S5v**<@y5n?>L(B+7T`H@Q18^*y4m#mU zcI*~3^XfsEK#XrHy?PY*Mp-5?vI=WxtZ7}B-bCgMv;Q;w{j&}|nE3IMuRedg=nuP2le5vbz4OppzGeKd*;X*Tr z1yoYgSp!#f&ybHo5CWY~Dw?-WL@Av~a2U(qZDz_oy?FU*c@D%kfBEvgv*q3C^z{5r z10ihbW-z1xTMZyz$aeMt942SlCA?L+z-&a@xOG{XTKDe#At!>}=W$HPZ{P|#Nc z9(AJt-5@pYKp2m=t~C)9!vkp#8;TZD^2%cik$+Uiw7~D$K0QVH`t7 zFO)w8F^4(R%Y$D2@{cnHD)i{X#0|j7H!SVzk&+9&QQ3yhmX}wHvvVZkm6SRNGm^G4 zb8=SbU-%S$O7NXfWZ!DKv@zpH2<2m%crfIG)m+Uk&H$LnxLS{Z$lmUZAE~o^--s5{ z>##bnyU8MVl_XKEz>o*!RVn!KyI8bL)GRl6rw-IRRlJ8sB1d@i2X}Nb6qIrj90WX# z|1r_9A)QdB8R*AL_M$+<&G5m7>J5jmYzD7Ppx^CAKk?adQn0ay(PmnYZdpV|{E}_% zr-h4Gj?qWxCQc{2tc9U9%P^lKmmSH$UZNF|WoMRh2P>7Dux{^ z=sU|smXV1%y80elow|Nm9k7NSKqShgZ9RShGu9^f792sc*W3FrPTCiQfO#qITYK4F zR_&CXFu0KN;Qk1;$L=7u{XrZ9zEkxHBXTvJ5P}3>o6U{)MjI*)M$Ip1pLdZbvFATE;ET<}kQ*Srnty2MlMfX{PUH@i_%XmuUsdKp zEuCW=Gnt9I<~9SL-s7jxOtg~R<8a$xu0!|?ddIJR%tb`n#a5cOlPo35?%R9f2%J-5MWn~jVKzc< z_xM_@YM2J7OqJSu)%h+aCf;f;!piu@h(6m9t5R+=bUc1kf@uNHms1Fh5J8fL6_x;C zDF6qKjIfip^-r=Vx!GSaMtrOyg{oaks3mJB`K-JAY+8>R6n_;CRy@+spdr z$*W>Wr`4Snarae>qNUWPXp5CGM<9pqOq(9V)b`e3m-=yhKTo~ah56XGT5TBP;#`^& zRQJr(U`{nz4c4V*GK$ny1-T2%Yq!mh*ijn+4(e7FyLBBMM&>ctQvfcNoEU?Cw9m(~tK$}b|T7O@{VyPAI4OhR(3Uh^>% zj&xP~Pn0zJ+uPxBYcXES8QLb4R#?G@hW90N{B5~@t&tX4Ui(o+kBv7*DiGVhkT zlE&t~LiEsx^%G<(nbTIQKCbMt_tXy1^{fbhn!p=kXw4QNC&jLpCYpz8gdW68xE=PED-Yb)Rw;xJX(BP=gjF#2Kff)xfJj^a5a z4uk79ULi?gle3)ltvJc~C@xiC?8Uxh1{_*&@E=|+i|oU7648)iA^*dubX9jYA2Wa* ziv}B3@^KIlL7%D+M55)+NIqURlnlTCUImU*lk*PshQrC2rv=Cu3DV$m@c|U%FRmC! zz5=~Ir)Ba7t1LvmLtL@`6W^y~Qnwy~?^}g0e#hcZnoGco)gyffb^8 z1e{Lzl|0g7=2niDHwX|Rm5Z6Xm=zd~9Vi3j{<|CfhOY@V>0As#h47aSurL9L2_^y{kT{F5qt>Z(c@^g5l8 zBvlbNEJ{w@)O)kh>eg5j#Is6f1i;|I^yV-PFNvxL;H)E_z>TFGsfw&--`*pZVEN@lQh$rJMAV+zFdbu6H$s*X zW_`F(qFN3aDWt1U-oz)4QpJ@W3w#*uqLqrrRv&VN0YoeAupkzRJB(LCjwae^L37c9 zaUd91H=n4;Z!>r3r)Gdm91n+$nsg4>5AGw(EJ^^ZOCkjCx|Ll9XY~W90%1=Ll82;1 z{A!{9>i1FApjG<02!Or^h@IUWZA{lmp4S$aS9dQj=69bjp~`=;d@+CZ;TkXAfyy4& z`478dBV-(<1$^t-u@HWPC@tn^cifz6Z4Vi8qx_uIilwtrUTUnT(vbQtw0xu?gJHlvgEk_K0u|FJ_4M{?3di1Zc$0C6BU;T{ zM+iHudU>b=$-C8qJ_-zThojZCA{*RkjmoXxh3dEfQA}0gh)KV3| zBP8e_FhM`iD;SqS%r*=fEjZHXdcd%44vrb>qm~?QhFA~B@heRb9{`PCg?~rwLl*3hiO z-f~8+2k9QY8UTzAsf@cUtm#hxn%aBymCpcCg5waoXR zyB$4MS=^$L90w)-UQ)7bkmUR!D{q{XS$FU)U{#!u$NPcraabbSTzE2-d;VZxA(d`?p; z5206Zwd@{3l8Hbd>(Tvpr!@*LrW%4e=2bmmu>}#tcgkur?o8Zt9n3iWo#b?e|vm(AK}@|#ttOEy}#k2Z$(EEJ9Sfz23A*eP8zEk(uYco5D4iBRo+pvJe483 zEX0dO+s9CffIo?#yrx&T-yB1rsOPzcY6luA2Q2Eh%sKeYXuWwt(@tG(UYDG@;2fO@M3+MHlE>89I7()G^$3N8-0$N(AeIe-@iM7& zUIr^niM&E~_j*Bw`3x zC0F&@D^^c248eIp?av5%)PX!g;QI|W25t)&VTmxsZ&)3m6+nG$Sa|fuTk9?e4Hx&K z-*2zqaXDhuRO(2DVSdFz5pe*t2`v$%={8%J(^0K06a0~KT1<*@X8IIOAT{$lNa*7J z;VV-{xq83AAVi~zE?w%B$?0hIy|<9#m3L5@=>SEKT*z8n%Q*P}9exy5PcS%yvy_+` z5&ytseHL~dUrgaIxdEyKkWR91fdH!)*JSN{_5z8Qfy!xRmsaD=H)SW8-}Y|9xqFGZ z`))%<8}7}ygwB>mN_A-h5Fb=#hSSoy1P>#~?q9zZHGMTmyKa=?ZKHOz`7cAt#oBH| ztSg@(V5UZo<{;VwSX_(+boQkhMGgw9ww&U^qcYj#2+xs=PCFIPK_&|Ee^I0g?}yZU zfFfT^0i}vlU}V97qz|!vsu}FeC}cN5_I`wHr}5k96g_OA52P;tU2$}ra+PjbbmPhV(==s^=g^?= z@i+P!g;J8rtw5`^tcspJ;}W!d@$^Jtj?3m!Q`M(lFDR2jEFu$<`_`n z$NPJXgBQ@~Anr(%J(i%eoFWqf3TJ>J;tfhbSp3e#U0fDTDNTWftCFM zFH4`&#PfG3X&PP34tCtfcMR<%kY|Opr>gz|6G^P!VjJ99 zp=$@$UoA)kMkH}-_zQ^p;le7cI!is(MEMbdj@cUOv_n5x#bPMn zm9Nm8^3Wwk!R~pd-sm#vhV<@?W+UJ$who@HX!~Vc(O6zT2|;uwm4zy(#bb6))h_ptzgc)zk<^dAY@ zx%v|l%h#Ho3(gMvFsq^tnZE(kCp_;9tR1C*fN(TlZZS9Td@ zs2LzQ0nh+B?I=WA0n1CIm2H%13#(faMmQpN1M@3&V}%WK46^Px^01?t0D!W9UsR29wOoIdMt$L;MffVJ~V|{6s|7?%28}f@axi znV@MK@1rjyi-$)~dWPx;$@{fSGK?WXLSEUgY?vxzPVf0Sj`BspIHLn$&kw~1~@B~0r21D94p>=C86GfjKn z2p{0vOcNigj^2O&a*!M(P`3%S)i7f1pdusOSd>j8hKigQBnf9rFNMz5@Mg2NIv>;&jvl3Wv)MPiNs{dIaU-Ttn0Iik z_16sqYI|k-uRfTbT%V#~-SpMf1<)k&tV~h&=kf{yL0kwc6XT92hfvL$>{s8Z5S-QR zqlKM&(&}s7zE&3w_F_ChaKPqMuGw_sXgh>b6CtJ@`doVHxTraD6{zjlSgT&M1;~2j z(*p|eJeK3k#WP5hVVsV;SZ)B|2{gU3(eo*&Bs-t+ZC-qXTpgV{39gbqp1x5OGcsE{ zQf62SXD#NK@+uX{q(y;VH7v+Dbd1^1NDx;*gI}q;n&-^%xpQ_9PZ9#>oFfGE&SW>+ z#l`Z)3?=o>pG_}3z`)c0+10y3nrO|pMf9g8IP1Z?bpyvHkXASe)U#dvAY85zDf-Ar z=FXg+FfD!*r&?`(K;%T97eP=k&*Nw+8;^Wo65F$Gu{|wASJ2xn*aT{n zWcIh^tTL(Gmr$q5!>Jt&$p;)Udk&0~Zk@L95y;u^HPRwgaQE_tMD#=KYASu~P ze5vp^M~CBsquPB;N9bY@ED4z)>RtJZ1E7hjs0?#JT|Y>^)L7kMnQ6NN1JOGe1)53r zk&x;Tq=vxUpDc^TZH2n$Qk z#28hj&>$F^pBvql-B#$b9z8wwslBTm?tFm3JlgE6&F-Guo!?#DY0Tizaf1KP@&ARN zWyF&np#t}`EO#lBIhX&sUc(@(#>nX+ir^uyTH>HOs~ z)NL0crf0KPJlq`t3ZF9me>4eTgvBX{EhL%&K4dP&!D^fnlp>DH1dCjY+Ye3}D>*I% z9yn6yPNo?o#*jbMK@bVgaJJ~oQEIJe%a@FuGMv9P+TPJ`lJFhJEYTrtbKi&o9+F;H z5I3z&7KH2-H(`c^CeLt_b@!Okp-T59)o}sP#~3J{!|1tv^ymo)p5@8W;pV) zybU-3#5E@nr?VlWo8)aDM^6cJRdz!HvuZCJ zf~j`Oa)kwf8i$%fz>=H~za~M(XbvQbINajQnJX|0Bzfw71c{77@?&={k*e3&Z-kI; zPw2^RvYT>!m2R0Z_8l4&i8VSnb`pk~l&Y8Q+bj3TlMLk^K-iyvV}N31e_z!Z8u((T z1S_&1v6MszahD#SgrYflY4T|MAS+oB_1Nrc?zArjR_5ZGeB7j4hHx*?Xig6vJoYOV zacItG=CMx8Mcc7fJM=g6>x;{?_m^u_bu(4h#qtW-IF`^y-~tpA$)KN*Q9)ocEaOTn zVUAGykT4iWN_z{OR8ibX4xv>-gd&pVq~wtJlBW*Ju#izKf$n=%M%GUuZRXkKf_S6q z0EXce9k#x;-Lk??Q-q+xOjM?Vy&bT&B6LfR1kPP9c%>tZj+|3P|7%*gq+h=!*XnVO zmXM|;UddGFqWl~3ksNL`x-ikGIaSatmN$aOtVds<^c;qhE(y6LTMv{BoCGUyyW{4K zV?Jt6dS!)p)~VQ8g%zk)glC8y^Yvy@B&f^mgSHAmH5(aKfh(;)Epr2-g230-KK$JP z|0n{H<#wgZmT1#JaH)y_-Z-TKeK0R@1315?y_~KVNL;Al!l3pk>3KG+>s3#z72p~;rtpl zNP2em9~kpWmQMg&yo)C*%;oV2g+zX)RCC`vss%MtD8gI|eiBf{UYEC*_d3 zkhb`sSin$xE#K)dTx>JqxB;h{8g{ennVUyym+bl23F64aAwHzpL)rvaVU}O2{I}%+ z%N7g!VaPi;vwG>$1PmoE25DQ(W`C}zQgD_%7wvvSnof*4Py~6ypf+-B-ZEwS%!>y6mr6i9SJ(^C78TRXCu-l98)O_#Dd5!b4EC~_Q2NRs=H0N zsP$%++sL?fGf+p_Z46nF^A^Lu<8LcL!=9HX>+iE3BCFa1c&|~T5iGQOhmSq%kn1Pv zCu*$oMu!Ey=jGtM05mIfMp{vaUg5ALqzRGV$~4kB+Ht2Xd}6-W;v%2nb0L1>dx3dX zbr4yPF0N3L%|mtKf&Ke_F5hXdJyhKYMwOch0V^F3wJ_;gLOElaT-A;%;O1Tndk`m3Qc(i9=?w zC=mvr%nalea>Y86IbPFB1q}FcCBBYMxP>iybKe}rOk>nC(N}wQlNHT?Y;8eNcK8_S zq2!x-LAIvc?qq9+p1s%|b?MV!$vYZNT^7BbOBVq)EmR%MbJLkIpyyq=n~e?%l=u{= zsAR#UUY})FFHlKmI+;G2Q?p#YyJF&ZX z4J2N-;3&fN8l*vt8IMqW#>3MFMh_i_dz1FSlvnB!- zK17l2Zcp~SJ6B38L%oK)T+-^k5UWzr!`4~PoKU(BZVvOOs8^bc~oPFGjI!ojgHf(scTw{bP% zY6I=um&;xzv!t42V{)_)OHuvxe2V@qeOd4*B)Eg>dEb$0k1l(_L#}eJ+-N(1l=5)f z()gz7DQZxdd^JVP;>`lqtx`z4b?cCiBBdeYrDZPnPX6YJj0nc~`yxCG+UM{rTrxZ= zHYaGQo)U)GqOTYzOD}q0c~FP8(}}7^?T{!*VOXcv0|O@``w{)3cTff}jkiHgnGcsU z_ye8*nx1556D{lpynQfTzBXttU3L~@!E1W-fll6s&q1BeqSdOc zY>dlhB2z>clOEIF-F4&_4* zpTGm~7^?UX>8Wy8UIx#rbTr42a2_5m=!_uDBW1m^hnj*tt^HtWJ4XlNCB|e)Fue(4 zs_n94Ld=y7kR#%g;?+qln)U2*d2xn#N*bRfhy_8WpforI9sWXw2N$3sEsY*hHCjcM z)ywAfpQ@mGK6KVp!AW8ynVwry>cHHw=Yl0VR|=P^cLh8gfPI3Fu(5Veie5<*a`&`x zuYq~u3s7Ytu(tKccb^ z-VFtmzD%5jq*;fcnB#Y}x+FO5s|)ylO_wj0aAY{2e+a%29zb&RN!GBjX@Z-Y-W1jO zYVBV75x5!}xTSD492%+%R)LOLexN%TZ9q0Ovq0XFYm2jfz|hg7UK14@AS^Z7L*ZY^ z*dR^A4m4GO21G#sE{ye(IwZW@46Lx>tqpJ_>Vq*b=myPV*zyK&0Ss;^=m=2u+MGc6 zvN5_^6I8&a%T59zvDjovcy7YR(SseNHHn^{Ai}_`yMg)&0TdH;GyIVq(e($!j#CUK zBPA=7oix7;sKQzK$kZa>2&QtinLWY?CTUXMrL&$QRC3I6N4q0{>jq4c(?(llBkULy zm3`-r0c~WAG{v(pHUCw*<(LGIe{Yo~5>J``6@%mf1wGsslBZm15f{;ht8)_XV;LeGFI zFhL-~6Y|7?8|xHCwzgQDXxto2St%HTRqNXFP7jzo`K}g(Ov5H=Uj?v)t7iekqxIT%V4DO6XL_gcKB^7) zABxxv;i&P-EgKe~&sD&(nT4up=Cl-zH|s4_O>dzxG``+S+(D2|#pS5Xuct^nzfGaY zFVfK=q*;$+xlnPJo|TBZcM@H_lGAtA(Dk}!$kUBHB2Ac}Ofcw7iG;5PYE#-+(`B@~ zegO3f{1i>mOYKV6lWwZww>G0nN#t`B{^}$yg}++e1yu9VS4rI1<3rPW)%56M65F{< zEljo~=q{-M)7x4XM_*z^WgKW0u0x9u5IJ~xE8+^uOM7Xbr~>uP&w9-2rn}Rz!s1z6`Wn77w3L(iXfT}d(iat=DTXX(T)Aa*iWW5ZYdUUF# z3D0qm969Z;)8wVhB3X3&2UNgSlobamb-t;rZ;M^7$#3K- zsB@)VHB4xztX2ngOgm-qHIOJ!s0bxW+2oAu$aGXKH63q{$D4b)@34yHJKLJpdUMNV zJBZbLWL~glJwYfY)o4ih4@@uEg=b|&E)+GD#K}-xsDp|WT=JB&h^Su}BJTz(uz%=% z3zP#{-`_ui+~ZwMUE(&w;n^U0jP1Dd%4R^BId~%3rsrEk?@BlTeY9Kr$7X9U@IZi& zZR-!g$!MG@pJI+IdrTkiLTqRt=uJBK9vIA`-dA4 zvZp)SM@PsKp^+iQ@wh#P07|CeIXc?kCFhG0a%ZUa{( z_4Je>hN!VLmdI-R-r&?_QTN1UBIS?w58k1vLF5*Ap}A6nbh=@I!NwQ0!XT`l@|!Qq!^lDosCxXZyti; zi#0%#bSq+_GlJoHBvpnJF#iPSM6S8uTLuD5W^l(z$FIsccfGec#W%$% zp2llB)gL~cwizVeoIV8@hZ2v*)XD5%e(mhwi$ED60m49zaw@wd`p{J1)9x$jw7=!% zz4oBJu~A7%qwF`*f4OxvH$pGm1op$RS3Cf5FE>k0h3L1GLo#%1=*BTl65mKoxpNJi zE|#YdY^?mNo#k|tn?cVfv;eONa}DAIxtfk-n{-IEp8M?z zAWU6F8YDXa<_j6Ybu%2A+MdL|F7BE62tjZ$KWVBo(W5b#hd2k{|F!wMvSd#24jf1t zRKz?h=mr~LqzG}cDKPS>grEUlpo4J zxoo<6-pH9xd8RA!Obft9RDFngpG-KbzgqrR+yClBaX}tV;Pqz;ICFDw$)_j_vsc%S z@?&0)*4sk}n@(KRLL%^DQ*IIoAi4$Eq}B?5>Jt9c1_0}2NF3qw7^D|7#Xx+6W^z!{ zGuT^^kU`wF3$R-e{v{8h*;}jk1K}+A0jg-ja@_ zPMD|Li!h%coea<>c{BQnB~-bxt3v;P`4nnUdAlPaSN_0(mb;Tc+8TdT#5+VI^n(O! zGnJKNsGUGjH$u)cVbq67>x21CNgA^UU=EyZG_hu+JiCwqmo?d0HRKI)J@MjF!H%Ge zSY!8H%BTAkQrj@ms^<0ZP}NM7PTq8|o?p*kE9*}DmjFk?eq=0?NXQzIK@muxFxrr; zM>m42>A4(}x9P29w9?ctSm`}vS9c*s;!_9zpmit#Hpo!D4NDhj(o?wSH`bu(VjbVyKZ6zc%if1Vj5J`sAFc>!s=4^Z@Tom3a zI0cp@4sndq zC>AyKgEt)4rQpR@V8I=p6vL{mdyH&J?VvG3x_$lY&buwFYQDw zTJSG-$DLXW<|BD>cqBpuCj@CcFu^FRBuv_>>;oOiKA@XzCYToB*;I4BhCGl>qMAdr z6yGA_U~>R4lNG8PK91hjjO6~ z?dKL!44}RrV|1AcasO}-}ca_)mED~e*rr-7UEFq3x$h|#xOR@N0qrU~m8AV-c^#7v0l zAX_(~yB*RT_g&#Ef;xc;@fDsv+%TqwK-@H%tF1G{r)zP9RYl7+DUWzh0r#3gpro@b z^?Q&;3B%JZ=2b}dxzeqBEb#?+9%wOG=B)bI3L_$koruN)eIda~4!1dzCNqV? znBlwJU^WEdm!HEl+!A@+Mx-(9mED+cOLIIiWb597(^2^}_aiv{K)0`r}QqTn(N{XOK%X6DCeD&l|2~O)e zx|K5y0fR$`sGKYhKZj2dT;WfAa#rFoI&s%6+M-IVs3U~U{sX9!py5HLCo@lh1O`;z zDl2wihs#kD>sDlrF{Wwcag!wUCV>k0%PG{$I%y-&Jd~}*x^$M zgtlsN7D<~OstDL=IT$_IoIZh5V6^jXpF}K_F7adtsf8_SVr#Nn3%3-zqitzba<166 zv_jq$>L%(+9<*9mNzVzZa`o{c6k1pg5FSgnoJc6@J~n>1iL*VLz?A-Th1@FEU|&xVP}W((mvdP@9-}E9p@T^EU4m8NFO56 zFn-WK6+KO>i>wq~sPdGGI*L7ZS&+Q(iY^?w)9X#y>3(8N#zs>v1@fUjlUX?-eu9lm z@_nEZUnJ}J!?_8r4Czw*5neeaJ`Dn&__IGnrY)UVbV+myV21JzTb!0~j1mQbyG-kL zfRMrvJt1se%fq@EdsPOf`G0hLeXJfDyTm4M9>N~M+}{)OY_`gCvW@a+)lunwLg z>aa=hUDyb zJDCzW8n4f|`PW=}L3c@BE3>gQsG9R_EQR}I zy3G$j4rpaVd4X|zMC&d7mGZs#ckJ35?q6g$ph8<&;nB{M(K{13-g09aMzHCht*hrP zqAb`O0im0aBh6OLH&PaW1|TXB%uQ8a&~f?#N1@A}`OtZlf$zCpJ}!F{kkxAI5{e6u zW6_&>_yj4!QQV;IQ~HQL=>{Y zi5@y(RMQ?^4v*ci_o`q(u~24>7R0W|+u4@9uaBp1JS2H%4UwxI#syI;gX3nsHUZ&( zk*osy9f=c$V~dpE6Xw?s2ZaezLKMta6`A5;0jCADmn&p6m`l-LbfQDK%yq;%NM(p8 zaNKaGnlu_VmyJ>R)(v(R^opHD<67#By?j8~y)hG$4$)}36(-}4`jp=7Pw8PU;E;gt zjSe})Z+iBKBMqCgZd@onmx)o`J!L0IL>Dyo>r^ZJCB>w^#LwL4m8J{ zi1e)*qDWB5>O=S_`gjUFOWq5OJ<(UhJ~&il=DHCpfs;FXEYU44%qO`BhPvd2HALb` zZUD{(2zT3!Zc9E8d?2_JsDyUccP!jMG^X}#<43_0Qtfj)j|3l97L^Q&S~svAkV^2E z3u&PQNQoi=_#n7qZc^mObSOea@II0YEC}51d7ot;O_DJ?-03W^iU5)U0uhekD_4{+ zNB#1c161YuS{cfh!;N9%?WuENfDKXjVfAsuG*hj(qluD=uR~?9L;9-OvsJuV2c-tH zrxSj+$4z{Zv$m;{0gz!(Xd4&YH*68~*U-n>) zPkac=m+V1H#4N}?^z}SC9PLeltVu$i^wbdI>KJ|@#fJ4q2S-NMk**W+{rjjHe0BDG z*;sqy;&Jcd*wtTAH$a`ycqxLBRbKH@gjdgXwQY^Z54~ac-IOWVc)|J2EB1<gfcs2E%rbBZ5?({Ks@r_*<5^Y@I~)9FF!39j8Qvf~8fzM3Vj8>Ju*gzYUT@Sofa zww_8)&2y_`^r?nAoopjvaHg5B?QrI0#&YC;;-P*8Ei0SQ{eHX5@g; z=vw_G<5xBg0THH04zC=kigAD(GeSH@k2R=rwDA_`iyYO+HgSN%v;Cz_@i*`r69kH= zw`K|J$GOb`-P+!ZVvt;XO^LJ?q-phi_R;kth{{Pg_+Ik{3i(ZR!+_u6+3?x5C)C0O zO42Pisay%{Nsa!Uk5*EH5?%Cd6~4-gYPpu2&+>*JSbri`CGS!Y@o2DxqKAS6w)cHJ z+9E;u3OZA00%C zcEc!LKb_JL8N}&@Gv4*c#T`|xw3nt$@p=^3sZTOLC*5skG{+;<(@ES>VKk2``cZ5* zCPV;3atZK{KQcisb@j<*2zjg2hFCvNj|@*bP>5^>{*^kC(?k5LWvgnt?7-CC%g3tz z0g3)t7X@tTItdR4+6s0$n;_&||fM5|i-epH3ey&lgBi>e!j_%k$H- zXCRVdmVuom*kx4B%BWhx5X3@ucgXnb06CB`s?$NB6PmsqFNmJV{Y@etfJ>F3S4Y2{SpdOr^*4;*lMG$ag&Jq$!qDEc-f^e?%z-L@xhYTd&fYfe5rWeAi zRAYnra^H$_fafReH1-V2KUP(?Au1|@ zEUvMySzc#TABy_r59frH9F9EBY zn|BM8aPEAzB%V~`>sv_6%PGrv=M$j$$$L3g;webBi^AY(J9fKqaMP=NZ*Cc$5ka3Nm2Z2V&58H3H17Z=yB zu3XOo;gTp(xcsRGT)KAG*Y5C#-o*Ra{^iEk-W4qxJZ^*t1hZpJXo3bJV>@=>P024u z0F@@eE`#7(F^wbBg9i;AiC-E{b}Xl)H8Rk?6q>w&B~s zQ0Kk%Q09Zrh&yUdS!D?KlV8le(~9fhykhwbEU3O3seF9WA+>u3x;0)`%G1J1=_ESS zw>A%=^s(vX)iZPV$q`G;pF&L}OHP%JgycEE=n=AX_Xy0!G;0e$2A7P-(Rr`y)^pC8 z3tQq@EV6S@5K_8F2Ee`P(L<^#rUw&|xSe~*2CFW}@yTyavE96Wj zQK=~=$&HYlDWMSRd9)3XK~`qSJ5)>Y{J@nq91zjWsPp=I28Tk66b_v+$pv|fsyc{H zTt?5c9q$47qqj+5QM)IvnHq{jyV^i=ktN zi6pe6?D$*^4&k~Z558@LVB!N`KFPT9J1FbR7L@wqrXqjJ}TiwDAr)gd!0hBcXRjhnpLW^S+)k zJAjWbb__)9b4({uOdZNeIP#jt*<(WHrsi8h&Q`Bh8b6dy1(QfstFbhyd_zX-u#QN* z9y?S;9k;LIfQtylXYzTnCe+RO2m*JyU6gdxFKfuNgcuqx3i?wNXiR(D+yFWcb1PdF z5VXy2b#CAM7Tg0;yAf#D9(qTMUJfs8d3Wk!I6AkA}N@P41&uW-K zCmPM|BlC|RJ`!Pi1aRHed@qIb&d1TD;6&G6LTA6*=v#Uz;8YN8XfEqRKp^y69=YZg z^2p`O0q+c`CWE4_+8@pvQu%%4e06+|*D)x|nJ}D{K0P>NB*OGNpq52(SwPZ*z`BrV zW-2dClJR)??AxAvReEYjS9J`(T?xDMjH*o#C}gc#l>}@uXNo{-t;><+P?Ibg2J4;- z-R$n5ETxUnCF47UO(x5~bL`w*^|)jiErmfB+=bn#^y%YForA0%W+ji*Ii_7fpPCk! zBP!xdYWwxUP`JTw0Wbu%I`ZU!z{})~nxhd4Gm{(q8y;^U7#-WoglckV+s*jU0;<|r zX)oh?!@fhgDB^5no-*ZX3ZpklzkobuwOlTI2{CTB~hYE zc`W8Q3PSf(ehaLD*C*Gf2|L5ER$KBMZ>V%lcAw0WW;cP3=plkAC1i|0JgBc8QUmih z3|8QG8EX&6bgRNzd;cG`Iyt2;qd1YLojIPtl0#5i#U8OA?1RRHc6x0$I?iq$JQ(B`^6LZ+ zT!k0{N8Kn{4rL$cWXNI2k_(asu~?JoUtP@3FHe^j(-$un%PRJ$SZ~0XPDgtijl1^2 zEuSFQi);L3ltrp@)Wc=75+&L+Q_w*mXd^Q(-a@L%Jr)}X3e!QuWLT`OOHY|UN2O$2 z0?O1BK9(Fy?lFws3lh{S`RR7)39=JkC1w}-K*1Z{ z#Fm{je`2GL!RNM+7-n=pi?&lmO68_r5>H6gI`@#Tg6a4XTp^vzn_bmK^k~(kjY_gx z!hu+-U#OI`cCUHAdnkX5xR07a1&S~9J2jd<+T1*duy@v@?-n36Q;X!7AuE(c7y0Xk zo2qxoLF9+1p-S8_4?JbdJ8DQIg|=jh9Oa%poK}PoMMQH8-N-jO24{H4WJ(f zTp4-SNYGl@qSn~h%A0x8 z4t;ohNG98R54^7^I?MwLK1Z9|sw!u|Ec^#gug+d9pP#+Abng9kPtPtcuil?s+!zsn zXn=6{bczIv5<-A~qr0&=L75n|?W=5Cn%2oJkurCFjSio#AI9dG8%F73c&@7SY?b;@ zVOhaLtjO!!k-W}M!UBJ^_x_9d)x~qKd$&3s$?Ls?lE5tEd@UGo#V|PuDZO$?4d@TL zua#YPxatRxf!)P-GjG1SpskmRNY$=R-vS&zbLMlXM7Vm(vRT4!Qc&=yP$@5`?1cSAIxQ*a#=L!%x;z>w2+7>k&kwFiI!q7Vky-wN;Q-79TX`9iF zM#QOwYPL@^hM8+^BidVI(mty4(}3d$m(=un=+85cjNaUPrMWtAa_fJk$+pWjI~JM;&A!6&tc~#2w0cp5%$ZR|2k6cD zJaXsJYJc)h3DuPX0-oWs>4dHtmak?Ip9@6<&PQ+w3@y3?nw}xm|4^$%O0l9>KQp3_ z9v|!+?C!k-#Df>Zv0~Mc(J;T}2h9AX6ts!dX@!QRAX^clQ$Tq6G<*N1LdahKKE{2A_$&rB>H@9;*LU|oA z=k%VSX)AE&5pt-kfxHZs!ND)Z!M5|nDTXx^4&DsDU*d z;~;8=#D)@8Wd4Z~uYpW+nITe;sKTrz8G73y)B%I(mM7^|;K>0$#=YalcsOkI?ziuQ zhiaDnX0HX>a!aMF=F6Cd`drATpweP)x9Pk1Z?1Ou1J-|Pg z=a=^`@r38gFCqz+F`85*i0)0$dXu%=?HI%=9h?dE9@D{=8cWHZ-`W5V$c)8YA+mSo z4vN%07U7Q2_aI?3MTO>0mVZ{Tw7R^pPD@qxDnwh@pq^~&Oht@Nbf&j(H&DP5PIZ+_#uR5AL~xFB1Jq!6gqf(`@QCoo#PAqUn@3*I zI(n%@Ipr0DQ;-YZH2P8T49P=k(yvTqfF$Bf*34GAh9bgMK zD0l-z#seCLfDNF~u?Am@lQIzOB_)*F*+OmNp4#y+7;E6;K;hVHW z9}$2RS!c1xMCBe*y+?0zEZHgW03#C;#hgAn>2ecPeN&sNB;9O=CzE+}iaAZT=T+CL ze&d{2^+y01G!^o3bxQ4~xO1wb5ZBY~aA1PVvLaTP+XCX4Z)1WH>zr~ZQMO-Xj#3}8 zc64!Tb8O&+qVm8d%=*dTETlnTc7x!*mc&J0g zs(#7nsG?dNN0Emrk$aLB$xO_Q`v3wG*V)aeov)qWh06WrJXnX|$2q)5qsE(W%0uo7 zfO~|uHG*FoywwuDbk?h<#ZJ2DE|S=4B4mF{4Nq<+QQ_cV5#{s1fbAeZe}jK$#uB?OTse2P;eY63L=ri!?QQDH#h`MF2@qp}rxLZeg?d z*G(IojlzWfyGiUpM!9lbmrVn3b~X`{ljPd7Vg@MUV$Bt|=m$|NZ0?)cSEdciBr>H+j?AYj?TfGv;-D4;Qvd-8 zKuKhI1DYR%(EG{=!ACsvP7z}Mo{_g|M->Xdnbfm%Il>)ixkM-lVrN-dofxAaId9t#R{m|0q*NV<<#LSm(|cqI zZh9%=VsGrWN3R?tZ?Gd3c$J;?caRir5<&mUF?L#^Hags1M^H7*kf;F8@A&k6x!NEB9#x2PVWQqNIfAYg0-W=gV6_Y zsRHW?lr9o`z~pftSc~!}vM`)Milh7-$;tG+qAYiE_8eK)r_;4JbkrLZm+@35PUa3* z=9^LG9l*?Sa-6&$s~G1Sadb_zRZO|(jr6T%trB?XNsUq=muV$b6;SC%(w?dzogs^E z<%2^GM7}s#iHL6&p2}QuynslS`s;Q$D!ptKTp;3TdVLOE>wC+^nihkz>u45KpEN$FSveeuG}geSR*djXgbK1jhF$?Llj8$2 zdXwps(cvB~Fro4tg;uf)72bg6A&{f!`2=TFiaDT0a0?c;BXg0!LPbiBU`DOY<3umU zfs}*r=VTo-NAW1k0)XIuV~GJ0dSX%atcS78hu0cL}*Jye^*iNR_|cC4}1y5DLI`=@u}$|gQH8A$-Y zmlki<4;pYR@~fq-N@Z#}2xtWyXuX*TrjL z=4g+l$gMx6&8DW00fz#|>X6q`1d@=~vUD*yenS&|D8$wpeds{x5v5EBVM4;d?M;#B zyQ06gR54xIODwVjH<4Z7OdAMZu!2KN3wkHY4D&HVdB3t|XdeZUF*HI}61C|tb`zDZ z`G34p=*oH-xyp3l-%G(?g%j|z<1s}m*$wV!+3h1#T+^*H#zGDd^WfVOc#vh zVzHcA##P?)pQqbFL^5b`jRD&ZtWk9iIEbf5IcnBke1do}4Uvagu#yVhGjG+d8uqPb z5I;sm&~ng&Yj)R^Gm$00>;62?YTmv6NWcL-2*fQ2zV+cdsNmxH6oNv%Srs0Mo`#sH zZpo#p9o%(ihmEqb_>842H*2x6fuJSbh75C(<_F0e$YB_$V#lDoIsmhZXRqk6r&hY< zX%54pa$vqxqRejAhpjbz*qW+r)=Tk+)p~=bJEVa)-YJ@Nb3%rL%Xx_;I8xIprUgVB zL;lkvVv5Hj#Mdx?owJDz2c@kh~-US1+P?j3mG95e;sZ2+)xR7?}4kzol zz44S=AXsBXNA3E%`(%Ey-Jq+Ar;u3=zb!35ZC{v&w~~*U*4i_h@ns#1z<`}5>BQRV zl&dm;9{mu8F$IBmOGX)49!(!0^^;SLW1uiD6tLiNC)K@s%{WdwSTpQAEnKr}$llXx9BZ%DV6+KKoLKjMA>D<;siK;JcB6xFcb)Vtc`Vq3< z)XQfjYW?Y}>8m+{b))ng>Nhhx9g?%+TYIJ;D+jgl3}AB{#Z44sxNHit)NDz68I6{H z!u8!Xb6zn}ryX%i?P1OWm=juia67luMyyu?in)e9bUIxqaSBr^-r!Xup<-lmiHm`N zk#q?<2&f_lPt|7~zJ4PrfBUBU6*m^qgseAvAzA}cj>alwS5u8d+6nGO>5dRVILz>| zaHJmXY;WAG=MxkZFkh_b8#BeC>cAr9jX1{&>J)>6%bQ>)RZwWCQ=)-}zf!j}r!`5B>oS zths1YOuKBuMQrHSD~CIVVN#UW@g-+vb=&ZaX(RovDCsih&se~oLyb6HF&A9hKu(aWkX_ReM+%VsxF|W zGQOB$rnpc2jm@Iu%z{$jf!gL8lVRyRI1L56((7JoMm^+kd_cmOPM2X@8Sh5KgWL!} zJlJ|TOVPy0T-a9^A5vR-IbD7*hX>i&`^&Za_wTbB1TRl#=jSi4W>?EK-)(nH&4Uak zj>n@Z{Ie$#T^`{L6sB8&H<0DxR;Q3wmmB7^Sd)izFW^}bhv~XO1`w(ciEP02aO_>t5inilKKXtg8YDo1 z#RK)+g5JW^XK5JBn>Fl`giAp6nx!3uO=@g@GzgG4-%Bmi>I7WpYEs$EVT%g0_ zFMvK?A*`%%a((uE@r6dWi8?O-e|=z!qWC2K{|ET*wc=yH^c|o4_TrDAZU5cHkI{F0 z*ROs28?XQR$NrhuM&I%2qQL+BfBYTwC-?rI^nLp4-}Gyr_{PV7{q^6#{l%!n#o2Op za#p!T=|va+tKDb`0XDnex-N=|E}Tx`rohE5Bc}? z;#af#f3^5=^zeSJ&BxHs`@a|e*Z+Rie#pPC7hlir|GJF-xBaNE`(OO=dhreE4;Q~t ze8Dw7j(=Z6fBfI;#jh7%b{9CO*U;vC!hgRWe&W~b#cyQ&zfpYPM*JN9eGUEbf3Fw+ zRNTb<|8&;=&8+=fS^KxM_Mgt$f0EPo|Gi%P=4+h)clj&7mG%F#zK1_vFaB)S{^zpx zKcBV#g{=MOvi84}wg2U;{pYjxznZoGV%GjkS^Iag_P>#}|IMuZSF-lMm9_t!to`q1 z?SC(8|NB|{uVwB3Fl+zyto=8#_J5MK|I@7fpJna;JZt~Wto>hR?f)uk|E;Y3-(>Co zHf#T#to?Vh_J5zX|6bPqAG7w~&)WZU*8X3!_CLtl|1fL+?^*l*$lAZ3wg1no{g1Qu z|CP1>@2vg*WbJ>Fwf`V%zm}c9*R%E~vi3J;?QhB2-iLZXx9Er)_yZ<|9IB^$*g@RYkw|l-^<#c&)Q|yZfEUo*6wHRVb=bs zto_BT{j*tnl(olMdoyc4$lBXk`%%{3&D#4}`|Yef$=b(R`$^V*Cu@H>YkwtcPqX$c zYtOUxGHXA}+Gkn&y{!ErYro9eKcBTPv-Wk?{#w@lFl+x}*8b(J{VQ4fSF`rlv-UT# z_HShE-+JxW5{&X%ky5~q75`n*|9bJsFBllFHP|4Y*T zRPn=P?EHV^$Gtl{P=V+O4>hAyiD3ZSp2!9 z{X@myOxk~>_Rrug|kQhX(8|7h`>NxM<}wWR%-;vXjMH;PYxbNswFiw8;j z$BOHu{o}=7NZLP9{OzRulf@_gQ2e~LqMfww6tkrL+2Xg8_UDTKAZgz%{s;$KPHqvF3# z+UvzPeY<}cevFGj(%vXellEruXOi|-@gF7a2gUCt?T5vWd`JBJ?P51+zg7HF(tcF@ zPSV~f{!Y@~Ex!3XSN<{YQ)6PugE8KJ%&gd4H^! zB<*SO^`!mBi@%z*XT?8A+9$;ieoy?odGS`#UKAfD?Pc+olJ;rwyGi?5@vT7^$AjN3 zeky6773WF&tHtjm?e~hmm$aW3-~WB_{9Y6fllFP>LDGI%{KcgGs`%Zc{qw~q|8V@g zi()HjUl#8t?W^K1B<<_s?*^r2PxUKTg`e7(Wd1 z^`A

    FdRBXYHhk@d>d+J0W&xCBzEtgt(xc5C^mqcp`8E++R5gkooo);v38F7j~~eP>j$&; z4`uCG`^Wo#IP3qBto@@|yOFg&leOQ-+HYpYyV`{Ud!5dvi4`Q_UE$p z-K>2tYv0e>pU>LOtX*d9R@QE3?M~M2X6;_q?q}^m)*fbU(-!LG*NdOZ`hPlWe=%$S zOxFI{to?IYdz7`;v-UV^Z)EMwti6@BA7t%^S$jKczm>HgW$m4;y_>c7vi5$~KFHc{ zXYIqRJ;~ZfS^GF^KhD}uvi8%g{Z7{YQr7-**8Zbe`zu-dk7ey?*8byJdzQ6Nvi3Y{ zFS7PBYoBKAXIcB*tbLZXznZn*%i7Pg_KU22p0!_Q?N?d*=d<=j*1pWzS6TZyYrmhh zzm~O4Td$X2FFwrre<5rCV)5e<$sqOWX6-GsNyUE;|Nj*16^Ce(N)uCkckz$@;7K)Yd^@^7g_sHWbJQc?c$ZBn7uzQe(cI+o4s6KoXwt3pDnLgC!_eWMSA_!wO+T9 zO7n}et21PKLG~O;(lVXDyk=D;sj>~&|ee!n$npSGLJ;b7ib z&Q4`K^1XlU`Ps?z{pAI-+u+U)X4Y>Fn}hDKf6{L*di~Y{5lpA^{^`8iEawPj=LeiF zXIIzoujISh_Xj;Z`DEEVX)U{$Vz1RXozMG=-s!AeE?V=Mz6+l+gFmJuGvbY9_kOF> zUv}pUH9tA+cAA~CiAfIIUA+-Gzb>y|@s8&G`Fz>xpDq`J&Y&}I4rcB4 zX%|uU?asX2T(nNy9auQrUaxg>((Ml0vthHp9CS)~hrglKzQ5?U zo1OlwH#qIg23^z%KOHnrI<3~C+v*Rx$m7HX;J#j8V)qxa2;B|>o)(MVY>q6>!}eg= zSu_WO=4`O+F4~>m@MPAM+pb>CF0YncwZZ+<;e0kbot@6x?fGIcJH?&-Q*2ictG#Ta z+J(O70=a#DLGKuLm$Oc<9N>G0^KO6HADk?Pv%$&9>9XDFc24Au7Z=M{*d5%_E$`2I zv)Q2C?JZ81z2(WU-JGAo)oR(Bp&s1Hpsi4YJCFd)0RTZ~-tW(vvqft$TP%jXQ!H`W z$0xMr-9_uPXA7`6dHM1=Ueg-hZ}u1SWp4<0?hgi7(@y84f7(A;l*7&dpYPUq_F~a0 zzgiAv{F?6l<)Uo1TL6~UeAw@F`|U-0crxtHXPy3_c{~yNuwo-MZgdbO+e- zCicIa4O+t)puE**^f!b-|N0);c|HE%hv9uR`e9{Q)2h zV7-|4G1FxWb319Dl&#YyU>cC82V%yTU>(YnR-0$K(_0Ms?cT|9uv`FM7Tx6u&Ra+K zXtr>p+q33;(H$;lt--S2>m%5x-y56^@b!a1uUrh|>lgOy(>Bh_ywmC~I)l>%#`HRP z+X8ER(iz|c=xDeE=~PaK!?JZU8_e+s8K9gTB>Id*%e zy*Vb`UY5F&!)DnA3Yqm~^zzI-yVIP{%hLrqXV`&O8>OA_EuG<@v*?twrhew~{mTyp zsQ@?3PdZEN*XeA50|7*LQg*vDfC_+Z)!Ya_r(lpw>~)0TW0?sdv^l1)wnMX_^p%-2@x`tM2Y(50huZxWC}^@ z8xzfg$`n#4Q^qnyC{se2hfJBLOqr*IOd&+3|8KqTz4qB>U)MhN{vZ47ea`;4T({r) z);p|cJ?oj*dJ8KnD#|0Dmljp!S5%jmRYYkSmqnCym6fGMCE1q@DDZtMDb(N^Q(*FF}20Hgda50dzY8Q8Z0nBs(4OmNhEAM;-|Viza+j$MQkd~<+Y)II#Z=pajg_oR~D34 z)mG(4=@}WJrX;U4$>XIZs)Iqfw=StJF0QVsEi8zFAg`*VG{3wiPJiTwC>^4N&z;tx zv792edtr5LQ50Zt#g`REj?d38ud0f#QC?J#R~oO&?LK^@^I8%`b^KRR5an=rX;npW zacNw{zV6lESL8s`6N*Qjk|(P*zY_5QS`IVNovI zL^epC^qYG{QGQKrX;n#nms>&;>%#YlYtIPiMeM%w~3MvXpqFksb zs;-Fp606E;W6f(#RaI?ib*_*cnZ3f};wvgH&#z1>k?MlDMyvA*3(G4ay-P~VYvW9n z<-Yx>5zcB=WmS1`EaI&xEY6RdTNV{WoXv{JkR?TVQ4(j5b#%3KtSv8!D!wfCF3gK+ zt)w7wP)UC57WHqG4Y_hOJ)u!W$B|W4l-E=j6jhf-R>-R?i=Ph5i=v?-mc3-(`cI`m zadG6RqSE*_g^?QNC52JON8w&lSy)qAP+99jP2W4}(!Aob_{69%q7sY(s4ChHg;C)o z6;)L({i^>|3Y0`)9yMKA)D_X{sED$%GA~|T72m8T@>(uUs{d39lvUJ}mDCiJSC&Lp zDXyt0sw|8A5N{G~fvRHHby^CPL|de)Ha@(nq`agkiqneH@}w11SXfz@S5cBHkp8R` zs4XoliqbZ}sGz7Q%C7hlr8Uvi$d7eEB}LV>xitKbQlPx1s4T9Enrtf}-oCOtGI?Zx z^1SlmypsIf$sbXfD+Y3zt2)1^rZkGkg36MD^8BKT;=)LuijwlelJbi9lDX>SPo+RX zToI*_^$Ke83d^FfERGgdMN}w-h1F3Ks^$Mw3glJB<%81I!g1D`^ zyr`%)YQZ>^;%HYEl~fg^P0Q?O6y{R2I8I`5MM-JY@D%E`Z^YXPg@^V#mVNze#Mzgh~prpLIxVE}Bsjeam=hA9qm1Bq# z8}A)8Q+0V&{2*&-es!F-qU6_0B3TOy(!G8EIBiAISSpLRuZkG6M^^dnd2xQq%i@DeONyfxR1poG(kMKWf-5>owYj2aWL1eHt&P$o-YEW1QV=y; zZDmnqNkw5zWmQQ*X;p3RjE$@+&FvkvVnJnoO=V%y#*QQ{td8ep)<#ud7G+wI%pVnl z3=|!hn!JMIXwpWLv8*z#`P#_y#nI5Lh(3V~8oSFqg|(G&?D^3?k5*}6VNEXuo zNX^Q~qLsPoJ9d|KN|VM_UQtCsWcG^k^4jRz6_!SyE_x`Hh5328lk}&0r=l#W_{u8c z!l=m0D=&(#Qe7F%h@#@E$bI>_0{l<)PE=pf5RQVREb>cfWlgjrlOw5((xfQbu`1aA z)Edu^?np^IsVv%?<+VkH)lnEk_oOB&(8&D71EikMsu z%5_cS)RlFJc4f5ds^T(<)@e;iH1Z28%kv{sMS+{Nk+WYd>0%G)AN$8)bclRW9L>wh z;>wz+dCQ{Z9a$*4ae38IHby0teMKy#A2A?#L1BmT+9=^_<80)Y7e+pb|1T_w>p2SH zylBhlStXssAxU1!?@(Hm7llbxl+#5;#nC~E?pS4hepIVbwMBzGSFp$ax$b+M*ACI< zik3)WVPQ0KiX#tIM`cqU^>Ss@Oi^^_zELDvZPMHpis69#=wL+~x1uI$;=ITw713JB9>egOnq1$$G|pUQUU9U@O5#e0Ggw>^ zy@hBtN2@E^pmB+1_fHR^D6d0Ja;ZmQTNT}`$RM@(QEf-FAR3HuI*T=%;uo;12UH}3 zA#tK3BgFy4P(id-3*&UgIga*TlsDB;Z$;gh{e(Zgpu9t5?7}Dmq6M5^8FfZkMch1G zR$UW^9PbnTr0o8Eli&D=yIGQt$m@{w4r`<4i7s3W>y$>RS6C1Ys+u@&(fM}bl2?ov zT9dT7i}E`}B~u(7i<-*3=yk`V3nQmTGbcYPyl7aL)TGtnz!;;-eMV(v)EcGHsZK^G zDx!Z~9u3f{;$+Av=@sPiYno6c<QZI8leCnWzy(OOYiTHym+578x$ zQHHpvV~8ovSw%^-x1(8F9)}$TQ1qSulv%TvPg#D4_-T={=sCsdE{&dSRcS>$_ACZN zit~~VRguCn@=}aV#rMxI>=3nBVOdFSq-sT3T*~>;%FT~Yixi6*yQa1vo2>B+=6Ire zyr8T@^w#rYC?=9UIoXj&(Ho17SdzTuHF5H?`~T?!ic30FM>!P3Fon?puZhEq`Y&ly zM~xXhnCK4Ws@Z5O42>T{A08i3n%5y|gGPI*Jd&p}2Grv9ae-SgX@}yH;%MJT(@7|w|?irf`mmAy&G_*`=GV>BWL03##D)fA2Bnu=(ul$FNk zMZ+L3s*}Rp$s9Uh@Sss+axaM9a}-T69#fqR#Y8iswj@fQxMHH2UJ?a#_Q-|~8J$gu z_<-np78VypqbcbSM}H`qy2X*>qLi+Qr)cNpDx6&3C59q8l*9!QrE6^rnB~`278k}~ zLrFnF^k||iDUOqrefy+1?;CxR+&7A=qOdj^RdH^r^C~N%8y-EWD5+x@s45!yxlf3_ z`&JFlc1t6%qU}-}&BL<7s1KrH5Gfslk})C^{o|6VTGiRu5iy#3bUKKe`>eRmqcIa_ zJw|$J@(W@pE)KmkYWKpDILeCLE30b;R*a4POOjJr7z0W%eh>#$9Hmy2C(#Cp%PX4V zRZ+2I52tcS#qjFbKQ7)F2PutaWn3;rF^(7CFs}Bpyu3L4oyO$w(-*~9G#W|KZHf+7Wwdx|liq*!Lvj^u-!Vf6Rty*%Kjb-dcv4-J6nChOi#D1& zwUyOzN#_?PCqJ(2XyFuaxLUIwix29j*fb?u&RqHW5BIC8P$l6 zL1e&Id4ka~@L!YLkeft^BTxPqPr%JYK{8-S-^z*+HJPC!hxd&+gRC*9km);M z(9jqt?;G1Q@j&9_7f@sBAnA1^Qx1QYddEarJtUdoNoJwzUsNwUn_vIpdYO4i98>oX zCQhrDc{K5odYLB@V+q1DiI>*PypR}68eUGktX_8QWBtqPW!_8TE9zxFOq^aX^GV{B z^|GrW>c^D+nn~O;nUBettQtt-WcI&)OyMW9==Ec&KA8=#A5-|rta0-9WHvXkGxuXM ztC(z0W&;zGS+vBVOlGB$_!OSOb9o`>a6X^syIjK0_%&DXSFRNkP|4@5#};hKt+*`< zSjL^$g?n*79?Zj8$s;+KBY7-O;zTB^VUq889;Y%+U3U95yn%P{Aui-1zRhIKYVy8I zxs2cOC)TT<+iz7iVKZ*T&Dn zzQ#}Z0~sa z5NGf{KF9a?4Y!WvZ^`@a%42vgZ(_10HrcOH)0`c+J$Gkcj^)k#l$)=edwn^(vk!;x z8a9dwF!|gacsb`VS-YD2{d*Ryn~VGNWS-A!c_%;TzOigCd7trI!WCR?z1-h-;<22= zC;2A7;BPF73OsrLi}*CRi#9~EeP`~^iM*1x@ln3YPq>n6ZjgJw&6vj;j^h+w&DZ!T zf97tnRwMa-hjR*Vw_pP78_Mr_Mc?#`a9 z<_Mm`DZG+%_yC{f+x&+0TID`}ZEnsE?8Lp1OTIasU`fS7PxjT>G(Hzawc{Zo<8s5c6_%XlZ zDqH40zbRX=4fDA-595)%gflsh5Ay}S!_T>rjoUa6Y{LTX!X7+=Cvq~UaTagqVlLw^ zTz9M7=XT|R9KsVgnbSCnxAP1B#0|F2y>A;9a#!|XA6~?3IF}D|A>ZRN{>mn8bDy^f z+jD#F$wS$n$8Z8K;MJVVNB9yK^JiY%F8BFY^A^tMbNrMm*l3&F>(=K^+=qwrXdcTm zIF++_2N&>Ve#mdRO8eaBuE#A|#4bFDS8z5z;x}x%ZSMVga{x#4RG!bvd3|EEnQj)} zlNfE6XT>k`buQ&He#f6!Z@b+0Sd~rKj2p2f^H{}4-mKt}9LsS$ol|%TujWm>oe%JF zzQEVHnBQ_G>*YBfZph8JCAVSx=w7xS-;H~)2M=Z+*6h^r+=AD~%cwC6TYjJ{P{ zf8UlnB*uPS#e4El+hd_@_U}h=gujpGMBC5dR9^1ycPGZ@J}7>PAM-PQpBUfcC-HA= zT%6m!PGTJ2`fOu+M{%s?&i;K5?#)9J<9+*xD|nRc!^IO4Bc0CUEIz;mi48NEC;4n* zyze4^e_#A1m)l;iB=@=v6XX3`C$5&sY|ZwG@jmg>2HC&wEZ&>@C&vCq`ukX(%}aP4 z=W!92@E5L8n){p$xeZI$nfvhwR&pSRax72aL{8!boXSl6lbpv@xF*+TOGYy|yI(XX zviYY>ydyi?zMuFY9?5|mkr>y>N#avEIk9;rGgW*UZ{a-Nn;5TuLi`M0vi&{rhg{AT zY*a5^AIG~o*GY``+f2L#+u5Ex6(z}MlE zt8s0v$3{uI#TZI9Kg9Qn;h5~_x0Iei_Is|v#)~;vl9}d4>B>aZ6IDCdS2>%}<>&nV3q<)ZZzSxhiqzOyaxJT4yNxs-KlWCf`SB%dj#z|?N zxOXPAUE)45ZKGheUtcrm@iB`Fq6s7`y3SKD~Wq%GN&aT zoXKS8dE#VWn#6}@GS?>d%4Fsw9+t^u=X-i*GLIzj;n6Qi>=X4s;t`q5`-y!sna>jY zWisC-R>X4*6Du>B)f$9VQ7>uOAiAQEKT@xd-^+-G_lj)Us zbS6`kI53kLlsG8zU*h06p2Q)M{}P90GG``6CY_qtGR_aLPaK}f%oX3qhZ9F+GEXFq z%w(QR92Ljs@9!oalgTVej7!q4%fwS7 zUna);KFlY%FmXa0Z(>};@7w-q;%S-8H;HllKil50VZ^6rGHWEB5%WfglQNl&6XX52 z|G_C2^i4@*2NjyLh_IKPQeh>Y>~37njGZj>wH>4{S^nOWjn6VJs6e^*__L}IiCyo zG#7Fa-{xX2rq_Fv|i{e-jhApli7MAUY~7; zg#B$F#1YKa8}aw#DP2i^n!w4-wnt)nwjK#Dw>{e)iTHZ)9A@j4*nY40AwJG$nXPAH zzic}teAo6R{EXRpC;q-d{0kc-<#ue(wpYSzJru5Q`^Id=t(mQtV!uLhId^7PX6vcg zZ-4P2?87Q%>#f*th3xAIQj&ul#x`#mXsp0Dst zX6wD!?_=>7T+Sbvtp{Vjh7EG*x(3(b2F%urv0rO(J9cC-v-M=`x2w1t_u+xe)|;_k zy56G3_Mg-q+&x5bOOl*{-X ze_}oL(W-31W=!kh&Bbk)t&ih4v-NRUYI`Sk=AO*f%duZiac@?zKL>FH$8sDea5AUx zVqVUxc|GSat-tRTKg7rREMMa5e3wi38NcQV{=x=HJs8Kk8k=%`ruBR)@z%`N_wl+y zaXHg^zpJ=A)B67qaUWK30F!6nCEsThkK@Tajc4(EPGh#65U;;hd?T~%h1hFH$8sDea5B^NUl)rn=heKPb9g)N&AAn~V+=85UzfJecN6c)=$dE$eh8!M zoQ-Q3UE6FNUD2%3Rm^%aPiNX5kFHDh@0ar$-pJdSw!(zvv@Pp{?C2lNB9&M@-?RYo{z*|@LOib+v5Ax z*G^CSJK6Es*uH`7o3RbIW!lf#Uc4*!U=JS5w0~1AKAOWhmM1ao*PJcBkeBglrt7lP z{>)vr&*x*zj@!j?y(~`qF&~JR@++?3uT1+dYc|N`m+W|6yk84(E2itb(|${d?VZ?# z-FX1h{z^Y_e-7p-9?!I&GD$pz>A2r?@l2-ulX>EMnH~R&<9Jg10@HrUJK`n$oXfeA zX@4Xg2W+Z6-JF|pOQ!vh0&zLB#x3GI`2ZL28K(V=H^lGr6E5TTO#2tBXb-Q!RGCDZo*&*BE!tC4)!_x;QE+F!N@{&K%{ zy>27MSIfT7*6hG^+;vBBXU5b_cK`i(DAV!QTJa#p)IxUu<9G`H@_Vgg`(J+l*0!hP z!g=CS##B`H{kt-zin8(F`~Lqb3l#xaeu}XS~j1IVoXV8A3zx@maipmvRQv z@%&rFck%%);4@6e@!t^tWj^`K_-`#Y{^1{0iUVVt&eWp6dtkZ)~Jr(}dae zI8i>N^IKck-i~=JvZ{O69 z*WJ$hn9g54C0@w%KHYc4A2FS``d0iC>o>@~|7u*D>3r2@;x^oth1{O$Jk=iJ9z2+R zSj}{PYPfhTPvU7jo9VpNW#X%O18?PBOy{E>6FAI2j;sTa)7k1;m?8(EK&L15m9>#P%$vE*u zrt?M@h%e;~Ue8;Y&KEr(&aN|w<9kN@65n7tPxOg+8NcT*Tt&YoogZ3Pyb)V+Yj$8U zcVuVo#r=6G)A^uU@gR=maXf|TJkYt~i+KgFRg8#a&vCQ?O4PexEuH6 zemsOnu!aLUg2ysl_j0=U9A3oBc@5L`F1LyA=7W5k&oN!+@|JipKjqi_f$92|M(V>R zT#p-b3$|k(OSu!fa&I2U!&t#1IfTbBUB_~&crwr9G+xPc{YvuNfAymrzn$s&&_~2i zFqMRrzr;8AK0jf)KID7xFI>g=@ETl~>AH}X;;q?%#Y~^$ldcEZ%l7?wDEqRO={k^+ z;^TM<&)~UC*MD3gzLqyJeJ;>F;&k1|6XNIjD&OXZOxJsSBmR-e4I=d;Kds8On6C5K zMBJL&FrQ^i*LUnL-iHUVH`C`6rRzF|+CG{mastm}x}M__@m0Kzb9e{SbsUe1pXQ5v zo$v8ue#!6nGaIayOQ+SDJ|`*pZP6tCHs@B{jz!#oyKztM$3u7oYdDZ2cq~t5`rM^+ z#24{$Uc(!C8}H_We4Nkm6~4vA{FGnw2mZ!JtLM_A3D@Js+=A_x$5QUZu1uc?b)fh# zR`5s;;W0dcr*bmS<1}8$S-hFI^FAiO*_xc^^f^-t#jo*Qe#9^MEq`M2Y{BGxR^!^- zfSa)mw`C!>=dRp?J$Nwtu$o76ILGoNp2o9zAur?Ayn(m!F3#s;e3mcsO@6?o{EF%G zwtf{iTr-zW$#2&t>D7!a*otl0ktOWJF6_<&*o*zxpMyDy>GQqDizjgkr*b-HayIAj zUOvnx`2rX59WLSLT+WqDpC{J1NiKbwvN<>9mTb=gmU9<&U;jJ z`?a=zJN~2RJ;wN)ah%Ar7`II2&L3y+dfvkH_#%5|_ix4)Y{j-rkE?FpGM8Te*XJ$Y zH;$6MKe}byZzukqzi<`f32Sg&Zp4<{njKio9odjNkA_W*X%3%c@+9>vI#f<~Gb{8F%LH+=mCTH!C@S zLpho!astof`MiYbeL~lX)AHi?%JJ69i~p(e@c(zbcZTozm*wJ}wm-lHe1}i+r8$@ne3;@AxwtxE@#MI^2+(b1QDgBJRN5xF`4HAv}UL9LNzo zmM8Ocp2LfHIj`Z3yp8Goh7XD#=W~38Z*eg{<=6ayzp;^V$tGNn8*>Y`V;)Pn6T5P6 z9>~L3!6P|@$M6K6%E>&B(|9Fk@n)v?58fw!gimoHUt@aT;78&w_$_~8@{E=GE&t`pD zxlHeanlE0!r@4@e_%;`FDbsrSuRV|8-*tUrT{}N_J=L}IbLlWg{douP=c9a@FYu^JE&aJo|i?{=Kx96_hgFSdK`>>ivb2!KH zB%a2zc_A<3)x3eX@-C+L-9ILNmM`;7e!!*tiYxdl8=5yF=Mkvb`-kvV`gV z^c>sH{AJhBl2a8AXc#h{JruWlN6;J0(&gML(_t8Hrev&V65#M2Y|NQ6TGs`cvEi4_AFp}zx*!ZZrqnWc{tPiLZkLP$! z;uKEhbk5{#&f~p&m{0NrF5){}!q2&!D_PIDL}NB(b8gBl*`9UzG@msH{ANzAKNAY-$=Oj+yR8Hqi&gMMc%ZK?S zU*ICX!zKKj%ej*Ew9^~2DVuXsZprp6U^#bTH}1=xJe*ZLio-aD<2aFL@d94T8N8mi z@J>F!1$>4t@eRJuPq>WV^B1n7y}kz5xER7x(9(?8{mX;z%CHQ+Ni? z<;A>$*YYOL@_qmM8Ocp2LfnJ{Rm7@r}HVck@A}&jWi-{0iUVVt&f> zIbc7Ce`6#4fF@jz>GQv~5VvC=a4M&BCTDXV@8!dMk}q%(-{BH|&gERmdNBc$ zFXaqg&s%sWAK(H$!}NDn z-w?mgPq>WV^B1mS{o@*3mm9Gqw`K(IHkuv(R^vnW zINpc&1fS=tOy>lcnM;R-`pvKLUDn-ynMnWcavniW%Q*O!jEMPfzVK?r} zo;;jYJc`3OhT}MqXYm4F${D<#xA0Crzy*AUFYyh&&ri6F-}4u)Vw`UcuFH+sl3TL_ zi@75^b1&}CL)n+L9K?}4j;HVpp394Q1+V2zoXdOo5TD@le3ftWLw?3@_#-pM`Bvpx zT%Vh;HMe0t%eXUl=RQ1$y;;ct9Lmu=krQ|(&*vq)iq~-t@8JD>luz?TzRvgfF~8(@ z{Fx1mbFR*HxFI*^R@{z7+=07sPwvMMmVypWgiYTm%~cMk3n&*x)&mM`;7e!!*tiYxdl8ye?Zlg-$It=N_wS;9{2!tOkP zz1WZaIhdn(JjZhqr*JB#b0%kV9`EJDe3CD45#Qkwe$M5L;^^OMeB^I!hyT6ntACb` z4YW5`=Q`Ywn{z8}$0F{)-MAp;OMHXx^Aj%P_xy#cXm75;b-58+a%*;AF?VEV z?#2CiDEsnX<++w``#vA?Gk(J#nbF={m1}W*Zo<~whWRYx&fJ~*@F4bPB?oXQNApBZ z;F&z1m+&fH$2q)%_w!Lc%@_GP-{Z&plHc)XHqhQ&o$GKzZqBV3*{`ns)$;FOzx;da zLK|ymG-Y#c$}QQR1uW+-?8bfBlZUg4M{yX(a2zM{EMCA%IfK{p7T(DRxPZ^_CBDJ; z`3aZtd;Y>zv@_P=y4;8@xivemm^-pF_hQ|1EOOU#nfA%f+@1UIAogY@2XH7y^F&VI znLMAD@G4%%IlP1S^MA|zZU3zD@t^g%ebomwJeuk6R*V*(!0|kT=kP*a%Bwhwv-!8) zcl@ILU*~)Lm|rrz-?*+noGSiWaEbg1hO=hETd zy+6F2esK|Z;BMTL`|%JS!5R+a2p-Fmc{+V<1ozJ@am2>G(cfWEj9qR5^&ZWZu^KL^qnkRAs&*b^Mgjex8&fy)r zpO5lszR1`49zW)n{Ek1ff%&hx`;~L&ukL>3Tsr)#-mm=6YUlp5KKEaBUZJkPm%C2> zS^d4b_H-`&8=4nglg-$It=N_wS;9`Nd;U)@J?ozTlS_xX=l|r=;h+8dpZ}}%?sdkW z=I{>Q&qtY#uhz}u=kjCSy7pW;)U9jJrNiI5uKoXNdGi0eKIr$_@&8lf;BeIhrSO0?*|6yo6WrI?mx8yq}NqX}-wU`5r&!m;8=Dvw?Bs)wvEgfEFK`jx;Szq%ta^r zT3nx-ur;?~KFhc>cjrDlh`m|K0UXNFJdt(3_nyl?b-(wXONYAOd(Wjq-S55U(&2yV z_uiwrt-D_^+F|K<)<3%6*+PG-72C2SOW28B*qsNk7yGe42XhpU=Xg%y6i(%I&g5*) zpfzc@{6= zrJTX*c?<9416;so_!8gX`}~B<_&tB&D*9t9mTb=gmU9<&AFJTR?%Z=EQTeAa;xg$GsFYeDn*_X8(#F0FX zr|=A(%ZqshujNgg%X|0`pWyR+m2dMye#US3BQtB{`Y)?;Ew0Z^*qYlgpJm*cyK^5N z#NMpr01oA7p2!J2ljrjiUd8J;hj;LPKFX*0B46iw{FqOD7!+{*ZV|g-9=Q+HHm-8Cl$lG`~ALQeFj<4`7F6O8Fnm_P2Hu8H9 zO}HL6<`!(nJeG1NcIDnYkcY8?M{)>{;R!sIlX)Je@k-9(&AgrW@ew}7g?x?g@*{r1 zZ}}7Jua&#rR^!^-fSa)mw`C!>=dRp?J$Nwtu$o76ILGoNp2o9zAur?Ayn(m!F3#s; ze3mcsO@6?o{E931D;qY=rPG>h#ujYFw(Q6fc48NH=K<`+e(cY|9L3`~o|8C*Q#qY8 zIh*r%FCXTUe1VJj4wvwAF6T#Tg1Ygs|6+XX9qF@#pL01^vYv6D#%#*w+>~|C(URYc|I|H4E0-QWN{@_jpH;aQ z*XJf|&25;^y60%+(sOg;LR)b=7I6pe#yzWN z`>{UzjQgy?b-58+ za%*;AF?VEV?#2CiDEqROgE*4M@f4oHb9phZ;I+Job9oOR;uCzHukvkv$j|rvI#f<~Gb{8F%LH+=mCTH!C@SLpho!astof`MiWz@jA}o9lW29@@c-v*ZCel z=9m1AKeK^xpVhezH{|BrircY>J8(Dd$^Cc;k6;Z4as-d%$vmCs@FHH$Yj`7Xt*^+XL*4p{Tsr)_t*@x7?{nw zzQ%W1_xo45bgKLPt6VzN{r*)h9WFJFJA-w@LT@G`o=|9d&E@F70I=lLq% z=7;=@-|$CftP@<7YjJ&U!q(h|`7Gnk+@1UIAogY@2XH7y^F&VInLMAD@G4%%IlP1S z^HDy{7x_BhOD7!+{*ZV|g-9=Q+HH zm-8Cl$lG`~ALQeFj<4`7F6O8Fnm_P2HnLu^3D@Js+=A_x$5QUZuH2gk@-SBLNDkpK zJb|ZjGSA~QUddU!nYZ&kKEkKCkgxGwe#9^MEq`MDb#m>^)wnh{;AU*YZCS|exhwZz z4<5`utme@i&apg+r}1oF$jf*&Z{V%Gi}U#ypXJMZlOJ#?zv2r1%7*La(rHaLV+*!o zTXtj#JFyG9^8of@KlbNfj^gng&qmk;wvzQ9F%hfDZ5mvbfSHOr+> zV>V@TZptm$o&_xDF6_pA*^`H}ibru6$8a1c@+@A!OF4tr^A_I82e^RG@Fl*%_xTBz z@q7NlRo2U;(;8ft8?hy~W(O8?M|S33+@FWCFKao7BY7N8;Tb%a7xM~U%bPft_wXS; z!RPrZ-{yz>jNkA_X4cQ8&#GLD>vI#f<~Gb{8F%LH+=mCTH!C@SLpho!astof`MiWz z@jA}o9lW29@@c-v*ZCel=9m1AKeIveTsp1Jb+{on=T_W~McjeAaZm2YLwE#hIFKWF zEKla?Jck$Ya$dt5c^mKMgM6IN@fE(s#r%|C^9TOMMjPbPsR`HP#@vGKn8#A?#ID?% z2l6mh@JJ5fF+72%ax%~3G+xPByqUN2K0d;yxR9^$U4Fzb_$_~8{S9;Jvl`dt2HcEo zxGf90J$L0E?7@TCht)iq!#S2G@id;z3war@<_)}+cX2)++=bn^FMINER`Dnf;~0+PM4rV9cqwP_dfvi2`2ZL2 z8NS3f_&z`3GJelrxXQ-4bXtS!awE3n*6hGy?#RyEi~I9X_GK*xaU_r9DLjMc@?u`W zYk3pr@*dXB_vg;*(|^!>e|_`Zt8r~^z|ENcj@`E6LT=Apxd+qrdDU$~0Rg8#a&vCQ?O4PexEuH6emsOnu!aLUg2(b?p3ZZ45ijR8ypgx@Za&Dz z`5a&2TU^Xf`89vwZ*1iLrzTvF8*>Y`V;)Pn6T5P69>~L3!6P|@$M6K6%E>&B(|9Fk z@n+u6`}hc-;zGX0cli;&;J5sV_03d&E@F70I=lLq%=7;=@-|$Cf%wMm{ zwYWYvVQX%~e3o%%?#_L95PP$d12~kUc_Jt9OrFn6conbX9NxkE`6!>}i+r8$@ne3; z@Axwtn8#k7>u^JE&aJo|i?{=K=;dxkD$ss(3C-78G=6RgPD>;id z^LF0HNB9&M@-@E8kN5?@vk$9zG>3C6PvU7j zn-}sjUdwJ$N^Gklm zpV`1X_Uc@R8*+1Q#qC(c9T>w2b@$8vAGlxsaO2cfJc`3OhT}MqXYm4F${D<#xA0Cr zzy*AUFYyh&&ri6F-}4u)VjOu5);)JEcU}IYp1bz1e%=48f4>uyo8x%~&*6og}C z`A5J1K91u+_F`Yw@MsR>X#TzFb(Po6;%v_4-JH(_{NJ7q|I^?9fBN(ORrAf$^3Osp z;@e!zrCi4E_!H}?&sJpX{(H~M8RT=*`{>ho_Ou-^!QUq{{avDq#p(U@ z>3n0rw=?~HqKCxkef4$o?zwvW-(}wYe@l7tzvX-Wt?i4els|uOefi%l_kQ-d z4P5uDa~*ET&AAn~V-a`YZrqc9)N?}q-Ol&_wd4K2c05=8&h`3x$NP`|`=-8MYqn!Y z7IO#g%5MCl`U}5zoc}7{^IyH3{%5yO>(1kUy>7QIeg5n8`S13_*3zC>pPR5X>(ak2 z{p+{PWd7OXSO4Ai_+KmM>+*jtfB)Y2VO{#?(&?Wyep{FRb?Kj!!?9cqbys)Y{gKz*KdK&0 z?~`s{H?ELvw=K|K`Mq-R?>!%N<-y-p9{gUqrs){Bm1NIXGsa^ivT-Z6Wjg*}BJRX4 z?9Kz2uJ`CC?$5y-#p5}ilQ@M_Ih`|^*2D9}_wr#r$rqTe>!|zP+}!!CTfdo0hnuWB zoy&Xp5TD@le3ftWLw?3@_>Wq@xqE}$_uhvGu{SF@fI~T&CvpPM%ZuI9JdXd`)2jXxXjpI>o7xZz^zxqD=D7iQK{9@qyqS8VB<*PhC zj@3|q{_FqR=UnyoUv@Jd2k|(b!gHwaGo`-=la=F!?3fep#BeN(4`6AmfK~7*tc|hQ z7@K1vw#Ux+I=+SdaVWlz<8cbk!iBgLSK$WShP&|)ev9AZMZAPrIbI0C+b}QQhxg-y zSQa0{C-7;kgD>Dqn1D&x5nsig*c%7pd-wrP#A!Ge7vl>262HP7xDSuwcX$qe!7F$( z$5A;jH|E1aSR6}Xd8~|2Vhq;9Mi_^!u^o28?wE%Ca0rgVaX1-g;sQ*^mAD?a;x0Uh z-{2X%fWKoF*)e1(;5gYa7v{nISOiPr!}usx#Txi5K94VA3w#BWu`9lT@8G*Q9LL~C z_zBLzPjNY}!A+Qfd+`XK#IyJ_{((1fKcz6d1Mk6tSPUP+NAPis##&ex8)7qTg>5kv zyJ0Wvi-U0_j>Snh1Lxxs`~uhE7Tk#k@Hn2v^Y|MEW%Dmbw_r}Z3-84UEP-XPB1U0# zd*F&9{4s6z+pHVC*V|^jf-#@uEveH9rxg2Jb^#pPk0%Fxt~%f-i~); z0W6Agib6#j_6 zqLbaf9A(2>@lFiK!uSA|#tK*kpTgQ0i;b~4CSrT+jIZNc*dK@D`#2t_;4EB-OK}x$ zz-_o258=1?Jzm61n3ek}h2U+N7w^OS@j)z$kKq&eG}gfv@Fh&ZB# zYix&|usfz;AK@oB2S3H-xCS?22JXcpcoNUz&-e%4Bqz+& zaukMl;5}Fni{V4~2tJO{SPSc7Lu`hvuq~!yH|&LdaWIa=u{a55;Cx(yU*J02f;;g5 z9>>#o9)H6i?x%DM=ES@3UW~vJSOzO%6jsM)us$}yczhWEFxZ{q+QhNE!;PQ}@{ z2$$h%+=$z84<5!7_yhigmoYe}e>n=p+wpEJfJHG9%V8y~hBfgyY=BL%CAPs7d=1~k zJ~#+R;D`7zPRDup8GepyaWj66`|%i_!XNQhbhw{VHoO(@#BeN(4`6AmfK~7*tc|hQ z7@K1vw#Ux+I=+SdaVWlz<8cbk!iBgLSK$WShP&|)ev9AZMZAPr2KE z`|&|6i;v+G_%znR7w{!az$EO5uVPQ^jRWyL`~WB7G@OfzaRq*fU*Qhihez={JcqyF z6}*}ID&@f3m=6nKaV&-9u`)i1F<1{9VH~!`cGwBKV;c6uAvg-h;bfeN3osp5;(FYQ zyYL`>gJ-K^NqiU|#j02XpT+0#MQnkuU@~^aH}D;N7l-2* z{0Kk6Iru3q$2GVKGjJ~+!IO9vf5t!XChiv%hIimSSP+ZhL-+_jj?q{P>taJ}hOMwI zreZhjg?(`_j>NGz31{GZT!LTVI^2Rg@cZW%6=&ljT!yQ0BW}k%coS20=iz7gIj+Uc_%-gwV|WUG z#9z^o54@}GQ8v64@5FE{j1ORGtbkSUDXfjL*ch8*BDTlQ_&UCY{c$M1kK=I)&ccPb z6j$K}+=jdH5Ppl_<3+rLS^2%B5WEfZ;(d5OK8R)UF?<4_#ya={zJv*wgdOo!?1{Z` zAijqm;6$8;b8#`Qz%TJD+=2V>D1L|M@E5#-H{b1Fo^oJr%!h@rIF`clSQ($h7_5hl zFb-Q|JM4trF%A3S5FCZ$a5B!s1(=R2aXoIuU3d_`!83RPf5$BRUQ%| z0U6)>f4l?l!Gc%}AHqlQag4@VSQi^&Gi-%zF%`REFYJqhaU_n#NjL-N;}ZM=*Wni2 zi3jjFp2qX|8wQ25|Hqto7v75zSOUvnMU2Ag_zc#^CK!(|V+ZVlJ@9QDfWvS!PQa-+ z8yDd+T#XxXJMO{5cmjXGpYSpU%NM!SaukZURk1qOM%|9TI-dXTb~dEl|LT1d2az)ZP2=(ZZMqC(dJM3F3f}Zu?UvLhw)LYiZw8BdlA_GzrDTIuP2wA zeER`8?c`GqKYjky?N;D^>v}o59oO4WMbNGiSOzO%6zcucGxXQTCK!(|V+ZVlJ@9QD zfWuI4&nD166=&ljT!yQ0BW}k%coPuMhfo zEtcmSV{=Tz_ShL;$G5OQ4#oFzJpR@FyJ`GQ&*$Ow{_J!%O&5I}`>!4!_N85eaU}lz zJv#q>MgIgNNG$4%R*!20X;2OcK{*6ZP>=dZW@eu(iXhhEQL{j2-^KD^#Q9FF?^ zf<8Xd+szpapNETaIj+VHsJEXx>EDk>@g(Z^4;ShG9sgT?Ct)Pp%dt2KXW)F)zmM<* z{p)ZG?!*JAzb|&0{`2@725~;%7S!JpyNmvNF#=0q8LWs=SRJ3i`q%{H@n!6QU9bnf zjRSBPj>ZW%6=&ljT!yQ0BW}n4l=bjGr5&%ZK6Sv(sE?m|(SM`22W!aPgc-OOkD%TT zoTdL~`~z=dc@9JUJ1O_jUl5DoL-+{l?~O*&UkmGELu`hvuq~!yH|&LdaWMY9p8Jo7 z{$1<&9@h86cmjXGpYSpUXZ5!)6mQ47u>cmuNGykyuo~9H=db}b#g^CxQ}8u>6Z_yG z9DyI=$2cA5;b-_cuEovxHSWh_cnW{SU(pHnPoHdfE8dCWSQsC`(pUkj;8R!|W3e$d z$3$$8o$+;i3;W|xd>_Z-6r6<%aVf6C4Y&<=<01SOzsHMs3A4(EJxc-kTru8;dGS8H zA0NcB_!vHcPh%Z?0bjxdOu~-%D)z+QI1t~%4{#z*!@0N^SKycU74E=&coe_GbNCBh z!JFj(TurAOm>ct9AuNuiusl}ACou-=VIz#g*4Pd^VRuZ!emDe2;W(U(GjRc?<4RnQ zTX7d2#BcBnUclcmOE&-X$&R@&59Y@rSP~z`N3kl_z-RG!d=XpVE0~O3@eOvHyy+JIbPB^e@E$CP#qc3~1Ruv}tc7*4AvVKS z*cMZ<8}`D!I2cFbSe%42a6T@EC8Wf+j!QNT zZl4-BxV`_MC$>$LcnOY-Z=c#UF}Xw2_~gWt#CVD9m=K?m*sg8U_;#snQxYWFUDZB0 zp;OaD^?Y(_duc^VTuOq!A~n8U+m?}~%T%mb(chXD3C&YmNo~t^G9JFiam|~{KX(sJ zY8UVBjZ2Pe+bV&P_5YiHex^-ae6mEU>ESO)N^C1_@IRKx=S0T0Ytts7t&Cr0P0QrC zHd0izZtcjpl$7Me=BX*_by_92OI26fymdY0eUUmhraLu%Ws~I$lIK4Z<#5XP7g$%x zRi~~&nd;Pfr1QpE>SA1V<1KY+In#L)EOqL-ysrDmQrE&&H_=j;43SKTL;x-qW0X_h)Q&GdLox74X&x^9N0ZoaEdT>}c{ zFL3!wch$|Z)U9&W&9>BSbk)tV)MdEp=346Zx$4xpSkrhMbJeMFH`SeX)h)2pU2xT@ z&%l}TF1hL!S?bj1L-c(6)KaI;`{+7#&e`<3xm|UiS?bj9I_bP6mbwU6omwVLuUpbp zx71Rne#cV3uKKKvDNlXwMc1iw>!vz&K1OQyB#k%Ugu+%ki)vdJDwQ$v~ zveYHH>Q-CoQe1UwEOqKUot`dVTI$kVb!#nk{atnIEOo`OX}rRea67lzA#taZcCl|9IVdUW2sa32h?@y zT)gRZ)pa3Vr}jCfx{|KC{g%42uDS!3x=OA(^_dIP>qfik4q58d=X>>d9JbWOy6TQt z>eTfvop;ny*TPkI%u<)+syl9}OL5hGW2x)rs{7Vbm*%QFVX5oysyk_^8|JF}&QdqV zRd>o#H_=t6j!{g@!E{&M8B5)KSKarPx^!2ax;AZk-Bqr-vzEGzuDWxUx(rv{kCwW9 zuDbJ|ZGv%r4F?v1v#ZnjMs{7Sam)lkM zo24$?Ri{2{U}|54t4FIK_rLLQ+E}Nw;%~f}c zrLMoLF1w{}n5$0x#=U7g#<=R#XX8zE6J2#-mb&S#x*V3e`K~(kTc4)aO?TDhwA8I~ z)#b9(ZFJS$W~s|?)v50anA*3`Ri{1+V5&Rjs>^MuJMF4dzx`{2$x_q+EORpoBiF{p{YLKgw&8x2~DF0V=@^Zc|uuctAT~YZ{uXDY+Qc@H6 zx+Uc~-RWki=j8OP6UBgua)U!X9zEnaRj2x&l|Of$x;~s&p3|Mqt0hmk^QODVfuB|HqM=Q{t3rX z%aHE$Ftx3A=S2^fJSkFn14Ny7uk_1*SG&U19ABM&LJw2lA9CllkUaIeDsP~u^VH{n z^uJLV)g({d8(4RGSP6OH&Ko9qBW0ebyuniZPkBtU{jH!10|Zd20Ku#&@h#n3k7=O&mvUn{;Q&OP3qIs`XptjTcRMPrv9m>bC=Q zrymBkFM6KL57yt0EP2J6I_lPfS36T)(gImtq)N5#W6{*U3X<39YMOcZ>n3@6{!X^! z&6K>mJmlqGDDy+=RQsk{@>WUSUJu)Yk}8k&W11yzY)i-4l_Rr(rs)^GOy-A7K-In( zmb}g>-qyDyxdE_V-)33zMoC^&X7h~e?=Z=$A$6*Kb1ZqgB`@4V-ag4IK;Aq{-bIh& zd&xDv`g(=T9_Q-zp6zpBSIuWW{F4`e&8@|IZge(NFQt0n}UX?)Z7%KQlN=Pi|5)A-KnE&Fq( zrHVA=?K>{}H?}X!EqMp~I*z(`ukK8FX(vLQE;;?}``nUOMK&7hvwXTU{@TrFSpz5R-dedR^JgKGF;`!DqzezEO`xNxb94O`y{Wu6sf#(qN#lqD+W2; zy(};DGPf`1EqMzo1vzi(#)+o(jad}xOp)QLeHSfx737OQ19XTbFZxqi-=t3E{cOow zT{+14REFzLM+d&&D*Kt_Ns-F?RWwb%?p3@k&k;*9m*?LtdG|(nYu^RQ)9t%#$=e}$ zUXJgN$q#N6kQ_C>S1ftwJmv*2&CJu+E7V~{;PHKqs@~cc;mXt3E3TDST=Kjuf6R0`e9)EGFHg(DS4ryX?f26q_@1-FEaD=^@?k?uk=&i@-pOyUUd8P^@?ld zHLUI}FLrfip1xjjt-PK!yya!A$;{K&E3TC{ResRY%lwF4o0+GtS6nM^Yt0}hLeEs0 z$@<|x&EIvIdHQw-7C&CzLOyJTK#0N%HjgK4!^#SMq9mI37=tyhBo_mS^?dc+>RT`+AV4>jAOZ z!meHqkV9Hy-YLoR^8TZR?K-@>sq=ZdGmUQx$x{oHntrkiGq$gEFK^qw7Gas&zlN5)>XO$_hU-p044l8+ByX1t zRPAdlf2Qr#wlr_;i@i0oeJ@(_PI$~qa^*F(!}w-Mo~l#rd&QEsO!B-OADnjOwXx)7NZ#EZ+83NV zvwiI>d1oZg%l0Z<@>HD~-wu|%%O3Mey7D?&@^bX`w*ROkc?D=+swJrP62UEungB6+ql@z=JF?pD8}{;ki1BynLE;GumbC9j#(srjpp@AUpHN*>F9 zSGx?!t0C8|bf<^OY4d;h{91p>)7vXqMX%cVsl&;m#IV*MQH<<>@fcNB2y{?)+>NQ=vAIE7P;!JRD@O9p80d*PD MzTSGE4AT$)2VsZ(b^rhX literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/main.d b/D21_ADC_with_DMA/Debug/src/main.d new file mode 100644 index 0000000..61e4018 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/main.d @@ -0,0 +1,430 @@ +src/main.d src/main.o: ../src/main.c ../src/asf.h \ + ../src/ASF/sam0/drivers/adc/adc.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/config/conf_dma.h \ + ../src/ASF/sam0/drivers/dma/dma_crc.h \ + ../src/ASF/common2/services/delay/delay.h \ + ../src/ASF/common2/services/delay/sam0/systick_counter.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h \ + ../src/ASF/common/services/serial/serial.h \ + ../src/ASF/common/services/serial/sam0_usart/usart_serial.h \ + ../src/drivers/pusart.h ../src/drivers/padc.h + +../src/asf.h: + +../src/ASF/sam0/drivers/adc/adc.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/dma/dma_crc.h: + +../src/ASF/common2/services/delay/delay.h: + +../src/ASF/common2/services/delay/sam0/systick_counter.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h: + +../src/ASF/common/services/serial/serial.h: + +../src/ASF/common/services/serial/sam0_usart/usart_serial.h: + +../src/drivers/pusart.h: + +../src/drivers/padc.h: diff --git a/D21_ADC_with_DMA/Debug/src/main.o b/D21_ADC_with_DMA/Debug/src/main.o new file mode 100644 index 0000000000000000000000000000000000000000..3c1bad724b23dae035e9f23cc3eec620b55a873b GIT binary patch literal 804536 zcmbTfb$nF2^FMr&oa}D9HM%$yD=o#{;o|P@aB+9{7AP%RiWK+aTC5a@;_mKN++Cmd zWK;Rx&p*G{!|V1;W-^vJ@#O3sU8!be#+VWRj~M|*B4!x>+T18h7E%lWMi`r_xWj-G zU$}-dG@Pa3Yz^mXIA6ns8ZOpwsfNonT%qAA4cBP6PQwivZqhJH!>t-_*DzYc7!7x8 zxL3pd8XnXzR>LD29@FrIhNm<X!uCOCmP0S z_*}!68ot)>t%mP4{HWn)4ZmvmUBjOm{?_oXhV(M=pCL4q8d^1U(a^4;yM~?`CezTN zVG0dXX_!XCbQ=0-m{G&b8v1JJr(re?vul`B!`vF?)iA$?1vMYgkgl(i)c0 zu$+b!G_0gy6%DItSVO~F8rIRUo`wxHY@}fm4V!7$Lc>-Xw$ZSyhV3=%s9|RfyK2~7 z!=4)U*08UJ{WTn@;b0AiYB*fOks6NHFi69(fZw)z*O*h~fBx!t*WOu0rr%t}G?F2o zr4LB&1?W|co|~(1BeUUI)f4F-naUa-fN^mLDx*sMKl~e3@xM%sd-oX7wsXf4nfyBB z@yl1RgS)G7C9h#{#b>gmU2TS2#oyeBiTJOi6X&Mv+G~IzOzWoO61Ccr!QEz$S`71OH)-%Xqbb9*eqYE8VUxpa zA>U>_+a3AW44WZRmq)&wiHBt|Jt$?Ce$Ne&wFTiD318U&QuziDz7G%U9H?jHidl*p zqb+qlE1re^O2f+*o{JgzY!|l~Mp@f{dWKQgu%=wjHG8eict^G)Rcv@Jy2T7;`woY= zE#e9Mv8`Ic4QV@<-DX&9P1BfAcBUCOlG)C#k%qVJ656rDR^dK3lH11LkVXpI(xIl2 z(suTnFjCoa?Gr|7+uzE}NNfANksIl3jr-Xx5 z=2(sVwi`{YaI+8i%h{@2GmY}Lk&|q2*+RuKXLJ;XEFMWEuHFzOE{T+Eun;fOC2NG$ z=;w0vsxy4d)z*gMVf(zB8!oo?b1jCet=fBL*ljslOT*1}3o&=MEdr;9Ekg)1JZ-ZZ zQly9MFc6$8`zbi%vrup@d^ZuCkij+tXT))V;B>5RA~zZ(dI zC_IB8|gkc|B#W39R&?{!lZ9867EXwM>Sk+v)6~ID-|DO+~FuBkQt%fv_ zwh+OCTe^##NO*R5Wic#01XViDJh`vo8RsqyOHU_-yA!29#tBO=O|cVYG)5RpA5F0k zCC7EEVd<;&NTU2W1kL?4#U#prNMTs|Yl-(qA-twxMA7vROc11Zm-CWJg2$?;$?!m zgIe8jymHGSrNBa*9;W4-XiVys%s?s63wk?dCZ{^na#jpUPoh zTv7@w#0hox#R^jQ@*@mA_>a1K;#h*Zhhjp4x<^`FH?#=LW2L}CoKW{(d?IzpeG!Ha z|55i&q?GZ6{V1*{sQaYV)fkDF@>wac5T~jlMUhZ-4vjAx(iS58KRi6VELqJM@H%>W zqiBBSQv??;(V^ahzgZaOxi5F9IA$})Db#eRI0TrN6l!y*a%4BNKo9qIGrSw!L5Xvi z9e^wEt{|bjvm5dV(iS49oVW2g(vbHkhX^Xi#iC`6pyuo0m^0Vpl)6ro0x;#E~}lyP!Ui%HR=g~hOzb#ibbJV^CUY0ic~B`UUs*bsvuCjp%?80u7%7=vL> zLShVtD?*YOjC67&#$dFQkQjp?MbI&zB9C=)Bo=v`lOwUnOSs2LNG#!AMMzS@{Z0;5!oSl| zF%CEhsVM%N+G1!rqzK%D2zOtBZPXX2BjyQg!m%I+(sFkFZI;-gd(3NCd1tQ1~2stmC{0NDDt1XF7ZYF>r_NV_EZ(y zU``5^*;CbQ=A@`Xd#b7}G!K>9Qub=4Gl{hlf~b|*ze$8#v=$W}Keg{d(?g~we}C_bJlJ|3D9AE4iVQDW7r zTfNvTL_u}K)?|v!@Q!H)|GXK6#X_7OrnMSt=Zb#wY+d+SLu*r|%Q_vctfr=wVf1a>F$3(S?XvW8WjyM(^{2{gGQ$l^_Ul>Rn4rg_zZ8;3dIeSSqpK(iO$S! zk9VT0R;Qf!njE#Fo2HNxo;|RPuy)s!6h!e@hWFmnN#XPwoR0R=6snM(%F*6hD&Emf zEH|t=9qr3zB{lG$`-jphqH`6W;oWKi zgyt#NEW`;%x3VDYHaH#K=2Yi&bkY`7fgRd_a`Xa8@6;3(^@o^CSYtFrz4}VED;U;Y znxdlagXOYykEW=?oQ=Vo*{3OL(xeKrUsK`>vxO~(XU?b}WN`_FIi&au?~5hjPONg@ zLYyefJ!Wx7VX{+=yzf+JQ*E+DDO8UKnxa}@U>`W~P*YUvYJ3v!;gP1$Kui(6%L1U$ z>BM8UjT}-DeWLgb??K5Cfv3u>g*ZK!^*3vj;KUzB>l0>ks$AkMbGK0QAheDHTiKJ-0iC=YPzqS=&vd9 zPW0p}5}X*o^HW-tdZ6Mnymz#M=Yy143vt4U2|TSAN?oyjCBr&Vt5d~p*c1(OlBQ6( zQ`aZTWKAI_Qa5N&6TOwDs5OUjD@;@3-3sNUV8i+Tr|}&LZiOp8!#nE_yus(P^>CRIIpsws)}=$WR( zm--=po#4cCJ~Y9J7mCmD?wATryi{f_#0e+<@OIwu_2{owm-xaAp}IAe_`;Z`B-SIY zDT(#S)RcI)e)Dm#;f#$Ge-hlXC_ck`Uo6^58S5x9$ zpC&@!hclw{#GM4!=WEv|K8NcIl(QD%#4x^HR7{E2+W9uRz#Y!b8t2Ya%VHlsUeusGs3yCd6t%|mG$w*)x8|XRw&$Q6u(?N5)XZob_WP`RHHE_D8MOuV zd!MGLT01ZY`VhT)SIi?VJLo#3mpcn8HCDyn)jhm@q@9kdlfv8q58;zaQth|K7X zoW*;n4X8QdC7KsJ(v-yFJ=PQ|Uh0a~>KoQ4n&L*31|U4u1hx03%KJ=H63hEsQ&f3X z>0WAzssvRr0=?3N_`=;672v6}aBsw5DyS;lTg7L1U-*Q=y;H@p5GM-fZuX(VX+=Eek* zmCc%zR1B39!fB=V|5)jh#ENI$uXu@lH6^}uJJ6sdoTb_dE%j1UyIH0Q$w2V@=?DGybqqj3tz4Lun;G_+Hdl7@ak+Vat>$% zY8OZOdC*C5RemZbVl|Ii4er3%p!JC6aW-(%y3foCjn0aG%-o#d#Bs%Ect0qKvYb$6 zEyM{Y?wak%31#x0Q=M}Gsti2Pl=xEKF@qBfJTePYT9xv#HV}**XzLTD(n6dVxW1TM z$iVsR@b{}Zlco^~i_6dEcY-ifp=X=DX*cWSazajbvs@tHL(c>Tn<)(5Rvm1v9hvqBa=h5t#NW4nj`nk>QF5qSl&nVc#=v-MNX^`g0d@3qBNb_Z0RBHsu@ZLzo@laKkN}f5s zoXcb!HiHwY%5wQIX*nZhU0qI9RaVHcNvq0A`Cf(H-C0#u$*NdECsdWy@<-ArtdY~O zYD%aoYvt#pQCKIh>nNy#t(WhTMqz_olr#z(~|sb1r99*($s1s^Yz{IXaMS@`W=-G15Ove2jKUyJ|nWIqjC4uq~KS7xu_DNn^BE z_Q2*5H7B*PwNLI=sE4z4?Ux=nTjIW+&X66DLRAgjx(>>hNkeu>)==$7x2{4ECwRmgf?_CSo%l&nGBYKHZas%vTHJwg+| ztYT_-kLipydqoz2uv!1EY1p!iMKY~90nc<}eR1o;e0|tzxa8`I;m$1cnHetqDCs@S zYBO9rvO-9wG+kDn2Y>pj$!vzp>J^k+bOje5))3WjtA)pXr1U{;a+}L?iGjrD$}rqv zSdkmKsPjI9o|}ft+9>ehbsFZ8kwDjxm|3PYlADH5^5#m@u%{dikqkxYo`OAZFljpR z#4zmnDG5y(+jKYV1)OS4pT_Ae37F02GOjhm=ehY*nwE%pWo$FVuos-9bR1? zhsS*UDc4~%qB}RT+Kc*Uh0&^RR>NLA-u?Y(y7m(BR>L3RN=8X1X7jlzq+u`R#N2%B z*}+-biFx^)`wA`pII-kD>zCnXMHwfS+9&WVd@t+7()&Eby3bzDiDfb}t;>YB#q8C4 zlP|yLBCiG|DU^HK0jo)v@C{@>x4y!BZ7K>-?(<+WY}9k2qA_AM(fEjv^y`LvgdlB%N-z@t{Ag zJgxZ;v8XV59aEZrBG=l57-w20eqd4XCL_FZ<>+i_yity{Er{*W1Ui z@6g;C+w?Q+(V979o56;CrxUUHG_-h^zA;SPa%{?tO0~&@`*x&upcX+u&h4oyVwl-$tDU5@Og0* zT2A#-Sgwq12DUToXPp-E0fW!yoIV!=2B*(E?UnK=)c{&94N-DseNJD3pO-@wRv}}X z9?){lsimS%;eQaPn@+i!KH0wkyA_63!F}ucOf*qd?oLL0xNk$BwguqzLod8>?%UMo zI4&{TA5)X#zAb&`{RQU}rIhp3f#y^ z4kmY0xQO;qS?A_+EW%|3dljBi}8lg`b0-+ zYENZVn$*Zxgs_}OEsoUP@V3gcPH>7Y+qhAp2*k9v9_Q`NcqhCaM+V$UwYT6jGLf1e zm*I6w{T@xn(67jCr8sHY9bOJvTXX8;X+~Qm2Clc!b)c%FU0T$ifB6)ps;*;oXS~F= z{3Sf6I?(q3nA>q@!|LMrj{AZ3_8RTu=yU~W2aOJK^e>7R-jNT2htw%Mic{6>#K$W< zlsQgel(lzOmWA&U=BVlq$GRwS;Ty>uOHLratH#$e$ApcL@22r>%u#F$^mo_z9_FZf zAM!mkewaBXV^(bMsr8>`h8xu}@x$)3}) z7aE3=NNuF6)W&MCv?h`K8MSm(by0=VBc0Zy#_rFkrNvkD%8FhHPdBP%Es;>VxRLG1 zKQQ`-Q-{V_wquwN+W+$D3bU`9Ee!Ye)Vnce?|><&NAO&TGA0;5kRDgNrUMHIDPc7{ zCZ2$!n1JA4z!w9TEhiDDlaSV21F|s9&2w-w)@IJih>6-2OrjYzD9_7Z!D(x|2WeZL zKXmIe2!lRmVFg7z%hR;Eka=!_#B*vt6yA1*rk92r-B`7OzQ9!4|PcMvJS zn$}E*r$5Vvr*CdNK%qIFB!XXBT*oiu-ACljgZOkAYx1rZ0D`MXg(E5Q|2HSp5He5Bzh+Y}Ur zw$lpGQXh|;&H<%AlD>rdQa51O`(cy9Q6Ufn;%*J-&t@m^P?JFOJZc(_%8{C9JYcS3 zk<3VLq4&U?bw4+o6$ivmb^Z`C#?b@+Soge!kq4U$ic%U#UK6*=SiYl(S0<42Vbx<0 zQ+_l?BR{ko`KjwDP!^9Npy$M2K~~pkry#4x2N*`7s>qz+%n+`h5TJY(P$EFd=ZZum zB|MObq6F5w#y(1r8>f&k3gc6tmZ+2XOW{(4v2XRz7ol59V^9jY)%sWr`b$~0C}TNr z>_dO4vT4!hmm z?q)BBFnXm*!jTLdHex4#ytR)CaU{x;RC29XIhaD{!RJK1DYah3kxJ`T#Z8@PB#}K$ zqJFe~Dj&I!&H<09Wa$%4U`>&TkS`e=Dq!*9%Ba;t0p(^=xiUUCa|$CH^kqrGvbi~9 zsX)A;_&{%$t?9M3h$+^Vm_fycrqKn<&Rowen5Cxk)mXA36D*Nwg>=z@J}L zgMt&mz+J=3!$hI@3{?F*2KgRR*28HMQ?36{kYyM}|1S}vllq4)9HZ4p{5hLD(%1lT z{=?3Mfo&ah?{x`K|Gbv(Hh@T%opjUf1|D#*b2KUHd7Sw(TSp_%>H{CxN~L@E*Yh3kwqA=-MF+g4WTbz zGRc(Q+mT40*~y>uDcrMYB_1LvUApJOu7;`eiup?aC)c1fAgiWi_tS|S{?h+(4SZCS z&o`bsXEtY^DT)Wk0FO90mIL2gzV>xA}O(UVCOfDyn zliokKOuP3RJkOWMNshPhoViJVe_V+&#V3~6nI}Fo`XYx6d|~-@>MOJ8)HjB;q{{uy z3hLAkR!FCQvcfv`ixttS->j%k{b9v)3is`FDv)FTr1S-GTq0DdF}##cjpe0vY82#`7{d6~fEvR4B)xrOKVa%j?v1UO}g3@QOM$ljEYO;+w@Q>r@1T1`p;PmDO`Tf6Yw6TNj?;0)w}{u#sl~jmPA%c}bZRNDuT#r- z1D#sV8|qXfZ=_Qzcw?Pf$(!iZDvrg4vay;s)2TJQxlXO+Ep%!f$6bEKx1P7ssSUif zPHp6vNvqsV{9m2g%-iZz6mO?fTX=h&+R8iV)HdGHnNm+N+w7DCHBD2L?kv;AVKpUu zx=8mrOHt`PyJ}glZj%4PZkaH<%TncXqWUG3bt?4jA+zV`3h~^!nQGaruBOa({|Y`x z3&4$ha$e@0G6{{KlwI0y`J(Q-cQJ(r+KH!&Og`NNW9nYgGO?3H)k$MZVn{4z|3|ep zP`bBDh<~7>LLbS8pGH?=>6=8*6czhP_ZgXlA=31h*>=E7Q=}cB<wA z;T7L=B_Aevr;4N|T?g#}Bc zDcT)d77sioguYDxwGo(NazxQ`8KN zS5toim{wPWwVV^-htD#+^sy|bDaNr$ng`wHWKQ?3U@JKl^tY)f6^2wm9eh_w_1rhP^hVl{*kP##mS&F zeg%+Jf$79~w-j02wU~I0w58mcn6*pe558rRM!7%4xnLCOd0Lrrt9B$k2S!tRO)2tZ zIcDS1XcimAPo;X z5Pd*ylJa;)wx;7eLmK^JN$0>6#IpzAqe$cQTH>tJg3@g<-$ral5KlDDMy0WL7->lN zlhQu>$qH*lX@)IE_>c9LH1Gi)o@KMrGp00Y_?eQ@??#e8w-*s-{q3al`7)w6A4t!| z82Y7gC4_iJS0O83`cbLdWTWT76~rI4gY@Uc+D;m|v2Kw@&4u*b{E1{opm##qG5$-V z7cRjgK4mGl$2-cs+MaX{s!UI@hn`E6{>u5N>}4^Fz-O!I9;8vZD^XVUAq}p3NOr3a zJ#%8*mPUG22XcQWO6eD*zxf|}c3w%cozjqIpT?A))rZn|RM{)vq&Rq_BB^!PiGM6c zHfc06iL;qX*Iq`EIfTpl@COYa^m3Ft2wPKl@A$MF@9_m`I5~tgxG$&Y?OT+aTh-)M zdCBLjxJW0Bz>h@FulNJ-8MZWPFC~j77ZE*B)q#1zWYNC}J)fuuXE;acmrC`#sfaULQf|r5 zlp7jMn(OAErx;6=MfWIo%6ocV3MR@I50d@hLzIk{iL-zg=^Xi&=m9ui!CO-;;#yrw z`z@i;4Ol_`{4GJ0N`hn;zociGQshGuPtp)JmD1I*r34=~5oh)B#4~sZQNpSdD?RgJ&j#&u7I~6(9#Ix=CjNOV zsT7%pQ*OU7O2_@8+|lDn&%PbR6M|U{`mtfeGrR)vY*3|J(1CnAh%XwY5fMo|ak%z? z_w|hEUMtDg!>2Xy_Hl!b=m!zQd(~P9Q6$T>c#1AS+BgZL{EuvcJ zdQLjWsX7oIM(Gk8$%mpUK6k!RI^6<#uEp*VN_3X2`-~+$bBhusZU#M%V>cdkwHj%T zy+b_qSdwa1m3;f2pEO@oJ;UELlv}?5dH7C^3uZ==T7(6aG#dOS&5;%8X|WMK&t~Gx ztKNvKD&yshL|HeA_}3gG&KatQZ>;+Et&_>__z#rp^AB;h_&~nh8%}l?>>&Pn^~tMf zRjYGgR)yMFlB9l*r(BOH;?J&n$c&Xp>bPos#b=UK;hglm-jnE`myw6vE|HbMawPTR z8Fp}uz3AC2MyqD{me?qLj-I#X5j_a!ITqv7Cwzr%^dCVyFVKrxj3SNksj{(S66v|( zPd*$=Px{LSlFpXLs4U;lt0-+F>+Nw4W-*%LV^(Pt^didnc9c84Esq1m_s?5UWFNFP7Q6 zLG@u)=K-Q|gw^eZ3UQQuiUG?pb{PwAd7QcafzA``ODtGUvXCRtd68|8Ls;Lim!8lv ziC46Ob249!y$3mk-x&&)sW{YumM}hL3s|P{qYVMV`I1cFoX#(rV41;xoPy4od`b_P zoy8AO_Zq<$lb^HsZtR=LIecYtfVtcs3p&e6UJLUa%Ps!b0W4f>dX4Zzh^wdY$`;_G z1*l#srr{!>3by}oEZStz=8wTt^5$2#Rwj5Oic-TZ0_l3E`h(u2MIM;`w^JTi?p0zG z=mXzU(+*;_B|HpeZqSX;$Ks1FIiF>_1#Ahc-3=^jS@BQc-^@CXL*6!4+yh`Id(<7o zeXM*q#1AuTIk<3|O(_q7b1d*2%wJ?z>>yrYla`=l*V*hn5V*}Q2SEHe>=83L3KwKzVJqNZ<9IXh>9ipQhI!}llLy>n`ET^97zUc7>~1}%G=mKmUCo_a>!dK(;fo0OjbJ#)$3(TnulQBTW{@6GyUz>G1$(N`>kH< zfgQ1q{0E$;t?Ov!cF{VeG_>5de)ETx`_??|0bW>_=7qo;>rpQ_@zpxMD8O&)qUxw_ zV{L1_U}1vo_Zx^$x7~4o7-74U9s=`hebNFfvP}vGag}YFfT2ycadTl|hwV*eVEb$_ zGzC6lD-i|p>$bP;LA-0L^b+8)?dV<*-`dvZg7`OEibueLT&yo(J

    (Ike1isdyD( zUFA}+F9bHYEXCG>jB)8)8{mLTSULzCb2&!Kp-V2E_k#1L%Y^>meBe?RXMOUuOJ8gO z$&W6_kAe8dckN5#XoTy4mdKmqnhwi+xxlsD2nZ~3?N4L= zGS~8pfUR_WS|539UEiOAXX{-XW2G&lT$42fOSG$BMiBSArkV#Wv99ayz``-t>ZM@e zgzJzQ$UEbDZyH>>;9CA3@-DglEdyoOTu)|)mRqi0$HT%M*CTTw@W8bU_I2bF*IhpV z;#|{gfZR*hOE;1C*3~~Fu=lQiYeDB{*Zb5de|If972v1q{hzS@*Y(R-U}Npy{2)Hb zejlI6%P@N@A7C@=BWRvJ&wl#{z#{vQchIuj-k1i`HTHH>!MVeJr4F?0v3I2=bI4v8 zgQGldFVqE`XYDC#!OzR~wKI`--(Hnk{}cNiKY$nZbu{UHYu|&PhmxP{r6}az?BgO-i?RG33 zV=?u6T=F37v$mX~VQb+;zFK)mG^dmQ%ex~(sTya#T#jzi~Tw`02i z;@r#|V0qzI=mJrO!t@6{YJQVr3lV-x8RqAAF1R11tznvUm=l|W%kq#We%1xFq_P!T4~k2HlZKNc^YfXI z40wWxpe2wUB5gse3#PA@U{)e4vc|L4Sj<^M*i!N$lr_cg16k&?5IS96z^ax8%Lg_b z*DU2X#_j_PNPhu)R!J)$l3Q{dAA~tW&O2BrT5{1HAWLowt_<-!^y^-hyz9q-o^L4bdCUAG za0^8i7!-?i!9Z+1%R<%jLVw}pgW*h(`LwtydYl$uWouN1{wh(l9IbPbUV8H;v~1{T z;zFS8warBCKyMu5$WcpUP@G`arXez>v3*aWV}o4)0{j;~1t~-o?mU%YH_#tN6JVI01tx?iGQlH1kT~na;KrIx~Oz7Y6*g zoJXv(y}1Q;&w`9Udthu%|}3AorQE9U=#bhWg!_fos$QTW~9 zH|A-|QmRJjLG|f+8c*ML*vppL*WnC77QS@=9+bJ62He$_QA=*%w;%LC*6}Am5cAE2 zP>o@p8{yC#=2sp*&Sh7x!t^}W6tiu)j7|6ovE}UPEPzNBi60%38`>;fucQWtG&=SLTbO5%CWoryAhgtQl(0PRANCnQL?0YAa;FOCYTmU1GIl zMxEw4zPCQWHJ%Z_bR_TadTwy(F3}sBQnwiajpox4=hr2S`M&6d2#fTQGi+A^$MBn)>CsFNsozZIzEAJQga#Wv(urSH_rE1#-{+$zV4!*bP& zH2PO-x&V^Z`*P&g*w7UGH6!xg zhHY;`XQP@#Y5bG66q+1I3y@9w4S}|1*)D;n`RtF7Z85D0a$8zy<hFi_ms31SEvyadEhw*Ci*6Ij^4&^VEW zr3E&LJ=_6hlNq+(X^opP9OawJ))zpC!dR-Gus4nMDh%;(R;oAzrn8^43p0bwq%FOf z%#-$}W-)Uyh!Lz-G+1V{f(t;L!!qEk7Cp-0zR)?39c~HYe724x z$wf?*0Lx-F{u=BpVb#ilb17R(leuNACvGL83o0`P#7LHjc9d4Ie;R|hk{yi&aTW8y zeKEP3ZNvRCxrXr|#A+>DJ`AeYF&A7kk?YyqU%)o7z%jr!vQi^pXcLRYMFI3+r%pj2 ziant+Y+=3>9`yXQWw4EXqA_AS+g1qJ4)*IhuxPe_GsLmFY!6>zSPX3{?PBkr1KZ6; zK7>nq*jIe9hqZq){B|1_pet$OwVxgI0Pz5;mK?-`ta~PCIm8a3U1RN^iaL+O?4L2v za)jmdfW4#4eK0tWu{$x4JIOB{Q*6aAV5eEEgxNE!KaTP-_C5>+ zc8+yh3hX>f)dkisuyNG@F0yvCLw1R6I121CdyEUl=!}!&Y#yEQ@Un2?8v9ffE?sAD z4+Fcw-X8>ZlWm*|mRqcGXPCXsk`IO1JFM+EV0YP@-@xv%=d>qspV_Hg_^*8KiDcF0i4YJeaL-a^VS0U$o9;H^-t_X4uH?>;~F^e zh55CE&aX`3O9Kojjo*XwJNwuTI)AX1KQxHN{3dIT_*M^Ti<@fu~}QZV0L1*&lYdLOV5KKK-{P`-}ltP^;etKgi- zf0GlF_&{1-Oy)&?!|W6ueG+D;^5AAL6vj)}hM{TvLsbZb^AQ(;P3OC5ZastVC%KvY z(R*OC_`4J&VUoUxG@vhZhjt@ z667AfCne18<>57;b043LJLhsgA8;1n0G~nYyMufK?Y11^gIa?nmVe#~;$c1qXCP{5 z^G{`k0|lnj9zelsG`A}B$_?U$uM>ZfsdU;^G-C@;ij`aoO7XjOQHm1oSena{Uv9vc zQbSjQQhFer!u)fYW^`rzIrNk*NK^E32SY(Af1?2?6&4JHhKhOU)U49K?~q$L=qM;v z-cXyXTH`dVRQr}1da74!3e7c|XNKmQ1ABl{t1zb0vi8_rkgBtiL#l3X>d)%=F2b{Z zH41TqeH5aGqnkrdBSF)>#>MIMt;uj&%{DDY>#SzyRzPR-#ZN$Kk(Rt|dDMW0RufA? zwsoHnP}&%cLHTzQb(C$h(!8`?JxaH4d;~f>OrzyY$1C`8E7|E!D(LAP-59xDGG~J3 zuF*X~=@wobJl*Hgy17S637tKg%tdam&R0O`y_0qu`{eovO5bX@a4P#P9tKMPK2>3N zz|zs63@k>|$3ZhY!ph*tov=H^BM3T&?%fN@uz{|~9bTt8?2f3F9C}9jcq4aIbDD9D z_H#ixkaejBu^@K04YZD7ndnGvEZgz|md3H5Eg)h5?FiZNEVv>#L)eE7UQ`my{kekZl=nycBEgK3jja8}w&Tv+K475yV!_I&> zgO$X60t}$DqhVnd8$24GMX=C4AkJp@ZO}P~wc7!Kx$NN&*qg^5v;lEG+r1Ll0%rDx zp@nP+b*qcm6>2n#S-=7aEMe`)`cn3;1vrv_gK1N09{K{ z-6%G6EL3k{W$9pjD~sF(3)|R2YDU}H%_{I^2RrQrozZM}YY=y`KlD;!*wMZq?qZwQ zg1DQlXa!|^SZ6w{+RIKpgN1$U*G3Tcv+f^2JirJND>%Z*t-R+ZGF! zhwNcaSa`(NahQF~_Vfku30w3Oa!*vPKgCKCzE9T770i z&cLNFY(XAa_{yqJ1o0ay8VKTd_VO|0ez0b=H2TRJYzOfdyN2In#{haYA5{Ngaf>1M zmrb{UGmuxJmlMPt4M7~kZybQ!SnkLJ&T%{}5^}*jU^=kzJdO_5LwGo~l~CS+_Rc48 zuO=wbL>?0jCnoVNbY3)>$It|53NJx>6jS+Xn(~D4Q`8qt<4>p=hVvD4^fH}~pz1w? z7o$D!nfx+MxMp!1Eng#eP5jaX2GEYQyE}*HTn^9X^0l;eFpo#lq1=4l4nIbK0krum zuq@=;X&7F_-_v-wn6ITp&JsSRCRmp8DOAj5d>?fz%lXHeV2R{MX?$A2k3~UlC7;GUkm!28aD6Bqf{l2CSuKe!BEF7r7@;mZ~7H4*|>`H1u& zUgP86gXKDpa{#-+(-wrio4g9Wzgzrt4q&%=4p)FXJlR@+yS&s5@6*L)mZNqWQcQ^DTy?9`jS<9;;rdCvz@&-a0w=@5dCygv;+pZMFp zz&`V?bY0>LUpWBcUwOGv$os~-&@$#bA3?7ORE=n<65%0h=lU+~Gu+IGYzP@@9&_0>Ea8fI7&F5Kkron=Q7}7SkM&d=tQ2agS>9JTaeU`}0K+T(y@A zgsmY27K*C01F%R;2}9mu;o2G45)nzaO_qw}bn>!H)F=tCTvWIN5Ge|#0p|+Qo>u=W zMO0^Ct3(VPZ?6^+onUW`xcUNMt@wwA!FA$O5c1ZG+{aL`4dNes5Gpr{S%tx}Nz|c> zWSfO|DX5MT4O}6xMO&g0AjS5O)H(9B4054j1dj< zB5#)ndx^Z=B9s=Md&I~Nu&`H*S_BLGL|g1*%Kai6P5usuCZE7^Q2e9{eMkfphi9?E z?*YJJ@rjP%j);x5AaGQCo(6DC%sB%GkBfG%A#g(MqPh4r$1a67EbYbVV=vy8FcSKHl0e40ArqFp$+&u)A`(oEa zAM zvct2NqEAP#yb^u9KzuD4oP)hLqE{dU-ipOErF$nVG!uL;I%S0T2eE7xy#6Tuh64K} zveKmgv#9kQ;$KA7gUI_T=0-y2H_@mUucSSX&2(Rkkpm@ z5tHA+&|i^a1wf$Lwi3jH%+!-$VT?JYCIrTs<$uD$IP*&^1cJ@1v`01G+*S@)i0QT; zSg5&oG7L>HtI@P@qWNnO1SXlU3IdyK_A;S!irH;7EKD_nXucn2Hkbkn)68;F$O|`1 z{{=SPY*!HWW|&Ko!QGi=dRlzVGP_p+7GZv(jkwun+Q$%>V>YKwb*`DE0W8cjN8N|L z`DVBWumxu8&k$H>?#=|47MZ>+Ab=l7VqjTf9ykmOOUt}(5^`_Ty5I2~qY2vxj zEY}Y@H<@j^L144Fz#n;0X6!`>Y%#-{gSgdkfUHUlR@ z=T5W!Z1@smUZ<_=UFP7e5ZGqD7W{Y94Z~z|*K<=Pv zqhr5A=B*Q8i8V*k4F0fL=`kEUV*XhPdq>T@xMwVnnFVMI?6~>4nK0D4&ZlQP$YfsH z93oljJ@Xbho?mW?xzcf<0SRx+op%?lz9AFb@Q-Ul8Xy9e3pSxXOq zjjTchG;Cs*dP4ga)|qPbR`!wlnQg55zX11H^iXJc!2Xs5=Ofl30&wu# zJl=gdim`yN&I95?K8cpVi@6s~ahLE?BLJ51*R&gfZxd*c+s@0yfO7|LOXs~i`N$2( zi{URjfcTkjrR}vZJT>joeB(FA1AOOQeNeui{P8%1<`*A32iPCpw>!XJUg#b`knpby z@iF4@5`bXQ$2q_^I|X~8VizslCWr!kplp)ZLpu$VMH6yqs)%_9Vwku?;^E@*VJMp} z^3DTsrnpG!h*_c)HO|?hwgtpFqC|awdE!)dlzqO)+62lLii|WTUL=MmMw zZDJJ7x1vRz7#P|qzS0HBUE)hiu_Ks^9}FE5O=;0}SOiW4 z=MnLfMxx`w=OkE8h}kr4ejq$(+4E2oDF}hb!i~DVC*sc^U~$6gjl5?fgsR~SF_X^2 zUWx{E4)8`4?*h)ZVsAHC_#k%Cp~^?Gg*HaMh|gO={3@zE1j~1krzo%=!a5%;zeKB2 zVEHXv=^*=~IRI5#{xtvY0SJ|~)5G2bnJEBr6J>TQI44P?5ICpEQnap~Dlg;#XP9hE zyHMMuZv+H($N{b(M$6dwaB!!LISG3)azS>m?2;2`!*I8}&=z2i+|UDB_Q}Y!D8qjF z)B^$sWaW;~azeJHS9Ma_>6-f)*|ih2T#((5f#ss~q8*}3vQagF>oN`90J6|V1r(u#L4L8{W}Ab3=HAnj^bv0ewg>V(#iYF->sku75Cg1=RIS^>2Fv<=TT z^hj2|ecA9ht zv)+@DH=cQJg|-m3`5LfLHf92JOkm5%=tMTf0nSP66zwxkW=rTSZ3?UZ8v;{VuGX*| z#-=1g-ZZwGPDI1mTiO$x&JNLm*$kF%95`pPDfj{hn=*H(pI^t$rvc}B_Bl7iH?k+x z*=%AfwnAVtTi*?wQS1gyakj8Avmm~eO`i<8ZEV9A5Vx~iw2IupQs;$0G%HJ&LwBLjE@s9-=XsVtIan^Rv2;9ri4`vj;$_w$8pJECIkmg1?7=z^uQA^a0N2@m z>M3uq4q*_u$sVTy@fI8L5l-A@L6<u?Z|Iz z33X@RSz6kc`oW_ALhdJfP9G)wVoz<5`^`qtPR$<{L$}ucGFMu12XbFpqy_OyRGr4~ z;k!W`%a7%Og>n2aO{wPaC_1H`%eT^T#(cj19#|IeSh@|nkYDwI^+mkVT?#5xhstfXt@WB(2ca--e zxnq3BV|aa>N7H=i1aC*D!YBEQ1t8w#jnlyEdp!6yzyqF{>OGdLg#aG$lvzN0%=`93 ziJtJrH$i;LYXm?%j$5Y!d&Zx9fQ9FL7uD7myu)S)yyQXKfxY5$zrn(5?n-^*8}3yd z0&n>OJDhmOr_v7Od)~4xz+WChjVn+r`-U=%5d$sIIaav(fjCY?(Fts@7_bn;@!}bk zAw)F&1`DC$ZUC?e;`~Kem?-vML?kAOW%nU4Sxlz^Z;H715^__;#r04YChT+|v`*O9 z0b4JAwE(tJRG<^bP2vu1Qf?LwYFtrb2UWK%;Y!#ujYrIVqDuTT2;;{v)cZg%_ zAQvqT(u91c_)N3=7%`lBi(Mk~Ct$n93EIouBQEZTz+RE(E-dU5pAG}tFJ?Rfc0e@x zfkGb?`RIJ-kf_!eAXbbk4MT^;)eu-eBDVH{g`;BBWyl>96={e&E*jGZFegOvFc>;1 zLg+Z^ln980z-jS@_72a8$Y01iD|+C91r}ls69VT&ExPT1|BuvpXt^lH(1y(=vEw>a zUlui*0$dUMBf)Z2#7+gvHSv}1%v={6>VtSg45!=CH$?;L8E%QyC%|%BtjG?tcZ6*s zl-(6CHh_3f9Hi}=`y%6aa6S;rvO)ZzSic6~k(fjS&|}e;?o~Yz$?k*Ysc1P8s^i4_ zDIh)*rD&{qE{amEdm&amhJ}~ne08XPCDO$LycXN3>c0_ds3>m*pAD9GVzv)T`(9M* z3G9Q|I}`Rkil9xvK8fFSBKKKTz5okf#IxSW`znHHZ|$3y7X)IkdHEBF zp{eZbKHx0Z@hb{3$W6tofVvovvfnc$?;Rr+%zv8 zJ~X>?6O`t`y}{WcH%%p5-uM?KZB?Cu-a2bPyy`YX=Og#uS<{eiJCWv#?FQ2^Mf)%B zptD1JssQ~iJ;t+ts)xuO7)ggSg9@~SmBEh&LUu^DvY-rY`3JU!l?j1w!_Q>EbHwMe z&@-~wdB~0m?g*aIL3C&n$hH=Pa1e{8snQrW{WBQGvSqaKH;zrDRa-FYvlE=-+1|>? z3t>AyKzAsc-xdlduqavvO=PK8KwuJEH6H?#S-?05Oksa$%U~*NOk0`w-@njoV;VD$ zLOh%m9Rg*G*rJ{gSj-M}1j|zP>o%}u%p(|b%h|n1utc(@G;xY%1E@FI$!m!*`Zszz6F+>?A1zuTP&_3SZ=fS+fcAO>_`*%a+fU|41s$rsvQLGv*n?%{+hLU1%Wp# z@EHW&vD0Y)-m_#c!SaC}e+2N6-J$KuPb@q2bDvo$+F1F*=F(vDl|7>_^cy=(`!e5I zp|6O<50*a!#GfpLCey#zDjJ}EvlUbeCh=lzA*#Lp5O!00i5Liv^jfnV;w;K=k?*3dn@hZk>fHJJJP^3TgK1>C z$}do>y2dL_hQM`x=_$Yso})d$P2QTWvfbjT&jZ}%<-DNf4nH&&MY+p8W`N}$xBEcL zeSRVw#0NaZ6L|fQ`_i!bh_9kW+hcxdA&5_S#A1M_ykBS7i{tx$LFY4GiMFYq^OULK z^$VWEMBYpO|IzdwU{xK@`*>z|&%MTI5=~4@G|gTUlc>x#uB2B>xh$#4f=kxvlo`>hmo!Oap=A3)Z?(FQI%Rj3?s>EvE+fXf* z;1~3fHoWcu?=Qu-{Pe#Pr@Mf>7V|oRyb;G@Vg6PO=Ki!sY;O%=tx)q}S0}ddJCEvZ35~6{l$= zpK@MfEPvw3o{2173Sugozk<+AwmJzga~T+eb3ZDt^U|?}?D{!Sj>!ib;oVt|vj)mp zncW61kNkkezK=_w!Vf}NL)9to9mvgr#5y=B*LpyDHcb z{EX)gm*k{Bpm|x|<|H>-dT}-3iX6dxa*S*}0xI}-1Y9z~{~*{0^Q*GK69LA{!#pcW zkevp@?yhVW2;n{X<9G-k$e*}s`A~lP2!(KX&>Eor;(bnm>fRoB2vUh$pbS=B#{&AY z%Hu3NS~chAJw|N{1c_D8cppie+As>_s_MykkTbw6P$#Vr(i7$23cDgz#uL?IWs`x(O4NV_(0r;QW1#Xz^>aqsTb0h)ZLPZ5 z72(#Y9=y=~PFeGo*Lt;smy_SCwfjIC)ZXu5_d)%+44j2#Jpvw%X}kF$vC_tR!MnBA zxh+(VYd29{{lBC|<0aajGZPSLFEjok27OfpM}r$&O=U;b@d;E+lipdB4Wok!6s~|I-%;3r>8%ap8=gaa>f`3A?`bCv-79Ks))u-$&ZP<6k40bb_#nN;lw) z@WU@*|L=Yo!Y^s`OxOs^UZwtk8NIU`?8Z#x8o{_B?)W_3dIM}Htmpe;;@3YwXVTw2 z06ID1C~T+nN6lKB+Kk`Op4O=r@Y6r%)O*IfKY=jwPwv-dMShFVvt9T(pVNObaOQ?3 zLTBDn-t<0y9ru#!YHmSieKKcH8<%lBn*?wA+}y_%$1PKN#?gd}l?{XP_ zhqoalJ9qGqzw5~h9C!CU0QnvrC*6C^o#1`ng-~Gb{}7MkfpZ;!bFlY)SRIsMu0PHsZ0PY98`V(L{czPt!l$ zK06)mwc#QNTD;pS~>cZvkOO(kkY+NQAE^|iH z?MN70LGlKJ7)q`KiKVsNr>D^?o|)gIlqQ&`(@jp8GU)FIAh&4+*EaIWm^!%DJk34hP% z;#zRe=_GG7s-nZ+;oPg~G4Bw2K^uC)!%Nz57;Ud;Rx@b6rV^guy`dlY!GB9%^Yo^M zENvmIrS<$?dmTLqhrv5a=4Y**cD6vOV4{v9w4gIVg)(H_CeIN`K7L{;x zUhJxYU6`1_{WgBG#|qp<5y@96T%1`Ay9hCNI=-ApvB?B>m&9Q%_C$%UyrOVfgz|D< zw79|b+biPY0+1Lnvl@2y#qsg*_ds-Z0+%TkT0k>P%;C}Pk*MSy#o3~cOXfLZ*femt zV&Z4;o+oxa2g+k%+6P>|*vjW#ApBfGo`_$0>8MbAW&q40@t&&$#p2c=w3Ud0{qXQq z_;R{kDthpDR3`Rw;kH~1=?0YwVa#c4rAXkszEL#K25A!MT+=s{dD}pYWXd|A7)!Gc z2+2gQ}KOu)8cRP9nf)Y034VEAsAtP>GR|_h1k!&GLW} zC!caod{y@1j38bXeS%$rlrIs)H7WV!-s|!juLvf}L;oO%BxyVrT(TTe1-lz^d9`zv4Pwk(6XvgEF84OCx{A||90|U@jD;M%GS|>wy;*8$O z63+eV#hNlcz#pDt5oa)dMr{b+9@&n|fM)Pu_uNt=(27YQh zkAwcIUmK_ds9=7416A%XFb`4{Ghh&`oT6b6qFVEl8mgu)2IhJ76X#T6>L%ap7gXB= zFu15rt${(fYSjQPLM?6tiBwNt!tRn9%ah+IMGtXCm(|}X;G)&`wlKJ&jwL}DqawM( zja8}q>n?Gs2SFuM4P6K>Oa096fo7`;&JuG};~{9~swalkz@cdSpnQ0%!AI41HiV7JZxT?B zX=Aw-W~n8O0cWix8=&pD7WW96Hd-fM4LhMN>%{e47kGJ zsnzgQ-%I-;4#F_anjKxxCUJoxTpP-XPlVQuJJm?dej>O_TADSWqqL9R!KG`fx$222 z1*frhv_sAa;x2xW2Eu#VZ(l<5zIG7*iU?~*V|eZUp_ajYa;6s64Y6ctpLiS4Bh8hI zCE41JJb2I1yxA^Sd$|L4d74!i3?6GoIkC&v-cNI>~Jkeg}L9ah48Ff-%tK}$7rw!xk_zvYefA_tBrulbIqLV zB2}7x3<9jy?r<9YLR-L3$4l+@b~t*a9ohr)*P1>P!Z%v4E(q|gmh=R|8ckdTsnxt& z0i{lh{0!VX&2a_n>a|49mfvf79xxm5b`gXhv`q)0`B9rb6I`QK&6D3I?I$jbe$tjN z1!t&R#Pu5^UCUai80&iTZDXQq$qQ1Zx;^`$X{P&Q9D+C3CG+&!UiX@p4jpu%JWW2M zTd@YjNf)>QD9$?L$2i-wx}X;zF1iyPVCSkU9e_4B-M75?B}BLLC4`~63{LvObSnh> zUCss+66QMi57hI&y?;e7I(Ssr0G_0 zw~cjvF8tooElh_nT{oY17iZ{NE{E{8ZeDY6`MLp-04vbtw}6L2-NFiZFVbz|J+8&N zmz}_s=oU+uKh-rz2upRHcpFfeZUA@b4LaY|aP&b}$J5IX*=(G9??jv3Ffn3*e z)lXjr;-;U#6?Av~;XK%R=xfg6+&%THxQOeezv6(lbNVfO>wD|tJ45KBKb!+QU;XM& zI88r&`U$l8>j!)W5}?1q!3XM_b1^$e{{s(1!FqRVkPv-q9^XUtHGL4od3_w0QN#2x zyzS_MzE=>yF6v!)Y7?#>%A0;8^p}r-MC!Y9)%%iuW;)I&O23MS&&&F%dbCCBLjxd8 z)~{%UN{asT6@X6Fx8&btOVh920nMBGvRJfb>9qhDWb3O&!XQWg?h)E@^>cc{AWxsb zJy^N^AFvn#89zOP4K#WM`z4arz z_JCy6py|*YJ-i=|V~TiwGWI(zGmKlA0nPcJp5VBkhZ!&zm2%Iy_`Bu!ykyR3d|vvL zzo=ykxE8Z~5hsr;7T*QJ%G*EUxT+}xn5!$#;jB2zWWANm<4q~ zgAu*CE@gQkJOF2GM>c^8|Gjg*+n>pR&0{T(va1cH%XyI=-sbkcUw^CWsNzOK` z$nF+6Yih|^yAv(v)k=eV#^z7 zz7`Yt#kx1bit8&iVzCc|wIXvZxH@s>3y^oBC>tvE;)4sg_o6xHK@DQKAI|8Q{B}8r zrJUauj;!V0W#EoWH{LX0BmWA8-3fV;XBW0Ik=LfJ{JbQ(rz&{{p4c4F8;ETv#9`ijt9a(**^_u6eNf4gz&Qb{R+5f z*>y2cV&sk;P>Ge(c~Fj%XZcq{uF47Bfs!s$&%;B8ENjJ=PCl@J`CYk+d%}Ct@EOQ` znZpz72lCAWe8mrC;T4cf+3h~sisj8lV3x?QOo37=|M(iDOxp95v0OSBLle`A2B_3Z z*ZJV;fzoxa`?y3WDlY#+zye@;|84 z28~#PGx@JCuWb+U;&qatmVe>%u=MfJ9Nv%6IwgW<+fx^|MeFpGo3NVU9E{`4``ggE z{K!;ju6Q{TR;$7;K)!lE*Xs?Md*kz(N=94jv7>X8qWXll)!?iI@5 zJSm1EyMl|QB%WHt5pl)sDy>}&VLZ(l4V46%JPsTxketU2r!Ad@Pm>} z`8?CRLBn@I1xuN{^E;KSc;2Hbw6LLSK*$?h{?Hqqu1pkN!%-!L!~qq;!FNX&Z(p|NGeK5<_{ue_6C> z1(j%F$cexeab-AEV#JtfINMlpDhyz8qJ+b}Dw<}(L%b;G=|h5;%-`lU(VUmGu8Y75 z2ouE+GpHnqp}e0kSxo0y;0-bD6s}T=$mF_ksxagIUTI<@uae#r!CpYQC5re>t90RY z3|xj-R}RhF;t9{A?}!y#zrHJiW`esXBEN+{EGG5`_dwtlM0Xj_5iTD(^+WC8#tA7fzl&ihFM%Y!tqypwc8>@^-3E;u`0%f;Mo!}{(Mj5-!mYDxnE>;%vf@4bxybjtbm1y5@b(cmiGYx< z$aOIw@iICE1_`p+V~DTIv42CDD93#QSdvT`3WH>6(hVv%SQ*+POB-Y@Mo{q@sGJUs3jc` zlB4?h8;~>V5~m1GYB5g=oK-R>OJ~)=VZd}z{kbD^RXsC->85rejYk2ij_0Qysv~co z^i=P8kDQlUmTwAELR8&OI0{w1Ss>?CKb|awsgc`Ya6uJyf%!$XU^+;+iqYeYB2<+zR3g<{ zP9iUo4+=)a{l?nZ#=s>AI_n%qCY|NsoEL`u2ij`1C%lq*czl<)&GgM3e}Cb zJ6Edid>1@ZzjZ>}bLGYh(^V>kOMuntL`#qts#O{cUaFM`0QO2v=4Ip8YCG?=d!r_s zLHJhnodRKv8f5~oT9rQ!ZFOo*HtgQ1prZ)4UfH$<_g+o7fGg3U3OS??Dr7d=KB~f0 zxNTHkKH!>Er!^p-)NZaB8fusM$uZLGZUWj^le~|`M7zuNC{wKsuSu9`8@MWIuHC%} zl%wcmp=qIctb)oht=nfnvD5}%1B#UvnTMnge_F>ZPHlU(Wl|Ma$=Bj=?!&_a?qlJN8D-p>^Y~`9RpzxEP-|U)c!EEoHp0xb?CftwJHc8PTO5!#Zd zfV=)=TF&3|4bsK{EQR)QHjzrbgCR_#ZoKXICYhuI`WDUSCG&K0UkCFH`pFED-KMwv zF5?~ATnR^a$+R^v@6q-XAopn%*L)t(g)(pt$$BkRGU*ZLV_7tSD=UxaTpu{frV%4R za>$yW{ah;R0xplZiYfyZwcrk`g<-ki)sA|2usM6 z`vs9D4y4)Uek^*z`dbY+`+!3FIiYaeI~%JmTvR9TOD3j2ltL7r&sk9 zwI6Z5rwhFGy@3XEI{twQ%Aw*foVkh|Ac_}5Ge}tQ83hZE-=P^II&&@>DsFZJCf;%` zL`Y%c%^?_E5GF05c~RuNgG#t)#v@#W_<1;lks>7qXLL!FDx6W2Fyg7-WifdW%%g<~ z?;yA$W^v9HBgS%i6)VPaDI`u*7Jyt8qjC8Av!xToU154cjXmTUB7Vgavtl#7wPqvf z9K>6W;xE8Q{{0);eC4nOFz}OWGhps7=kYfkAdPe2Jy7=f-{etl;|&VIay3tbL*(x> zVIC^oxqCV&kqC&(xHFux|Pr$Xhr zOy#{oiE;vmn! z!D&*4Oy|z=wp`4E!X5d2CN%F#@4g7)p6tZ)sQa>fA5~KK^f+Lfzo`m$@3v!`@MKk+;rSs97Ho?lEO;4xyzQ!w<8Sa_<0hYc=cuRF11` zo{iZkcYZqX((6;4gROeI7GNjUcuoiG)Eb^6pHg1ipmJJ0oCXzp)j1HvLAmna>8K`L zhsqiC&K+P*YOI8vvpW3{ZqKUiX&^3Y>pEzj3K~+)COLM z4p!w~K_x`3y^qL3Rp1#wpI6NmLKvo29Yhcp)KH#aTvVfuqb*zo@|-6^&E*>`QuVfn z$|bcr7;RB%;7ABBEAy5RMyuU3;Ngl|gYpm3$2Y@)8LM{BgJzs^y#;brP39SMyt;{< z82^_l?DmFf&u$&zqgT8?8V3|7A+mv%TrL_MCUE@k4X^4B`85c56FaX4&ZKXr!^M)!jx>pMY6WYtqkSTq}8@tTt$Yt1?Q#IcYM=A4f2rbBxzvW|8$5S^;I>IB275!8I zOluli3xngNc&=_k6|X=}(14+^vnAa`csNPt&w{ff11A`qqTyUiI!zUyLDQbrB9+7T zw=emgb|jPDFgQbpT!86BzjFWKOnIB2d6pucK+}ahIW=%4y$(V*%KIFgJKg8ERy?q& z36Xiy!getCqT2yzJ4YFPLA+`GdO-Wo9lnabbY&U9{3s_CDE>5$H@pSV(JTl9=@XBx zLG*-+!@*?1HH8rRBN@U_`hlkf=jp3i;KIl_3ETzxVJGY^(l%c12&eo}0E?i#C|y>Wf!@KluRwSdUS)@@IrA4z2Xa!N;~-WEuhLY zkSApG4hBV3&MTV5l)}@O5^CV?{3$Kqb10>9GYHG*Cyu(Dd<+mo1)bwJF)FFh4tCFI zE6;_VQ_LKYDtep`gKDb%76vb<)nafj=_K!5c}2(b5!q{6R1Uj0ly(;eZz;?jk=4+B zu5;H?r#UdFqi%c&-qEf)kb3&h6)Nv3kt^^GbnPYVKG20mkdG944q%NGWa)R0}X?(P=B7&x-GlgSdz-e80Ggj$M$9|8M>=%>?qEe|`a+UJrSbM88*$ z0NsC&9$5b_<#)e^kKoDU2z?x2N7d-yYMLD{yiFg^vCK5$(RkK;etc$kO@`H+sMh-b zAARo>MxPsvW3OM%KyTC*zSTzW;kBNz8@~b8xZ|AUjxXihV?yk|@HbHj94B?;4O^4j z0!o{bxDc9CufM?OX_HuUdeRwK&A4ZU&ol3pK!4V0US69$p&vfa8PXY_=U#Nfao$^A zFrVL@=UKZXubS_+xC34xkRObwhUOt>&eae9GY?*le8H0d zLrUcQ6u-l~8Cu3<#QPIW=*#=iFeUSUp>9TNd7;moTs{HfD4qBo1{PFt32n#d%^(`d3mn1p-3V|Yv}PPoLg^rvyw6kBGjL(lz8}CY(3jTWF48(b7=#mb zg-Qfzyp9`5Vcf@FqM7`_N73p{AeU)qF9@TlWDzuTY27T4JPPE3bUwY}LQDY-Isxtp z&G{Ufg*0_9REp^57ceiT0d9!8gzUeD+oyDdNBmM+z*D+1`gj`Vg;*fI~qU-aU1 zB|r==16ZKAdK4r`r1R`OSd8IbHAF>$rr1~fD{P) z4?v!mr0`c+yVkplmUkD$*%U_BYnNWEp7I0ztwODx-ZEwWl zweav(TxkxjMx^tAR4abt_3S!vhzHeo;x+$-P`y}f1H1R)p*5f#umt1`0NL!fu%5Y9Z{A44SD*RBVc$@ToL1j{Neu!P9)7VsA; zqp|^Z9$P745GIN9$qRDSa@bvzejlKjBW?I%<;oaCXg-#Oe*q<5ru+h~K)$zt<`cP< zFLI&$wl_43W{<^4nwJs$~3W#91wKk3jfBI-dvFOKHx#?q11+8pQHiw&70tjqJor7H?$+ zo7YGio^;g8Y;TY{X?7pvokVFJ-;rGH1C;mDeh|0@xq1OmKFH-|5Pp=sd6}nCmhhCk zNe<+0?UP&_4xyo1z<08dif@O=jFnM73{2FB&%v3h3s=CIsmdB)nyUl6UF)d2n*bCG z)$j)*JEoGpgt?`9{3kdo^=&CstW|PbaK}{|Z-cT?<=kVQQ1zTm+p3N?&~{QCtOv1E z)43pUO1&guR_}Np?^)IHD?q!b zM;T~yRcF71&`r(u0OziJ_oB^1MehdZsa%?H4qj?tCBV+9nIAyBRXnE&KI$JXNcyUF zg;4QR?LLQ{zY6FKVSt+N0urb~y8|UiJ>|V^!D@!%L?KSqar$&snHxeFuj)CuNKo2W2VAquKuauGrjzTsxV!^%~^!N9F=^!8dy#SvZ$-$3;`(a9nH{1Id!J zhB)P=;r!0kvQkbBmLFP)&V5%E6>||3OXrH%}SLHLfke}=O& z6eFu(XC%tGWNs;Taf#ka*l^UR#a1V1+KWEF!p==NXG6tZ#Bj;nUySDrI6%a4N_J6< z{|6*o+;@astT5pFG)^3^h46+j@PlTGSbPXq?XEE39{!#%;Ka3 z^ciUG`I>LMUgld6_P`t4pgAZw2AA`{=bY#c$!Z6qp)1}&XPDt>pbmHIi9kmj<9lgj zq9v?G$-W3-^rIn=jLG5=e{4H%NXG452ek1CJkyy_*B-}-KBYKLYHR_V$=5k`nqs#X znp1OafHN)gAmr00y@g~(GZWa(jN$6RtW{j^oBfR)^yirN0K!~1cO2*4@kWI6e_w&) zf;3(hU%0^+-WSRL-HnmF19*w^Zdfh-nM+j5w0k%%*R2KeiZKr$U)hK6pFK;haojug z5BS<&V-K_gG5uhBuuTed4vja4{BSca1s*AU4^2bzF@ea4HvR%6W9rY@jS21h1?X5* zxCc`+`kpfpb2`E&f0X)hnaG0Hb_92ft`@?;k`_b&3`sce8N*BSd})r;w_RXvL#Esb zogm|H&}K`m`68X9{*R$zhx{I)oT8pQG@PaoPGIe6=+D4(pfh}r!7z8C zlbpTzQ^)gw4xk^n)DT3TCLqDo%m`p1baey_Lg}a;ah@lmtuP3q6FjD0pz4CLO%P_1 zMIlHQ`Tqp+i2Cr#dNvKHgh~$8w1Kdk`ttW*L9<_hJfrQrKjt|_3`9s(qzoafCMQ0l z7qqMib|0zM2|+ZH*_TlHM7_DVWhgQm!5NA9yno49yyX>g6OqnSDhH9xiHxH#vV^&l zXzl<_XK}<7Crn4E;Ur^xq05ME+C*NV>x z`wcMg7WrHj@DW*D#=Iy>rhxJVq+&1sUQ|^8nLln#~?1U z=oH%Aq$}q$?sD5%IP#Du_#yF>?fBOL&&i*7;RpE~=kPw#=Ptba%A`oB_{#-c*A0+X zOCSuC1|i^rJr>cfszOT+Ws8>oUR| zZHY2w2e>3zxC)ML$YrkZkRng=kdP|(*nvxvpC-Wkmh?A=dAfYVlZ6bqCI$w#W$&JF zdso(R_I6L^ehcA!xtxn;52V)?kWAU(3!H71)bYsoNLma5O17*%34>gj(E`wUGHW>e zJ(gdjK$tHxX2al#+`zX~p$y_`S&^K`sadh?rhxfW>UsUHRPI^~u1s1qgL%0;$XR=( zoIe+ip2=pD0R3EgaA~SaMsXG5rOYsb-7A?Ki#T7)zMDYa$U!sFRwD!Y!=P6F#xs^W zxvdY#JNX9}Bi_rOkHfA(+Fe8tA7tS#F!(5Qc`#{`%QxT>e3FMbg)meGJli%>y-z{Y zM4fPk2UFGcEKtnUkiH1eT)i3!a!h4$R%NNIcqP+Hz26QyYxSo&>}=HRlMtRztN2;5 zRio>Ga#AI&2RWtk8X-KbcJpMxUODL?bWm+MNj;-7ixIVx3i%eqS-EtA+q24H76Not zBgR0}O>J8ZNA7Aqucvval4T%Xs^jkvV)x2%sCX;Ob%@MIEoueMPq}Od@mD3hQ6)eP z-2|{8HF*HIVAcCMNQl~z3Ge4sxGDUFDa9L&E+_+Dp}43%eg~BZWy<+)q-x;l$R#z7 z^Mxp7&lBrtHS;^PT~RIAQH<)F3cFY}J`pHa@%|Kq@#<^#m!OR7p?OV3@hhW=YUFKj zNop<6`I6NRUJbaRZWci^RekCPl{D3S0=Szh%@C)VuA&>@FGCIHCE?pD{|r3bRe|3j zmV3&O=OOpiA8ycmpe}LMFH^<+inc7Zav4yt;bb1JOSW1w0ByPINPlp7>IUEQkJT@c zFfUM^|68q83tvH4sP?Xbuvjgq0av0Lcs~DB)$+E~Qsp`oLlJG1D)d zfz^!D-~7MCttkg*+0%Fk^m_fwd+_>IngON%k}1&pxAa%Ej-Hx?)-j*)7(8wsCo1DV zmOy7h`)|-XaW^lmO!B`8{mHvKBeE&83BISMO+ob2A}aBD`ej~VTe6f({Y%Y)VY~d_ zJ7`_;R{;=K4u1@Ut^X{7WLuAEz}Yd7=XpDi^KGzeat|QvUcwWyJ%2rieDAhqK-kxk zs|EW0nLlt~~9k%L$)+4_y!)HTk&tsAi&E-A##uUU4v3TIh zxX_x;Ag)9Wuydn9l~8e~+dTm7K?g6Q&69qJ0kjwG<3#Zs?cq6^H+ALev=4ptGlafm z%?pBl^sNOn{poY=x&x@D9>Pns_7Q|pbjcIKXd3$#9ki;zXql0Y0auvk+EMXRfeSlSvEMy`YCYcYR3{c@4!__;P*0L~P=9IWy7nE3}!5l>zX6 zR7~PBgN2wF4B;^`dJG&{icSAP(@HGd2F_ZnK8&{GV&NfZ+K5*_LwG`*T#3kRMYCLR zC&e2sW7>)OA<#S}`m{qVzM_>CI6pB)fC~^#iHJH-%xDC3kjUmyAz0kw)zc91iU;>l zaoPte=S8d4xCCLsGY@tbME{3SxhVeQt}R@oeE?>JDB-6&QkYJJ!6h-#8k$j}+d638 z6!U)txg~yG0hJ8Vfm7JqBCQH`cSLj#kh|j4NqD~}!ry|sFQPUA<$)mHVE$0}a`h%t z9OubHmKbh>wnyS~UOmVb2R4Aq5qa!3SNwMy9`b}2m**QrEY}R1L>u1qU?`h+f{KxR z8x3MCJMfMc6WK2i{!C?f5geIGydjD2LB?@kcvSY`guy}v&VvxY3I74aQjV~Nxs{y6 zvrTJxEdh>>%T=!6Y-G|>fSr)7xZG+hZxup#Qm*5k(N5mu0q2xVyan^q^8FrM0()r? z3C=;zIt&9xxs4~sXXJ}j;GE>Z?_l68FOLOxRu(r0n2YS(4VT(S;s&q*^A5O3LshgToz;Q|l7GAjk%{bZIuy!*@6eE=OGL(_l~ zC_i)oN|5}H*DHeM(8&-+ODle~uSmxV7{p2kew^aux_#iT%JB2xZpjL+Sf(8KBD?K^wsFSV6A>pMtPm5_f7H9hzd`KwF=+KP;8%uvh4h2rzqRdg9597%x@!lwn0=w zX7Knt;h)WrPYkJmd~)wEahy`Y8|9|%vx0nD%g=FKw0j=(7vFgY{H4X8A;4va20~}K z|27;~bmmg@=IUJtZp#l96Or39YCk3ek3&QX~7AE>OeuS!8uY5@AN!F&i*(rZ#uCEnm)9T zH{bbDm#%Q?PltIeK7j5X0vAZH`K8!Pw4bw^DEgce=4d*?wbLt9(}a*P-|ddJSQ>f| zZK+gr6CtHhY&XPmi~i-s^mH0H89`)F;#H{JCJ)X>@#Com2qKTFjsq;8w(W$lfKKor zj9*P%inc=P$e8b_J#QDTr(0f7X`t!f!R-fXo(|2AWXWl1BiYV^N)siuhTSJh9R)i> z@#P^9BQcI^ZN|bT0Gx@~&)t!!81WV;W@1qWgyv$J0l#8dRz z0u(PnoQRwgn^K|aEe5WETOZ+K38AkD<{E*Y=w6C8f3a^XNPr0c9d?1@cPB zCy0z50J|o-CBp8y7|f$)qG*@_gCwzzv&>BK{#U4E2~U2pH(Tuf9>N^q&kLrx!r?a< zYg#+!JBa8Kh9G#ESF(J+4Q#0=;MO0+{sLvl3`S<}08K z9czit!-w!P&xkf$W*FIwO-B`Tb$|2@Pk@d&FagK0EB?TFjq_Ll`S_Pd5#59q+_OxK zioIyQ;K-+*3|Ge(46ML59IVw9NdhNN$@rE*Q1DG)(Rd#X3vYpan2>) z4Kg={)3$kc{>A6{Up)u@f-$>*vv3AKPK$J0wOE|S2urHDtg*CpdmNW7;XNSBV_0)V z;CV<^?wlLI2GRAsVl$C=0W{mK*f`eeUHezsLgB$&(Ufg-!IV)Q*cpK$2H<;Dkq>^p{Q^O zW9T*aDY5jm56t7J#0Z#I>BU;K#nWFi(Uw3DxGHgt+VYy`b@Hu%W+GkX*?tnO>x0uw z#_k)C8+3=Kf+>{76O2^4&;ZRe3R(o=O(5qyS+q8Z@RPN9o z3y{0i_GgfL^kgF1?$g`@;2zLYuJJykeY_@^NujY2X3@C)5I&-JT=&SPWj%1;KyV_L>D<$Mah0D}U0whqE4l(P|07m~+oL{>!Wym7Y0loSWe5=z_x zls8n%xzt-4#Ys&q?cnF7jwbyJ=y&whbqMRp@fQf+Q#|iEXrNNw`}qMswhHo*8ur1F zqwwQ#=8V|U5}dQBZUyeF=sO!KF5(#P+HnmfWZ)^NCC;`DwPTo8`sP`M}$jDbqHsNwV{Lg;x&zAIYt$Z}7N z^@i|)n8<~ohvK_s@SZ6S@(q?H4tx%kN5Yjy)oc+s3vD?fX(ga@MT-Ma$rCU3gFF_u zxv$L^!@dS|fvDoN_X&n6Ko^QS18^u?@*JU91ab+rMEG#^>#3N`8GNbuZah4ciB~%T zT`neF0A_`-}AKREsm~(Dp)Pe+`tE;=3bgLo(YP z9;{?{&PI>RHC#!xkvFoSazduh0gA0`ISJ4w<-cw)u#>fJP&p;(3{+0bt{u^4FaP2} z#6f1ez>%X|*cX~-WaDd`k&|3F94gLoKxYWg$}iqS(?w2u38AYzxDjA(^7R}D-Q}~z zh{Z$xISh85@@@h+FKKxfn&)J*zajLN-9ACnM~>pf3Sarc48%{~SPGOYa%LA8#K;e> z;Ns*3E?8ZaE51XV@p9S_kOX;m1KO_1xYZ!nrtKa+z}&q(Wv+K-&ko{s{bilqNcuH_7VVu=^yh^F3&&X7dFxQbVVs z%~-YO;nYOU;xWcl1#>21rtUZZ%v>dmfbghFiGU+#HKQf$&Z=8}Ag;=Yr&n&urZvvU zUEP`t&O?3453Hvee+nvI>Wu{0Ic3hBmA88C0fHYiI*$|cRSi6+yrTN^0z-`2&X0YZ z%H$P-t16G5fOz%iLPVCJ7V(t(n);f@iV%TsI9a1M`E&`%KJM=2HjJyCjo!V6UZKYvAPVJirWRVOZxl_*axZ#-3T zcfpma1s>2WQ+pENpR=pW0UYgZmT)}f%dNgA3*4lCx>!ZCX0`b*$&V!1d*7OK%{@SS(z>Lvu zO#w=*X3_zeSG9foIK^wP2SAvhHRlrJHBHBf{dKJyXM~AbGwvgkw6F8QC2NoF!}|>_ zCk?_BEsrvs1r1; zbu-3;JFeTwD<>y(E8U=Jt82%j;YnTd=Lo`1H}DI-kh&>6uX5LQ;r-m6x24B-zaNc!Ymt72hi8_a_087&CGP$Yic@gB6&cYldU3a`2%rkVET%^6NJLmwCs|)4gL!K^U zB}l%`;}4(|=-QbA<%zDQFYF3+9eI~XmF_>TGgRwV6hh^tZg(o$Ug`QhK`gIzpN2u@ zjV_Egb~NcG+v1vi(pl_*fswu!r*Ovlmo7LJ6aDJl&@|OgT?S&WSGf>6=-cUFcSgUH z7l@qnwhCwDtdE(4wzK-Jyo1C=AIfjTx$2LVLd8u#l<#tP{qC`_^U(KYXivSnBZ!y& zsRr|N`kDx+cf3St;-`<|j?-W7G7{zi`aOMN5UBsA4WNVcRRJ&v z)~8gXEkxgx1C&tx%OV)W=r`|!O03?D=U`X$ujYe`*PHS3aDu)UcX`+JNBPB#>-xw> zs3hu(BSDh%R_!26)<5Op<%WJaPkU4J$^dPt`o62tmZqAjMmlCG~e zgi40K$45Zl)}P~>{f>SnR-XTF<}s2NV0%92bgx$e&mhO;w}HXmb=!)C&5WA_$*1+f_kx zi!Jv}Ter5x=WUh*%T!2X}`4c@5%3joi&RQ@aZwXX$4ykGRmEyvXHBi+JJFjWS#S?M_DDL+C+* zds9zJIt9*){JDm7j`ltP@uv3g(B?zx7Kksk&xO#BE_@E+Prc881kicTCjv?1d?|=V zCE(11skalrLg-j3&MTB=b8YQB&F9tnFe-?K@B$5r0C$n54}oSleft`Y2ch1N{MMMV3dEuB1gD^3Gd!h^CtKZ@1q6oAA7cM3m z0W(4@$$~IaB=-c$B{7S)sYZ$6{n2(=ScO6}TD;{!_=+eihDwb1Dh+M1qC5)$#))Xo ztnlj@TxX9Ld;5bVhz{HN#c9HQL;n{Pq`n7!{*>}L`o*& z%oV%81<4auTzYvd+Rg@-FAh}0UxD~J0FIuBulb}4#a!MnSR|ZyvQ;c@^59k{ym`Ut zomj*FT;RPJHwMB6v1kNh`5;_d0_CHq7>2e+u{|A;HHkChK(PCPE9-``9|vzFwUo7DM3q#)QK*WrN85R2{4)%~)B~=?g{wZ7&=#TUnqVHO2Js@nC6#4@wkWlK z49I2mj??UD6~QTgtUAI2L!2_6ig2&0_+J4QubOqn`Q1_@c=SzI%eg3aTMgNSIPWOy z4dCvoLcVS8siV(c!VUd8&GtqpegqX#guznHC7XT(#l#%?foi z5Ga)@{!eJWS0V_S4Jw}}%O6!|zULd&Pd%X0q&D}4qfe@k*Xj(lTAq^_X!Wv;aac&2SdDNbS*Vc)z4=;WdEE+GZZ{qP5-z;I3#- zZbBtSJLd_NSZxO1>~WgGL$qDhMiwBzcKCAS?k<@wi{ZP2%uzYssSWRyX*N((K7LL_?>*(y||lt_NBGoSDL z{Bh4c`?>dh@AI7JoabiDM~HkDcQyy87DwKNNR3#7ybOyGTl%u8R=iJp6P%^uUEsM$ z4ckD^P11jXg1Zz=D+UkAm7WPtDWn-f@{;=h4&DLD_bNgNqqomGsC!(c|)G0h81zrJ&k#=!oz29aE8AN6AHN=7SjDfguAG^4+gY;VEzYsuIK zK@>@~^jsH9Wm6$iA}!nok+;%0Y8Jhd9O?nyOC9Mui&DviHuscCDy0tPQqxh;`yoBL z1U+kc+iYOAas)k*cJdnPEZfV!(W~tsucj$-pX|?r=P19bgR%W`d&(i5p?rHfW6?P+JVI4rEY)B>UDA_U;9-`&@ZJ}^RZn+D*7`bjf6t2m3 z0Z`AB{~8EzT{db3$s2O_Xka&GkDnl!El2Et-Yxl4Ux3^4T?Yh_BafiP>m7L}^?q{Y zg$tl}SI(f~(LGro1ikxmNK<%!AeY-9_=oaG6(W!1WSUGL%Oh){mnT~!L*a=WUI&Gz zav;6M`SJ)VjaSM?8p1=B%rd~MmdDeoqek|31^6P*plrKVj-+hut9+gIynT~r(eC#; zxs4gH?{XP+^6KTYRAc!evxYEjt@NdRGB%2~4m?|>yEA~D(ulG(du8}k@EnvmD}e1& zjOGJ#RBFz{!+z!Ka)j%n9Gwe=!^-yzM152lNGoq&WjZZh{gi9e=!jCTKLdzXw$gem zMlq-C;;dp$jph1wJ*p5CDWL-Vxxf@)OOlUlgks z0JX}|e{&+mpIVf4O7KR2?@H}>xUE;*kHgpxekm`~HD?aK(N<0s%2PudnYJDbb9#th8@*-3-M_`falxToxHPi@_ zXVfwDA!LmDY5~AmwQmh<#;V0sNj#@6qS-!9{X{Lbcy&nyLOQRus|S{#Hakr>SxqSf zNK)TK!C11|fZAT!>Vsy$ZmGtO06A*nI$Y5mwUBOBu6joXc2^xi1NA+1TrU{AuWnlm zy$5R3e!w287xn-=QZI~#$YXUvC3tyi7CpNqYM(@aw`zq0+`d<1Xgh1Ey7d#VGF5jF z3gzkr6&^mQ_h^j#s9sJ4uR`rae@6AYI-5#*_3DL=h{al)a|ggiYqP7>8Z7#D*plPEiJ?jY6s@RpSQM^+Pa6d>HwGy(%484PikN3?LVb;rqy7G zW)}orsODAyy)bPQtu*2_KYF>&Ycr@WoT!b70!Y$YSi)_x_Ed$H3)olJz?d# zW=nn2n_BI2{QTM42r9AN(l&j9l_#3#4(L7A9Am*N(2D3+d8VCg5A3-%kQ!X2S~;yn z%d`?o)<0+wM}d9RIBHQ;X#QAo|F0rpLdDXq3G1QK?Ftpw#%q)v8r5O1gE(O(tqDxj zbI_gW)(O^4`z^(B(qbFPOm=7ottnpDah!UFdIM(7VF`W89Xe_(34R)3frrL#-1&v7#>|PSUK341ru%G47IPS!j&@|`F zy3n>07xsoeop5C%%5YEI*yZ7{;m%BGD)V6DsQ~1O|7r#zUTi>XC>&rW9bx4l>qbR# zZ#IL5!b41;^@tDKuE6wR_SZOoBW%ocNFHUmk%-innNeBQkL6KHaf~UYARcEcXiV~F zI~sx)z}lVyFOXGufOmpD+yQ?=ysoCJ03tXgr(41bD0gNZeIoq zoCRZ7Sydajy~aXXf|$t?sid66{tTqYiJe*n-VHXI`dBxa{d%~~W`@)ly2VzG0(P6# zPXU(0?%#x?JFEjO+H={l5QKD>O{N;kJ+|oqz>iISaaH(^OUKR5K=xT!&>(D|rmWSyVYy_3eU$RaI z0ba4Swb1*){t1RnYi2O zL(+-+c|0&@&TlW`bm7{KfN583K?88(O6Nh{ovU5~5f9F4Fo>R9XfsH9aW2RZvGZ-^ z8Q46?Jvj{z-uT;-2=@@Tnp#0VoQVNE9OiUupnimVNektp+^Fy1`Em^|K*5jOPKn+z zt`Q|P$GH(LA>z+V2PZ~59lRvdsjgu znHxtb&bA!851e-TGpCo{6ZfO>Rzs0#_ zLg6-dX)>@J?j`M8xx=OGgy~!^hbHwB&b1B-Z@K>E;JxP@sby8ljil+SjB85e#d2<4 z3VB6RNmwOA*Uw?i%eftmdBn3ez>5u`7sQ zxH%~x)^bzmi>$BQX=UNe>4EZ5PmH!XF_?mOn3<6A5oz=oZoi~dZ&5QmynF$=g^WfiMOLNL^8j; z9ZX;3x6>S!!g~yYNGfkbZRj+&4YKm8Fh=^^T%u8sFXiM?WHpQw-=Bs z=M!t-=mUS7)^Hzr*IejT@PAVs{S)8S5&kOqZ?w6qioZj-*=PR9TEtS#Ctrp_4d0M9 zTYTYvp>DLN(ANf%UV`~QkUS_X{tf=Tg}tjGc}SSi5SWh;(;sdR3qz^ydqfzM3~*HV zmCqpLfB3Pk|1FqC95Ze z*7T|c3&+R7<|!->U?oHdc?&F5xTHZcOi*N~hYRm%4oeUY2mpyf_g#=o791&Kz94M& zgq4eel?x;!Qh?LkTur7##v5`V3Mm1ObRzmJBvtS3Y+ibKbOmx3P) z>Z#)FG+=3B8!AGkixZAQ{gN0)P5#SbE$s@(5O?X|_MZ5yDTw#QmA^scp_odUz$5W2 zH5ML=Yl7h@PnjZ@5FI36+P}9?YBB+)_e(c?5R#KrumiwZ+HVS;i&RNFH(aIg z4#3=`Pn}@eUFuEK=W*#XJq7+!jRA;(lH~>vPe_O3pdKW3hzE93$}|87maJ$QdrDfX z$Kw_vS@eO(MM)b7Vv5w1YNlz@1WKIKrPuSoyCglQ$L+FYzX0Adq%fM;uSiD=p>S3D zo`zViNiAsYmnp3Z1ePU@rTO8yIHN4-Jx=?Bn|Gv=MgX~z)(KYbN`9sgxhJJK!R>v?Vj_qSq*2tQdMK3}AizgbHT60kOEp(O z%#)7Hh58donFNKWQfo^0^Cg4kkSvfqY4Uz1b=VH@T*}!DVxjca5qd8qEEzFuOHT{| zUP<*dtG$*^-voFgwc3IpiliW#?Te*Cn&V2On`gm$D~+Pv8MV^Izd-ydEsqCQC+*FI zhwqYkBk0vjc_ZNAhcs~&c-C?_t<&rUvM3k7@md>yKEG|+W;o)6)! zF0?VDTSsf$pAliyi5PjF>RY3uDeE6o*bYi#_fCYNal2@o8o!j%Jfi{hU4-$u2yiEi zcf`?T&Sz*%d`l0IsrN6Cne_TK=#z6d!}}Dg5>TeP(DK@Bs0Q6>11Pti-e47uGrG`z z*qKx5?U=Qq9(K&{eL{q@O}@g~9Cb5v=f>IN++xZISeQ4ZKaQ61C6JlFJP7g&c2Kis zVRs9hFIuu6=Zm}0XSGZ64&l0%?sy3c%Sv-`zI+8OJFO~d*jnL8GyKZe({Wt2BN8&J z&1m=Mn(S7PT{n)|N%ozS!y#0m`;R zZaCk5_A+F4Y+ndFPOR~60B6>&D{Q#3<_+N5js4?+t955x?}F&T=C^@gPqt+l9C)!^ zOCWN9l_~%S*>O`4PqH`kz6Y}en$bhpP+IARvKyU23}dG#2McGmXW;cTdrdie1RH1s z$w=0odTLS3*$5)h%smHg&#-Q^OpjsKcOiL}$rr$jWlk#r&ap%)#AUErJD9%0v`>({ z#?n3EFOv=U1M2w0`}E9TXSrt~d4o9(hsaH~*9{`s%!n#Rw^+wg@NP4S2bRN3Uje(r zoGB;DWkpm(zRRlq0l3F{@*uurxwMRZ&l=LMfHKylA3!x2vx>c;)$3-Vmu}%_-se%EoVk-Z$2r z8bkZJlhk8(;x=6c=E8Yi2XN)8hk@wEt)cR0DA%t)ew;8aCcnO5oCOftbkcIs(Zg?&CV}lDPwvJYV3vC=&_5KRE0=l0s zIPYM9mt6iSSb4>LQz7!2n|&AxZ#XB~nNq|JrLIpgCzSy!;f~X<@|OETuk|}_WD8vM zd#(|!_)58>d!Sy%nNNl!{u4z)V)SY-;6o4~t{TI|-_$G!> zcjYq!py$R9_eSvU{2J;`dGNzJLBx}{qlL5=UrAOD@FtX-9^|X3ZsE-@=?lFG-kz3v zk$mwQn2zS>`oZlP{=sqt5yN}igLjsneGJ4{eqb7mo#QP7p&rLOQvE%iZ^sNz?5Dm!3z__E1x zgg+ZfHQ~GbnK@9u$L~B1-hJMZ3ZjL4YbuAl;D6`g_7%U15|G#YR_g1%!H40%iuhl> z06y{4jzF@K?_CY`&-_v4;0@KHY7PQa|6GGGBHe8rO zCHe^AEEPKAQCOqQ$-?KC|nKfg0Q*_>M6n`5139BxFb+c6ZTU!nl99C zgh;lKwh|(@1S4vPD*rcgP2KwxoVe^CEwdiGU>Fhlc&%*+c5 z@jT2rPz@RLU|Rgnw#Y*)bG}ncZLal8L}GD-YGd<`(3ERgN(;#O@2Vib;P2z$F0{Cf zzr zrgKcCUoegxYXd9sEN%~o=h@Ig1d+hDs!&K|Rx~~*F-{_Q9^jVir=;izw}wgxM>#9%75Q>Oe*yS$)4zjv zjGNpEyyKjSIeJI_+NT3W57aqcoi(z)(uakY=RIh$ZCk9+eoF6Alb z>IX;poCob6EZ|zCgZPZQXaULR+zJMph1^Thd%=~N!PraAup1;_aWCm%f6b-m0eiz8 z-3(q4ca7ehVs7wU=#_AjUqIw77Z4Bdjw`c)qxW3eS{N(k%uIllam{E-Ea&dM0rr7Y zw*q|RTskAb3T^-e|B3s9UXn_#_7-?m+!>mJKXct0L!_EJbs64kIF6pkFWdts5No+v zqapH@+ZupazH!FXCavQbz5U<0bw2^r!=^JpEskDniKz+@>^%V`3?|W_@m_3mG52*q8o2W zRWNtnfXYK2eCZe{c=CN|_VeOzoCkJ*&!;@+AU}y(G2XmeEO>|b1}_nk4_`rv?P31& z7l0%DKpIhx@}bml^yObkQ1|1z4FEXC_oSTUIPXA93V*(DQxF6AW+?!Hd@L1APVjrE z)*HkhJch_l@=K<}Q7}J-QlV3PDrK!9yf3v6QhDbeP)Osy-G<~P{@gQw%lxuGAd91q_}v;la{pWF?}H+;onfFfSq0h`5q&yC=f@S7e&;VmEb1H5WW6xLq zT*?#93zd}qCkSom=SUPLP%AGN|I&5jou)Z3vFr5`T>9M5RN_yKLjXL2zfFvw1V*u|Fuj>BqXbZ zW&Qx4g^DnEs1`QT&ej@Xe{ZON5sInVRV#Q?DfO%1N4fnsp^DnvbprnsBHx8}HZWE% zjA{rgKZJc$C$$z0S3tx@oI;5NHfY5`(oWQ#BS3p`F>T6l5F=7i?isk1|lxvL)s|dD(*-Ia1#r*;3m6^Gd{wKhxj86z*9{01dr z#Ld>gP(ytNx8CBo1;7r86*FPXM|?9F*kRF)GKeGMjds9}isqDqp@u30^An3t0Xrs! zI>Fd+F{}{R;4gNjhGc;Fk{TO<;;(-KoDeTl2|Y*@XhCyQ>_~%Zu(<0E+@2E6Xuu5- z_dWs$6-Ut&5+-KS&mS(XZ3~-;qK6+sN)lVGz&*Pleh5TJ7sb_1;H8L8%K%cv`GcUB zCU&4KyF|QCPwQK8c@5%xFCNT+dZ{QRz(bj+?1e(PxHk;g2XO)Y(jUbV14veg|ImJ< zPvVrd5UCUg(vw^z))&FwXYmx(h^xhQ^hIoqxN0Q87jgGXh}4Sfs$uM_nCK4dn;0+) zl67M5Cb05dY!e4!z354U+z-(y6vnKj#s45&8_AUlLAKIl`lCU1l8Xs=_R@u3P;ih& z?t#cY$@)Hsj*_7{Z0?t$XW&wtq-2`=ou#@7kaUqA(c05hYPt&wZc-Nwn7h=L+Ke93 zyg9g$p3=o!c=wWY{!l+4tu=$OgHoO)c;3=@+NF6&DyH36KGG;1Bo9loH-UIW`jh~h zMQhJtNsY50 za#E_i3|_FbK>;`=8QlUgM4Cs{kWi_F_KSx}x2cvDE;YOf;%VvJBB)15O{tUdJ5^z!4+8-cA`apwms1D2#`k8}RBfY1W`HS?%AD~vU^o6mnQcGLteUtXmbXF(nX2IWgsfhN4 z)k~Xsc=#cm`UZ7t`HmTgHnRI3sN2eK==Rvj3n>YpNhMa;`OO z?w75tKn#<6(_$oCUNj8%EJEH*9mPobVGr=47yL^}v$kkW;{t<=)iez94UV z4VxF`UG(&%$XBy~rOJ(I#g`^~QRa~@Po4tPmt+%K@m-d0(#W16qq2$LPrgcPpsVso z4;Z^9Hzi}4^74}q$&wwZ5q4evxC6u+vi(;myptDC1Mj`u%L4w&82qbIe zSup7 zZ3neimeCmLpj?Os*r#~XXyd4K@&eeeY@nSLPKrG}(9X*4yHIygDvkqlRU&2pBr2Iy zdPq_l?WcRK{Ph$zFDm0`r(25Bz6(IAV%8WJmZmsU=AW(%pp4~`vVscNmlac*S2C0^ znt`q;0mA^UDp!Zoy;d|0QD-Vy;Rqs2@m&w~>&mxW5N{}1EdXvR*QhC;t>`Hmy`?PZ zgA2Q@*wS6fQM6{j?kHE&!OK;`Xu!Rz7*e)&Pw7YXo%_n1K`{M5iKg=9L*?#V#PUdS zq-p7~66k=a^ORQCq@s+^)e`u~J0M!xE)2y+Ci34YURGozk9qQ16wQ zl=GD;g}(tSQ_dR$D_4GR2Adz0Ke|KjqcZalcomAi8*I9%QM8_RSHISQ=cNYHbap`f zKui3C>XTT6*284he{Yn)cG&KJF5CqJ;ztI766W`y<;Hhuh#bgFF;M044Z*! zvK?-DkouzlrcbJm8$dl+^$rB_l=`3*M6Rgaw*p*MFVOgssqUBv^(^(ldtCcm&N#17z|x`A2* z_tmZukbIyDw*eliQB+5Kr2a;8)nnCV3$Q%ZCkebK>hXA3d8#JTi=MAq8p2V5I*OK1 z&s3`>0MFHBw3sYZhku3o3$-!TUSFy~GyuL*Pg76mwQ8~wyfS5T9wSoNeo zYgVHEK{@7IwV2BC@6@kvfW22|A2kl@wRLi8*ZkU$+8N_fcb0I`dYpKI~Ne+v_u1%qUgmP})0vL^fia6$WLK7zQYouvO>GesL~54}|F>UUg2npR0m(sV76 zGQdk(G2$1`xTb<$Z^hYZ@B|@0r>;SMahlJIa2qYZgnOenX20 z26j_p5+t)V_9sMcX~IE(+uBB&VRJOke2Co9Y^eQ{tNqyvrtfM^?*hB0jb8@6``U-u z01veO@d)Cf7JL@|9%+4=0;|+eBExvC1yJT#qy0(Q(-&9mej^uM`dI*1UAub#Zn|N#NORX6qdiw1y3Vw6^U|p=;NgG{U(e$0*ERYT zz*|>DdrV?UstO#OY2^b`h`Jc^P`=b#=`E5_A(L03_-*(PNXO`;rZjWZlA0 zfE3+L%JoxqWp}|#)2+G$$#k71%^jC?#nei?tP6GqmZ95q2jH5{nU)HfI{ojs_AK2= z%E+$k4t)l=p=)>);HIvBC5&b33^zjZwytC-upC`SE8My}IzL($=jtZgK=Pw*=UadZ zUEe$ytJHa4gh-XHIptZObxR*Wy;`UHH}BCUEQa?lx=mZatJO`T3f5Phmp8C)x}gTJ zQl~4YAN9NL=_wei*WJGj?1wI&MsI6(3{g(y3J&(oXI-0?);`wi5e>U-}8$k%tJ=d3{QP7lK~ z{ht27p6g5KMJUw!?SuLYed`>UeyKM+gOFb7_fS#$wSEO!E#e#f9IA8|>2Fd#QLO)4 z2C+onJ{6L0_3o7DzSD=_$FK5U-%x{lr_ z*)&jp(r=-$wNh_RX=s(+h}1vp_jHFywSFS4c5C!re}eZ#zk*gFwfeR6QN>q%pfRv- z`d8G}sMDu)1@>K^83%=Wy%D7*Rxc>>E@(^nIU=f zd>US(RDakaDtHZ_TY~cu>C+Lw$nR9g85KwiveAp_Q_nFomm`L;TPWEYXGW!;@xPpg zywTU+A!%Gq3HF3#GhxSk1g#Bb2eyUo+?C|fVmfWAnHT#Tj+T2mA;S6Xnt;B>X*(p> znr4Br-oXd*8}4M|xG|Y_=4=Y34*lj2MbO&P>k^JzU-rduo0|;!_PKlDYsYq~LhQVM z4R&^w&xG#o>ohg)`I)vK>@`in(VD%l0NaL5N=Ll5Z2BXp+p#ZH2efA|ufc!=dqnF) z{5Mx)0UTMUzhL_~t2cy*KfBisdVy>$z4|AZBW3DAtd^<-Cs})1Ob4@t)Pg$2;vJzL z!rIW?4`uIyp&rKaePAq{na)Bir`dGc=@`L!GypM@T0 zn{yiKXPIvWh_S5TI*8}k_3!Wy$11i1#Ir_`0Oy%S5kLZaU5`siWZjbhl34I1fMk~Q z3?449^qnwvk$qbP$rP3}9=ud$o&;VR+e~{x(pkgLDOzux$@;DOZ@j z6R@kS

    0EjRn`kSOE*C$Nw1%Yz1S5%#e(|U>$#fhnKAEB=laft?wcEnhD{s`G)z^ zKv2YX(f;9L=0~xVu=?x3-m*j0P=Cj4Gl0Ek-vsbV**~-^qm1>TpRt@R>j>fpwn+f- zBRlO4w-qdC4-`JJ#~*=Jvio_EtYQyot%{8)>A-Bc4*&ko)|?HcY7X344-ofpFRP&E z$mN#9CjJ^Bm26RX8;<~;xi=z2j&Nyz;QEeo$L7PB9~VJ${4uVOva93xk8`2t&-JFi zF%iJUO@m$_=bZuG8E#w@uo%vkti*Dy#{!(=8q(+&$Bngum3VFieZ_a4%cPZ00{4O<};Ri+UsZD>8-Q5Dg}cM_7w*q@;MH>H!r>21t|)+S zocjR;SjW}Ty6Zb-Ux9a!??f4t zH}CQn^bYY6Z{Wy>FJ=IT`Fq_#JjKthg6R-`EDgb7{O-w62jf8jQTzjPkNRNiSbqE6#C(FcO*d}eoG5BcMd zVC)fJKpn_DemsNZ6TXl}ji-Djr3m@_N1Cq+_~clCXZ!?Y-stHxpl@9Y`BWDWU+~5> zd%fgm41}>)eB=ONuX)pMki>445@1FAZMu=gyyq1-D&ZTS0r4&0rXRpN-jy!;J->zK zq*DGBB@AVJ@FbYF6^0^pMjyq2GHwSUX%H0l2`$oqISSW{;bFf}N>x@TA;1kh{KvU8 z?z;#>E+9ZxA>tbRxd|=nAn7jfcY%2bHI&AA3hiPb;w8-Yfx-d7a}^vN6uNDJq_@zK zW}ZXB=mn6B5UQ#25Gk0`SP(7DTnB|SLJakkQ&W;Rf~cQiN}rP)`*m zFM~*$knt8a(*@^nNL~^WpFrfYkYxelBcY1gypM&)G%7w3g7*P?DtOF*UcL}XGjM@$ zgWi>A0;B(H;JI*;I^BiBpD2;x%XlBEtG*O=(0ltzh=~VyEo9r`qTdKIEhUPC9NJA% zER?i|UWxFDb~wBh)^pH%Cp4qti?z7h6e2d_&BpLxC;Bddp1pWyCol(mafQhCi5>=s z#Zip!3T(gF?Iw&liT&+^8$=heiq@m9qV5DtyNQJ>;mBS5+!!7_#E#zqJjK5$ z2rn^@YA^>xli|P)itRUp=Plk(hLuBNP;USqanD!vtj|gak1i8OGus*v#7cdha?@6@#0L{$97(H9SD&GarRMINfalV!+VlA z;stogVj2c%^h$(!=v@?J=od>71zJ0%imeyHQJQ!t5+OYjLuWwmvDlB^swZMq8o*Qh zjR2U=7e9Z6LV@_&7vPx~_Zr~2xM?>O3dQcn0A7ecXj|b+QP&XuUWuov4)|KUPXo&v z(Yzx-k+`iHh{fW#0We)6CcMWrycPRh0`Z+#NkzW*;uWfsm5RY$h_g(*OFxH$w3K#= z?~@c-#O;^H9fX3DG>>LOXK8smh`2~UZUJ+Z8gzk8H%S-@1$U|X5`c%45e48WU6~KJ zUXm{n4@f~Ia!{)12Y=p@JC%M8Ny|?I^O4%n*1^Nl*koWwq{%+Gu%psFLwN9&0_Zan zKdA$C??R=zbi@)SJ)z<7wB&yPScDW31xJz6s(64XsWJ<^ROx&Ycxlq-*Kl-68bp<& z%hG-tdom=OBuHM7e5iMERT|R+BG)8=zPrhks#ZfJOKN-{7j|80d>G({R6x8usUeTZ zo=E*qf|xJO9R>9Q$)y}oKa*PZhQH^MdJ`grQqV6TzL3}{7<(!C^#JjeSSL^ght5m0amX|0*qwfaEu+aR{(Fshl<}e3w?x z2wg8l?1m#}*^$yM7dd$+fSVjcDV)1J^%q>2hwS$i-aX|@l&XZu_bkB+m#s5_MaY3q zz>Aby?FAMkuc!WXw48e%;EZfW*JGhRxsLLl3-WEc6Bp(8<3P-ji|l~ik^gpp&Aaju z8ujnVam@kl%TFk$e;|8OYWq-jdJKTC<`=^BV>#q+=;g`n{o(J4?EDGDr*h~&Am+<| zH-UPUoN0yNKg<491FezAML^_>yn|lKT6rZk(Z9-ut)TEt_NP3gPA;Z|;Je(dH9)=m z><%P<$fOS5B2izy)bD|4p8dw}u_-IqY6DV1VQD2+A%3sSZP!qG`(`)OdoO84vF zol*)e0)!~@+yFwASG4X5QyS7wm9BVE-Q$v?9LJ?(C^P+lT~U^&L*%Lw-vDl}DdtoO z$W(sNEyz-g*MN6j*;ou?HXL;QEZkXvUkcedLP~^H$!okN|kc@Ggf8F z_oX0~E5ogUeNftt0rpWjO$ERTMRA6sPs#{NM=F)3RNJpoTCae*yXw>kjy%*!lw*3S z0~-K4pq{3Z*gabpjEKKEc;XPFyCBa{s`ZHCBE~#h!0q?T9gi5vP;FhZm6yyAl_6hDc{XjztC%OOD(qtc3Yj5 z3to=u+Y7`y>UwK{cj^a{xZ8TMt6AT5Ux&&>D3`EyDex4pjka)ylny`l~uE8hYQ8mOe@_8k#Mbc8^CETCj^oa+JuKtkJK92!|gS#b0v70+Cw8?*R|`U za6>y04RBK%ybu1ewbGU_c1sJJ2<*1@do6f5+GiRB?r3Gyy2{m(rbGR%R!{5vds;#z z9NpJ`r@hk;G{0(+#j?+o5!Es`?1JnbQUu=qra9SPo3ZRc}9&&byw(tzNVW(qv8WyXL{1L={Ws>MZn_qBep>OynY(3BCqOgdO`h~ zKKnLAvh+Pt0Iur~(yovj`Z<)a-qasz1d(k0*HYNLrH`fCb6X!p+kA8MCr`l29lfyv zkgM-ajk;I*U(%rWM*p0C{vtiM9B~%w6KjB#=vU2$>9=|}S}ecQ+pfk9d9Ux`1BFuk z%Jwi_rcW9NV!8ew+Sd3%KZ!EHkNN-?C{&=V4)ss^prcUuVlWNu;h+AkA3huaLf7WL z5bD;RvacZ;?G-S*J`5&@&81ey@V+lW8S!WUxFfGE1!dIJh2V}BXo)|@jw)AEyGFr+ zSzZ8Sraz{=4l{03YBqE2dQfH=(wJ=in3le?J*XTtXWcKbGj}sBgDiGz0cGA(+UR0w zL7QLZ=f8)w1-}J=yU>e1FkB>#fS1MgJ3(J^bS3WAQXjfm%jR2xvOJ0!NmltZ-L2s0 zRa!Z}G3=}|rV(QGj3k_|S^5GN);iMYvhEgjk=OrP1<4I(u0d;KJO}!wm()?({8a>Z zOE-H&zV)g-EN{D;3}4$DSU`TqWfxf6*`DUxT|5m$yAxSPMK36^Pz|N1RG6#ryw?i zhQgEVg#Zu1tjV7U;uI_B23`oenFC%ZYqc82!q~gxxQ1}Xr$F*F8}}!$2)2z1K#?ru z93-Qd)(U#jtl9*;Gc0En9L2C!+u{8z>p2yYvCPg3lIK{>&Ao9j6KSA+w{MGLKoe6Y!A7F8>XYC(Mvi z!eX{~IwVV2zm|}E$7UKJ>i29v2gy=qVgpdiZeM`NSJu5ZKpksAwX^SR61@xctOfl> z&fJb-02l7BMNoI+yaoev=YC!V1rM&@S}1sOj@O~!#a(Ow?+3V_kv0DB9op^-dcTjB z(Clwk0L1~VZh|s!Pdc;*1?C{G!L6y>H^gKLuG?_>VwfBHGrdW}9#YMIcsyl&BihhA zF>)GR$0#pD=#I;!`p$S&!nsiyReFsr=EMGk5y*-E=X>~G1T&;H9vRW_(kz@09Zmzx zFzzYYz3U6<5k;xsj{Hh@a#V2{WJX)ir!Zq0(2O$n5Vd{A4X0;*d^}|#M!!bFg7IzY z|4nG)4H*+xvM@1dCg`S-_K=_SgO)I64c!s(G&3qAO^=}@Zbna9h0gTGsQ+iGeLKNlzXWeaXliBc{DD$Z*=sHfrrDSRcKax&&i3KF4uv2TISzS@y?qd_ped zjdH1mVjOD-$qDOD;oRhjF(fCpq6UYlBP|do`E-QjX2|Gd#A|3vnZ(d>`JfDQZ4R5mM^a&Y#0~n)bYv{GKt~lX zgx2V@w4-Lsd@8Mu{YX8DaZ~B-8}FikZuDjYD8_CyBv0sS4vIAG-!N7YH=3F4Snx@gj#qh%?zlDiuWfaIRN z_dwtK-2q2ymeU7p8#ZtW__i$bCkWWFUbHXDo<&i8(1Cf;qIVx_L$z2(7Fi41`&k*S zeVy2!AED>WvY&zI!k%`AcUR_~2%;Nnoe82loAv=#JXrf(AbPUjBSG|HR}w%xz?4xS z;y=Tg2ckDqt^qs5wo(V#ht*JF{xAzt;phl!h36WxWs}VSzN~`gD?hfADksO-JDMMl zbQ+9>y+@fk-%W zqWbP>#%PI%&*)yjSR^Z@)GvxUCnrfDmMHKYkH zmHmAkx!u3%U8nV+cYi{EmErFf-(jZ55y}R7UZ;9~uLV?4?;B?UO1}&AV)d_%#c{yc zE|4GCGzZ28wJgVR@NL@JHRR4hXc?CF0e5H^tyG7_v<7{6%HN=m@TtIYWGk8gMxFKl z>Hi{Vegqb08PMx*{yWXovu{x3bGrYA%MJ*~zT%%(p| zy6^`r3>Ia4f}O=ZDZyN_lQt|YeNJ0FmYKYV*0>9-9A<6%06W5j6A(GdDun-L<2}V7{Ik>pLG`g7 zjq|>l)H&=og2uuA?K5y3ux2q#478;gZcxbzxEh>ZiSr>AG$$AiL((ITxIjz%k&}WU zIV!maxTD|D%sR$_D&S+s{0W(H-;8iR-ozQ#V^r}G=f>Vtd7AJyjpimbBcVI-dJZBn zZF~SROfsWB-sA?fLY%US>K{`hj!OUc5JmQa^%1)$+a9T>3eu>1wA*WRYwE&`=|RKC z*ydr78MleX{_*F3z^+l}p|ERwg&IT?no)jZQcEkxiDxMnHC;0dGL!sibK~T*+d-LP z^BXKr%`3vW+22bM<+Rg(fHIxML2Cx1{c|%9(@#C?R~m86@8L@R_nQ6T4Y^)h?7-{Y zdn982C!{mt=yT*JocHykS+ifuQ?S@St`yb>Jfrg0!1we*8=j&S#?VWypby(}95TaS zyWxC93z~gK_MZssGb&j#xb-XWWtN5 zh{wc+HYiSvp-P=;-3m}9t)k?3vT-2ye{uhyoU%*bUf^|YObx#tk8k1!{&(nT@CDQ^ zi)ppdHTfX?^yuF8Ki*GNhv_o!5b-*-gj|m=|NmY*c?@d*1LlwzP@O~9nMjM=K`U1y zzQLQ?fIGyWhE>Cfw5wuhU>Uf>N=hL)yqLB%jqq;-nUPM^RUS1c2$az=i8vo~gYssh zM;WkeY(<-gCS2_XU6Va0!JU{Z{ukXYnyE&PqN>@b5X$dHucZ-mjD806$DWxA>*M+# z2Yvit8rO|Rj>gp)H|+&a6OPlC1e3^Jpie9hfsCnnXK*Jyq*r%xekEk4wEYb3)ICl( znq8($WLh&*L@@o2ddSasTMX|r3qL|~Ru(N=%+L1$W%ff0#4yK_DxQ{RloQQA>+@)}yrIu8INvy$>}*=v9p{^k@59%YHM3xCEB-bi z2Dy$@2jAXk2aY>B`@`2xnbyX;f^Pozylkcp-e{*zIF1R5#BuETKOr$Ll`8$?Z6?E( zk@pDb8|U4^`Gldg>E0yM72Juw+i^Xn{b-J#v}HMDCR+p`)+zI3P^R9$0j`1x)Xzri*CN>BCdmvmp|WFpHH=NfGV*WwxFx%0-nftKZt zj-W4UMpwIdcPY3_+7`pk(%*i-!m`h_vRNLM54zQqzW?Q^4{7Bv^_hyJS=W3>O!K8~ z&Ge8burIA=PoH0F-PFe_R-{R{EU+ua-#OFx?M z=2u;W%mS_k=L>zPaJ)W<{uk<4T@> z!&Sb%IA49H6C~I4ri$j;N3^wf-P2HXMZ>ZnK_SX-~C+I zpK&!7Gic15*KIfKSYD$(_R7tY>Z38GP+|S~;a>_W^S#`V=^wmFTt-WUP&p2P}xf&kV6>Wvq`hxj5ZfHq~ z)<$3Ipl-TN>Br`CwC>n4Za9ux7qo_*ZK<@P-`+9{=R2yLLEmXaCAqz+H0oNj=k&AM zFspU2XUl4WaD(kw(g6&f|Bjz)20?0S+zgP-=FodRZMi2X)1Qq4Wrj*km6=;c;e1x7 z6c{r9oo0{OyQl;+=S??o=Wg?ce~VKsah#XE7?PH6ZhsHK%%z8c5%Ql43DtY6fJjiT%GY#^aOGksU<*S6_)`{<6dE0_l@VkB30m$!Q zv;%GD%LE+vmahWWnl<-Ca5n7WQ((5t>kEw7vFj*U{qH^{{{u@??dg9JH2Y)=Khv7= zI8I+dzv7Gv6C7vmbB6A$8^>@o_i})r*}wjdaw)tEa5Nam|50Kws-Q2j15;Tnowdcb`LR!-gw3-#C|MgH6Y~L2|QC2gI<& zf%cwm%`1fbwjEP(+X9_QQIW2h0g_qdAl9Sf);yYtE$M6&k^%_-Jw zXaRr?yYdk>Y?)<$2-~qN_y5Lmjo#F$J8we6?7=7apBA9U`Sh(va6ZF#0gf|sXT$2O zzo>d?Zv7q6&5oq;dQJ>&7@qr`@*0cIZn&58@@Vw8v<$#;{!)6{7JQ(bb)nTSkXh7` z-p$4Cxv;jRIu+cd6F$KEvOubRFW);A6swqKkXaE`0IijNzk$ALC{>VGN76X7rjG8= z+8GjL*0m_YaedKiSl-}9OR9}c3qaX)r6+VZ`whT_Zn2$?<5sOLV%v6p1&-TWQ$1rx z4-?qg8TS~+y}O@7%bM}DRm_Ij(Qj=W%c|NXSXclEL z!`jgZF}(E-h>tL)8vDpev>|EK#!k4J(Y18lV`^xXJGLuTH^y07gFC+KZcvPN{EVZq zj&lA9E>sIMvDpWii8pARHO=rq?2{(HL%e1`Plw-WADY72^tKN{nNebksArCS1({hb zX~Akf4-dXLd-P=-=gg-y$lTUbaBkr;9P;zV(^zOZo(FxtB|Y&A;wi&kc)J7Wi)3d| z78?;|$>?r~XQ}cFB3afz2an4mtZ=kyNvoI@k7*oO`Gl$zt4t`%Tix=`e{c{U zIR}eFo9)7J*rFiV8onwR#}OH2IF9T)2lP>5d+3i&kHYyFm)oF^?PU$hI5TQPkB_D@ zyHVH<9F3iKz~%&}b2yq5`$J}8k9N>9y+@U_Nv)}4G1&}HvpB_!X7}keW5As;U>mMy zrfD85%+h|xx%n|#BF}D<3Cf&B)GeD^mJ7PY0cxPli`xQSOZ%Rn%r~U_z95ChgN5_X z;JE0E<$q7XSgL3ls@vdo==gWAIBXr|!Nb!WaXz9+I;2OMQvN!sin=$WFE)V1F_S3M z8(TRX=i>rGAv69K)zFMgmV<6Q{s-t2+C7A2laZ8PPV7j96Vo(`ZxUNVSIDRiJtdI3 zH`85*;(SI5m4yBuZC@Q9)zST(nQNQ!)M#l-b)khO6xofD;G4~c1Y%@k=n?}`VvQhe zDems>?(XjH?gV#teZS}4-DH9A^!elc_4DbSJ7afRsDUX0cr510zM6!y#$9wfe)#N!DO!*=TMF={_btS6Atymdt%!hhdt=f3V2ly zFF;$hyrSS${i+`{u2Jt-yqoHu0NnrkAVAC(N^b-#qrJ;An~oX-=TR(Jv(RV#xe)owcm`h`@c-8IxJ6*yrtXpF+keF-fi(wBfwoe~LnMi;03Gsd0PdTjbh z=o7a%2+z9SX@JyQ@(MWhD^ljJ!DjO18g8KELZc_NGur+pf3mUCl6rWYY;MAobifl+ z5*?n#->XrtdeIFS)n0eUvwF^Nz^gHpyj9b1(#*d{3p~wrI{-7_)AitP=?hOq3Y<;f zp=K>gS_I|I#4~u-3}{yC2m1TcwH2D%A;6jLv!01gu<8$a4$KCZ>1trZWjoN?=*f0GZB^*TP2=J| z)I$@k&xHMC^%MOoIr0{HFg+X4x z_dU^S=@g3Az+<#xYlc$>AqaoO8$B$3ka}pPGq2j?D6th1MDr)~_e@}hO(K6Nd`3Os zMEu|n&UGd=0cLbYb--gL(_R)EJO}u3X=MPZyRwVsfdbojXNrU=-(>FZf3aUo_ zlsC8CAaAL0De^wzbLikW!I#!>;xwGtYP)+lc?FhLWx+SF+Y0vbv$b8IL&|kZ_Wj<5>`?O;zk@dQt1i$v%|fdz{T!{J zjPotPEz?4)?2k*dLuHj9E12D%_RpNb>w%x!?+x1s0MFpT-+@=H9wxwRujvfg zAvb42Qs|cNfgg5&e5ml^lm(BF{n1)Spp_pPLscsY1sF`X;zL#EoB1ze(3kLblTFKQEO^ZSSW22>h}glweUv3sGdqOe~qkIKujO+ z0!RNrG~3NNNq7cq*b2=oUysEzuuOO8UGwg6-~@Gwfd;|D1EEV?oHtNJ7T z0dFvya&Qet(63<{H5-Gc?Yp0#RpSNZVaEUBjn;&2befYmlg`VMM%O?ul7DH3XOl8N z;n{Q;-Gpnl9r2ge^U|XsX(_v=pjgHt$cJ3c%8&_M!8&aNa3vc?$8)RL%=Tzo%|?*p zvW8`MhQzh(Mie@;jtx7D+IqJ3CY0I0rcVLpMz&-PFgLNbcOiE(OXq0Y!g`(ua4U^hz4oMs7h5O9V~>HvbXY$jbzJjW8~;%6Q^P6^NRY~v&VFR<)l0A6H8DA#g{ z?HUb&%Pc4ZC|6ixGUHd-GTPs+u^yDxxX#!_0B^8TxXP;3-ElzhLI6`%0L0SjS`hu<_ zA4CCmC!X*T`q!D?Q~>P4chH$vSDr~mtQ*g)3)Z-CP6^c>yv!iX>4< z0g%@VU?mFVM~9<%@%09$!jpc0ONs18oaV*tpweo7lWwN2 z;q~`}ZY`f)8}ioikCuaOJ%37p;0FGf)-C?Z?$_Y5i6_$O!e;&v9lLMmBIST~@G^Tr zzLS?DA95G}8tEa;9BfPg+^{N>uV;@tIo>lXlS;1_;`?2X(&=aQTF1M=mz^7X$!$~OLN zCA8emf1>ZTgZG2i3H7|{tLhygA7VE@a1w2Mc)O3mb3gx@g4+W;k-pbK{+}ca zGFTtxuMEIE!bhzH-BI4A5ttq0iyH&;IIsT=iW7W-9%4`O^hLlt#akT*`DtDy8Nf6A z+(Q7*@>bm;{Tx3>cRccVl?p&P&sS9d`2~KG5=$5P9hx7P_^V+Mdxh&upcpOGpiq8{ zu&W!2u|o5+z#K0$8-cb7`1d=Y(nR4}X|zoiTzZ3iiZD1F#Z=(|rCg^A+j^pyA-rl2 zU1kbxE~0I=kXr$@IfAhrin&5@I=7oI1X8AUfpEA9Y72!QS_5;j@WTb5ED?;Z(0Zwm zNC}AL!lzAvxk9*C3YaSe+aKu4YGH^8U0EYsr%du%q04X->xKIxq2~r+^90m33X?ts z-DcqwZAV*#%X84SRrqx+cy1S-(f+naG18Jm%^~iAb2GVDvBPx z7R(d~v=i@t1J>=u`!rEHi2sn!-%)Hz#}A#vB4Ox57x6mLbrsDNyL1yn=_sRzIH4|3 zdWzHO5T%#c=~sJZtMtVj0Nk?6;pu+wE_5ohCK=D)X3(Bgwi6xamiyu!G$`*!+kJ(M zmf&je$pge#x&eCY@tp#Qr|T&^y%MWIn0Mu4pz;~g6s;AzQeM8)VTQ#q?^`}f%D(o6A*J=9$EuDi-DWvc1u74 z&6Chtb55MY=^akL>{Ybs5+aMh{^>Uh{U-jG4GHehb0>9xe z7a^-r^MPo!>2Cwxcx@Qq@fGMmI3eI1o{3Zc0*9ogozR;65#J_VQ83Y-jiK|t4y*?4WgXd{e*xHuO$$J+Gb{cXG{GIp-+8N%Ht6Lwyq3kjJCSe$BNDijwt!s| z(YBBs+l#hEtT?US#Vo=Pnk`|iT0+87*8CF`%h-c!Xj{&1MMC-trch>XC2P|en5)=X zx+1Zfwa-Rv4f_~-0=()&bfB<~z1RfI^{muZ6dM>jhPI6?o-QwJVoSQB*vvZQq76Sd z{}#GzWxGc}&^Gq!TNK+_&uU=3gZ)7AcCx7zL9mMrpnY~X`;2_MJ?v{EioML{4$OV* zH08ecvo&o2JirDv0o_6NoV>Y1tVl7CA7;aDL9-()Y6*&?ER>Wu#wv9N-En54#NY{b zvoAV*lAS&WlvC`UlsuD#5-j`I{u-#Ptn$e*Q09|U3rxT=tDQYk(|Nq+(P6%c-IK;7XkI!5C}VhgN+gfv@m&EN z$Ai~{*?4}D?wCyA-&5c^kzeS6+9Y23J4l$!CzBDF!i&*&n93&~Mlp?dP(2*JgyQTO z+)9rAOg<(8wORb}NffjBgjWF0;bkX60{&9KYxH3r&!Xdp`J9CSWdTM4wS{~sojxt% zTV&K0^BJ^>F5$K4!ogBrk!;;EzOokDmh)|7@>X!!8*MB39dcz?@oL|K*=k;relNR* zC!RsuTAuX_xUAzBe*?jKesmwWY~XA@P&V>ew0CXdwNjwqW?q%?U1#k!V`5MJezQF_~cJW{VBeHm_n!r)Mb_O&%#>;dBv*Y{;g&8M!FeOAz@=D8r za*F4Ei?-9eO*D!#Jb}_*XL-P%5POb)F%r!3c%MgTJI}4OpNO7Z9ecvwB6x< zP|oQte?#H=Jsv_QFZcN$WCtJcN9BO>kk{J|f=9d|< zSA)^^oWl>o`r~38P+oFFQJ}oyCuaiXHUDi9YH#>j`o&H=p=%3>Z7*D)EMNy=EA1p5 z1%Cvj_{-jTbWNhOU@nECi;#W?z^+1e2FSY!n-)Ts?m{kICF~)*IfPnIA-yj~qL;9d zQp~-DKD4U(2(!il*jKn62fBX3UtXy77aEsAZGbSIQuPCcml@zPNO=7c(gzDZbOU;b z@E{1lp~9rjU^Yx>L{ZCdp~!8Zj1aET9lnu5H1%(k;C29lMhn4|-y9u;pp@-oA&X8#rU>`RiJdCcrqwY`*h2?6(*=tM zn9UHrp)0i_kg&>h6gz~Kl#bXXxI6>-ZeiIX0QU&Le2v;(VN+Yk+b10B3-bL!J@P3J z2qCE`4hnTYgMx>I$A?fLB%$o_5n*37Fgq&jZw&HdLI=u>9T%p44wMtZseho^N#V1; zXgeju7l&r2g#d39XN3L~%A6JUP6E;)Sd0kjt5n^u$gDH7-OSsetz}v#iJ|MUwG=B;5 zyTZsp0NxVPl$qBn~0}wzGKl4QgG)(NBTWRkYG^MmKRx4b-}ei)qvEAr>)$XHW4#D%yI9Wog&$ zBQ89OqOYhsiK3tQlQ)?47fW;ivjO5u@+St0rznp*NIcgZD1$|x;XoN8b|+7DsQ7V7 zupTCsqVQw5Sd8N65n?tu?IXpBAt*+PNh5$cS`49_{uptqCs>aaD^i|xoOsm}98d7^aKQDO#N&{z8eh znc}ttpv)3`wnN)&@iE==m@C%W0eSPprIdG^FHRqZ+5+(s`3wui??NGHk@z#+fLJX4 z83txc#C`Vw#9u?F!@*@@7;QGo#p0XMwn7|3Hzrn!Pi!bwiQ{R=SBrT)!EB9qE(yT3 zqDWUU)``XFmgjo05*e}$;yT)4H;UEQf?$&v`vL@;#pC25Z4np6qSz|V*#rsO#0PW| zx?ODQ4d4#33}sn&iciSy?h-wMLB3nO;|ky&u_Jkad&N)bPmt^r@6yfK{bKQD7=i=h zT?(!bic?F1*&(s*55PPuX4=qpM7%>@^D*)DXHep}7)+Dsg!qQiAty!e1|T>k9;3M9 zwCH;SC}+gmp8@5pSfV3<=ftl+gP=UIBWZf_2rSH%azP+Su;>F?NF7Z;sG?S>dnamP(@FfHa=B0mR$+u~$0L3hL+%~0GG zC(*Fp6AxNZyDzqQ1tlJcBPl)cP@H!Rf*y(GDJFg_*8B*-Ct?QqFHgn2lfdkm*pDKx z=i*U1hI=9I%7oaLVhcLRdnH!A3C!1`Lb>lZ;+`u|qMbCn5{mZt10f*qAa$Jx2_2|wpfrvGxIxlyH0uUSc~;bhNY(WyhDsM312|05 z?*ry==>mPf5z>}C6eFcYo1x1nDTH>s(bA8!xs8$TO$O_+()f8$Vw@Bo3*dO^DUI0# zHO!SJ;vWe@+a&1;`KFVlA#|uQMXEg*wW-qdJs_VZO(I8kx)ekPeui|E^qeWpuML!0 z(t&ui&6d`6gV;GzBF&|_(uhA%%#&W}fjM6)(GHjkq@*q=7E0v?g6ATMbI>i84v=M7 zA~oBD+EQtI5Kxv${=cKPTHfYK#7e~5hGAGNgva_!Oc?V=csLwzOIkjR*4q}$~LKUBFJ}0 zpTq#TQ!3#L*1M!>w19R?SLkNe9%)$xu-+@>jYZo&sV4oQo&C}xGt@mGZ6kFLO6gV5 zb_jRaz~!*CnQ}5mq;DuUc2rvL1wqGfn;31!r7tp3oRAI{1Hnltmu?@NlJq}=*=ea= ze-vk=nYRHvEB!PM#X0HOcOcJ`f{YM*UMfL8-vudc93)(njueITOOnq_bnLQpj_kx0 zDZ2((UzNV6tiv^_>=YE&r4pR9-5We_NxxA}>9*ARG}`V+=O#ejUFr9F zDDFvr;|?YMT7NQKV}Bs&#-R34a<2%2N78>NaCnS+VE{gn4v@U3(&f33{!H3NCsEIF z3JlB_QY=N~FQv9*g5GoFm#cjT%nov|8mM)YyHohl zNsie9y3X=0>O&XVU;#>3`7!C)O-}MdTX*@BTj0_|eoX18p7Lrk&AsFi)llm#H`xj$ z`pAZ1DEi7fnCG5)`%+?WsN7*W z1PznR?LjeIUT_}LN60fNDi|rZCcj{mJfIp1zxET)$pXqGdHY9THd#)h%+?gyoC_{f<-RM>Hcbxg4Z7)aDauXFkc)?a z*-ZJRgkqLl^cygnEjOA2@;UOnpMW`6?p7VZdGgQ0Kt5mYN$%@HIVKDQi{$0^!DX@B zU@D|9kq>PK-BS4w9da#`>+A->a@jB&#R|FP3+S>^u1OyJDtTis)K<%@$WLA)HzT{W zR$j6h%+|>lszAbe`51*e8{`I*N!ut7q!Xo0^3Ezi*(|r(4GCN1$V;HxDnDKTUAD;+ zo&aULT=XH@cF2=sQS6j!`=D)?TxJRAcFPwiy}Cz!{5O=?D_^IC={`B$4}$i~6BhyH zfP8`Cs)OTaF57=l)5@9Z#SZLOpYB7F3063WYSN_A3p%*Nx3dv z$T=ndLZ>CC<)c?2?~Hu>E(p%bN9#e*IhmgVFi-xzKZ^5muOlG8C~u-I>yo_i2_#&W z(`%u)B99`^@v7`fZs;|6iMrxs&qI)KLw;gKaZ~QQ53Fy=cgfedEgu~Vt?$SOX-?dg z7ccj>1^DNX3^b9-f6bTl%-U1 zU!|)%bo^8EWNd+3*~1C&qtpctr>>kPUjB)W^gaW5`<02w;Br7or~Un)@~93l4=E9`;CWaX zPbWS{lqNKuN0la&-8!bM{uyn@m7ghEI-%U5DCDFPosG6rN?khCJFSd;1ukcl5ISBt ztE3)B?VMtztbCp_o(^x%D;=W1^MbONR{urix5_9kDUGAic3Ih$1I#PR+Q$H1Rj$x| zhwDl_eYhLS2-R~`Lg+_ex0HdD8o#ZC*((1*uL6a}_Vlo>XlJXLnQgoJ0xPqRSxTxm(1e$w$}wx{Ln!c_z8-RI{(KI)JgZrmmu$~vyvy!MdwBH ztgEg=G6=fq&e9IsU6)EZmL9rD1L%6{T)hD7rOTsiXm4F_@~`{oW>te`eRZ=(0<)j) z6WSa5>s*?n7@$k-0F;5cnsuSeAYH^KKpCt{p^a~dZu1Gy4b`2d(~n`gB+5$-*L8Y{ zVubF23B^dAJP4Shbf1m`=4jofny8J@b?FT~$Lj73g-YXed;S6WcwNXi6ccow9e^@X zXQ10mlXM=mQYY)K{))CKIw=MOQ*~=7(wL^x(@&|U>uTHuvl+VIiUDP&t{SClXX$!S z=5n^~KptvybX~`S*<9W32VgxK^`R8$N?l5Okgw8}tc2QXUDG3ww^kRo1Hg5< zUw;9!^|}NZzzw=e%K+S{o8JoLn{E-~={8ZSWxwtf`3whi-SfcZ zpiciAfQNLeXnr2n<Ng0rfx(K@1c1hRi3!q%q_4EV5 z6`hR^EUxJqwLtB}xrs#|mrz-PM88lm=FH;!@% zFLashA@8MbrHI-qU7e$l@LKmZ?aXgIN7rH$g>-WD zqcft;u8n(upo{Bk@>ILJeti=t-CW~RK;GST$395t;kuh#zn-o~`+}gCYq`!Sdb_4k zD!z~Fr$2+BuWP3=7=nJTqv-~Ff7ehtdK=(6op$Ykt~LuK406@ELBe2HFUlPbaqUV5 zVyNp5I=UI=dXiKc?wY&?#R%6;bOJZh^%sh@N4ZM)(&}}PF=C?%fQ%JeQ|fM<_$(gS z*vsCjyM_to+?Z?o(yiWw)CODSy==0Zil9W&ZA@?1o&)Bg9?7t!oZ@6+X}F) zKAsMx{qOY#H_Lx0RT#9RF(9?Cdx17~8?J4rd{44UxSA#Bv5V7zd5LWxr|=;=M!CtS z?3Wb~@rKn(2Cy^#?3t_)eH~?k8f6z!TC!XN3LnaEegn}ShwA|1`48DLuV2YHdk@z^ zv`^7~c(0g5fsL>13)X&a49x=mKVJ<7yseRz zZbueuLD7SKL#wS1^IQeyeOZsm;4*-HaU4X0*}R#+AHpusy{@5bBh8@UtTf#r8o`SF z4LwG&HdD|xhE049%(*Pe0P=ZErd;`a)`If43)ri=V7-vtyN+TpyL%kKCG7jfkiL}V zk#WDnMmGWLm+ZG{pnJvqDC&OA3?eY!unB8WwBx5bqiD}}(XV|v@GZ0xcIJL`Q@jWN znG!(bxc4>yr}7H7QOx6WC|9tAKRJimLH-YUjaT@mbXxnEe@2%`Uh)XKAoPlVP5VtJ zp-vH?^bqDyDy*Nd?-79gg=Ou*dYCY*6xxOhU(wmaI00!jtVtpHKj_g4p~@Z9P6{_E zt~e#EFraopcsdj07lqs8LtYVfsRuPevkZ*cec=n5{V#=$G+_sb{zK9CLE`iwPPyk_Dr*NW57NT$YH>N6 zfYahX_rNnxTt*jHFNtHzpzWHN^CgN~VmrDWb5GoM3gnMOxfZm3DV|ON@RjJb3$@qc zm7jq5Myx&*DD9+Vh&1**ifPir8X%Y@{fDwibESQCP|TOc(1o4F(u95x zyHxsS3xF%7S9<|mBYjU1@;d3yA5q&Rl^=i}ZINn|OR-I=Mi+H=Nki9y=U%B7^?jez z&_y#~a>;)vDF4+@vM(-v9pf+kJZ%}!O5OesH2$?ZxvORNp9Q>Zms5C_zd{`{bfWmf zL!b@JD{LNcd|LT}%J-E&;FSjkgSKkKF^#X>#`-y+{a7-RVe$ZWn!^1tY|?4%4qu^$ z0B3%^TfrV&;d|@I|85qRvUNovVg;*o5t3K44I@xn$4OB z@l2|@1fTc!^e-`E7BJQepk+LbqSWPlADtSm;E~Kgq_lE zx+SnnI#2P*ZmDDd+V)82ud82a`Dk$2FZCV|)(51ULom_@r5O4&hon%-S0BdT(*u_y z()X0ZIVv@#;+T|4>K>OCQ}l2`@^}ThlhWarV0}umx`O<)6g>dN8EIb(fM?-YgW#Mr zCk5nrQt{ysdtMq(?*9czPu|N#X&-ssm!vhcDO{Gi-vRK7^g}RM-;j7D1U-->+VfsW zja#5cJ>`Hefzn4F+!eqD)D!(AL3LuYW*ucPRR0_iS?vKOb^NE~L4(>w zFWa?sKTguhKb*!i?SE`h8NDgFl2%ojzzU!)-|r5n4Pz;(?H5aTK`U>(Mm=tx3rN+? z?EtBE2oo@`$Jx#=pvM_j zbuNmtEQqwd$o6``cGD3XZ&w*uA7@a|R_yh6c4(dN=o&)gPV!8w$9+H&b)C-oVouz{ zGxjTr_v6k`;8nMCC@|~k$Y#`UM=nr<&ldrwVQD%#ZItZ;Ok1r{;FI`_g4-sQlkwhE z)`4f%m=T;# z!d}GeIOa_r@OV~*7S#my9o>+f#H!Z>m&vRXIb>7Vav!wKVH+rr zxh($K6$DqsdVNsqDODr;J58E!0mUw<$rKd3rAn0oO~Z5^l(Or16+N_KY*CWlm9uH9V=>I+JRf>h$>WH8jW#}jX-R4i*Au8-6FTOc~EBv z%TXv5*@>zCz#vwhfyEpaOUf=~aYkUQW8HAURn;=|{(Z2j`*0M*I&B7MDhqo8vC~)t?MlQRZSd?Pd{GLu zu|k6%QJXHzSqqefLP{A(S|zxC1${RQC+QN*9^oMzPaLM74?vM8c*-bV2<_;obgK9n zxtzzuWwTM76F>e48eA2-hoTKfZHvLWoivtw%I?w+Q2-8b17iCDS0hK?Ui&o6tzzBc~8_j%hxeH$lU)!!ErbFH#(!~ zF8}cYMGsjX23>l|f#gv3mJibw-bb!89@6{Dh8qC(m#w7y0C`>?FdHa)(p|ejaw&4$ zhsZDJ$a<(ezYZ{m$(Ja7Ib7~YKWLaQr>#cYD!D=)Ft^He!$5aH_M8RaX?YfXq#JUh zr)YaBZ>j@w`gD{yS=s+7YO|GdJWQS8Tu9I z4k??Sfy)tPNk!C-D#`T4jwvG9gyYJcZ-IG2IY8m}Nu@X?H%=*YC`oZz`Oh>+IHM#m z)Xpk%Hv;9Hl14vh$Wv19fXjKML~+zEC|RXJcTusf2eV7crHv>qE2STU;EFQl2XMKn zxP1i8t|{S^SGcZxD#SiiHVp##BjrAg(qrY5;Q&5ShR#6oRB1*hXRnk-{ZVw%m8QwpRX1lcSa;KP zDv4Tm-CjET=%JfMhub}MzI!3Pm+sfG0QS`lD+Qhdbfajm7^qv>4N45s9c~HWP@S~{ z2!`n*=_dYgU28gc8>Rc=C~Bj1pDh5&7+r~_U^ZTtN*?_LU6tQKFi{si4Xh{YPPT^h zDY_z`*qwt?>z)GMi%*86$De`5G4XV~*6`7G zyQwr^_zo)6YBmTMb%w*3svO+6)9FUF;{%~@^0S{=@ z2D)2zl5-ZgfPSM@b7EU)88n8@qJk$aKx?fdx6oR<9NE{9kKorxp)J>fbJ(r#0SO;L z(>3BWt@k=@;igED-VFHGBV?(fex{EdJ&#PwqXa(T)kG#N>| zeN(>xyf>@*7o?~3iG#%7A6EmUxj75ZR9_wRO#99XDd~4_0w?3st)R+meHidRZafE0 z*5C%9%DzL#{5jRmKw@rHTJ0^yc%rqXKvu6+6Y_CeTib&6&m}zp`D^r4y#LLJf16R{ z;{7wa6Zo`UWd*z)>+%AO+B5T5)H<*tk5F`EU($hLCzgcl4(^w4r0b(ySV=b&U0F6I zfV#2$)lhV2xWa@rz*1>0_hcgFU3#&pZNQ~Bdq%mnKI~iay85z<`+?GrZNH1Q{;U#Z zAP2DN&(YO^?7%eC2C+GG5HOf!jYF@7ut=KcL)p*A0362l=pkr0J4au81Ph~!pCj1` zaxg}*Z-=67H1nYdYz#}Ng<>o_u@}WSCj1QP_@jj#(4(oW{VLR^F)0e<)0roI{u!+9 zFcdRcXXKo4hkV~BkUpEqEe*&ipeQ4A@me4_&=bS9)(8se zZxOf{@Bcjg6!>k2{SI#J*kPJs?b!+n`Z}RF;{JVj5dbKaiTvn$l6s4AyBC zFlVwM6s^xs8F_JjhqG=}myShTXjaE^Ap9Esu3g)$Z6Tb*3(h;UgoU>=028P8uE^?g_O!U z%DgCRbBv{upK+XhPln_KTXzKHCt33pD0qrZrIUcuY*Aw{JHx8bmUNN*&;{g|ShpG| zF0*6%A>j(E;sv^^tmi*Kxy@oo!X36sK-*om?hshtV+sAh>^>_)&f`;dcPff!>_#`V zJ!e(cK*9@l?ney4OE#I@=2vW451_neFCU|L!_FEZp))^?4F(tQiyOeYEB~Y(=(_Pa zy}_kBkKKh@51uw2wVr%^3t;x*mFW~@0RLk($OrPqKcQ_9KN}C8gSoEyhxeDMf|V{#bSP)ZVN5p0T+R}ir1n00;_qsTad7Z|3Wi) zEuTex{yN@*4DWhAn?BVB{&x`CHuA7s@Z7{Z(bV6;Pb;YH zhmRbMw!QqsK8W4Ndy><+pZD(wE(drI$}r*I*rSd0I6qtiwG({Kcj(_qzKvYMQ#_*y zFi-QzpX8CYB%@+ z8kd{A;dJo4&)EPld%){_0+ffmDg{!Hcq_VQ@|fSH(CZ~%a|(2?c#+enz2*tzO1|MY z6QNlcLKA%B}jzR-Bv~?GL?hDpEgeY1MJ%v5%A-0#WD-FQjLf^8$ z>?73jK+#u-+z*s~LW^|}J4A@1{tXp2lVdqdF#Z5}!-dmk07nR$DUmT!_=ghzqlD>C zQH&P)Q^+z#SVMo8d#rF~If`+D_aXqt3yH^3Oc4BOCQlSLH$gE;XjK};WWhpL)n*F& zwxTvm=>HJ9%odtVg@if6P};=j3NPTX;okS}be=L_8108*fe>nfW($QZvQmqLza?nB zSP1zPT$TvsilDYs7(j=E%Yx8TU5W8Nep9pyyggr|jZ=*1K zGvsX&etrX#&BD4ZK-nTZri|EDq0(*?+XNk*^zRW~l8d@m_?!Z?eZpf(#P1jOlP`Zj zNTIWdgTlsk;BrX#h;p`vg=Wh^a6|~bg|?%@YI6RM2|pS@cSdLu3e2;@I@{R21;BPa>$*zA?(qE?xs*_A9&sus?uTW10j%35grQT z=7ZpoFkO$@W5NG9iYG#?Y@j?9+LKrIOz@*Wl=McZxdXL!;@x;C-(Ixlg06!YQx2MS z6tl?)bP{jS*3((+`3Aal5&h?bpqF^(Q;_!-za^KpkND+L0Q-vb#(}P%*mWfO&|hp! z36G)TXu7#HOx!sK#c=Ul0)QjL*L2)DQWUmUEOz?=#Y_=?EGCh-jqL7haZLp%I7ggJd(|Sb zO$LCAMLi|%mWa7UP%IU@QUY$7IF~L$EEnrihIoZoxdOVmQry!RbgRTMgt9@rN&d`6 zvE6b2H;D_Lf%Rr_RVCE6h=UVAw@aMgA3S%9BliNhN1QwYT=t3sDuQmG7?}!`{bJc~ zQ9B?uB)8+B7*6+?j*EeGDt|((IRn6x;vKS)r^GL&K&8`S{}HI25jT+ka#md9kJ>r0 z{ofFDO&oO_#dUGlN&s(&b?I3Brnp#v*jwV}lOVV)Zl}}GJ7Rb$B-|A}Hi73ou|Li7 z`(p2GkUtP@Ujq0@Y{OAJ7H5=%@=wGQ^?>qJTtQCuGqK%YXnP@^q-pw6?D`ej+Di|^ z@KriXBS(XvyL6-#I@VVjTpcKbrH#>`8!wG6hT06Ndt0C^lwR0RCZtl|kSn@~EvMjMb6ibkUu}hpv z=PbL$QG)>7C%UadZNHe>8npxBp|(IdC?@xWgrj1)t*9LnyU_Y z+@zF@1{KR28subTq$W0tPf2eOpOr@cmus4yTkb7rx%7x z#V0mPNl*SimXh5%+ePb61(P+fLUw$bp+as>O6muo6%y03Q?dyy$-`K|u4>^73Pr7u zn30x=uBPYwf9qFjNKltbZx9L zkU7a&S-F`x&KT;qNXklSk(`AQZ=Ic!oK_(-B|R;-)d#VX(&9hZmYtjhng7yUAvZfd z>wPWM8BrlS2QylG+Q2O(KGng^uBD?&GfC^8M+G3ZNJ&i2u8@?R8sA!-V9scTbke!G za4yamZ!w5ZN_1{>9%E;?N~85DGbvf;Zs^H4vPV;nOx3Rwk?M*CX)pBHUD zqJ0(H@&Wd7cUHsRmHcJ%_ChOISp^GA!%$b2O#&=aO8=zl40gG6m7)py#)x_Y3KmUjdxcUm$$~lmJWLXI1nAGblnlFp0_0QhakPGhcT6cj@kUCeBsNFabIJSy__+p5rY;tR zNU~UX{ldkiNq{3G3`-_l0Ahj>B%?rMT})F(9R&jP3ZF$;hwThiwtJpbc6;F+gR`GJKc-t%a!v)qkiFW*)L_2Oy zq8%?M(MKc5Nv!C2adZHqYu5m8cJbOkgQqsoq_;Z#>;?y!AzCLiO=%6pr)Q^Vui6sP z-nAV7?^ru2$;ru0XqCXSCBpd7Jkcysd{$GuV}9pbD4;m(AW)LilTzYov5`%5u4E^t zCMVJ?prx6e(iFRvy^@oXmfRwqCLNlnlAW1M(~A(_sb=Oh%Yx_NB+u~*agHv64zHRa z1UNnwW|i!mvr$A9hD6<`@%ml_{&_7f56bPm5*1y2y|4K$$!g#v42&Gtw3Wf?5JW9B5 zk^MxE3iQx@!Al~fi!z&S0g*B0sK^joSfs_v7Lk#y_i_miutk{69(o@ymq<%Zo7EC& z4iELRMO#ggQ8sIoDJt5kcPrx-*)lS@snMs5yP=hrhrwXO8Y;jLV?oE8|gM156MTjWUSwt(QMATRZa?@`wc>o7OjUGG+Svn@2c zxxTdC&4GsD`~V`!aCeCdwbV4({EfD_h!9h7m?c1O^mT~{$M=pfc~lIt)ij6Hwgrb9 z^ltW#<5AIFogC3te_K$v6?nlB7Ci9X!^|O(K(tQ~U%fg(FlgRJgD)nCB^X~kJS;Gn zW>%QhVzfmDTk+ishdYMo{Z@5wLL)5^7E_eb!^aJ~QdUOUr1+e8cYQwC+YZ)ia23bR z=;2*f1GrcM{A=23MxbY;yB`0m9d?N#9izjdj6Pavi?moRQJ7NE7QLYrCV(L~RqcPU zht(EfiZU7VJFN8=3&Pvr>4pB%xU0hg2DVVMhdT8!x{>vK35I78TaCUZcm3ag|5p@m|9?gC`FE6x|Bm7d6n7U4w>dh} z8XO+x>lpC-@8D?ga?@*HNFQJ^+uYQ4cMYUg%IbZ+oK{j`NC++9@W4O}8;0Bi(=j+K zG&&B$;%Nx58ztBgQ-BAix%T1SYrwQO`d}#Zg%hfp)BN%9@+xfpczAghHh%zi%%1}L zXs`u)m_u!tPh%doJq^y&0jtDj4vTU!c=tLRbKm{m09 ze`x15r>|&qj9FMrsA??(qpcRJEiybj)D~q4jj+-8rnv#RdS4e>ShVxd-UQ=A9YbMM zHCDF>yLs|A_~jcVzk&(mA0FW8X^SATfZ!OTPi;G40M@Oxq0#r!HYymQC>yq`@JLm5 zMO8KlHrdRzEn(Vb<*6>@SW}F}-)0Urn=N7fn0Q9osOSs5-JpFrn=LCDVNQCsEvF@t zK-jC|bKuk`>FI*buKJ!nK@ZtqZZ|= zQE*ru14+@etz}|PMp^D{MjooF&?_HSfmeq4%H>Flqp}SD|KGh}B zSWeGCLtw$Aw?+ipsMX&VYQ^@eeruyPw>8fW7Bwo$KgMb5(DZ(*O`9~dhf{}aL6~yp zkmvw74Gsl0SJB}N*dpV!Rqf$$DU1bc)<}z1^)T%Yrly@a$QoSFqPj8g2rFtH;fL{5 zTc!CcF!lbyQ3l#JP0=AyWLDtuX`41}+-05K^=(|R$gm}2-=~qWT5Se>KE&wlqS+|O z4Tf8{T>0MTrkF_I_jY|#RI9Y)rtzM>US>~qQ~z&R9^O9x1D4Ux(nc2$8Bo`0w%Nb&`#9RHQ@4e>VP^kmYhBIpQm3$=OTHtDS*OMsv8LLV=m;my zAHdM~zBjc@@4I|7d$5w6yd8&o@SeBhk#BQ8=d(a2FK|={oeD8eN@lb zX~)Zt6W|?%Mq@*?Mp+^pE`C9v;oMqCAW$e5brfg<2b!!=zIumA_3(Jlp;P@O4~M_> zmSgSV;pMQYWHc;VWNEiU2H2s%ih*Q{Vgs<>!sKIXx5R3mh0W-rcPkvO4gj{UX%@_055s#wligYOFnIs#`~{A?iz$HIm5Asl^;^R7V!t9^LGSjV7RSddv|at0 zw8EWs4p@i$8UB7#A=A4MB;xDt;)oyo4Ze=}!HePt?EG5%@P5PF@xy!V$aZ{S8U+UG z-9Fsr7=#aCXqy>4Ir5y|%7VaB)HIn9Mk2b$wupK2UZTW-qB1EkrlIPtZ?ajy z7(ET|x|H@MV)XF)*Chg+f`B9dR#_blOPI+&L>mvIi^GRd?FM!nQ$Q#U*4y9r14!x@ zw#Q;dL&bL$Rr4bGOZ+W6Z8Z2e>@=+w#8s+h`7xfsOLf=@h#3VEyc_esEZD6w)4+xZo%~T&j?evU+atvy4W^LUAba?)FV47H)K4RA7Y7 zrZ?tCBsPlN)DXuS6^P(=}&4Q(E&yr9k3xjJ52#*cZ#wH>%m{c&(xZ&OK z_F{jx^+OB(Jv$fLQHvr#u35K|n6yqw->PyW%jE0}8r7cB=CNA}LH zKKbAni2S;`b85bLzQgdg6Hf6KoN%O&9Ao5xNfd6bZL4cBMdo+4u*O1qS}2;R%Z&Ur{>y{N2IUl;bM(3<7CHaH;aJXFb5&2O@$F| zm6}CS*Y!J1n#Fc(C=rwgLcjn^8e*=kg_ITZzqixE36H_{n}3er_?T}a*f#@5PSL}N zAXg1Tu}zo)5RTb%itjbN?Gu>ZZHH6j2$#)Hv)q(#aQqz>$KMuUHAedMneEkEE`BC)YUN+s1^X9WX3ZUG-F|>Gpz+v#=J2ph78CQDmKi?f%|- zwwV@(wrgM#!!|o5$eh92*gLj0ZCwY2V^uiPfMh$=74WXdV0SUGS}LllYNlF?*kduD z$+S47^8Jk-ftqI?8}8#0Y7H{F<@$J0a>*?kQ?`tIX|?WN-=m?kXKeH`zPp<`wtX+d zzi<0qI1*A1OdK04#d+%7GQ$0jM1KFjwK_h*yEy9TQ#gV?s+qFLd^17A?#R$sB0reC zp{BQ|y}zf?;us&SL%SRN7Vz!q{uV)jbK_WRQf6c(bWd8o@;iVosk+1D+Y*lZ? zrdeD2H2rTVn!=c9@Hb%Qz4fZG_xD5&UQ5|(XPauqHa}i_zeQuCnmWp0zzS-GgQSoa zjl^NZJPO={caEg6-f7#>rbj?SeSEa!9Ay)OLnA^gp~%Z3 zrAMi=0DsN>qSTq$VD_XMQfHd7nk}GAgqpF7Lsve~;F#HkHmlPcQE_lYkp0L_4S#G% z4F{PbZBg(bv_++*LT&j8Q@v-wDIWICf>S(S%Iz6#(dwzSmSIGzb`lg|qz|aR;{d_2 zJk@Z}=%OtpxQhY)NKl|#AxI0@uso77auZUM-95^Cco@9Ad<Nzgk333{9a? z7kmr154AXEOCjy*T%kQr+d3$hqI!dl9fh1hErKy)(c|FETYX{<)J5J~i=B-~L^%#` z%!Qz-GRTD?M-P`7^jh>2W%ksjrziYNb0H}q53|vXU?;#DZ8yo#z-&g!|Lu_d-DXuB z86fR2U7LdHIbd|8e{H8_{6QRTH9Ofb%@Vw0B@C1XE8vmub;envB8UU7e>nLsqy{1q zf*YOPRR>vgM5GliWWKBAB>gQEO*d_uhV?Xh+RZ1zHrP!ojw|CZ#9?l@(2?8mO0!B#}bs=r%UlYN}9Z`dVaTuA3|+z-&GXt#N)u|Gn4ZO_t$<&LEGD$?`rrs zrm_7%hO~LRU7g48CHKOh5$r9x{=p{4axWZ#F8(+M8uJla=Le8RFUKS)2(f74ymz2$ z&vFD>V}k>5LLOuafurJ_|8Q<`s8d+G+Q)ah?v)>7zSmMnfcZWSF-0Ha{3B~)=V^l5 zt%-=5-nZ0_7WMGRF(i&yHwedsG#T_rnb}V>aR~gOt0FkztY!53ua`Us#lxUp^03*W zF%?4*%bVR2-Svt3pMTaP!Jy{s)EymLQ)HZtoB+%@=W(Nnulfe08Nz*dDUP-Lj&-v8 zFmI)l?Q;jm1BFkG;4mM@$0{6w=FYp$w01ATTP1`~W4DQR|4=iWArYZL0ay-Ub#Vbj zP3C^E*&*M_{JqUXoiPz1;idpfm?Nk+;m#Oc64M6EC;uc^wNW&I@`FCF!B^dcC@#>BBy9d6rm))T)etSzd=KpC!+SKU?qpJ^cXgl>Y+1gK;gsYv z7(8j;gEwi!l?5XXl|$oO(TRi_ZsAf^HN9H`9Qf*bH&iOA6-Ua%&k=khb~C{@^9%XF z7jvX(=@iLnwBe+w*AgkUg13;6$U54t7-=l$XNr%fXSN*|to_Io(A4ZJ_)HJ8-GgWN_h&20=pW*D|LASF8<9w$6u7=m{o zs-~tG^|}$io{vn&se=#nISqlGl!C~4N-GBYKv}Dwjpgk#rybkVQ;X;euym&*h zY9Z~b#}yGhErUTyK5cm|a;gJD0Q%G=4x&Zs$ZLRp!l&18{DVv)Y1xBhjiUkS$Hm`(5Lg$-TX zWW%B;M~Z2I7~RQ%eZNr~`2tOR)l8TXorEuGPi`8Vfp0HblXIJ69Q_R*j#ybulhTCI z#t|uB&5RiP(bL3WSJvwQ}cfz;WepWxG!n&G2qH1;_6lr zNc*a(E4_zrv=-UHl={DI0lW(AlflRNbHjCT9Pqvaf4j^6?~vtR=c1@Vl@$}2>FY4E z_Nl3@u>4IsTt!i5sN?(6=XG9Ls;MBD_Jx8%&5@>1#F?riU}%N?2%UC}nNi&p;E+3y znNdryb9{*{BLS)V5K=t2L9Zc1`8LEa1v%qaupehD3#&Lh+0T2FV%S5{XE3iwqr&`c=in;o`yJl zMf!$yakz$N?jSt9zLbXn&)6e>@%HVzH}}w#-{0LHz_XF&0^m=iupG!`IIR?fE$gn( zP5<(+MRr-glx$Z>_VfZ1fn$&UM8Md`NOqv2qu4&#c!}unKs5Qxf@0k&oEo>s+JB5PsrSn1k(3{U+_wT;^ul-w9Iu0yX zV(%9?c<}T;z!+EO3;yzJOiDol5fzoUNT!et$@3!;AJVMHu|7V0)QdDm%7KNuXVqx; zY#Q#7L62u4+Rayo@u*Irm`o9zLWxhPI!(^??ytKmW=@jeRW!>f62aA6pV6t*Gethm zjjLJ`a|A0@8K93wpty+VlE5^R29eiGgo}Rk14uX;{u`N0X#y%|9-W5Zb*~MJs&C@Zp-j1L)Qnxp^Cp>g;r|w`n5>OAs zu=`I{?iYjdH1+bK#X8)(1SEDgb4IGE{xLu_aI8s1dUS>|r9*&X;S^h1?TuvQ94R zP535y=d<K?^UZRp(ngOY&hef4H#}MV`}V}z#K3%4lFb~_z;i7KX0eg zx8dM7!&LKXC}@>X8c1P700Gu=4A}qK>F%n)`u_r)|ND1$_sS@A{U(MB7fD+en$1#S zm^gC`dJUB|Z(tE4v<_VwrXbw)%3EoS(GpO2u>C-ihubmLFsHfA^;GpHY8jFThp z0XE-ZUAd`288`>lpUd4G2Ay=?j>6FdzjJg!da*c~=?9c^2pxzbda>RiV4jcyzrGx{ zr%n_Ves*`g+aw=M^%x(1kc~V(SOMyvGhd1JpAg3!J zDIl>)$uQNUigVNl8Js)q4sPM zwDxb9RKD?u{oLuI`0j?&m(G2_Iea&zb^sL@k<#1#w@~iEFu{l>-qFo=XJ|Wxv za=tuS!zYrErkjQN1SL-JYn(PwyaF4i4@e+b%^^?T9!K*zvfzL=q)E?sHWY|iY60n; zgQxfMAwTb>FLbX0=VS?^Yt#&;P|PT5E>~MX!8$Q@Ro*)3C}t`T+n_&177DA6`V*ZY z=j(GSL|yA-bi8Ev(PKenMshQJC?%Pr{+4}rke9UcJ`%l)wyEe{l1wY?K{XonNiZrf za8@2KF+Q;!jlaLSc_2c;2@P{h`}198%EOteGKKlBiCtw5G09rS( z(h%4apZiPxAHq<2a27cFLF0hEcy@Yq1+cX`(gyqN&)`pnz5oxP{1X&>U$kVbvi-GL zy6-;Hy88n5H1=KwOV93SVcX9I7zpO%7%DT_>W?zCFxid z0y^4d#`;EN)#cSW{7^!vQA#%BK2HEO)5lW9F$ZgaAmV-ric#hgk}I#A-Heh;Dc7$= zi^9GqWL%k6A%RCepwz&~seqxOH-Lr*$o(xYA)E_=b-cM|=IClLyq2TOZ8n%@X(IE? z&W5d?S=F+d%)1G>VP4Pjdaed7is4KNn7BDmf_|FG_;8z6Y`C+ZFZ3T!=p0i7jFp#J0b^?!lIO6iK5oADmuy}leurcgH1u~ts#$)L13 zn@1;&+Q)B%Igj6HI)3~{nDh9JnszqcWb!Sr*GkK4f-c}{Qu&ei~1O~-?8-oO8b+PI~qV`5`o)WEeR3MdL-Ulb|~+Ve_v z4cO0pcnH+qfVQFA5A6iTM6CeF_syfFGrn&gR!H=VL?MBv5S1;LC_A>4s)f*NuYoR{ zAeGvguqO`vaF(mb^$Gf}5{7Rlz=5bopNJXvYak7i|A9*iCaP+)^Z_lLqzEmte--X~0Kl-7iI)oAsw>!r5=2t_QxbD)uhmnLqo*Lp z;_~upvjrNTs^-9LFo9GS+`yvA`Uowm4-oq?8=-WNx!*9$^&|7uRI=V` zGlWafRa<5clb*zh=gGQz;+W?QbHG;!o85AF<7MYX<)ASl7{~jUr@K?6>fy#_l{Mo^ zC8P#9M|-e~6{?5wK=G?Cq3~Y;uxv_ci~=jdIH;&F_YJkc!zo9BZ-P_>hVJH>71$6H zqLov&aA|MmEbt;RPyC*R2^+-z^tR9>aoZD3F_%L(%}z};odG5`?^&*~x?8MgREbuZ zL4s4LGJ~Zs143NzR7BJ^8D|J6F@l0qmXQqjrd5Y!=gggDhW$&4z@bDmL*8iqJCR2*(E8|OMdZ?=kY7Rhbr-_8RJ&~ zMapIjrW5ln%HnrHV7g_&rUQnW45$O2k=9=(Mr2VqWmv9o8D00|BLg;oY(QfDgfjH_Dlt#ib3L@xS{>4*} zjl-t1xY8+5lBm2Qq9_zxfqWWkuBUGtp^^+WZLh93@^Hkz;;~Pc0FDqZ1Hy(h&O)@^ zVOax3Q3;x`0@b6{22x%!rm8la{UxdkWQjVB6lh4;KvY`Bnulawr6q!4&o2S6JH6C2 z6RLFIPRM(8E02kA4!-S!8|2Mno<_0xq8{zNjWGR8yrrOdj)?? zxB$5u&OJ!na8;cwynq){+*QnpPCU3LNan{IAtJm?)7--C({bfErNamhpn4WcfP~-M zfanw5{-K%&dXyEskeqcXbvYMrYBlM}Baxy82ZJXIb7JdDk-`eAOM$_XHJ~czEYU^V z*;%zzRU3#?`6-xxQpgIvs7{s8`aC62^5yMXXox=l9x%=l#^;mHu+%h9K8kaE2l!F6)=H( z4|r`i(6Z#D;b})^(JPANB@Sr7>>9GMV?jh`V&4CDnFa`ZX6Ra$#51+* zhx&DYlZAav@ zI|`q_hL!1^QCXz^xw>9m)i`%gh7$v&8BCj=L@z0lL?Z)-kGa3s7j(7@Hz49kD*iIB zvj=8B6PUdkyNE6HR(frhl5w8!LKLH3GF$%@m`L@q?^|V%uM^`Yeg62#qu%(5!n>L= ztzU5^1*b@1jc8~Z+*~mNXQwZKyaVYYU+MA!Ry!;x*aKw$(SKmoqUm+v|N4;}J|mE# zIT(%5=n3SnYl6H;slCI#WDiY}FA=dI5ot}EB72INKq1rs>zf8!Tx#Go3WSs;YtJ6f z)t(_$8yed949B+5-HgHZL1!~3JQ(}tgylnC228LB10DuSL&u-Z36Fin__42e*kz8d zcsPR33HXW>^EDECM9y_3TuoI-3-6gb7n$+pR!Q}%sbk!7Fx&Ld-MOv@EKgYlu0(oI zkB>kM_{2R}3_AciDO#v{|Zx<(Q z1hxxKYT(PAkqSTV&f!yVAzZf;ex`g<9v}6&!7kjiVU-h>u2Oq7i8Z7WMUWS(Ih3^y zLZEM&vUU}>_=X8<5htf#ijb*yCCO%YwvSRBZWDRxiiJlz14Vqa98VNOl+2gBN^q<# zG_%F{EbO<;VfeMaSab-B4zmw>t_6xSTYcsS@}NIV?=t$K0*m5jB8ps2h!IwBq42pMqJwg~X`NhFQ}4e*dektv6dPoYdmjCGtsv-RJC%>YU@fp%a5TDAL{=Nkknzm@S50tkfXkmn4{731QXeTCN<8{va=yxf*Xd>b z#xJ+*3;} zB6jlu=Lp5;)BJ~! zdD^+2>lo!Q4%yWUwujj+ua~2<(EtV;!VSk;h?1lt6;^kH%2U@{3p9&26aN74hyYl~ zbJ^%6UypJ?7G%HJL7ubZKI7IaJY{jkt^;xBf~bBK9;OSx_+*$$r|dJPqoK^$Gz3TDEhYfTve=;L9MZ8 zXdY3WQk1)gXOR87MKp%X4lUNjpVn~kqXPi%adWs_$nr1v=wg3yj3U4K5}W}7$4ez8g!xx2 zADl141IT4vC!e54!4+h5YBG;<@toPNs0*Us$=8gfof8%!xY3fz-l%G!X;)kigwJ6t zU0jlR$5$0QNAwwhpRNHj`WB2M;(Y_jP#T$^W9sm_!=!Ymx*k+4G(IlQ(^!XwZtD9O^qW(*^? z)>$z=lhphFaQorShtJY)y8ZI{!$AM0WxjlHi8&xW;b4+-AYiKwlECjY2LE;42Rc6So!()QM&o@`s+cl0uS=A~sdhrTp{))<2g?-g#@%y1} zvcPYmZn|O;Qh=haa&;rC8qHmkH7#|(38gI|sjMsmbsjx}{s+K5(5Rx_D*a8>Rs^>c zWBXN!`WR1eB;+9iD$bfMgeBD#t{f)TYR1P0*tx2gE%7 zO~P>cz2yY?R4`tfi63Lpv=L>sH1*CvVZN5*@F-nhAZikkXo*7;g*O4!_(8d|>cN)r z1`yL?2NNt)f+g!sl3Gor3IdCn>~fbDyLQaiodc+~LSxxI!ScR+7C}rLCc2AIE#P4I z$3bToRpa(&+KKiPsx;x@hh{e0-|MIbOn`lghXJ=D;F>sD>~-(io8)6 zGron++xuU>etg4wu2@Jxe=ZGnj7zIs^80!6JBUyqAIr-Pnxr8Cn*I_Lf_Zy)|LpDy zig%)vHHs{-^D+O-Tr1oi^n6KJ4V*iAO?YI=Wo$&rHr00lt4T3gwsE4+LESr*?l=0a z;nj0EvXkUum`81J>?~^28OTYp^TDY1U?O)($luM#jplqnIvY(HQ_+U zs+oW|F!c~5IYuHGj?neYawDw&byfo!GQL7J4>4mgw3Jk?1kaZUo592E&`6|%XjqV*@yf#V&C~^2T%)q*1z@<;t0ZqN zO=7dX+8*D)b-Q2<2$R*&i%pydp=_Ffiu+_-=-NFU-dTg#H!B2e{uVTBqxlN8#TqZn z`Wi22(OevQIY#B@ieuDA_>a>)$bJE6!iptPet`QNFy+OMXiNwQ%&0w_3fY86fm?Ov zbyrZkIMhatbi^&RUXn4l!<2~~4xlCnKdTgsmbz7D&r+D4rw-%ndS%neVuLJ#UrRTe zS7RYm#Z}^K;^`jsHHtywxW~>mD_;f!oDYoG!6VQGElRiB=E;!B8}UvwlVCJ7jFGvo zGlsHx!WkgWvnN84P3e~9bmseEAWIR7hUr2cT4=t|gY%CHw5`18{(TmtmqzgVMm zo_1j%CdDfCb*9L-lMN~#Fz>7zr}AYHEKDd8aM}1Sz9q6SkkN5`ekIEXpqzwY<_%7! z$FZw=9`5%__q`C$*hua`6eH&{} zbp{Hxpd6RIHl3GN+#1n^|b2=WBpA%R?b$VqrB~ zj;mCw_Sbrua5^^4#GZO&Q9KlITca~eIDK?~>FJZ5gB6%n3PBn*P}k8E4E96mGsD*< zwlWVcAgSK)p)#W9nFL{nM9j*(-stFfP1eatU`HsngPI$Gb%rw! zB2epa7GiN|(B<}Lezl;}Y*6Ed1n|xbpH{dv@nxcxN@F|PRNCVXfsC}}9aL7>ly6_Z zefuSe?76ljf;V-fW7|xf>JGUMTbm#UBvWqTE@07Eu-NKlzgcHc?!=|ffic7!b+arE zqYDUY+5ME>EL4pd4`k~XaIsP#Y6ORvrG+4u0M*isv_Lrce4AAP93xZXELG54*j(KJ zW)dI*iQbh=P@=RkjkO8WL@w0E$^irWgu@Y!r+8{`3rQXl6My?hOvM9th04ey6~%aS z*eA!E!^Z_V=Hx{V0PA0^&*new&roe5%~ehYd?%~JvH^S{^IiCQtS`M6>nMZ-BXE$p zIcG0aebOC~+I$_HneaFTlo0mbZ{?vk0M@E;TQwFfYfzSgDc?{97k@R?k|GoWL);PfyqS#5@5fwaa4d%P*FD>d0_kI#mP?t zaC8g)5}Teh_w_gzmxB~6F4DwjiKGtbcDiJ&UX&2VtkeJ84Z+#?Q4Oz|p*DcO8Wbla z4JfFS2THZtrYI%hGD&c(%0?S^LYTP9hO*<~t7m1Qw%bdXaOL@`OwyaAl!!7N0P3?+ zhlzM^msOu6^coe<(8m#E5e%^Uu-yOO!RUW~{r)T3mV+PlOyqZvDPN;gfF;gM#}_;vhr$6!z{dY-=7;v5 zPvY`smf(6=fbM984>VRqkuc011=?EaX z8ih|5+Ml34gIrMN>6L=Kd_mxxsva_qI~$97Xfs7PQt74efT4~gc{o8)fM@^m@(dk0 zfkycK(|a`9e1D4*_oh^XNVKSMiL%MM%i1?AFgo07;^JPo4a@lK`40na!30z5&m?0#_a-b&f7cE(Bc1F>=e+^VOSD7k?keA!eI58G;7dpL@E;1#cTRW%Cj>?NrX~_W!O2HP~o0^ z_mcCc2V5E2LTf98@8HqTMk+V&&f!|YjUfgAYXq@6?!^VfFErTNRX>51FyY3Jzc zQ`DqF_^x7MW+3=gJCNZ7?rIOwH1Qn@0DxMj(}f0xQaD>lq=;s`mG+$NruL`&$+OVY$_U z+2|sMhcjq)hq58AN_$t&fPKzX&pBjpF=kk1KNZIl7f@5)HL@xHW=AEt-g8#c8G2YM)eGJBll)(=wr{>1(a>20R0VNRR^%K59-WF72Ymn>zPJ}2JY}TgI|Hags{Z)GqSWq+j10}5#S>78ITA*F6Tg?!7lN;u1FoWJTcK6Ym8QBjhNPVdYG zdvI`2Y)m=9g+lK%S)J6h)j|~4h=0_s`u)0NawD3F-Z&c!-n-7Vz;W~r`7P@cG&l&Iv_A0p=MmB93sz&WCoGp z7{#_DHNwd3(fC&jfS;&7udEfw>gqI6QPly=r_0CX8C<*eBSI}3p6X*^Z&qu3(!gh} zL1}*tEuI43Y7@veef>CGA>f{gd>plTc<>`zTHw*vTYgo^{xrmlyjppAYT!r`Vj`my za7~hgpklF(&)I^#Kd-?0cc{dmMdP0UKL~Hi_ITR@^~vI%D31@JX_;%{Gg%?TjHhF> ztN3WD`R-R&&(r?U)p6ZzU+FxzRE}lukW+hQg6y6f42$8+F4$lb| z7$}ZdYghl!cF?)kR60cer06>v%4tfU?Ax zqB=W@83bfvZ2sD2sOVHy)!CYq<=2=C(1%p$)YS&9V8)AKjhiClIk9{n$W*-!*$+4dC;~Q zSqyZyS+7@8T-EkP&{cG%v+$n#$icKw=ba!r{SQ5|!|$l}E~E=eR0Cv40|dZN{4F)i z39Kjp7KCc)vFnaFtEh|%!79-#hOkc!Bni+2bqQl+5qTN>jFnMcASd^5G7Qd|EN|Zw zy#eoBbOsIZy~>b&C^?k&%)u~+Ot-?ktU-mxvcR94AeLYkAqH`ZEDo5IIq?fMH2Ap~ zhL+bw2%(fiP$`h8L9GJXBy~)oI4Jan2mnj&8SqW34O2td?@xYk6b8b^tS`P?Ld#8C z3WZHTMjK+VxgCFA0V?ObYaDHEdRg`7|Lt#SKuz+a#;EDIY| zoJn8W2tx9YLAWP?3Ho`JvI5GFQP3!%xcUTrZR{^!R#Mp!xau@@?Tq%W*74;w#wv^l zrEocp_j9YxOfFIqyKo94;IGotPeesIM;{dwq=y0ri@&UgK{4wNRUWW1NuO=|6H<`r z)mEPf(mgazq|Nk>gm9o3QEWw|3@gsx=Ho%6N9>WZD0HZY~ z2#4H^nFT#LR1JW~3{3~LtPgT8Ie_y+h8sYZGKc#U(W#hVPSD1b6{J z#>xXicMS+VkgYxe1r9a%?V2fu&slb~cgP>1oFHHCM2s}qIm(<`N=ahPGQ1;WGTlUw zSoHWPj!jTx%AvS<+!bVjt7%#6$}t_r+`8bq+#PSV4FP>)fomM1`x|D8frEXswdp7l zJOH|hx~_SbE03?Ht*>&UZJvGrYK4`gO6^ENu+%5JbCf|SuxZ+ za80uBfHeg%M?Kpw>PhvgqPvYp*&FMML{V^xZdz$#IY})zfsTjdoO*R6-+x2!KW(#0t9Eieniy()}TWTix@_NgH<^+VoNE<+01*H5r^~@ zapcg?4%Ll4lXV!KBn=8=hx1;9!4od$9HE$D_rJS;`|0cb{)-@b@z1yaxz|goeje}6 zjd9s;Ykt4eiI9n(aH&civ`esVJNa6znrAl$nwK+3I(BO3K(oEVrb6OoP6P5vZAlx> zYmkVm3+Ew|s?Dc92=_=hVq6WZ6uUKN*ywC#hQgE{*QkpDNBAq0Fnt>@;lt3{`+WuMsGoGRZ4ufTtBzWtgRZv zVpMbU9=V_?5t%5r2zQ_PY-tde`o&9}$Qw7_2hYHdH8qbwx;wB)e6WAQTVlYmUsiVw8Q5X-*f30woXh>dXG; zclUP(?|)~i%(D-#@BZr@RTf2FKTeY<0co| zmkH;Lm?2!3)han>K7-Gv?AlAZuUOFie7!nFn;VtsOumXni`vjg5@S3gd~?ruXVpHv5EMy=!j-{4s*IHA}&ypo@i)ZJzSBhn%%h_1s69>TV;hUywc~p#dzh7FAuI6 z5C3=h^XdN_{ofb{m!qqH6@@5zO$lUr|2!?xPkZwv4o7y;QA^EH6|K@7c~Q?C%W)LY zDvkviccOZdi#>KURQ~yKf(1ceTmjxKPtMjafh^oZVSfFdA^d~8cmEp+Tzgd3e)sX;pD+zYhe@DknI97~d zaU=@@Llsl-HdL*mCKd85lNgVSn#^m1R>Hf*q=zC~P$ZS^=pU2c)O#HcW$%p2vFx9# z2UDz8dDD?O6vX~2v@BLtP*{|$nu{2qGj}URSfY8j@mQ4DMOPGm>xzKQCm3m2e!*cl z$2jzMjvHiV9K%pxJv&49Y*+I^$6k>{v(ku3vdqdMp$L#+zmqF#TZN?kpv0l(C4L_X zfE@`;*Ea*T9MTTapkW*$IN?oJ5tb;10FRfqhVy7fb}c(?AgZh$wg+v~i-i%HegG^o zfJG<4tVyd*Bp37hpj1U1OPtR_B7nuNs42h=w><|oUxf-C7yJDkaR2W-+mJ z?|%Mzf4kow9E36a@h3Y4^Sw2JJ!p1Am|_bgg9(^%7fUQL=srR1HuWx~gfc#Yr)hrv z@^JV=KkkvEk9CP2N|)%MYXKY_e9Nz($fvX{hlXh8Y=-U}L5OR{;CeBb7)6ehRyY7H zbo)SY!hN*Jl{D*8X*7dD2|q!)8WfjsTNC}{pcPck1t zG$=uOhpMgN{DyceQm$l?95IO)B0M+AIjfB78Kah1RAWHlf#j3*3Ho6%)UpVH2Tv*^ z`VqdA`!59P=V{Q=`ggqBuU`yCctdG1tB@8PCn%%AzAT55M?t?wv0=lyppz;^Gw#ra z5RVAu=z3-_c_zi*ssT66cV^9Gk<|FKzLBTprLjB6Z{~eb|>d^#3F8>)*Pd3HU6iUqnEX5``Xbo?KHSC zc|vPN4Kc?81+pjbxl?>VNsxrWusks#2DPjhF%aDXS}FT*?e@pJMoMeASkJtbVRzz* zoYII*>r18|Fm`7}=t4c9+_A2QLhIXwDZ+a*l?ug99PXUtPzzy;Dc5nEkWu1T`2tv( zBH~Zg*H#lurBBp!j5%J{5C^A_ z$MY*~In~bo*0iJc?@WW!Os1KG6Xl`UKenU+@oo+q&_F7+#W|tD07(WMW#$p6#j3tx zAyX?T8K@aaJ`5okKoqU>yg7jC=jcAf12N0K?gT!?gv+I0$RXV?Y!Cd`ug=jkeW?lQ zIEJp6W?*&~Hz~k9r{8os)nOp#94yFnE|w(&gB)$Yw4X)TFX6Kiw&! zSDRW}E|fM;Jce_dc6~^ZikF?7I)lO_9Bcu8X8{|;{)Ce7f-0TNeJ*}YyeV)-IS1;U zCSSmft;8h|09fy?&%McCL*qnPDJlRG1v`@dZDZID9c4bx4aDj{GQ$_3XP!JIPlmjp zo5uc*X6AOs!^?pPJ^$H1l(a5ON97|}L0CJeb=7BoaP(1I==)ZZ#FUAmYMK2q!P$5P zsz*#iOfguAN!VD@{mdi^hbx4Q;YHxtvcrP7WD&8H8Y`n9N3(F?WaMWh(d?w9oA!0H zA|jr4QJo~KLn5{K#`E<;m0w1g$@K&HdvSeC=(k|NQ9}9(ZK?PBKi>TIvr*760}YQ^ z{WSVg#)&T_=DEgI(9Xt`<$fTpZX5|eM`F-Mf z!GSY~d9W0aM`+24NOJ_f&cDwR+9Jv)x2Aii1)ihe2Neq}hp|B!;WhfvVFH{8Ni@bY z5r=>NV*g!JK|rXS=~`xLNI~HQe6inLp8*!1C=T60-D)1InCEsIC zgkI#wSr;X~L)-Bm>UMbHIq^U#+mG(fYIvj=77EDYGxiweM6R{k^X(XwamK+|gIy4l z`St|_%^glIMv^s*uUk&iwe)y{^NT$7bW&%$O;A#Bn$Sn$;N$BLx6eL*`E>v3>43iv z=ygs%nC~W_MIs!j| zzo%9Xnlu0-l&oxbP*-%nSU-ndiGnoyrP#|5Ez$lJ$enIV8_H;-MdO+fYUykOg)Z$> zDC$XG;tKc^@<8*;m3^!=q1ufDKN0&PJ1h1!ySfp{joxOG**cyf(18R6fNGF3G8*0r zG~Et;1@%dp1v5`gEp!(}#0jMd;kC)@YNE1H9C8Uz`K&M-c-aD5%W8zDmn(-V|D0@x zs1^o$>c-@JASRLb8R|zUAewoekdL&dztpNBxVy?P`zv-n<1Z+A&|U#olcLFkB(k*J zpu)r19zP64PizHo^tA#1rp~Z@6PK9eCKBcBlBRHQhgQZai&~q-TjTU}Lg?Yv-J!~z zE0grbnGXTpQavwhT90^-h7}t2f$|%~0;{M61?_%z4rm-g^$H(1hl0X$#8Jm;-vZrH zcS1U1Tv5xHPE5{h=v@JEaTSq1gG?Pw1mI*y4YvUoO{Y1odrHfJ!hS73n2RZoM&ak~ z>T)CBI?QTo0m#NuK=5UqrVR{TQhqpT6AQfBo_itoto8&=uLvvR?8(IVTL%LzQ5O z4{8*9>^8LM;SktEl7`CZ(u%}fvkDXt&^t{YBh}wyT&H5hsQNAq<+P{|A5#u(_nNQZxP@m^PL6_- z)hB$K$&BVuOd$*$f8(V=n(Z&H9+`QBk zrNC{87HSo|gvh7=F^GoBSVcC}W|6J}XN)I%5raoVby9WepBwEH;G{;yylLp~fjRi< zGQxuzz8{Q>)CFCfb}$%Jji4dHi4GNYZ6!>OW(P7@>EMuJAURj^51}b>s#j(#DV?LD z>Hgh^+b?%te?GYX{qwW0_}}lpe@1-p6S74Q`0Ka-dH*}T1Z*9KDe>=b2M3rQ{{D|- z`qy&4Tu48_3;!{A_X}b@;Y(!F|2cq5sQBt{zwO-ofBav-T*`m{G5Bx%-ygrBa4j^< z4l)$M$3Q7XPzFO4La9trI!P?Vu!>SCIMLyI=jdARVQ;f2Az9q%1$5wxS zgsyJQ-nHn?@P-G@dc8aGb}Vi%VsCuGY;3dkJul8` z2K4eOB8?rwER&^GJPD5>&^;bUOfTk|(mefup1}aQVr#+%hdG0TgX#{4D<(;ay|#g~ z0Kk9!;f_AtUJbdSOey?s9{={xmVP+tpRKaMV8J6~yp!&;bSmB4R<~&sA2}k5zu##f;X;;f+{kwy@ z1(f#~8=Bvxctm0^v^a(!zo7W;0q+I!Oa2HNu$D1^+kydO29VqwI{umh+dI=_**bQGe^|G% zGb|sHQz=qr=~w`fA1Is+CkMUpLc)33W33HE(nih(^RBU5=Bpzli+ zh-QN19*^guJDVCN`9Oet!~24=YVfVnR4$b$EiOPkAj!^Jx{Bqax}}t!v+-aSTlENk zrB>ta(u6U%71@~+=_Aoa<~yQRy1Ix!3FsXLf6<=9gtpjLJk_G4+=?^0mMnr7dj&qb)h?lH${g`wWY7< z*^;fM!w)Vl&oV79>+aK=LlZ&pN5GSTlXnX85iVgTnwBUxPH0~n9#k~f@pwj#qMU!x zOcm|5W6rq);UPN{N0@=FP;vud6t*bqZ~uBW6ad)&`_POL>c9i9+uK6+Oj^jAdj^%k zLPsf-EOhrwGdrQcN39J}@*;PHUs_86h>WC|;28bXl#|kfuJy>9WF|=?IP{f39oIG# z$=AM%g$+V|K{Dn`d&nF=2leYtZRMyCTc*-kI0SqGzoGBz4^AA9%u-sxdm_RF?W0pl zddOp<5g(T1)y0xp4O%`JoEcGa=s(377v$%ZRt`y)7HL{jRJ0a`y-61^?Iezize#{0 zY7E?^vxG^5kOdk2Dlm%(gj7g_CeV{5*Qv#biVD)q&a-hO0I#ZNWxIobC z6=+jHU3*O3Wod1WJWu^leTESTpXJ-zU)~Y3zel<1QOhC<9N^FWUXElkLHauvQ{1f* z}3^V>JBuc$xGx6ZwYQar@YEMwI&E>?fdXI0Kna<6-6s0y)y zxhHg?G&6-*+57lLyy(3{eq^f?WS#BdMIAGSvjgp4x$FBVGo7FfQKP0VTxda3ms zf5N#1o|{jqwj}tV^+^ciT^p>Bcy*eRIfugcx{rz_GM7>yAuoBi`tf3Z4&gW7Zc+M@ z!F0en$#lX4uCH*6uh&w~4pNXhSTh;H!f8#FlO{#-j~yoOY^Q`2hh=|lEwyEw_;bmN zbs-!07ySSR$`#8wuXNozM+w+15PFT!^e8NCalNvtt-?hZg_pRjedwGYZ=9Mi{>iq3>1Eda$LX+mb3w#ZhaYE z1H31_UU`9XhCaH{U)fL9Nf3xhIiFNqfzU;WMpK5EQG71ij*2*GJC=53RFADs@>&$e ztz{=9bpa+6L?I0!_$(v1%KXPvL&MPp*Te7bfKv4xg-8)X1&1;(b_8spXpbde9)(Pv z=xhK~Gz&oE-aH(F`b$;0c-=X5WmL{FL>&S}p454^+OBV>i~l3IQ^)z5>D)%GMwG z6uD(QGVQRFj%{ZKn$x3)26J>14g4H=FM@B@=+u=psW5=XQP!NHCeF+RAexN+w#|Ih zM2G{ByCdjn3Bm`gbH0&GSN=SoZ&9IuEZH8W9Q1XrOp!&QlBP0om|#*X3gvJn1)U&? z06lyFIn2?9Zci0{c%M%0qD*<%c`8$;kKbkXU<#yu(c@F5n9@0y?)0bES~Ue=&NTo} z2^0>j)DuaIiiqBl7MBxU0SLB)0GmEl7-|H%w9C2PKTTr zL=NSjRbnvSVb%5{G!%gVuAdDqdpl`&V zvpX)2Y0ADpZ?gJu_Jk1kV)!udkIalEV$SOoS~W3x_YmlvqgdJpC|71o9-T3LbjJ8W zqSUW>d;Wt7HgPtX)W!Fc+NZs^9V}sm$0pk$KXgDW)!`_ol3=Bjh^n|1kQF(F zTY(RqH7anHZgMv5K|QkkjHo`jg;3rft|Vls`29ovGRoZrV&E620~HeJOwo;x{+_Zp zqNlxeswUK7IZC z4wXuhf=9)W+6vejw6s8IR({}R5xsNMI#{)@=BHQE8iV5~f}l}fPmf39Mo=w^5q(X+ zAAD}e`;1|VkyzF%3@bJDg`sdvML|3r$g-P`~b+?NW(4%TDHO~~G! ztYMv@`s;&JHh*W1O3MfCGkf%5W~P!qc$3E;W;WYA`Y3uQF0Z=a3lp zkAqHw@0m3C7G~c}24mcu<(bI+rP|lk4IwXj0Rkeb8;D=927}%`=mHU|(JZAsB|ng; zb)rR#va6>gODO1-_p6ClnWHkdi&H@R^M@K;xfNRGN1;FAdmq^VybQ?JM%2@Bg zL8x|!KcD{3A!^HsV_=1HeB#eAZ-<~y6@W@I5iNv4a66F}AE^!53Ol-C@Wfh&1FaDX zA4@ORoTI`AtuUtvG$9lxxZl6OeSLS2C`y_M7ZQPngX#3i*E>YS_8&gI{rdh^O5{cF z?K#|#mkDd7DqM`}gF4Ftsx;LG6aR8&AZLA@57xZv{4Qh(=~Cb&QWu4-!;1PJP#J`m zN#prLEW~fGf4@cR#gD)8e<;Tv%VQ{t4sQh*9cqf1FI=E?ezADYbWCBh_%0+=u^dr` zQDGz*umkWFw&grs?zSj0zP#eOfCD=OWR9gXCwX9^r4_eg3?6V}+=@;jJ!P-V{e5VW zUA#4!KPt;E@ct@Q4f5r1mi=~n_7aJ~IvCTLJ+}b6eRUE}te>!Kv~pJK^W>OP8wbs+ zYaBG#k8h!;5dF~G{rA9j5?5^NW0e>>!@^?4{+Meq6V|Xs{sD^BDc{rJN{1cB%SyL} z?8y_LIk_kQnVp0anH5XoUu_Xa_oufY`U4Z?J&eanU{zQI!@_~_K%_b|3pGnayh^!Q z9oRGuz^0s?Ds%%WkeBlXhPVTs;i zAlWRJym8$;BU|pMYno+L8lnINszO&Ua%fRIFdbKuJunJd z0E$5L2K!Lg(Ujb{05DI5olP^eHl1q<*m0jR0XsoJkT6tsIG0zpSD+JI1*i`y<*Or` zu^#-^Y0Op`)sImlw|CVP$e?x?BRV}QgI>48Wnf@&5z^Ak#P`&{Vo*;7Q1HME{n|(w zsQu)H*~;9E{z>swMH!{UK3(0=U%o_?4}4rQVg*VS(BwNbK={|d4g>ni(PiE=D;Oqy z{#*{jpLlBgs|;W=|NEyb+6k#GU%d}uG z)C&ZiXT*kwe}vd}B(@yP496x)ngtP18?12s>NLK;`i^bkR#t2R0X)kC&!W4d5ZyJc z2%!Gmj|puE)uv;9GEFo`2G{9)#@71mOe?XiH@nlb%L^PINT|)X00Nx7kR&WYR~#RM4{?NG&Ez=aQv#(W zms-3SCiW!hcQFe64-`tuHe9NOpRUn*D<*OZzLborJlM$0UD9qT=#7^bfuTO=! z`h7=c!-o4tmsGnP&Owj@r5ha%nh`t+vhyXv@R1+~Dp1zRHXXW3 z%)pWQr08_J+n7xAgfjYEOgOq&M!kG;r3MAUYh(J{(k~7MUGxjQu&2(GnP+arcTy{T^?T?;72B1n$t%&E2u=J|dp2FO?mQM!XNh0RT#sL+9ZgpEH zRcnajTz&>6UXu2t=UGjW_fh?r9@b8nH27Yb^qS`Waksu2DMTg1z!d`HEDFpabN_QAMe8h`Piq61!oIh0`4Y3TP(u z$OQl5o@sh4f@}Ox1tYRgGMOPyhp8z17V%P0f47%hk3Y??T@LAvDAbP!;qsU@@=n<; zV9gVS8dOM$*`1=A$?5JCZv&`5aA-&A8CROvYG@ac-K4y>9&Ti6kjIl<8kyGllpf9!m*61o&4bi}In0n$rEeEJoLVj|9^^PlyMp3~VbcAS!RH zpxP~F7LbjZzc@sEsOX681r7kvbm<423`ZVcD8D{&0hdF%#k@72TlEsTHw`zlnxR6W zhz4sIGk1y6V4W8k@bQ8+g^`G`%q!?b*ln{Z7-xo*z>lyC-J!}ZR3?$$l>UPpNfMHZ#e}|wGN+PH&uBmP%tI-|&c=n2xO=_9`r$0ITVU;T^^N!~Qs$ys zRHHOasXDH^2cOo-<=M(iE|>KhsYp(@3W$7IZx8|zX%WlhwR_+CZ@e_#*`0Uv^qpS= z8jLDXq0Otey{k{+Zkzsw?Do_!U=px0e0GVlEl(pzq6WhZ3+NbA&^$GJ(Tr&+PiQEI zdl9*riCc0R$Xk>rmAyhLQ&5wJ)AV^#$9{f2_g)|vkPW+~Y^hG`otp!S?28=|RE1+n zlr#>Vs2@SilR3Jxc2Gn6-ucD+?D9FeDB=*?`!^vvDTRH{@bUt}d;lN_)FJ?a5{vJF z5Xy|lK$vKL^5{Jt1!1n6iGm9rdXh&$m}|5rdKjj;ZU9iQ=o?m95=;0`a=1jmren7L z3JDO;R2s7*G;e(`YE$Ickj*JAPvC==;>aN83Us_#3Upks zVusn*7&gk8{&jct?#+LJSRCBXV+E=i_}>dy-B_E=hS{Vm!9A^MbmPCT;Vki7isCAV z^26ArRo>-d>2~M_VCAW`EQPX4@Jc#dvn# z5+8wks$XUltGuDBGVEA>qy?}8*mzOj1#rWb-k!}wwLMS=0{==3OS@x8+AL75!e+BvTBoveB zk~`6a_3MmO7Op!-SIntF8RjUZh!T5^wSpLRN>Z=rBt%5e836?U1W^%h5{NRNt@aCy zUJ3Y0SP2uWhV!1RO%H#2Jn0EGZK^>r^}6ei;U=xXXQ5QEY>Dn1zFiP!UFNEn-D#0~ zCz{s16E1%M{lXjJe_=m5BbEK=&WRLk6_cft*~u$ga2j_(1#8Eds~^0?Ym$`%g%VbN zkT6=!@1w%E*l%BW=e4U0DM5=bAj{(<@9}7((bq$<84ge#4R+!Y&~54 z`%TRB%}SplN_ke~0rD_WQ0()aDavWtA^i5sm$GR%E){AIdMaoTDL~AFaD*XOvZH?w-L!ac@fk7ZuC@TOh~*?YjyLh#Z09=!XEMVW zw%p-R%F?i1h-ztw-z`xvyG5?HMOFjcxm>i`xV{O$Ie8m2GY)D(dkTj2YtKM!OSe zKRi!-Dr{aXheP|VXFwhXBB?qo2N5S|jI@0)RR0Ye%j;F(I%`yD&}gJ-QiP@nGqK1s zx#dfXb!jykN`Ew+QYy@F8Hi>$b(zdPK0SkeQjPA;_hGPd8c2oZJ!BD;$oUMw-YJZ+ zc!o8jZL%T`rjZhKxp%)iZhVV-BsJR3*H^!h znW2OmbUP0*1)W=UJ5N0-bi2^rT*824pQot#75<2gMp5HCb!LIIywF2&y->f3k9G4K zA!@pnb18p#igB}2Cl?}cZ?VJ%EhcF?oMEKZVOW_bpC|2#3A%;&a)}vg6s2P_JzaS> zh)&bQulfYt8BttBgpVc8N`mR{G-Y=p6Av{Cc^|MI)hL=-sQSt zmzNo8Edc-EekceTVwgNksslCL74-ch|vN`t``^DLzdazzkZ42niFp0x z*IT3v5O(;$+@Su2^%0+>d&iOBN{9kGQUYo2t?U*UNGF#U?3|JuL#^!7IR89)C8 z#=C+DW9N-M!4fH@x_yDPuB#<-azbFVf0Dksa}*joeI5`zWaX>|hM6AVl3ONYaCYrZ zpI;zud?$Uv1-4rT^#CZNb%Z1{`nI&MYLG?8$jDKmg@Q7kd1p0mssK8NnqlqMk_HR& z(IOduqc3u>EaMwX5NI($-D)4)XfPSWw4P+iQuj^Kg*GKQuMgUT9|?G6ts;t9SQVmR z|9Ji7BM9-A+b_2t-`w6E-2L_8*@wGdr3UZa2Q;?-<~!8Szkm1Xd z-8X}O!_=q$0WyAV^IqS*dH25u|NQ2g0d6q(2ESy(pTFGx{_fM)yKfx2=7`NS)w>x5 z<{E30Cq@qqoU`>y+9!0dyIR7_Vh|V}53jq9;nkprp&ktfD*8=l3YW*s{a0I9LaTma zHa*T;whO(XF}+rZ^jv9lZN@>eP8g?fiZCf<0L%mNB>GqMs5*EC0m3UFso+5Yzhfvl z=xmWP43^WGT6xL8@gaR}SCx)BF$PhUj_Pi(qu>UUBQ;O=62LASe!EcTjFM zzuM42IX!s&?B{21p1pl``|Ove1HU+U{cP~_v%wp90G|zRpAFCk&KM*Wl;FNm_kgmZ z7@MXTD_A6qPcvFV=c8QdFGuwmNg@|wFu0>1OntRJVbTq*ff;lW zU!WP}0ddj@ykuJXz^*#XWwmErToJ|R%bWcO)d`Xus`=Tg`Hwq*L~(1TAQvK(>mglN z0-g6ws8DzBRK5mT-8Fik*ANdf`3h%kqnmK??Ht zk(Ah5UwV?1ak1zlhco)v^7oIPoFT7s|K|1k_Xn@vzWu@k-G3bX{^{LYs5?*Pm#0s4 z4HQ!qLiXS0+vy19vLQ#zudCkN z=&KdGXS0BF-N9I_;fm)KRW%F9N5-pKVqFgauiDH{w>wb2x?;7`jP4SQ+`z{xqe=j& zRM-A@$Iwd9r?_Xp_nH!l47YykfniyENU2RI0>Jf+c)e{lcPdV9HM@!BsK{O?eJfy*WZ z7=-Y^ACUHq1zS=mXyLc*`Uhn;UUIWKBhZ(duGqrNg8&WH!u~>?s;=)9*=%rv?tpHA z1d%jB#)4X-RzxR)J1+*44D7pt2qLkV6BU&vkP;soXTV}6jNOi{R~D|$kvD=|o0?LTL$6jB$usXcQx_K$hx2S!pscsomSt$4#>qNBQHk(5d-v%tAy)rUf+}s+x4CmSAdE8TZWNt3e7)81~gMZibV`!B@ix_`=tZ zXhQdv4WZw`lw@ zSYE*#0j#WEfhBvF*O>F2Sm@F=ny3zWB7plPr)i$ytegjrz=QFH>TMbxDQX-ox^Tq3 z_C$KI@HV9%K#Xa3(jI)B0`SRtB=8vh|*hT=@{;#E7^vuJD3#n6&ZoSi?N!~*9Bw{aT*Uu zsqBQRvlFecabj$P!vc-n6+TcguMZek64*LIkp+h6YXi{@SL#c0>sVm z&nW`N{13wB&(Se(a`+Eo#EwUXq(=P|dMfa+X?bZDASf=8Bokf8ygkrz(CYNen;+5S z2(q34xs%i9C*^^|9Nk*4Pe`#!hb3R1lGs-+ynD=^Ly0EwYa`xwI2{~L>`s>Mw_mTG zr+zS}p!vlGoM1XA5e8HsWd*NhKQ>reJ5X31<@GH$9WoGIh%ef5ZeOZxa%gVi8-4IIm`-;9v?dhmVifqJ!?J zfikykh5zXyU-K>8(v||)8+ahpdB7so77dwDn2$u5kF}mDpF@gApIvX#pd!U#Hl|db z_%P{`BK{V#uaJ6|EQ zPb)`AoE!<=jU~W}2p*$)P)54cb)bX6pTjy?_7_=sp_oaMXNBRMpBL@t2ywBXU#N&a zWBK~hcQy@V)fuS}R(Fn)mYh{6H}o*+k8s=WQ02arNh!@D#y4LzF5jr%nO>7u;WwvN zZh~K2()X#v;MEJlJ}n{d@I`=$&2G*q;?GsdF&d9HlyQM*@`a)Y=A<0r=9#Qe$_N4Z|Ngg z6ToRmI21&fRbOO`6$?N377HDSt1Na?jOg(U&lXrlbG&9>IR#WK_JmwuQPGSEo#kw| zi1H=DxgKBziQoayHi4BP{kEv7u@5vfHKv^eEg}Xahs)MgC~C#*h4+uA=(j9pFR*q< zg)$-3M&|~*gG8wf+#o|_{3lR+b+khC^?6G7ssc`ob%tk;8W6g2v3>wJ`D$@_iLR8a zn`=sfIdezHQ+OJk02&}I3h6JzHgZ@{PHdx)c`l@FDM9=l+k|ErvUk`%rd>{;p*m9) z_mMJIVb#eDITArFbRilfpol#l;{<-NM9IChhXA8R! zDF`|>0c((#qaAoeUpN4R5Bgy-{$LIp#(`_`_%S?dav3cf}uDLynBim>*@UR<I5B8 zy4U0jv4!P`tS2uf@frm5Lqb)0+1{mtD3@bsu$y^v=>3Dl(*SY<_#F1qm^_ksf(o1wyN5M=k?Kb4V^zaXi3_arle=hhj*k z11^RFeWoK2CsSQp*|ps7lMaDz8@5hRWhk1u-Jy~&zNSHTCJ8kJe02S&*(cPl9Mz2* z3nMyJklB_)x;hl?X=SEz(c#-cZ*hthLATKuh=q)#;b*YgJ7*z`3?H>HTY3ng#R;dU z-kwGj9A8x9(}CBAq9wIi6{i2tO=W3dql)V0xjeuvFsf9BBo3RA)MX%$6;B^%8^0@m z^Trp2Lou*TlOol_p?gGq6(OAMk68j8WFROrAq+nfA=w@n($Yj4N%^#HZEwBq45#}k zVL49a^Wn^MGT^;nai-wKEeCRX?|cx=l2L;LNEBfy46&^?Oxir1Uksp3`R|F-za95w z1EcEj<774lOi)!5KJ@PP%bQOhRLy2e%S_emoHR}@0fzzE)79;w4yp$+-~AJ1y_f)i z>U`*z+B~_q1a-hd&tN+T2ZrhczVG8FAdBDKf5R%@$)@I&*&5p9x%jGZg~bcbQ`!k^ z*dwYQPThP9r|=6=oRhp<#c8%`X;`O}z+{F@ykfMGz0-4UB~@>vt7*o>?6T8U?K39K z1o(UkcL>-F>_oF31bvlz9q7&Fc61_gpiZ98{xN@w`V2UwG98OL%6#?xehX)DYO#}9 z+95YNF{2?LGzmY2Ot$70z&P=9gBChzZUUIIONionern2(ffc*4Ng03z!*@DtzBZ(k zkZR=i0%w=6*v2-YsQyWMli(*J)>A@W>zCI9Xk&1}0Y@cQH}mIfp%d0hIN1@x5U7Pv z3?3vjsnI4BC_JzOvhCvePtwc&!@G|}_&pt*%P)hU1u};BL*yFV?}nLMvBhM#ZC-Yu z?JYadK3aC5P^%U$K|9xVJ;WSku0E^a@(-54O)>J6W$cV~wtTS_a)9Y0A95TZ+{L=+ zuv;&;!TO_`9kS4=^nyBqzN5Zt6+*%FGQ@A zHcrkCz_qbbIAPTB4hN$nc^ghd%Jh22cbUQNRKC!;oW4UgC~tra|$)AhW-@5TXNfXe4&34%L1(}~6MriJLt zZhPb4zwq#sc2Ld)YXZCH-{tr3_WQeE&^IFgyD9!|@i#v5%{THxfJEtUk6-u~1CGxI zHj(%F7yhjO|9{H>{7d-IemlQdjgc(M_#tnQ{*5Ww-z`2FK0)Aj`U&|v`GowxC%092cw%>S@ZY?>5t3kkP`B=e3J7sod~*HCx8!e_p2;u`QKgXs zKdt0uN?&I{lclo5_+CCi`J{_Lw5rWSV32{`f&A=r#FN{K6zX4Z>!7o(N zEZvP}oHRh!Ki2aabJP}yo*pCoU=ElQ4%`S(wvbkjnujOiu}5>dmI>vM)zGl$$QYU< z1LDABZjxS1p&@mNB(MO!7E`%U5%lZ{^VL*K*0eQr+W8ZqxId$Zc;X7W81!^f8+2d- z9znHqgmxe!xam-RNKye%BFmC_SI9Zjv|iLD^55{hb`7Zm_S>8Zzt(T2-ZQFgJ?NM@ zLU7qjb*$ElYgR2Fq%F`0l5xZD?I?Sbrpw-&VV%Frb?0vsV_gQ%$i?~wF(9b5X`0zF4)=5QvJcH*1Ka%EL6^RTS=353S2^5-0O zLvS%k&YZ#%oqu}7iiwje7iWi+|?D-a*{3u^)y*Qe$n|dxCe^s6^B4M zgBzMiX^kSlq;{`>+fIfg6*?BX}*xYasoV;n9XWA>`wG;8nBJgMdqd&AkTxJS7 z(>*^~fwu5?Ff3`m4OQ6&=mDmQDx@f=1EG&x!X)O$6elbz%0k0>_H_8G>K)GvN+&m>TE+bMj8|2S=!M> zRs|U?7APBPnqmWYTtrWy{3EQ(Eiw&=OO1y?p+3rtEm9{d9?nGc6KI@;fZr1>%;1gy zZc6A|)9u(TA+G49$X>1qO}Ji39S{JUla4Dm)_^)`91gh@2()$|tDTLd+^Vm+@(HxY z;=9vb5%wvLD9zGnEHgG0m!cF_kO~iNf@h%TYsr${auNVZ#80%*lQ>vmYc;7Pt==54v8u*ps6RB+74M&%iO1#sDhR{S0Il!;I~6 zNGCi+YMMlqd|5f9UlU|{6SNah@_YsD#A`H^1BDJ)ez0TGZq#@TzTnHN%~&h(C`VW{ zXDyVK!^!Qph&N_E?A;#1ErJ~z_kFiS zV_7$e+M2&ckCy~sOv!6~cdf@la1NCN`Jy1Qk$+H_t7*4K9h`*^M5qN%^MSCUr>Y3c zAI}CyV3bbNmUfjE<5fDDK7a|B2`0eJ290W{L(oxN^yNjuL>SY{tcdcfK^NtQ2$5;+ zCJE=sLQ}w_EXI5ZM-=(4b#nJxFcs6qs{}K%0j0?O{^|!$yl+^BY5)ARCpT_RBC!oXr>a?wGN`?p{%HmSKH=R5cI1{#tg zWI=t&7*IZ^!XqD=&8Ji7WLWJIG{ZN_2X(n{Jyu+jE`x2TAKpyrS;`Z~d1MwqGs&}* z;o@{hN&__(owN~_;#*i5Q$j10w}U@RZ@RP^6#|2khWP~1f(5TJadfl0f?gBkQF7CC zhQ6Sk#2KGON@B1ST30udw0$%Jhi@HUL$9QD_+y{Nf&3GiRiYOq=1FOZH}5~)JzjvK zI*WE165GD-_XKkE@%*~)k?QeKWnsC?qxp5;HvKsALQa}INs#2B{Y(_4L5GA2F7JYZD{TYi8&2!h=`pY#1A#wUIa5^E zp?}G^h`j)HsC-=BK)EbJ?sRD4K`P=H`F3nn?3zA3c8Q{&umV$#ViyBwNDmIX+W*ib zc}m^35a8767S4R@gL>eSJEwsz2r0B76zVYI0LBX`?E810e!dfEA=2DnNkEA|XNexp zMEW+%ucRG>c$~3j2#-7;sEYy3;Tj}fA%uMc9<0iay9R2QJfJ^-}{aF|c^GMqMWcL_le|<6A~p8J*mCgY@2Rj3~>N79LpIXY>_89%l7yF-1pVKuo zppy(;NSjj@RzB&hr!^e9j>LMc7U|#X76`2l+wgD@89tm1Kw+V_YpC_dKQ0S~c zMb`prScvita$6_l=(>J&t{~AG`R-{Q)^2=FmQfQ zsyZ<&@ENIi+1unQafuEmuJDT;2*;Tn!!(Y@>{zSt5naqND~E+}=8Ap%IfYR~kjK}VQSSjP6 zsjS6`KpRNQX)rDh~1O}Bdd^@)X3EGh&u`hl?Am+=g-xwmhF`Q9A)wiP~kJe07a zP|0(%UF={KcaTPC1OQ>=HW`|4^Vrdl(4Exu71Zg06p|TTxmDyEd#RE5@(dkq1)L zXPAyWp1#$NkiX?*g9J{JO?2S9LZOVecbdG4E19g~mZp#hTR**o>zYCcjxF((PRZ5q zpQJ7@Fp(C+69lH}f4e1)5*UL1#1~PS6RL$LG|iG^Ivg`5*Phx*1e_hp<;fZ<-THjJ zC6M?>J(*8V7nc_)gNsEA0mw+p2qr8ppQBsB2Q^z1UdD4k@g$MYtrMpzrpF3Z>A(6a zsfUAW&l1xwz@JkFt67th9+FNm4qJ>Ohl+<@Qs-Fo7XiFSW;luV%#*$CHMyb4gB2y+ zx5VBaPQi=7Ho2>rzJcxYu>PI}uC=?zWa5A5fkEdHcQP;3l>JASYox06Z zdbI^QH)bVSEyReKlg%THh!z8t9KdGunnMo+_YF#JWvrs*)@Jeb=#X74Al*P<>zfUn zvIpM+N~sZN58aPDE~hZ^H=6H^(R_zrZ>bDYyK4xzUzUNg7jVRUaf(v#KvZt$&(G07 z870Fsgr-(jNEch}z@gAVN4K?SFx}W;yLOCkmFhRCwYl7vqW)^FavlX%>|2TS4I>wvK3pppc>@Y`VcjCx;}U*yrtTCTQf+Wsf^h z_0^?iEx|#>Ai5n5SLPEoUK!Q3IYHIQ?dgrS^&wQyb-fgIlODNXNXtt?M@H%O-T2`m zZj8hjfn+w_JiP7rIR(tb;%Zbjzi+Mq!sYt zhZBo@IEp}>fNWaiSM!_YgB3&k6qt1#aEJ=C+IfGU}o;Iu1wJ5CDm)X zPAHGIN~RBE$Le78y$UeidDEUiLq?=+Gla}L)3}-F&6%oW)fzJP@K%SNJC!HB(&J(|K@&gw5p$SVR6RMZEb70`EcF5LALuLjvNo zuTuZLYP@3oz*gcphe{C4p9kjn8ur~ymXd@)B|{3^uV3+$YFGh=1rACx8Q6I_v`Qhv zBgx|>zG%cI1UQgAJ?cmNsCv7HA=y&gcOg|;pI*M7>h#qOIDcRw*>k6Jj6*#TE`y@y3&9B$mr$mTl&Iy4K>sJ0*VG2 zBA&F#Fa%;0YhiB+gE9rI?Nij-$My6UYPzzT5J)%9BevM~<`O_5jft49+ZmS41rHDF z7Edb5BZ6K5d9@mR5g{k3EZ9)g0G@AGgQKH(rqQ_IY<}@VssjsQN$1Tce{LXOtn`3Y zI2Nh%RaMd7U9Egv?)~+{r?)O1 zTCIR}ylV#I@tK_1BI}Fmf_NAW#yLypj+1%+QY~;1XB^#Cf8l5w>cJAlzm*uxJ#s zfHcy`9~DD=q@6DdAr@*N6OTl*p8y6mzQR4y6m2cn@x%2TbX5^v@3&Djg(V1QvxyQUC};L{(O^AJpo|{ z$8b#)k)~UpDGKyq^9WUk{6T6HfV0f3h+%p$*ZR?M zTi#4QAQBTC==seJ%7XVrQ8=NQoIMl*ktx&1ryOF6MjXVA3-7{tiYy3KXQs!}r7+m8 z3`-gpQT-G=f9OTslHJGzNPu0px)&yjEO9bGQgq#Q9~Lc9Hg9WclCtG~-T(dVeTJAa zlJa$xL@FbY$c#8~hJB{)sO%Aq4;noTVPwQAlBN)2v0!ope+Oo;^Z46~M^w#!`w7N3 z{(&T_$KMcn`DXAp{PQ9F^RICbg+a5?kNo#PBj$QIMETpn@4w!?zs1=HPl|EChX6wp zeo%}kA8;xjMq81|n-+?Q`;m(rY)(u+w%-8vvX+@fZ1j!#GWFa+)+z!fZEwQ<@S7H1G~a((25WI?;e< zgfs{WTtR_3A0S>Ybv;W*On!%SnB&IFyvRBb$)h65gk66rk#SyCbjwOCXIoE_rQrwp z5ChQo2BsA7fBMgSk+oDGWmiNa;#Uwn7omWy8*x;B28OTph8LiL2>W@S-~|ZzbT> z!g77_^Ze}ir!9WMs8_K<4W}yyh}(C|T8tx}4+-4;lzgM>Ali8`U8(JsnYH)ZM|4Jf zho*0D2Y>~;w% zJgbx#(y!nLWQJ`{rwEay!4w|1I$C+$`aFAFz>o{pSB9jZ19EuXoHx6#{AZ4Vd%ck3 z)j@%Qrz5^eVwK}fDI9%V_6O94q?p*04X)R7ROpz9#B{F_9g&*`T)P`0ByDqzMYOw} zS~*m$+FjSUGSv!~UA2nhQgAESafoK-N|{E0Zs)7BMAIsVl$>+Eie9%YhJ%N$U-y;i zj>@|5D`K6RE1ZUKiqgya%_>6-Mf{B~WqjdELrJElmWde!CNn_*MG`Jd21#oMh=~+m zRyk2tD9RJ&x^tAeeGz7j^nPc(<7m95*YZV3rzhww?xqPB&=&E+K?(0l98S<}LR2og zm>@J*`$sbKN{kATP`P_xv4GTf((tcxi=1vA9w;|O4>qrlmKTR%l`3NuPEebrD`m$C zWyveaswiaY^#gL58!}wB+p}|+p)8!lH;n7vL}Dj2!6|4x=g*q+=DcY^^*HN@18OjeJL)ewFyd)SBFN7c0O`*7-^ zq2T?frzWQWzfd&{Y7nH}vkNp<2p&xVA!*gcDM$gQXYDm>zWBC>qgW2(R_^hnF_A#`W9cwBXgPvGu+`K^U6h-lz19^$zv)w^sdG2h+YzJL3|<>t=L7dYCX2tT4vp32gH z8psr8hL8_EAN=dTCRb#GayU;ts(E2vC{C!$B7_PY!o46Di#-9Gto8!WAy+mlP?fAI zeI$F+!5)gJGqoPCC0b&L$qtThhWMr1B@BVE)wjAuYj(QM(sd_-Du56nRFo^^>F2Ha z%^Jgz5KebcZ^9Rg(P*Ij=TptOV5t5FmVH#sRWn`pz`MZ?ae(<_|I_o$&U<;$7T7q0q{VY z$wq9$q^Ho3Vk9u-%Ba#KH`}Ai;~q2PMIKq@S2#2&HmaYzIzp`!iSv#T-`)r6i5dFk zzC}_E5%rzXa89KMnw+9<_Bpy)AHi#Jbj8i@8*v(_jLTMZ!aQhCXQe(oU|G~4%>wXH zCZc!F{RCS`2Pz6ORi11LA0cxC#BN04zR5bp(aO#1^Yj}6QY}7t^=w{Jr8A_mjVMA3 z6oK^MhU((z^eUA(*>z`qNrR`<5f-@;xD3O%qrQgZLNYR_Gtp!krWXsnJsnG!5Nm`= z6br*yr_d3e9d8bY+T09n&JgsDHfK?Ulb0MVuX)@7a%&Vu#2B2*!cW+K6eW)QhYYwT-HPaj)Is}*!;_N@ zTsgf%{v?$N`gVH2skA7IG_7EAFhZxYeXg`Tk6RZ9v_33G%MzYyL;khh&)WMZhH%vT_l-}#}2{wD*b_!xn#ty946-^Xy@8e@PEt0d|nW8Ue@ZeC&YwjsBVK^be zf%tjfo&|S~4c+p_Ug5HDS?4qI=7F=Q4{T3;V4bG52w=fE&+HZe-e{{wm7&h7R!${nS$&B78Ia4@}FUJY>l1;KYd8h4m74FQE&zcfg}Rd zD6uw6w*&ME&3z_d*XN!8r5SMk z#%+Aiux-PMa(CjvO*k$2<$ z08jO$KD1*bpd!)b>>MG;fNM4Azj>7ShVMRp`uttu1c5Pli8kO$GP{sXu9z8z z-Jjxh2!5U)E#XpjAI`yY;SA5nOrw8co#!1Ka#~C$=}UKaEcVDtGkGR4IuEkA`zbm8 zVvzXChXWqv{ut&6PI%C=EHdN?2EIGtsj+hl!ckeGG5{3rdaO^ATZ%G)dJgmp!r!u( zhqKlhshqX$96f8gziX?`=Z7lcQOOXdJC1vGW4VjKs?%mb|zo%**5~JJDRo{)f~}}@VG%Df=TTM(8-*l z!1azoh87!)kd~aQWOHQQb%kP^Xm}nK&h-6fX-6$N7l_ylFA-Ccs_D1)nK&QI(FoZB zd|FnL^a-&B0#pb<>N3uL!pz4zoDnUA-6s*i@ARDrRVp#aMM*Zbf0FKRrh)m15B307On@Cx#-;41HOMZujmf-dScFW#l`T{2t|i+<Q*Q&s=&B#bYdjOt1DR1nC}A84Q?^N^Qyze zkW!N2O`;(Xf8?#wC>-B6EzTT@;|8Pc*F|FOOtpHg&?_lQEI5)6rns zTAp>+pG;2mEa9ytXB6_|*{0#D z?fMQ*5Ox!YJG-uQZN~%-Y8txWNPWr9*k%HLswigaowk<8fvk-S-&WHauab(q8D~L`VEk|qgUh= zFf_5__YjrB8vgIxbK4Zlgn=;pE0A$^wL--CxRNlI0^ti>-q$BMM&X~@1lm}M^T+nf!;budEGzlFQf7U{$h!c2ZSR(fVCM>rvg)7|OU)BaO0j9P6WH%KLZ{_=)+ujJvN+ z5tg1D+k*Mau)aDLP!B=VWbs=N#a__994G~6F|K>8%%hf9!HBYGuA(GqKuTiu+88H| zm$^wE)&S=7^wVKtigAOX7c|G8C~U3TrTJ1E?NjwRDz&9s*8q!C+bn~tXA z5cTj+K-5D()M}JynGJAe#qprZL*Yr0-`$w=A*}b=c7^~`z|>3VVk{${Y$%$C9H5#b z`W2Enff6^r-+V?yrxY7YgM^abIi=Z4F6eB83!%m;4m>tL1Q*rkJ}2DA$rYXyXbJ$M z{jSQ7c#@Pw1JykV$Dh(DUap+~VuCUN@m^m^tOh)VFo7U~E?4kEOBqtpzKNK2(xZzJ zJqM&nR6#>FYo!Vr6ng##LgX|)j0sGLfb%oxu!yiwF$iE)ylkD)?gIGno2?~N{=jpb z3A34#<3R23JTl3?36=HgovJH`<-+-NaE?_nQJUHx0E(wZ%}H!mx~jSgcvR#Rec1l` z>C3(7B~VSWdeZzS|4-4JhjCLE(f{jn@ZUwHO44v|k+7rFeX~E`3o(iouP85IXyXC|GsTPKfdyfXSJiU2;R`fqN6r{rm|zvU;asHI@~1$` zI#YBpB2V1?22WHBk99~Pc0>w70s@n~ydEf{OnlDNG|?9=rY^IP3DA6b{lq}z z2fqp2mP!#Esi>+K-n~9tS%IF3P848;Qa*r{ZTKhncN}ypC-8$VLD3bi7UGUZadtUV z$#L05s1PR@hV<(_zC1^rm!S4`#+0Z^ongn7hkqN?REmGeuQr4}PFJt#M?qx4*nai|u)Q6H1 z2tx*UBo+fL94&9Ej!!kKsP~9tqd`Ip9*ANj=358O16dPb0aXi5&d<;XiT$d(X7s+k z9;?Gk?;nIQpzE4en;gL+F=A5P0=8!6#sQOSrV@K9&xM*M4T_rRm!O`L!XMfQLB0m6 zg}Mt=M%kWdm&f=vfq*X7QU)@fqTV^Yy9Qn~d&osc0(bEci$ivl3qclESJ$4<2JI#c zH^w7`G5qemPPiIW$1MObtVVQ}qYnk0<S5-Ku~x=Rvx@VmG!OmBj5vR2BP{ zSH5LDumJMj{Y&r@d^?L%qzu`39#VzU6q&v$y3(YP*Q;w0S;#ls@G6Y~!JH)$rSLqB zm(R?m1FSWP6ch1+^kQ-F(htNEulC&G>_H>v`|_D)WR|PbKm^703L%s;loS1Zoy+oc?Cdq?sw?Bq9d(gJteS8ot!MS-#yZkP7 z;B8(bN5W53AdeX$Cs*fkId*0dtHYw-@r6)`>z~UtEBJoJLRYN@;)v=WZE=*I3TUFVkQ_-T zJuV#$K?d#Zdc4qZs3e8Ag33n`EldnM!q5xbSu3YlnIPXu(;_?mO>YTjYI`v;4CeAV zs1wf&ZC>0O^)crS^-uG))nbq6t3aE0?c>O1vl5-I-((jHHk*#Y#(ekr)5G>L=onH; z`pKFmR`EplC81j>841O}SJ&e$Yg1%>oo8i{6dt8mAB2ZHxG!a`)~_=^Bv`dYb~9CU>`u) z87(g`pha2h$l4+qh(Nmu;}Fk}H${PvU`^m)nYvi8KD?`#FrxQpO&i831tTU&)*DOP zv#7|(I&^RM04#iO?1V$un@KK-lKr#I5dB-ml&mBcM&ASE$X}324>$f1`kL6*;As=g zclBDu#8&;C0ID%AY;V?%lGxLhhhL(|B+t&B5vT~CC;{fF09#z0O@#I)B9YvZ!B27R zstO#RCvIaC%F_kswe4dwi3K70HWG6fBJm0ha<=OQ++xS*e%Uxgp|BH;ZHC70j*%2L zA8OZ=0S>A+K=E^g8f-7OSF1HkYyrS;hjNYrPuFIXnjr()say|ywlgZ{Rg9k0ZHiSF z#yeZK1OFW%5AFgJCfo*&Nn}lg%L3tisv^gzZHYy(Jz7nM&?S;xl24&j>f(_6K#6<{ z;)gJ?*JT9q{{H?D1=pfDJ(1wM?cqiO@%S+SMqDEvkUrl7axh`ZmpyLA>~WK9`n1!o z-Zy<3Th{k(`jj0evfMh-S7Og~2bJq2tjN}?k;?X|{bCCLYk-339ebweeFMh`4CC^A zz0tmKd(S{>J73r~Fp&s!T8Tv9db$jPaYq|Ju#uPyjSQ(7R#1cF@>T~yJBNXmk|ijM z{n+LRuX#Ch?Td+2{6>!*;LC=uQ-3G@D+_TH@rbBPEqQ0gR zbL(zwF%Va0;cNOPRKBQps(zKgEezv`CO>R{ zeh$t>6|%VKJUt)c_ftB$y5rK3dt^CV!9<8Qrz#B*_eAM2@zbt|U{kYwT!q!OkatW|qHd&s=%u?e@4^%5BsfYb`ha zg2O`e+oGX;VaQdK2oo9&>|=8Y;c8)kTC*qmB+eO87>Flu+H)3xm9Yxl)MhEkP_w+h z{pIcf3g;HxLEgT%fq(9M#tcT=8CS?8c~c6nD| zsHo1DzJ;I3^|d%7^c7Ijd-bid;Hz(!1z$DaEDOGBv@AGNhERCpEm-``33aYIV5Q&vWIB3PNak)Vhr`^N3ER)#8dp=koFN9@&~ znB=FvK2;8VI8I6z>|-Xf9I22=!=A#ZVSbrFL|U$&8BxbzMuQAeLL?$_lDuGm!A<#? zKc*`Qcx4C}`LsM^*DI+R5pa0nNQ|a~Q3!8qMtgPVyjzqx*f`pC=e*$G(0};dF(6%! ziB=}qB5?BU-Me?FPw?yDnRZ|hH-vOy_;UN=!_C9Lzxes?Q7%FwOq(=d7=|mx)ybko zmEv6JCvP7A6Hg9jhItka)~g?-#H$1y1tv+cnGaQXWMvW;GKMhJYhE_>nwPljt0cU0 z4x z40OojZ~=W^kRSd=h;-5nCUCnW-#bhGbNyGOr34kg5JXUcayP)h@B`vpNjIfu_g@K< zyn}E9B?g5LOhcns0*SZ^7e*=+(w(COLbVGI*=+xgq7%zSETUNsRPNcCVz;Eqpe+yM zJ|7(HA7qwn<0_@#4W4G?N@6jp6r{lm$g^d!J+vcg%9zGfSqW-nyNI}Fx7)Obq=sB6 zV8=5=8RiDWto&d^|6)8SfE)!ysMNV>ExM0Mv0$8;El5ePmAX>aOB@ahN%ww-zU^e# z_YmyCz8Y?Wh7JoUDYC{vtX}qGQx3|h;qmlp%<}y(?w~jM&8_}IBhWg_$#cF9THVa~ z>H^ia(k?rJj|)%+fd^zeuMiLhbcX{)F?jrR9*dQh15Z(-eOB%m<0~ykD;Bs^)4T*X zT7nx~_e!05=`~?%&w>tI0#js|ou`gINb@>04`6?2A7-+aZF!N@iC`5gd$s6{`1Vyk zDLY88_3_j8=Uc?#qAL|KM)?gongmNQJ$>iR`B zSNKkz>LDal!x>67&Q#$u457<`Io1X%)Ki_tWi_A%MItFT><1rPQp9j%hI^sx)gH?- zBKIy<3QjTENIerN7-k`EI z4}lySRTOcH%aatBtB&i22m+1j*a3mw9gKlM8xh2K+#juOi z*7Bjs9#$sl4hmSyH3H#SYNP1}GD34n|Lve0ivgPZ8{nRVS)r;FqI+SIpCHj^j$efY z0*AAhhO0G@hn&U55nY^0`WI*^^5hFvXG>J6VnlCZ@z&bj9kKdLqcxKeY%kVThteIT+LxFw&J5KCLVCB6qL&BbCD66KPW zOC!464n4}YbSbpMZ@UyQCx$s7it$R+ebG3STPr}}j}E#`+So%Q#2%01LEx~56(OcH z5N9|E#e@op_D)qojcgKyMm1V8=rPUAlFOPlbo$zp{)!U3C`-t{!ei;`hU=B5DQDPe zv0z9I(!uiKs`0t!cO*=|eGVXhnM{j6{Sy zwvdXep#8PDP_*2Lzs{C_-?G1e30IBkh?4o_Ty>UKY)1DhHhoTu7BcgjE=Mv2J%WSn z-3PWr6&2kNR-hA}Fh_Jltg8q?WvZK#ybFlp@x=r?@lqBZc4V5A8#+G&lF|sL<5#jS zs1Z#40YAu#qpuE6$ljI~`3RQkeJ#BP9y0h&XG~>`LAK?PK9BBfcvHs)q2%*F$d(j& z)M~7+;hv~nbz9tMT7;|{7>vk>V9+YgRazuFw2+ByhF9h$>n0fT3v4!b*C zW}Sh;Xe!4wFjmVv&5uws3BLwzMWs0(sxYj|B;{FV9}LrXSh3U(03)r>XCdr6-|sVC zfF>$Ds9+)eIZ6C7_uJqn1Zi?8x`Rq5sWWc7S*u+?&C4M&f5Nd_-F*3!H)`Op5Q#|x zswZ;Js54g^;FdG=<6ILJBFK$Jz(22wrh!+-n@PDc{tc!R6)n zNJ%t}sJyIC=_g?lgc^-RI};NK0VBhCGfs<2psIkyEp-QSGVF}gdv|#eV($>%r@kCv zz9X%F%pkU4t|z&pQinS{(l9Huh0JF~6Xxah;(?0csG(%_M`*KkLW)IOjyWK>v2(Zd zvqC-0F_)IAi<9B`@#SU!Gw;@PaE*lPf?e&dgIzi!S(ss>3|7)=hf{G`aE~=o5gp3r z1{RGyXsoEli6RlRyarV0O03*uEnm60Ci<4{Ggk;LF66KE{auJCh+Lq0bud&s! z?uptxaEyQ*+ zF27*0TAYP;ySz98EyGJF6+^^dsKrHw<}$QWBf;YsR91T={2Lv-5N;XVR=qR)mF?Zi zdC3WBQW*iyoaQi?C4i3$bF?#OVY;c8$7iI3irssXPWQ$$R7*j%m?z6sv{TN4dh_sb zd;iF_lp-8blxF}TXdKv%Qe>#Y9V@H8WO+ z^!_dq!}IKyTWMC0KurF&gSPZ{9}Uk!Ty(gx@Ot7F%2@EgARZXWN9TtcV=|a}5?^4~ z1an29fK`P`IG3md*aCczSD^?E_UrokbVflIGGBY==y|bWeILn73G51j+Hem-nFttI zZ&^T!C6HM41TBpD6S$hZC{aqkuzh&RHktA9_V*tE z&fR`|#18}?pyb$B-m-~blT8^dI(#1cVbxxTZ#JK3O?2OsPD6JaJhRC=+4y+38i^9}V_Vzs>tAK$qRQ1PmHO-iHWz zhv1Y~p(RqbP0B{}MbuMKw)Sw0nr<>xRlqt%W82l?F5q-&B7thH$0<+*4rS4(2~f0R zv3cl0$0!R6MgYG@5u$PP{_Yp_sKp5V>`>^!vP+j6tFjUAokrnEq-$m?vUD`9x~?P6 z_3#8h2#gE0C>eFxlS?p`g-!KlFazymNWl@+>B`m?6Kp%xx^-h8v11x2ED{~CJhCPG zDui*gna-XnfQBjmfUiQTv_Qd!u8CSyx{s(&txD^xG$ev>X`!fb2ZDXrftxC$N=+4a zWSVd9AdGn`CAKE$CsdJCV_E_+>`J42Jf&W*tfNVo>^0zJ3$i!@WcS8n7BUUb$=$Ij zG5?XEWuy!Bv7#^opN$0?#IJ3g8~8=lA=j9y6G#I7D9KwPB{)!(J!n2!A+Gv7r7 z5E&X@N(>Ouo)P~ZY>>oWPcIgxaryy;i?S>z20??=(ypZ&lwLntY!FbnT3j&j5QU;; z$(#0)?8P1=s*cf2PxQ&%!r?zp$M7KFdqp}JT5Gc;^-k}Q?^0!g?2$j)Y&fyLZYi{u zT+5dzogU+RCLP;JQ!eXKP?Hb^CC3#Y;l;~ig8bFmJW0}0+Ql!BQ_pyXp!#MSNnvvd ztGSLD?CqF=Gafb*@cYR1Bp*_paR`!(ZllnEv*R`T6J@nfRm%m1dxpxYOiu(4_{l(l zUb>4YDpBm$&BUJ3l;a>575Q+eDakl1NzuW=plqfpF&^(7Rwve)Xcy9usb-uvUMH6K zgOK-HUQx!6T1#Sp&_)+*J_CMut!ar$=w5aj?|eomJj55HmetWQT32rAT0Z}tk;OS8 z3VTB>ba06b3ylN*RpqdJ6KW}5Akk;*9t2`%=!Fmis#yzl0}H_>K!>bIlLo9Ily51- ziPmIR!tg!RUgG6O02FGp#vD?ZW_Tgmj=-Q9ma;{z4#~2w=37&M zVE&0I-@FYlnQz?&Q*fIgU(`qw6%_^J(3FuyzOf;|4t$N02K0epRK_aIqBctzMJSAj zMMcj${K4YFYfQEf&RpI=r5w`pCVa$76(n}~@IcD+anvHBuCkCU>p^<~6K->E?<)@h zn>xgTAV@VU`Y94#;ag4Hh}f?6q)!K(+Iy%&I!#38iXLnX3d8ew_>Bx8y@Q5f=1mF81@ne}SC0ZF4BW=bKXGop= zW<0b!zJ;Vkm?WYlJxUU#O}}b9U63AYMxXgHPO#)+f;=BdMX4y;%LYw*ji9J>A;u3T zlD>nviGaaUJ*i9Df%DjsY^HUS(~ z8>p4OffMN)I6e-w!%)6)vD$3TV&D)R$Lui`Mk>_Pouj0qUXx~$L32drw(s}GHF}4i{1}&Xw<{rbLZ^ zG9Tyi!=$4|>Xy!Hu>cj_5>aLP7{^& zW{(PQQV%`7?ouMM9UGNzb%v$!vqHo|IVq%pdgU6Ah7aaeB4`_ND_$rAI9H=MS6S*1 zlrd03Oe0o;i9Q>Suzye@)&Cial4Ms)E|U%_i^<>uE2!efaEwPyFb#1obY6#A4CaA? zl$3{=TQ)pacC~!0bP&fnhOt$?jg8KFjG?A6{?V||PVMBU28$g0ge$XiiqH94cxi%8i7FfTHaq}y0KA;`4$_#Q3T_8G z-w%J-JzVSGkpGsdsnJY;08W$<50L^0?rn8J-M|OAshWtpzI%WB^#)!icw(#!FYXUF zz;?5o4G^jfO&k}%(B0Y3rQ^#+j94`l)H^fk)zWEnUpIrXhFoY;ba{*;-9yq3_t8s_ zU_VV2$V+vrn{z$QI`yE2p1HE>3H=|Q&!J@oS70%sv;q5JJyQDtKRrhkzamF9tO3j7 zYok|P6U~*e9FH`JH*j50Di!gl^-uzxpb3jK+tsh;QX-QB!tdg6PyU7&{zhh``)G&Y)ST zN30A*+cly^5>M^U+fQG9et-MC`C+m@+1nqX&hvDy9W}d?+rNy4Q)D;t{xhow53)Q* z{Z2IFaIP%2lg6g*S3^_xdz8`r5SkhR%cgOtwnQwO=G!%pFvqIqhdP`9Ui8(GGi}Sc ze@M@ofRWAqvGUwANvC5*VYBBLA#2Bb&@V9nX_-wW4W+FRFr`(7AoIuO(2Bk-@UWLS zLq{O^-Q9h#4Xzo(qPUV#G|O+WdSVsEp7Dy}**BA{Cbzv@zdU~BA=qlHyKV?(f?rAK z;Q=kY{|uFMy5XLftkM5AtVbk>WlFe;d>3uP;p9#d8p63M6?XQl!VV?$$g3s>x{#~W zz+EysXEgQ9T~v65+_*faQGwQ#SbgTKqC<^qds=CXp-ccyxd>h(8JfQ&4e;nOAA{xW zv7ya)glf*HA+SJj7qWrpQak}l_<+AlW^lBs!y2#GXDZD-UU}BEnQZ`hU=~n=T#X>P z(M%{2?9yMme@w^{%)K&$WFcbLQIKMbKTq1?ES`1RQ~PAOt#{7i0~nxi4q}N6 zI=`%xBa1p|QPR25fMidCK46(j?nrDIp7i>Z5-c)oW@PAIjQ!P}Y$ngvZ?`{NX1e08 zlC2KYNe3Qw2I6DZB=zS5^y!HC=yr-{7iVxxBJmFnHAx^u8afH+;+(N&qn(=D<|y5(T^y6WiXbM^JA#tYRt(^HC3 zeF{^KCEiJ`F=lkgduhd4KL>&*c*+qLBk+Th$5~X_KCQ5_-zq~Ir%m* zU5tkWxybJJIlG{r4e|-m$fzPG6$-dC0Txh^Ku+y&KcN|a1FL)@W^k@2^~}&Wqy#X| zp(15<2FpzzO$Cl2x!SuA96!(%Kba5e9i5FxRa!!m>lFh}UZO9QL^BJiaXYdxCx*_a zg&0%^XtRiQ>D-`3LAa$=jLpL+vs>B>xvT5_Q%ajIEh~#dtFp=D*&JSGNE*h+X#DJ8 z^E&5VNpc-*@$bi*dqgi*M%|WI(=?Eugg(mhhqPlxI)oF43<}*G zeC2ZW<0V3e4u1Mp^EAohjcL7k3pFTJN?5Z{v~j*dbQRE;o)O=w%D647+jQdKR%$|% zjf9w`)^4;70E{}5HOEFm<>J^tkd!ouKhh@g$Wt#}sklMc{mQ#i>jO6~=Z8nd-fKDu ze!)cq9aG^^<~X*z0irD>oW;iF)0Pojj#7-P+D;pqnB^$NIA}X8V9JQqGdPk_h(zPs za}NqE3)Nl;t}E0!A7(iva4VTA0#<`CviBfD{EhgrN?chsj#@wxUl#3)*fRr>LH2EB zHOTOF4eY>#Pj=S8Y|1t&eSIb+Ji(%Cm#(pHyqe`wF-4md_MSp|2D9CM52X@Kp+n>b`Nor2%mhw z8AK2h$*?d9;T*sMlt2(aiQT)i^FcFgkJaW?0zb+C4&GN zujx^Se8SFUzg00P_lc1$-QDC@N3kQ;r0Y_(A(DAeVF=lyl)E=`4~_#CZcym19j12D zm_8I)UqW(*P~}n0)zQk)sL$iWJ%9G@<^g5%z93oP>kC$@`2PDHsZ{a%;D4ev#lL|h zAAUzoqV4?-q&;fTp}ZARkDr74y%=Er-~Kj0DiUf~z^awceMa!M4B9{c@|PD#h)`#n z=Q~=i^2y>Aqm7gvh^?d?N~%G)8>c&p3k@jJB+aDL`_s|MN$mgGCrY5RsXb0`ND)zg*_c-qjtmfh)%0N!}R5=WQE$10u#qhL8{JI=&Y zi@@Lm3gk=1toTp{p*bB){zH{ z;UH4}gpCAxyr8Sb&W`F5%<&pds7rLvVR72pQxfFYH$V}3j~|g7Gcs^>!>|L<8gU)C z5kn%-K3Z{IWysK8PYjf#&%>aK#Su7>shyKNg`dhN{c&>urpZ&((H@-Wh9(F4Q^Lnk z@nDz=Ts}LC=to-<0P*<+b|#k4kQ<_x?Q_qf-wlA=&vrYC6MY16-gDI5MyxFdujy zg!wSN3GXLWri<2O9zGPtj0E`+2UTCM=LFbKR?8!J^Hkpy$7F6udB0C!Zh^+jb7?I> z#lr!ku#5KOFj{3oiKtQG6(aXemQtDpY;AqCoXbcO2#_K4%F{^Sw#bZxgWM?GNg zwShX5Y#HR8*mnn}%O!fivt#Mi}e0k(lK-TzKmi$n+s zQgE?G-9IP%g!z;+5~+iZmrG!1zKynvb_{e#mdzQAp!f1+#>fP`r*^D|IEyjfZJ?v~ zkNIBJX2_RX`T8WDx>m5<}oi0 zn)X@fRsgPmkSIFGi%V#0AZoNM6i;8(s;?k4j{b9~bd9QNX%=5Mx++6L0XM$WClJ&# zs6VI{5E-k)XT-9?VPPX{9WEM1)VQeg4j1an)(#hYiANylJ=%!el=W!(p=cryv2|L@ zp?8k$K!_&J%}qBiP#P=Kipl5`3!@y?h?b(kdPLO)%q!zX zWrdXXqD`goQAkzMCOz<2Mk`D_nY#JNVAzxnh*hP}q#!E!mbYfS*Y(>l0iFMM!{rRuN+4S|r00a0+MUExvBnSl<%%+l)qPF;* zPiV1qUhLEC2|l=MMYGcH0F_6iOV)`_#7eAEOgv^P?Lea-YPSKX{fE*nczg%J58w1kG$@#x2$!3 z(@4wPo;lg)Ll?|`rUDr)ZxSN~7@G0%@zbvlJ6oytBh6G%v>E@x2*ZyL+2(iodbU2m zTOJ&3XPXm{0eN}I+&(hmh-R`W1@bcJx0VP2f0JT{o~A@kbQo<5C)+%&c-A{dZy~ua z8i#GdE)T_aU~<-=r7Mg}3E=ibr1V;$G$ccCc4#rlX4m67pa!zfi5rLwEks0HJ)jWS z7Ok$fZ+^X%ZV*MktKV<#KYG(Eo4qxj=!%|JK?W`^#5DX$pM+?pogfkCS3RS;JTgc; zZ*d6b%^!SfYDkq4{YXV4Z7eYcC9V|rufX((m!$Wa@q?%)km60m1;|V+t!CcjVRX9$ z`Ca4hSWsjYSOWdtc9%H~yPl6j<^e|KvasYC{OEYZfD_VCr6mbklS*F(Tk4iy|Qds80Xi-z9cnWB?qxH zW(2aDU$U$hJ+$GhfVA!R%TwrD%Z@!C?NZsY?w(tY()h9cUVi*wobVs8`?fEANaUAB2)f^N74vf(5!+&|GX z1+1~lm3PZOC-+jlGA8or;{)Ta>bw4O)M4;if)`m)dwH)>x-#Lt0d=rDXYz8%`jxZv z4Gmaq$1XP{9*~xa;vDS0E^r&4q}hVWsZ$J&)#z8(`poWSyfSB;WElFxpO687!=hCi zT?(W9K|9Lxk9}sU?~#2buK?+Aj^=FeGFn+bm=}1||FQGBY(Fw4Pt}zL@rp4f^adF- zLhy$MHzCV%jT{IRtAmGerTtZQBG;cJ9_hYYk>t=04?ivuo;Sr z&h=zXEqB|lxT=eO%$lGyn#Q90Aag?d*ew!jJ|M=-zXH{9A%r=Fp$g?yCh56K+R`vH ze+_z;4M{>c!^(4Kg3}fGJ#N7rOQeFz%;&1oQ#DlHfm_iK3eoK>Fu{|L1QK>KBwT zei>K=?g}1)qpmzkzG}{|S~GYo&{#7ZC82D4eUWT?-wtHkLmV65J41ch_q2HF&bYlX zO^VlRv|VSmI+zP`u9;4Efu=J^gi`QSN}Em4>NR=hcHA4FH2xD2N@Ij5k-yPrC4rud znqo5r!;NF0AnLx+7rWiQ`Sb;SVBB7Dj~-XG=yi^rY ze243yzLv~Y2)~!*i^N7lBRB9LZ{#)#ES~mTF;?O4wOPvCb1#dsIFxD-wZcPBB(sxs zmo@4H(ugktZodA}OqcNRWp0XCMsjkAZh;miPl|Y`)A}m5}u(A%-X}T1p{XY`az>Wihu!wJYK&@+Xli_?m*@GJc zobKbNj~~%@p2=B*qSAL9BqAlo zF$?6`uSPQMkL#gV`yrCa5U9jHK-!B zvyN09PX%3K?)Mk!bNGu%9zTD%d;fU%ackHA1+^?=Zoeq#iP+=50GwF1&Grxtht@~e zNZwD9o9gtISN!S_EAr>$q?-(&Y~ZdyH9kL_acID95bV0QeMDa7-P^(caK&W3KxtLf z?lSMAWuL9QRuZ{ZxTarXOr3`ts1MZ8OZd}(0?L1~_?m6*Dl;6Mmf?%0f+7g@(I^FT z-(xYDypjY&522J6{l?qY;eVYW%8_U;aQ4TjfvEkBhY}UZrZ!&X7QZ8cC4P4V&q3fu zeH8?Ael}YpPzBvO5VUeGUFTT?7%I+nP%5dYtN)uxGmA~4DY#NuPBCJ{^RWbg#JA`z z0AxFkAsV3Qh}MA1>%)s87~L++7#%lk4(TO-g}Rogp@?=R7iVkg=AUog{QK?OE_=}H z2x?HQ#qz)oXDCUyagi*+>+Y>@_ClB~ypP^0JiVCfWwZ1H9t5{uEDm3xcWyLokP#~H zYd~Aj(}U7$kqachsUQ`k*}#<8U5W=l3*Jf=KmVerTW&OEj`J%6E?hgqLYL$)g_~Jp zMaIE84O=S#&GHmh7gplv*t5F!664_u0ytIe(lvStCGxa<{861gpF0dL!q z2y}7edZ+2(D;9me1e0`a=XRC;ciYP*t5AO9H^d(<(U8ExB)d=K))9!-3)6*K8{vU30h ziU@JK>F!_(N9q+iY967L3o3@Jw_v`5{pquZUq9VH4rqpu+C0VsKl8#m-9cp+Chj!o zPvu=Kst^%^$ani^~jB4iryWfm8~&zZR7IV>IJ6l1q`W0X1^V=qM8*sGb$vJ zAo-Jlr1-`LZi~JVr=-fb5|Mc7giGVE)z%ZJ=tdJ`A%)+AsnhXy$PMOY^Emjal>e7v zW`N`t5rce@MHLDJ^HgtD7o*9A76MbVOw9@$d=i84Q@02e^3>%fxiA(i zS?{=*`4nC5?e+^e-E<4^Ed{y)@44OxK?2bvG0)2m-l3jk5%D^ahbU@ED{ zkoCGs{2eIrH_Vfn(>0BL5u2yaZwUEt-@gS1C=DOS3W5<56=#N(7|cc+jYXH0!$KKk z?$k-eS;v5Fi0j4d0=eaXFuF(o)yO|>{~$nSh~=pu2e7aCMR%vy<(5F#{i9G3%@JF6oHf7oar)W8n4tJ(Yr2oCU8(I5c=BXkQQCx1cYXoL}cMd<;tz*F0S z>lcK+zm4-+$pCU|uxC`iB=Ly_A%TDl)!yZxx8Snk4gcwM0UnLaM&Q=DxiS=U1(JJ& zgCjN&oq6~*uv<|c&d$UY~tIm4_0Vm35r1^*KeQR-#p&E zza8v+_xros@7&C?lp<-)PFo2{ArY|QlJK=dYPzkOCCGM$Ww{*GaF-rA+3a+&+79Hn z@P0EGjxiZL8nNb|lPSR<3h7`d;Z0Wt73cyL}sfw z73B$T`ca&~1y^lIiAN4D9?+Q*J5YoLtqoQdwmMB0SQ$GV z+mP}wgl2Cok00nII)h0SgVZ1ad3s|*s9dQ7Bo6pO)Q0H~N4`jSLDEtxhxcHC`P_OZrfO3!Av28F z-eQHq^aB7g>>DG7J$B-#eF#!`*LH#{7ZtsN_+c9()`9k=p_fxn(#~?Xr)}NmGi@DD zD=i3lXwcvBu}hODX6T!Nx^duWA@Kk%jtAj_24*7f9&Th1(?I!MS+@NdW7cAp(BF}? zx?DgLw0i!kJcjM?VL4?&HVYuxf)1@0th2)8tDJHw6Z(j!HdX0IUkdp`FhK=}j~?+x z8raabr^18;9w`JtkA-A{dA7B)ZUmADUa-yz_)Qws$GaXK1&x3>r9#srwe$c>0)R5{ zqoHt6|H)5aofapmB0IY};_Vd*$^)+$jU^$}N~8$I^psDDV@fJG z0cTmJcjAH`cl1VgPLHGKk}Ry+vS5tJIi&`YLaP0mkS*L-#1&-(Ee?pxg!V&~6%003 zGZS~GM=!60ih~*Ft`2n(QhuTzZY!u6}YtJkD?c z@E-V#)=t&V0mJJFjIHzUCx@?sXJq{vB?VUKm;^JHiHdX|lGn3gl_nevgDV`G4?`OKuox{d5yUB?b+#abuv3mbEB){N;WfMKHw-$Rm>qxjGcTyKO*`FR!0*J zu^539c19{JuscV2U#zx4sRz4^84*E^p>eGmjylJwV7P6nx)Y;<7Uyfk)7G!M644!% zZDjcJmj^@b@r=~!bZkMbM(eTADqb+qxv-z>_HvPF>uISi!V$ua0JQ4D2KSBINGb#Ya}m9 z?~8^yiAp9u@5B)(!DVq@_Y#)_1@v8hPykk}sKSP&o}-qy91+)Z{Ov4iwT6~M5w4)+ zQ}U8b*#gGPVq_ptT@JbPpo~XVX}@#}S$o zXyM1vW*mN-hToRpqgqgb0zRoEh^xdyyfX(Ae3p=C*VorL?3DE^Dlxx2D0!SjcHt3@ z+Xiz{WvDL^2oqM#^vPTxOnLe3DSwwKCJr1uvyiC6v*V?m2zOg2$qogDT8Jeyn(1P~#RNXBrYG$px@^3!noi<`pr!{YjTDEM z1H~!f4H}7=qYjyN!K&r-%}lyFDmgaT!c~N zn-#lopsZ_WDR0@5!Ao&9j(2k`pMRR1tQ?u@G_IngnW)S958*qs1nQw zbEpGv*zDx)?e;M`JCbde+F}|9rFpHUIXyK+zm=#~aK9sgI6lN2G0z9#UTe%y537WCSJFs^lRdla*XnES-0eYiP2O88r0e5i)-=_`>=#0zu^#Xgh#fK|T{-LW&aU?OUEF2ZsW_Gp0FY|G( zhbljwe7u^20g2_onaNdqvS`~TVa{j;&Sx4w+h&=*#^T`iN7^vG|BJ&0q#x}Xy%vV_ zD>Trdudd|qD~o)4=Pvm7W+zvZ265l|%irf_VBjKZ)2ji3-+!DtB_SqT&v}>dMeZ_8 zn5n~P+K9B*f`1#@DY%uy6X=8j>L1CvW1GFQ6ghooj?khV&@|yHeAqv&XE>Xpp9O~= zAsf4W1}Ias4hDB0FXv3UV+YT^#+~}mo^vHV)O7otIFQi|n#wU7lA2wAf~UBPH!%c89GrLmse8{pQN1!%nMQBDL-7J-2;4+OGqUH=smup zK1=RP-Hr#C=iXZBY150WJg-*emE7xDmV2EIIFojE;=TJcXPZ)ufj$Cj!*IpMuuouV zj;H)YQ6Gd%oUiaf?ub>7GJ?IdhV@$V-J=#A>(~XA<=2UN1EA*hcT!X?*FftrLwE1vwjfx9nafxnZSI|}h#m0`N zI^^f8GC|I=c#)?Qv|m*lM&ofv3E^oCf#8yiN2F>88KA{s*@A-|=b&%IpQ1J{k0FcY zK_(ix7`|UkD1NZltq6#t?VG37l~K zl*wm>Dl3%`dBTO~yFVsWLgW%0F#j_! z85}XlSa4#{?&5euO^78VkqACKN5cy^-j*v?N1L5qc&J`&4cd z#m`g<70TI{Rg-=IZrX+q#PAZhC}+Yh*D#XA`6rsZMwCUg1lAD)3vo?+?l0;`}2 zF--dZ$|lJGNFU}rh%Nfmqh&+y`Utf4#Gvm@ZHlstn4vxFBuu$`eE98BBh4_cHR5sk ze<~+}8g!ZSGQL~U^ zOqUZg!IR?|RF7HnOFipNU@?WCropd^b;nk$TM|q z^38H49vBP{0AM*`x9-9gO}<+Ywll8x=VDWD^BoNbJjNi5wAiV4J*AkTLfD~CZJNqq z?}^HV!T+Bq$=ez2S>EnEU1ia>sh8P0sagu-KCJ~(X3!)N6FX8j2MyBS+<$tZ3bA+e zj0F8+AhQ5D>O2jvc7?`NbodhqP-U`OV{`4NFL9dCJttLO`o-Zesa+d640T`iB0CEOM*>qkLQXfvh zkTlfS*$FH&pgWwh|LpV4+c(?0k9UvH-hBSDy}SR9?cIZy8%K%Wnd`Ga}PWZjl6F z_XYAyh=L^3>h-2wX||+8iQv`tZ_l; zSv3f=mD$|GEM!^kQP3UXNQ0Baav`!ZyfGgeY8gq5X3`b3XxjmUP>MlWdM7dPBla#_ zoi;u0ZXdNJ55Yh}*@|qd{wG{W0eejH9oSk}FqVuY^m=tIA6#A_p+X3952qVe=*!#3 zE0n(fbPs`+UobFXhERyaUIoK-JON=Iqyk49bF_lBLy~tj2ftY^`v5>a;n)}pNHDEF zf^kKH&kht`Tb^CckrT5e?VBJ-> zgboo2Qh>iuZrA9C{9aJo!v(T;Hdi$AN%RI-HoK}IySA1utB{o6d)~GI`-q3DMT!I{ z5p`c;?DB{vC#(D=*N9UvC=Rw1v1K$6!nV&2)5nyDpErA2WpsmPPY7I*TV!qr01Z73 z3E|10-o=RB2DzAsR4O-3M)ypJJuwAxTLs+{bK2d#Z_YtSBdJ0xd!bKO^xlQMVJsT=+?Az%s?6oaqa&^c29B4HBsthmE;uoC4})lUVCrN%F?LHm2`eD?zye!Tng@y&N)R_AyqY%ORsCb<;i6F~Gp zY%R}YO>6xQN3qtgGRzpwBV-Dp1&OAx)Iz&}Y*)*dL}|EeE?%68ipz#zwmCsN*Inn7 z)yzi8jRMc!3nwsP*l-&MUIyB@h=su2ch@(p`vf)h$F22D)BrcC7>UP4f)^22ASf5S z)5D1392|I~+w;o{`3#oFs*WHht;n}d$NY^6g2hB_pTU==fQ$Z2&u=cS>MQt0xZ_3o zt3cOG43|aTd`0^CkjtMe&VMq8z~IB}hc}=9kaJ`hjO!>ddhtZ~9E=;(`E-)tT#0?(g#hU(6Cp&p=t=6;ehN z*g8nLcgRVpGa)-W46%+blQ*#Ie@0m?Q`NU0ZXW(UE2=?16zM!qVDqc~l|Z5yL?M(f zWAc@P^$5%;&1?JW8aGxrj)#c%Z}hg zTvTHV5RHMxo?JN?NNU;6nha2(Hf&r?YT5)=g60&|Mxg3vj3i$66-?NO4LW%#?R%ZD z6S~ON+sy!Z4_tvVx*fDXwC(P2GMesA@uNk0*X{SC;S`y2>a~ZWT%zaFBYD?B^{lo- zyBnH1XADKjjj#!Xk}L`;b!S%N@#<=I9L{fLta65Hv-BL33^H9z!V%&Ucz|}$12gqQ zQw9(VKu!d0JdGqFxf^HK0$MI24PxjF%a+gwj)c6$YO{ftgPCcfE<#F*|K5KFyhzDa zsiQhm?rLN;WCDxMg+j@aXb_I~x9HM^-bwejZ@%0=-2HaD!^=d;aawNfiEKSJ&z+LW zFqE8tE1n~06clY$&H@a^G!fTWCGN*c&`AD>Kyoq4g>yl9g`uFP6NuBuZaS<{-DE$= zkPJwj?E5j43iSYTtS3w4^7y{9W0bEz_h=&B5T*TN>G{%EPd+Ux|M}NH9&X;=59}w3 zZSiiUfEo?48zk5lug>W-%_?k5D>TIR@2fd`uF$K6I65yL-_(Xoo47 z2eP!7-ST>2BNW4K(YV}fAaq2PH6AO{92!+n>XL97DJhUf>!Aw=3ueXG+3p~@Kt#0U zupu2sqU^1{b2K!OKuh|W@vca`OAfdw`3*l#UdVvM%frMD z=OM8IDKsPdI2~_jW@KMvA%qxW4t23p1;f`8akFuk>J#k41szDHYp;E%5&jHiGHI=P zm6;{R<=D!Czry8b2Z7b;f|a2XA5KWmkFAX8vl)LmMDpQ+#eGC=ntcN{J2F^I=->16 z#JA#Uv!vsEK=(DiF50w7WjZ6<@E*~nk3!mfk@yiWW+x&gd?2h53}51){OYWJI#xRz zt64Zah&W0LE&|xtPT}L}TyjZO3<$YA-hH^ezj^z1=kd4CFTUW2Y(xIO8oa<20NG@{{7cvI-+Xw`Enus05AM?aQBW#vfo4|{p;Ugcgugz z%P08DUpn9MeVF~%!GGg_zyB&V>i`^?w$(J9It@~qxp8-PrsOxx)YUCNUPvpBy|U~e zdO6PO99dLum9bjsmCfVQXugkgV5_$gmJ_asFk za1oNB&LDR?d$@R%hVwu?XeZS z95{xF;8{jfODS9GPkR4Zl<`a$P)$(2UyPcdN*=7HC{mIrl*n^yQqP5~nW&aRMKR6; zWW}wHLw-aPnhFzyq_#ibJly8I6B7ahF;5E44p?Vv94Fy?jMq0V1=PBtcFc$#C;E!7 zG$OOtsQL|!IAk0>F-xf_;a=X-wG0G9>8d?hPT7Q2RkKx%Npz7s z;-E7uFM5c&%OS-Am6hbbFF4Vt5r!cDvvZv6qkk~#=2Y@o;7mk#)dCqkp;w;+TKe<+ zdla5V6O5o3F+&h8#CSr%27T27^Adl3y}@*6j*^2Q4ru!DlwO-HnVk=&nDP#g&jwnw zV{4a*tRDj}*uQhTMMRDS<%R@QZN#hlEhw+t2tK^jECKHVUdBwaBN#b-BTj#naoLwZ zG{XUb0p0|GeVi616HC$bZ5#B!n&cq>FG~d@9u;jl(Tek z)2FhGDODj`P1djUTxJVZ!3lZu{&9cro>}4DpZmfNihkkX28zDA0#mrWfX^2}$>IflYK{|5b*3!2zkV8<@EtLiCHL22^rYPk}09z!;{~ z!dR$(6p$p9)7}^s?n0Q&Cr778n+vGN3UbpHPx%Q^S23)yX~2erZsuCyDl~xS{P2nw z*O%)Q(#;3-8I9A^9#-}&ITkz&Mh#0Sg1~^6F}J5SSUHN-X?hx2)&)JKcC*(rcFD&g zmSYW8R<~%IzXKY@Z#e#)xL=MoyHD0& zk|}%No-+CN6c&4W{_Nq8hsWCw+s}6&KYaPhAKSNgjH*Vt4pi@97u)q~toO^E`{#r2 z{__620e%rPtxSE+1JTn_;XaET@!@v<04$G@*(w3-fH0#7)mZxm- zatePiDCH6#*WeUyaYGMax*Rp7);NJ6TR2oUXZ972=zSnKk5qtN#g~Zt20`UFi_T!sB%ndP0 z%YlxMgEgJyG3Ca;8{F9xIRMUdAg&>_rK%?!hcq_fGNuO-4NOs;iNRRdLT&W1{q*kL z!!2CK43QNkfZ=vwtua!aJL2lYg_P^{g=>SaD~1fPQocVPpiN<|9lR_wW>7M= zbtpMh;5j^md@?FRZop!P$YpCo%4k(18-_y3Ky4{5vBGtRFNLREW?0b0Q!{0~ySSQ$ z^wzIC`|6JB%^)(h@VROg;V>iaJ^T*8abVSWp-?+lWGd($C#Io_BKdhx+wt#gNEe5e zu5L<}>*o3&VKQ*o)NQev?LM16xe6=eh;dAW;2+NYXg5Vb$4Fvhdnj5>8fTwINYfkXA3-Gi`eO^tM0z@XrtTZ~pni&E3Zz z-k7$6Hk@eR1ttpRHDK~)s)r%{xB$UqS_bS+sZSxv45R*r#{HZ&-D^h6+9snxbhAp>U||TdW~2ehOt} z3B|Y!Pezq!D!MInV%C)G8wq>U)uv^8;-Yymz_h19B8;?vomSHtp&AhTer0NbBQg^G zA_anjV`#XLcpnNDqd>x7c_>JCP+yr$cYmK9b!9!h-X8->{oSDcSKSl9HEsP>Wiumi=Az#pYlbr}mP(O}n&3{V8(3!G(iaAm2 zZ5>e^CJ&u_lQ_^0pw#ub3zG@D@QHMsc0Bbi9jAYyqrwy^qPUqD0X4%mD#y7A;ASlZ z`Urt0uh7WCQ8v}~NP@|!F}zq5LGMbZa#}PXYVK|5=(8Sc%0U`wNA#=mjWcbq4&AE1#1GK0KL0Ze6oF-E2bQ@FpP~RV zsVjArwT#{frMG667iSU+!P~%*uXd*fC9L5>+Xlyr+*w$%b{TFt`T$DE}wOFp-SR!m>33qovhR}hbg>R#^ zCj77>z$sg@z{MEpgj5c87D3hvIY)B(tr!A)-$$C&G<^2<_WjKtS{)?wCgGF<3_+2= zN!SN!TVbcwwBT-A^R5KC)f+WnWOC3eBTlvDxR5MmnzL)oHpJRyDMF$xA=Cv_Yv^|O zGjc20l<61+9npuxB_CJLkO}2>h{Yqt;vQO(hmyA3Xv9FI5}1>K7OpT>j%H^E z2cumUt-xmMXR+!01@XoJQ%XokL@a6rZ!KG3I z9e;%b%^^Jv^YRc?Ae$zP5<}d&+a-@7ZbLgt z)s5!mO1qv4ze_3yu%A$C0;P3_DyO0{Nsk6e(3pcGPKivajOsDLGvM_h1<3hN&NfF; z{)6j(`G^qhw>!Uu@bFJ}Z=d6zjJ=k~>m5Lp3Y(SsZ zs3Od;ICToJ4HCo`&}3G1{_~y_XLK`S-WKXmY-4PO;6HxVoCb7}+*TR!!*Ch!afopYa%pN+8k|TL#@H zIoe`$U=NmT4uR-5#a1o!q#Sg%-1~W9&f$Owe7-tz$9Lztu82xUMB*AWTsfAb;gEiB zD#ahzhuxPbuh77S|cQgDv7J+UL`x>4_#i?XO8-* z7ePNdg&}~LuyCmQr&JEt6O;9j=_2jA<`>o|scNH|;@b~5+dw>ze|)|rUyL<}!sBIE zD^HY<>qDeZDZ3>S#g#qd^&VW+RqHsqX~jZdy0+?At_4-&EsZxH=#%|h~5xZ>Qv zYS*pqpl*oqPlN*$!bDJ-=$g(DnY|Ec;742DiYgpiWu2X6nPF-6S29JvpU)LO94juw z$BnKh(qj~#7MY~c`C9rchVEAe{Rq1FO{Z{|9Ij}ZP%9?}@zDRS%wjl=6@K;xaJAUp zCgxC-_Ny}Uht=JR1fM1pOfClGp%4ss-0isP_C9}}e?MxDk6%5r92fxVD2qH>qd%%Y znz(6|FyfsNF-M=68G7XrLNQg&zNvj z-kl+y8{sgk#RX^stl$W46>(%Its`o4WO>qqrEe(ofH%g`rGrLQm@!yfq91is1=py) z9TUSEAv~DD2ng*oc{ZWmR@^Bo&)NuvxAy$w)X z8xv)4Uk&GC+-i2n*kFN23CaQuv359y-@lt-Iwau0X=!_(!mS+atJ2@hS4ygK&dTxU z&)%WU!KeEjq_J(c58)qa1!MIySPiL(=`4rHFqGops18WJp`?NaEY?G%Qe2#~gjihK zv%A7k$`Bx7G#+*!s(7JuxCf5; z!y>3yVOGxvjT*)XX%$|gcF^#k?xF(e)N75`Fw1Jl$=nrUt zZRS*;)?kWAdQN;5EJMF0JKa)dE$$6KU0MjTwTv!CCs+8l$G~5M{8vX4J)R|h0r)Z0 zdekn6sXmZs4NAfxS1jpaM}D$Voc;1|0~VewM*k(b_~l`z0o2B)Q{Kv)23PNjtNNas z#Rm0fH{vs2;nDc#@)!oh(b@6*z+!Y9cskgC922o2cRYT@uYx*FQq@zd{z{QELGi?W zhZ83CZRejp*}3R+)=f4@S)r_Pcba?+S)>N|A|?vRIsb0#cu+$=2gvsSv-T#;Z6sNm zpneLb%65$EVP+$65$v&^U0gg$yb=IKX0^42Q4*C&D@jz7RHkxkYJdBF-#h$>@F2_9 zwyaWu!X1GK_vi0^-$NI_%LCTk2#^AnHk18s!$o(f83P{eworHS%85D9n56FxO4zeP za5M?Hq{jE(r7rySfAs%TN+#jSkIi*R!FFUt5Fqmp-J@T5rlUP=c+Sn@Xmtwqvs$zL z96!nq?xztD%<%2gSu>kw8)MTtf2sQbuJpL=?xkO*^Pb4JZj{W*X2m zlphPr%kUkek-%h$Y)FVPcbB!lFT4Kw)rb3UK-Wx-%|IQH{!FQq{XkZXsn$63hoRN3 zjFn)D-9P2uf}jvZgl4$|Bc%xXL6FR#Po9Q%a|IfmFgzzoCKTSVb1JvhHR{2b@joUd)vUze|9#lf@ft*}(INB(dQrFYDPC+;rh8fqn2i zOF9cGrjZC9m@&eQ5QAWm33l{gp*+m|@czocmVh+GsX+5v?Z4#ld!QP4ZB1cBw)hot z&)3UiUw+b%bO0}BuO7ZVPxpIf;8$F@YgO3%WFqvlDwZ9rzU$iuktQ6aFfAH78+@(<$)?& zF`#TtXDB=6;_anWNFy6m=(a^dg+8KG z2xT$kX(qksqPajfMKQTfPGB6>+d1`wLGuO311dCzNC7^?$>oJUc;4yVJHA^cGwoXw z-FQ|X^uLufaT}y6GhmIq9-#w$XTB!}pRFLm`24vR1sE4ZW~zB-r^j*{@V9hY!7>qY zxpT-#tvEqmq_IonFRp8qhIGk;V#(Gt-V{o(pl65@Ul1m1x^uJpZukA}huz!VkGsF@ z{`$J-1HGHw-aEAO!1>wj-R|~2?)HA!?fv>%ycVk~dBN3{a>p8Y)OOmL`bN20P4^MP zE9%C;JO@!mY>va?@*ZyICT}jC)8!;ne^ucFGIMD z(AH*~A^k(gfk)*a>ZCnAdMs`roq0a7OHr!dN|{0^H0}^pmyM5_iAta&B(o-JuQQ4` zsMOqie8SPW5!0JP3qMBL&JRGT+blyS+AiV}RBD`O9SABGuDm}vpo#e5%tydA%wu17WF z9M#ILIUyeA@q`pqpjt`n2b_9}gb{R{`S!&2LR7-|ap(JP5A8q-~`u~F{2{HNq*!bwaT(<-Y@!+kgH@Q4ljzSjNnj#w@fkm31 zW~=(T5qK?puBPzrir^4`lr)DB7IZ4jCncW_zJ`W7J(pj0fA!k)%hvmxo@2Z}k>FzV zo6qZRS7c7KUnUv!*O2NcVh3Hqv!}lX4JFBN5LQA}2&DpBpaBb!Nu&+{;)lR@Y&iF@ z75E=E18ao$22hgS92Mp%ld@+~eeP(JFgiF||M(32&(B|Po_6qY_wMu8PrJX}J|IF2 zFYL|ZXLKt2(~lUzwcek4f981aPrLkxi@iVnU-+QY#Zzh6hrxP+FqZQ)OVCx|=PU@! zvx7C#uQ~?&gcgQnar2XlW+2T|j!S-z=3Kdfdr_B2s0~(ada+>1X2ZM-ye}-ok|6jN zK0CNxeyCcdY>@kD8ynj}uuYu(<>R-&=;_C!qbws!rz)V=Bs{lkit=CQnwnH?T2`4ErGwGSRw?F~y+6;I4~+ImAVbeRW!kX4V01z)&o4qN09cxg zEtKcT6Z^X)wr_r53lsEJ70qNnV=jmgq&YXEmSfsON6|Ok<#HNAkAiqa)Ar@+?0k*$ ziwH{%LQr?l>(P(;8e|QS0MDDs8l%scpYR?=9 znW>D+ZYon1X5zx}VXbi%!}>u&o_CX$VggFM&G`Zuhl73Ls790x=A>+CAaQEjo4~Gt z2opY6jnz&3d3#+&vwN{DplfOO#x0+M8^7ctiK{_;HY!IkLL3%Mw3uCxoP(+0yK1Mt zX}#Ea|GM`cyFj9aGn29(6B(0XUV>1{UGeSg|Qtxp0-1Lprt-qeXi% z&HY&ugiWug#%ipz_uu$0{p{+vcPv>P5uCqaMlPD8$~c5`&(Tp_{M@h)(0m+}&ohCm zX&PFeX=uV&LBAFxw60<>h=4qQg}AV)131lGf1?@EnCA8k1MEd<+nHpkYqF=W8ugII zzL0lLM^uM>lAdsJC*uHPE1K~orNki!9iRlQtk-nZ8DaAd!We>)4KlVO%ov=7qM<^s z72*R7Qut8IilFQ5(dNk$g4hnMp74OK4_aEh6uXlS-_>cGN;f5~dB-vHS zim#7c7cJ=IlWc#A&6Ru+W`ln4BEo*R?B?uZ{_@!uV8<_pb1=M49CM5kbyz#f!HjDH z`pEYYH-2df0JdJ50)3pN*Cbj~c=fNSku^t3YFTRz$v(0Os6nhGmQB9A2)A|)k`EW| z-!V~t#Dmo?YeO80-fD<5_ta-9MjC&{v>5GTU>0GCO-C5T|O3v@Sb8Y<)*~tEM?M zVQFwGI|lr2g<-j$kyD|G5$TB?j5H>ct8~MV$qe3ZJPmFvG|$3KQA%gpnD7}%WZg2g z(SEA{&LfeQ0LYyE7QlF1edLPB>e7I1Xf_noVyNniicQW;G0Qp$MN_STe1WoISs-Xb z!)sW3^7qJEBn+>o{%IDj%}{9SluT7g9Yug_kwpomGtv5=Lq|A)S}x;LQCcYMLUE_I zQRiE?F8for2IZN9O#aL20^jZs*g7a*pxc-#B~JE=5u7}q40hsZG+_S#2wpT9oz0Iu zevd>gq-qIM!3&$pCH)nht-wJ_>w^w)bl=jx(rC65wG^DWqzT}_SVB-@8pC>?l)f-` zN9zEC5>5XjO0gV9HYQ0|chXdp>r0~(m`SsEhFi}?ehSJw~l$w)tDf~?*{!00q2Hd0kP)*Rh zQzrURrQs`QiyFc9+7KSnQK7;5;^chhBnz|w@O9gC^WTYuVb7dor?O%%N)vTRHHJ%q zuso3@tLl_K6IcNgcd7~V^wohz7d!VbB*VzN3ExP3=;G93J)^XA1mDu|3H_}!D#AGk zU7dmIjaSui%;}ggRsO{Aw_iB{fSX2y$3;poesX>rb_w>*Kl|kA z{80Iap?^T6y%fi@oMJbghJ6XPfPBu8YzZF@gvM&G{})hF43m4o1@{;A+F(gCppN{*PlM_t;-if_VY4 zX?32ks=}xeKhiOt?!SE6sSyN#P4oEs%~vQE_?Ph)@u(vaRKpw5aWf2xn9ksOjs%No zr1>?i+>_Xd6xF{0D}7b_~dx|GkgT(0udoQdI9>&plra$a>kzI@`PHgt?%Zc9_BrS-%q0BqX9V~ ze?bz=gY3vbF^c0x6mLG?eDCc%-u>UOR`u`tNh&&_sUI|7`05sPw1@eM1(Ja(KqWZ(s)(SW}5CxK)%nwjk~7UzQU6-+3!sZEhw|I zy$ZQ)fm=HlU;D~gEaYN>dsZGUtZ&CeU0ypvZTG5&g_~~I+_Tg4%9>3Lh1d86}G$q|fob3VNNTi(rsPhR!w@k=WQUDGyf@%08&x#C}U96@# zkupf46|Su3Zfsq(x`fg~gp%-r6q}jBh)jaQOx)`)DM1q|awLgkkHRy@rBt-6VTOJa z70-F8n47OS?EBC;N%kWM^?(2(dk%r6Iez=i=~YQAQp^kCva7RygNUA*bD zh6<+-%GGX%~7v?F1(xhD) zVJgB%Cdq3i*u>ELTV5RL!yylAu?o#`TSDX~?w`MYdECjV zbC!@(#^i8NR7(cy=@{_4HivWCY#t+1bfha6Sy~|C=0v$o!>y^-6EKj>71tCV*h+nB z(;Jxx9oT{KgZ2dZrIh7CFk%bN1hck$-QT@ADz_53+79gM!?U7N_(l^pD)^3D1O8)$ z@5%3_Iu~@NQ{>4e#bQBauC!Jx$gF`Rm+hs=Xb2cF;Y_bJBvmp5dl9v}O{_23*`#i_ zIUbf-6i51iw%0ISgXYS$%!dj}RbdkE3ty06b;Ah3@oSQWIHXgJ(aD1$w}e-9O;Iit z2*)t|kfZHlIj;fn>JYaJPmbRpD`|6zf&h0-8qdT(rCiREZ40CN)7;@0D{Bi;c!nM4 zJgguxBkl1hThq&WPg%+JPhWy-N3CH8kj$Oc`tCmFf6I=#8m76!2OD9{=roN$@Rx62 zMX>vF2PQXI$aU{L^R|I9WQaj;J7I?61l@ei^qTjxCig7Q)r2AB-ZXf$uD>iK(g&Lh_2a%mY0b#)>u(r~Oe(IVBQ$raloA ziv?o{tD0DF#VQ$bcGdc(kc%&uB%8tjNUh4&m{MvgTfx0FN)gr)7+}GkV0)>+Pu$4h z#R4bEhLw!!`ZMf#dP0~c`}lP8boai8k04g0@&n_`AQkaXgglZmG34?~xWfWINIJRP zK-CZuP}~?*r`$O&I8rzw_ds1Kg-99*SZNJC(dmZiE?jz9Ok%l#CZak0`ur5dq@S?0D6*smX11$(2v_H6QGatt$x$SYSQ7xq_GFkOkmhez>OJQ^ zIx*8^g5HzN*h{0k4B`N_`RFdx)CnY!T~YvP5Hc|EHr;seE5HF!NW{HFo`!|r0-M}jIw`a-`wQi-0+)vzKm`{ zWEw<@icczalCuI+1yXr=yb&*jJ}A>m6R8QZRNr^&cw%1AsKpuA?zpAeuC(IPMRF~b zED%nOD>xECH?3lSyY6hc{Vn0giBe6A0QQ<<%L6wbMxL|M#&hGTr37&>1e%LR!z6Mn zOc|%wYNRR$7a^Xe>lPPav%n~}>ePfB$`kaJBhMVRlq=5xpT5X3he%8@x4Q6u?kEhP zU z`WgUUnkfU&8(5qz;230k6fgTmw+2n_9ybMVph^|JG4YuaOtv!ZK@gQ2)mQvDG~}G< zEK>RuzfhUClJ|@;w4f06S>tb_MN(#TbI{&4*%=l3M^2E0uGXF5@$qMoBIO{AS|bHH z)SjavC_X&8o`gnAIH3jg9{_L@JU_p}ev{(eLf(3k+a67Y;j|*=Cf;y!RHJwm3GElN zLv)}Y_4l=_3VroN0)o(6t;4&J+hik!cWKYjcWE3fvmcyD(L*B5E|EQw97Sz&L>#XW z4^H)+5D<<~U(g)7?xnmY@wbK2ZDNrq({!j)ya%JbOqFe_!-M`FO}^l>3TLhku1``0 zLZqN+ZL*159f@U)Y-Vmz_^x1wAt4^sW~dU1_GMy=R{bG<&E6?Q!)A&xti zk0`jM!NQgmr|GVlHCeTL2uhM*fDFv6$!Zc368-zra^~06)1>n6PebMx_zumoqe$LAPwEHI9f!0`=}M%Pp$o+{a;_!PdA? zjpzin(ZT3M!!aV0jM$z2>@DaD#iQst%C0X@P>!0H)H}7rU5LsqsyZCHDIU3!4Iw(MB7OCPBT3JTbf!SfL@H!8^KGNuOK>#WWAb{g(y~^b*-c1@`1$A4)8+E$ z==5~s6@;tS1WW@uRRoHSTI0YNW?KTuqKjnwl7x zQpb3K&bwwRsfSS>#xqfaP?m`vDGC4YoTE~Jq>}_e%_6YoKO$6A0mETm+YahtR6tP( zATmpE2e4mZK&K1zkkEo!+?yPEB7b5%qsx>(+Zrvre|et1y~+)+JiI*#t;F>t*FtVW z5sD(8JiDYZqDx|WrO++|lIH$aXfvlejaP)9%<|hPlxx7?Kh+;^9-p8OM^A}#nX~mK zh{@_$R~(gBlIiu+Gd2j)xPE%U2H}(!Y!I2!%JeceA?3FjndT8NEzc$Q$rJZUWTlz9 zQQ#MX-abbIUf@3y6TSi9{^j=fAM1zP+b>T))}P-!e7c1*3r?)t%uZ(w#ON$9nT(dtSC^o)gfm3q0h?Nt6eh%yJ>Xa(h3l{<_2Jql zJGor10!OqxK%xGjW>YD}iKd0agV&F;Ht2w3co9KvE}&hhj$K9($%Eo+`amk8wJ1$h z7}wN<=0zh&I7k%Z(l#^B(c==mO3l;N7>Nvr$+5NJkOXSuSxg5yxIs2W=}SuHPl&p) zkUtyJu{kVz9s3x5*z*a4B!(c}dv>l+PlwY5{J>)ckCtQHAFHh0+ zB!#AQv19D1R`;{3kAqe*Nmb9!kg7bPUMm;W3NDaz`hMq|O^IV%)J~!0%WXmix(S&l^qpt{r5B%8dqWL{Y&XjxrFt z!lmmF*@kEoSPwi|fp<*lkL4L4*bvVE{-y$kZ@q>6W;84b3K}lLrh7~anACwjXSG%{ z3bP zHMxU`n4rCgFE)Smvk6ZdlLK65jpNDfG%h9@HKR~gzmviAOLu7Dv_ib9f`}d}8#(U_ zQszY8((qW)Yxz-0r*rkaSO^?6CK zNAkdHz+b_;6%Ggrz-AZH58m9USxI1H%vnj{+d`bwA$0%y=65p5)nox+--z6j1*j=7 z7=-HH38W*iFn_)O{qxP2Kj7B?gVoe9e*eeL&L6LT05I>r;%_hisKy?tusTV6H=z!r zKV)Egk{YF=nIEC78uHn@U#L*e8w_f9f}2mkJE|7?bvZ(_oKsj$a&|F4MA;XVhqWC` zaMB||$l?FE()k=+Xlt>`gsGdSr-!?D-=1#K_2U!5svy=^-hx%p&8hIfTOHti2iCr- zeLdaabktbS+2(w`iKq;ywp?%7No9cI9bJ-PKkHNjAjp$f2TMpmL~?eeE}jMPA3p#Y z6PQ^4vGe8izn&c|*=hGbwLZQ&A@ke8K=1$P*$~U*uTNKpvwAk1Uxwc&W(rVww@}i} z7ZU$PH%L*#it0&G{~|}v4U^(swDTaPt)3*?Ww7Q@UOOKmgE)H4(&q_r{F4l>!=gywv915%UcJ{>j0T(j+xBxzQ*P zfoBhldvAV#S^Jfoh~N&L0fujZHAEdVE>B!UF7 zET2g3y6{jL_J#=f=j;C%pdkXb-K@HC6g4>P zZr5d3bES0NPX{B5mn6m3(SzPjxs)xRNP;96h>xwxsB_QU5zCwlX2U^9xn?UXNDIa-M zynbb*bV;g`CT~n}G3zYwqrF5~LEqwz1ifnfGSUv_q}ml#0S&B^vd{!c6XwHas^Fgw zhHkuA+FQ`0a5oaEEjDZYOtv!wdMdZ)ADR-RLU|jHD`&97!!b?hUav20yK`< z_7WZh#KnMTalBfJ=?YsEnm^Ry+1W-N$^f}&8P-{XYpjXxPbI?@dKg_U1n5O;2hvG~ zc?e~niU|z!2gYE1Q47@ajps-L?nc;db#5ktx*T~b*ZPy+RwflTm(I3X^!w4=Jp0&&P9 z^;E-UJSeV4&8oh&17NwON0YLPjrT~$;NQS=1pV|99-I&xOsh5Ju*IW{AWk0rQx6Er zX;e%AL*lQiwZfYT4ut@eW)chTHuyjFIL`W0Z)p%uB24&H#etAuE?J<0!dQWDOS6;? z$h#*)z|Opyqlw^>@k(<3^%k82FE>cVoZ!S$8hPnwNJX<_X|a#u6#m33@d9F*MiOWgN=x+08w*mAb(aHzX>9YM9l;)7ETD4E9F=oo zkhK!%v5Zt9(ZGeHK7s_zS_ai*K&S?RohHx3L$F;TFR>rM;pQKbb9ltq_u zx+HS;TR~aR4WQr8#RuAzSB&n%RNIKcH|?`~#;f`csI1>_+yfvfl+l>Q6Trec02()W zCU}(?aac#yYe#0^Tr6;Eg=GZq0kh$Ssg69Y+P;kZbyPFCm8?O`km4@4*BSEirpg4I zLHn8o)|;d96*8CMK3yq_PpyfNP#vt^!*&ii<#kMu<)jLgD;V&WG*2*mreol(X`Ig0F{}88BJVJ~ z<8Y~Ygu)0}$mI!e`O)0Y&~7~L5c@cKx-bs99uCPWQk_?lo;73)T4YW|$c=t>maj#} z9Rq0%M$_J41QT9-m zag3Ox?YdPC8l;RwQxZgpjqjOS9Tm$Pf z@8>tLc4ow)9M7T29nNnjjESohUsZTi(<`R0?jHUNS+#dhcQ>C9xT$};egAa-@VN83 z_u(E{)|LY7;tbWG;+#pk>+puo`pK;z^8JKrjB3>>X%rk?OR3*jIy6Dh|M-0 zyn6ih?*8l3&BHH=8x+mUi438SQ#hZ%QKsFInX1UXM2?gBJ{1`0{1SA7lx`+DI774t zwkM{X&1T8rBx|5CiDbTY@Oi7hn+8CZB|{5;bGcr@<*4Y6di2ubwp8;<&elRSub^VM z4&CT$0@9BosvJ;x)ulF7(l*27P-|G;i2?xs_e>984vBQD{A$jSuN7nh1)2~OoKdsW zlL1UF{rfK#_`s#Z_;ID)!8G59xk>}nEAkiH*PVYhN9B1){HiggnwkR-XlgLd(OFCx33qEoDuM$)7ST57RdN8VTC1qk6VpU7VLn)$Bz5)!&m zRYvn6(!PJphk*7g|6@Lce?~q;@;;stE#2RVL&D`&MA352uX@zWW4`4)c#Rpd&}N(L zWr^Tpx{9MK0j-(yJk;ip9v&>;_8eVq@jEB8YovcPSRlpDDKhFMa4pOWDFM;bg2KhF zz!efXUdpnm`U6!y(uK@&s(IEm0p|A{y{B62CCWHDQNC3NV=1GwF{&>vLe&yHIs?D- zs8YklhT;&wIhxgpLSBqU!nKqwh?_i9bZLh7T;zHmX*c(T)E$LhSyvJkNmLfsc@6PX zpFj8Yx%>C{%+E0ancY@tmGRK~+8_AT8K!av)Ns)=!!xgPFL|c!N%~A=QArmr1V(TO z#wodkj%BU58_GUkB$o;;Z221?O0^LS&{vvtBM}$%kV7YM%;n@&s1GC~`uwujX?~j= zG%5NNq*v9$i~FHtmLW%7C$1!=nzk>FpMv(a2F^ zp)4}A2SdTAR8{~adq#+eE)_la*~NydA|3PW;$am4p@4dctYo}WjTZJt%parMX(OoK z$|v(gieU7OqXirBF10~ueKO|nQxq4ESk`vCO=ZC^im~l zU&fu7le{g<=?E=BRQ8re{Aqa0=D0iv2P{*Gd7W&VwZ=;pFQ+$4z9aVuXN$jr%%%%u zc_3hcE*`F1s#?-LPi{jQd^LNsLJUIxTAeu@HJ6M&(fQ>;RIwMYH2zd0aR>`}3GC}P zjbg)x+sAL8p6b78c-WQnY+d6$F2B zmUDnVgW{h)*S~+b`9l?8$7b6csBN{TAke@k`0rjNdG&e5#Typ#N zp#Fjp%U+1n4tr=%n&>pcF0`y_IDT134Z#EHA)vm+9)1l^6^M3tuw?eNNqF(+`l%Ac z04O~G?SNfzGFz_*hM)jV->K^^79hPwzHv2vBc%cG#wVo#`mfH?05k_0;MpjH+G!m@ zIe^$G&yeL$a?t6VK!?3Fs8ATy4WPwT^~bQc8F?``dE=di)yX;!ES#Ue)$@`du;HxY zH_6QL^C7>3tFNaVTU}JzB>P>$7M=}ywcehbFW%@cj75S(&`PY|D~k= zsl4{d=4i0lH%FCx3SOaDen+p4E3WBP7h&x&eb7`)0<0qV@c3dueiFrk@1574 zw5ITmUJHXde=UkZekDM->@zaeOdSD2lr!-Gu0~@ATy=g(uY_(bR&f-f9jNL>aV^T( ztYsEBe`uMt^TV(Y7k0`-SkXFL{sm#s!y}0J&LLl;(gay09)SH(Z+|GacM=5Jb(?|Z zgGyW(;Ue=zw;xLWGYwF6)Ss+*2YITN>m_VnYoxGy?K!%AVDf5F1n{JUMYjj-_>e;k z`bgT__YLlYAkCu#E5L;Gus4Kxp^#a_m~dDTGpo}}3kyL^%9fXQF$PyA74qcQ7l$kU zBnz~%Yx;!biV9B{JYtMf2ewmR;IYo@xvV&R%or1k6L~G7;Nfqmi(!QeV}&&?&C*pS zz3Y9Q(=4H1fUv)O`}C=P1W*Kk13&dzl|DWq)jn=60;_{gz@mmDkI-<`%gI7~)1!mp zpaa}zaJabIhuWajWdQ_EFC%PRZ(1w)Fx=iq7hR5~pnQ~z!Qs~be)I1A2i?5H0EK)D z`9h&1dA+txLquy>tPbp!b@e%3?fyk|gAi3`dn zWnSWvu~+OGHflBItKmd>I_5g6MPZs99Oo3_`UN>(v%vu6sjjDlXtZqa`g*=rX^ARp z2?C;%aZt2l-B$%>EZPtSqNZrK6{3+!rXaUr8+5r_q#0VKPt)LMwAA(Km~>_s`B~Ce zV;dyS-WElrS$5F)9u|+lF@RHCs2|zIO8$$yahlrt(muQa4>9}8Frl<2L)M$&fOHHz z%g9L!4s1edkqr|C$#s@zX6XJW3m~jO7($8cECZ?9Y z2U!)Edw=hMS^hYPDcj&lMU=(L4fZl|em0kL92PA-;Wk!SveK-`;#HC&1w5^+tH>TG zqAcMdx*?3fh%2F@!xT~$p)xfEH|7DS@K{2R0L?J(&;1P+6Kag|VS-~ZU`Zht1gK;p z=O{3pe6n%foz0wQE@+(32UK8`u_$mdZaSIe>L?gv>P?i!xjV>~YqZ)_&L!1#OvyR8 zs1IThm61|uPGNhVo2}dQU*B#%^!P{pl-QI=&~CnhBQT>i>PwE#!3bGapkuRKvnG%U z08G^!Xo%6~c!JQa?o_1fFng9e7=(Eo(fy-U$IdVH6=130pIW~O6y6>xtYBf1E}Z$0 z7Dp?XVcS#nB2oBc2vK1bklJXUFV9dCwG?lvQq%l+Mma6yJo=#9=nTk!}8w?cBzO5zc)Je5Syc$8?K5&-b&Azi&GS z*@;Xlof1?(a)8y_Hx(>yfEN@cRe(bmEv_NPM3aNsg)P5E%UAXZ?EM|JVJ9bui0#_P zf8c{&3!*ySHLwg}P^}62a>WM@Sn@45x}gH&?wv!v znB@s%aqR<;M8C*>%6ON6yY$nXF{OxinQ-D+^ur>pTL$K63PYknYZvfkhQ28H@Vm z=JV$p;TQOn=43PDY=j(52`y{8F}>u3))=p_j;q*qRj7 z51j^b0M=n>NX=WSTZ?~!qrm+Pbe%LGAsg^lDtu2~i)y?wLC3}M0A@q;S6m!+_x$#F z^YB!^2cpZnoA-ZHg#=G!Wpyz}#q9n{K&uEHfIt;|xAKb~D7aY9HjzcgNI`#2%!K%G z!lA9*3N*|nQ`d|_;w?+6mWG#APqp@?R3sli+@Uk*-IE?(_u{7IfH*a-f+M|7b~G}* z#-J>pd)CM)3m6LE7&)dGSf%k2{cNB;@yE7s}GXG^an@I9bWR>G;k zF7a*`NYIIeSfeSO|c4izr`_4DX;eXL5$N`TY`we9AhUb=Yi7E%n{k^@00VG!K=|$zatJ0fpxUx2m2vdE?cL9`Ec&>6fiPeM^iphUyJ+Hk%)$@qZ6}W%kZA2?f z@a*(uM|S2SaPTz84F+Aei38Q>-CCitrDq8%m>*TbkRD#?0T%zMdbI2Z^>nDP1#0fs#V&h4TJ*P#Wd8cdv*geb|gwQ0D1cyW9VpSOMu z|5kWI*+g-a9ay9bs2y~32?Q(pwCkyf5kyq_pz2fY2!%tP!>Ezj^PjhnVVy&=99ZPy z1QC!KJ2H8KtP}W%WN!zujAma4>O&SRq1a^xv>5{6T{C)1m}%I04S12+_Vev8HbOzVhH9X-5h$tV#t{BaM!tS=F=H= zVh2xxLknC4ceJ8=C?~2Th09uzKoG{e{?>*7uzG_n$B6 z%W-p2ScFpL49T6w9)a7EwDJL>ZCcbWmF+BOPh4jBO+p?(PG>H*c-dK9`#VCXG;G`n zW!W8~V>*E-r*Gx&2kkDn2|6Yg2<3%a%etD3^n@=%HG?ssy8#~69MbJ5gdqJ(r6K*2 z8+S}>@z#6W3nI%-c5Zgx?Y`gruzS1v@pbQ}_vb&q!+-Db-v|76i~l}yv(L~p@(|c= zHIau=NXESIotqCI9z?IuB*n+4hi~tndfRjkSb69%3F(G^$(NvX{3eL4Jj{SOlknYc z=DZxo8j{SLJ`UZd5@M$ItDp-m&DASZoFZf)ffnchz2P3@(Ayd^4`+vEfCv$vT`r`b z_xut@GHCwo46nc0T7VedUBTrg+-idVme@;jnkBV%i3j6}0oglMr~so!iETJk>@eLw z=r($0BAY<38Kmg3$KArK2&_G-vUvJ!XDsuiI3+xZxI|bRCt%**qJhFW ze9vqPGyq%i+sE)#`_8ywsq2bi&9dYIvmK{&FnIZxVvg;{K8&{gad1Ja#-Jq@ zN2r4F$F06mBX)VwnkCN-wOBb9JQ7|g$Q|3uOa#z~CLp2Bl3+M+^@!y_6t8|Dy24n2 z;7YUjEbyt%PLF2K1vfZajh+ol$du>fA2Q|n_=o+_v%muOOE1rv%rFN~CD1`wwyt?V zi}Mr6dMS<8=n1rDXk(4SDlM)bm`g#nn33g^)!S8sNlbP&3>MbCI87H`c=^>D!5E|k z_}@@M_=-QSw#V&mdKPS?MO;OkkyFsrt!)KSA+(;f;c2Ci;1>mDGc! zHk*#9m^gdDnMThQeh5)F*v%*0eA$OJSS*@ zae>8^14yneTswGjh%XV_-b49U2vhVL_^AIA)n|m>D8Sj3g#2of=6d((d(*0oC2W;0 zr|x%pb%%rHP(q{~Ze5v#CW9|ef`{#&nYI7V{2+|af8t)lsXP~CL0$pGbj!`=&GCiM zT>m=(sM2F}u(>)$tJ->giH@5#haov3P3Qe!E-f9pFhMAn)t+iZz~?|+OTnmj%GdoQ zv_|D#l&PyL5i6@JlmFGk(p?Gzez(&1=PX2*%X z*&fs{A;nbu__cP7J~~~%ia;NRH1<0fb@g`#G}_C@Y6NW2C649t_VNA0-B;i$iIN^n z&CDel^q2lfJffzmW%+D~~`X7-q8=v4|SW_AvL?p~Iq8DOzNn zfeo#XSFH-!6ILKipvBHXci%L{EjEr{uoJhWHz&QyDz)STxO?FH{l;6YAco@LC?3_g0Lc6$DkD}O$oYW=yiR~h_ha(1OY|}qnw#vO*{uJCybbxpT53>@NIDk1I1chE$gQZvQ&d2(Zj5_krv@AqR z|22ZrvTV6|(WuRQt08*A#dL>a>ZLI9$g2IoO&w+;;2WR9XQhiJz3&t5C zLE*-q9IVtK8kEQty-z5V-_otDB152H5n(5ffL+6I02|(%FmL0Ol4W^(2s$1Q<;Rq| z;2vh|o2F#p*{m%IeZhm#7;dIi@kFPO4tuWJe;J(|b6kOdAKpv9MaiHP)pf4M;t$1U z34EMouhM%1a6xSAmo?K7!3bdQ0iY0=eyTQlfpjXl!!;!lJvp)3 zIb;{ni)z_LNw_r|_Ve9&kAz6@XviK2yAb#{Q1h8m2yO&gWFLv`dU=eV;9Kma0)FcG zSNw?Z{peE|^($t7lV}APOWZH=N746Em?uveMN-gH0q35pG|pFmXVV|B?yU zZA_@$Xljh0VJf9nOFuqim<3XwR0+T}vUWcv`c~nP8Zt3BJPv7I)qyl~fl4)(iF_r> z1QvDl4JKM_Rc2(s`ixB~$@`d)N#+oj1sTXr<-Wj2gp^dB2LQsBsnQPgQPE1Ge3_;- z3aS?06^h*K2%Zac@N^46nHi%?3NsiJxio3@X9kar8+qfR;v69;7ztN{ap)w%PHw%u zeFfRk`mLJz@}ub~0u1V^TObel1y|hyKr*m`pL5m0=)fc=hiZ>*QEkOOLamtCF0iKO zr}N$fkqxGo%rh*y3C^1+!Z@47Op&IXU}BGw(W`oUj3dPK7eP~6qFXf9TEB2~3_;W~ zwg5(Okn(*zkYNmM*CD)(R@5Iq-Td32eWfBMmdffW!O0TI z+(KIE6KTcr8LGn(eI{j)$yHsyLb%73ozjqgsbx`^W(B+4+!L&LGS){nP6bl_2pdxx zENn}0nr;gDy}9+g^#T8;HKq?io7bu-NAeBFW67AT1z0i-feU=h2YnFn{M;&D5~^!|g|4*?iE0tm|Ot(&E7iCpu_?7B$wX zN@MigFk6m^_9EkF{XLiQI^$LS>D@>6J67oj(R7Rg9ab$j0U3ptWaGkZ$!6l=k*vn> zXePhF*MZzk`Gq~JS~(kSBwo$BP&VX7T6+GJRq>G693@L577>iHMradj9=>3-279I; z5NRCIIVd%OprA*{)YVB{7utpuU?J#D*6yk%NYwq$w}hXYLxS{_4lQ87F+)(RXrMr!>lpAyFAU3*lUmKhf9t#_yQb@$1oNfJ&{}MHJ;XTp z%ml0sVC->Y(t>QTn!?VY#S3kEBLKR^5I;axCaP4|hh(CCzdbYF_;dz|lLc60q4V z?RJbFCitElm8`uzV^A~~3nThS_50tR-hKOsWwj`uo8f1$BNMVpFSm%w2aTjAsnDa6 z22g9`s_hQFG^LX6yct)W>^WDRZCN^oVEr0^!S!E{59h!t86zJHVb!zT_?e(PSpw%J zfVFg7Ub_o`ni35C1iAPS#do$)aKx$VFzCDL02#)NLzpWkE9iXNbG9ZWi^hNYQ}lQp zz1aZ6HGV3(lbn~eX3NSwe6Js$Zl1n9+6%xGMQd(aq>Cf?C<<s#>sy(y>NQ10h)BNqaCd)gL@jAz)E0aCYzCPUkhDK;8u6+3R z^+`DAZT@5-yEgdfs|D1IH>k|4*GM`ZU9(q|=h2Y@H0=N-bue{>fy|n9e1V@1Tj6SF zjATyKsT6O)SfV-_K@s$2lLs)znaN8e^YEZpgq7)5@Ze`9<4vLqUQ6}uua<`) zJt4q zN3bf!LGdcqf-N*q?2Fspf3_4zoD9T%tpl6rx?C;AGSjk?tvT zXr|^aYCcYSSsxwbl$Ps?^kEsJdZ1X*7~If>v4TIiG>fE!Q^_RO(ev48BTGdCirUk| zN8(j{y8GMh&d1N}ez^Pb?&0z2x0?riW^kn8;`(_1@cHHm2Vy6FyRLV8_T^ssZ9EPL z35wHZ3oXYwWaL-aB?FSy91D^*oKQq##1<1^!MH0b)lk`3BeQ4Q=zH_!_V*v_hg(3e z|Hv17y8m1K>E`iCX;yWdW{(w8b26eohGw!uRJ(+&*!NDs zheCKY?PakzUMvLjPo1e2nC8~fSO=(^jSG*6ml@Je$xSEARef$w)t8x3YGv!0jp+1f zS~V;r+DEEk^(!~DamuB)y~}|p2AKTJeOzymH0PGJN((>O*%WyzC|h3|3fTeS2| z>YhdgFW8^5fDHP|7+rd;!RV5q__VP{7LunBr>y#?ZsEPqp<2}Ob+akngdM80_hjGB zaH?A)g)`lrqbE8kP!b$>w2j0-4>@V3u)5|a8DugxKvgx)jyO%Dg2XIp{iI+33Ku`Z1i(&DZ{Y*hbnEBV;~lcpf_jTxBT?%TqY5;>^R` zM5p(YV^f_xuB9o`?Qq{<=&VF%!1R4fp9ZS=kt31_>O(YzAWuckmYBC*E(eyO1nSwM ztp2ubAm7mUJf5GY9g<N(6}`Yb6D`s|z}QL%vWF$pHQ2xJU(_M~A1!}HJCSovXlF?g(a1XsTJep1XRw6P)G1ibv zAp%A=FrDFPx)h^`EH!C># z3KxC-nnSvD>R^$`m$8uzA}j$TC^8UlGhGAgNWMxI8uuR?-&{jF{4_twM@xKwDSl;Xtj){56Jmp8gR-D-qMNAz zGY%nflMT(wy85`P`vrB3A5aeD316z!6ej4l8OIOtcR8{yh8l^_K@b`SzIJ3>1df6i0)J|W2L*DT{Wk8C~drA-6NhHJ|9aLZkomg%h!w#|{rGX`)9r`)m#=sCuX|tbAD-&3 zcV7U}_f+41d;0qA2??3M-F^ylb^)_8=i@B(G=ym9clLDRrO z;UtfGdY*xp<uU39pVHT5aJh?ryayXiy%%=bjVsD4f*}CjM)fkkY zfiw-T1W+Y%Zsn{}8-gKG?*yt;20OH3a3DaSmQ`E~`4f~UFouU?GQ4J1cE@?a;NrOx zhw&8;lM_d&1OnlyXNUbMJ16Oi3AWrgV#^hf<$|Fl0HH3AeKoDCTS8tPGnBfNio-6! z4-wMyMJLv_9MHK4CFm(W8pCFSmtOUEYkxytDphIhv>@vu#=0U_=Gz$%W?@~q4+?0Z zu&3MOa@%lh^k_85Ske>_e>=E5tIy8SkNx~==gZCK+ug4Z_fJq4__-&adp@qAgTRFL zf85Xiw<1o(GEjjD(u_rT^FbzaHrGA))B#Jk{!qWWdwNv8X!rBYhmL2aM z91otibQY2MC3ghw7M7zU&HO|gZ${sNZROPlzk7nD5?5aH>;ByBQ9VRKzf*PznuFD= zoV&3xYF|kx>zPUczNgR8)h4AkF+9ALP5Zh(Z|Pg|#HQ|50}rRFUKcKVqE8-W9fY23 z_5GLn_WRva4afhZXx_6Pb?G1e*Wttcx3B-v|4&u%V9L7lNkl`MLNN#~@$I!Ei6cx3 zzV=+0w3_T+2?9 zB)SJcITA}%*!hA5m2U(aRk^((KePtzM5j3)X#^!^f*?Fhj%BKiXcnte_yc(vVPS3) z`5c56N*Fv#D|)n06eqc%*nB9quxe{DYBu<)d$T;3Kas7?A$#Z(kr z!5<0!9vjm-()%+r^cS4-C04)K_cZ%)#~?rt1TgQV*}8Cwy%YtsuUzJPkQ6U*L!cvM zLX+5Vl_KV{vY|dHA)F@>mEttW-7$p;@sZpY6vgLCtaM~bqJeBs0>BbHi)OvJ2rB@7 zPZgqbn*0uFBbjlrf;R+rTVpjLK1=Dq3>m$o@*6WcOSkCpKjWcr?6{AJw6Ow5%`aWJ> zGsZ$`sKONfN_F#S@?&j(@xYm@(y76~7U8nQUTbWNyf@I_S-$b^?um*|cTlZJ~d4qBY{FHSBupm%*yWHe^4=Lg72 zN`(0d8%&Onw{79m`Dgln>@yLvkotZBlL>CSi%ZB^%34e=UiSTH4H{8tih{sHD@ODz zeUy+~Tn$|547#Xip$&$?|JW7Htp-CH567z&>i>?nTPXUgvn6ah&Au@qgFPWJvG6;SUrZG_%-oDJ*A}@qXTZ zK-DG1VM6Av^bxXjC7f2A;y+;gyE#!7BKT|QKMI17b$=oJ7Ouj&Y#zm-@B&*9QZe*? z+_Em+Fc{qG}2 z1TIrx=}}kaGJ1md6xy}d#e7D{TTV|CmO$gkXk8grpgchrBBk<(dKkTh8O)jI1-IT9 z1_p;Pw$PiP_^B%f zOZD?pX#bnlPXKg7rJ|%KK*6Ar!JmLSMlGIdKJxy5LPE{DDbv7ks!^XNzZ3VY3N?x1 z-2sJp7lh_OPTZ|8Y9@`6GU+({c62uYgol11x6Bdw#m^DnZrVrv%#<7rmS@a&PBbORr~Je zL>Qd#C>1bsQm$?mLh}rirs%7{L{r}ERpsgHvHq&EZ%c@s9a)n z+(~{$i7j_uU=<)ipe#ai=jJo249C@iq(@#lZTY1nGRLo%ol>P?d)Yr8w|DRVX8DAh zH>)dN?+yExf~9~i^uwsR9;_B}KuHKl2w(pUqY2L$qs4g4Ayon}N2CZ;eem2ayN#oj z>0TYColF_3diLBD_F(`&5I>=|_yc^2o;fT@j~Y( zkhpm}6H+#)D_H}w1q=D~@JD^~{=dH6J>2f_0u%ZlZkgtf{Fd?z`MufOLpgMK(F@>U zz?X@-Zqrt-{&Jt`<&2KF%|$i9PMN2l$6*uceVxcWsZ$$tO#|+K2)vOQT+e zDa#{R%*wh+v0CgO<^~0ymPLkL>3BxA2XvZIKT#2i3JXB$01z`MrmZohij*}4TW`=e z%(2;Ue%WmW3HCpzfU`*9%hmjn9#4kFuq$E!vj*Qjo4*ha1dY>`Om3A2XfX>d&HN+c zcZ*s?$ccom5o##li?Jv}pf{Eboh;sHm)i+EoyVxR1Q-uoZ@vKmxFK)nuv#Ej)Y zNQHJWnq2g)Z4b$|`eR64gM=@j!4xj~ZQ4U}8#Q{A*ZMl7K5s}3>hhkh2z`W9;a7EM z)z`F!B7<%!n{XGZsn`Oiy|CxN%$1axHt$Yj3QDiy3CDv{AHr|bT+bb%;k=cH3kR=b zB3FZiMfBDI>V8p&_feVDD`;zkWvn$Ef_O@%Bl`O(+J|{e<$#d1w91NqLRtUW#kIrs z@u(DL$IX&|!JOtj}|XwQ-D;L;etntG>2nmo_aD~K4ja>g@oV%jY@1X8Q%ZtZ)?84l(AG>qMSWxn5wy%^8siI~Lyg z_Vn7R0rJ!-D;pLf5RQ&MFalNWe`6#OoTZFV=zQuDB$6<<5s&2MKH+r7T#(Vgk<~3w zha{(M_QJ{aju%d6=nI?5l@>dfg|t#0AlVbQ2-PhuO5Ahbn<={>f9-f1s}&VqBl@ur zutGJhMfm!30qGjUr63DBR~@(wQ;vc$EEu?)hm@wB!KP_+2X$E&)fB-d-N7r?kBj2H zk&h&4#^&6AS&iK>0G=YasZOoUfhNf|##47~OltspLrH`NT}6;7keo#Qbjk{v%7){%h zyDfs1Q{`1cXI-Z(e_AwPQAc@$Uw&XbI{UeBN_tsy-0UkUl)POrx9Z;uYq=PC5HiXIQEm;5bC9tSjH4yBp0Wu{Z zwA}-pUd&lj_5~BCYDqHXA&~MxRez>g(aIu2IiRAorpl}jfQ}hJ7jwv;< zR>lX^t#;%2E0}C6X(GS-+s!AWoBwuy_u=&p{?}|jFEgRF$PE4+JJ0w29pA&ZgNXth zse*+vjB$r7hBFKh?%ZRkU%;UN$S`a(I~3Rukr;;S6gs)JH>#YJ)R$x>--q_MWFML~ zAx%P%B%VUNy;wd_9vm!|e;_ThdoVAxVIc*M?thc9z=9ht`hsJpJ){N!j4@=<(1IKE zec3V#4woG_E8)9%6tOy@RSBFmEFXe3O9Z#v+py?ML9TJ+L8#wFXJz!S5g#ASf7Qu1 zi#Jsd$-+TprM?heCQ(=?Qm_?WcJd(e;c(+VV#wd9#_e_CKJC2>`?LqtCz80o?dc-r zUCCADUD5w*RVY%i04>z9&_^+q`Oe+HBaN!*vvX)T_p^2(W(2qpA7iTzIFV2&rM^%xLYDQ!5F?A>8 z0|m-yepgmI#kc9SQvV4fl{Ts|;V~7wv16NZH(`Sy3gn!eiYBxuGXa9nn9;@5XKdrL zf2P|(5zRA&JLf1R=~9TjO#^ z;nDR0g#6_iI`GL~h3V=(h_SP=+=Yx!Gpills(8>YS(KFozkH9_mQdX*h^4|fJ~)Q& z8^5+W4Ydcooj?8l@eU;iu0g}xIDyE36${NcHr^}`7fwXSM82p>YXvZ~LO%pPvNh+% zHYUgtbvtC~e(U)#GiMUzV%!BTg{IUm#h*t2mx2TsvKq3B|6}mk3;~Qk%lR>mB4R_T zJ9#7AnQ12Ckp{O^M_Fxj8=z#=_@>@lY8cSi zdn3RsXo9rc*w9>#=*=0*QJK-Q#0d^XI@}5(2g+09Plkg%S*~$a@4%N7`DWqC=9|(dq6IMT z?(aYK>N)_3m!2OHo6LXTLkuh|!WdJ)3U4;B2)gpCV*KGwI#^GYk zZATYx?}th#*fboMpncMl$m3am7!dp6&t}&&MJ%Si1kd9&n!6ud{7{Y8(Xki{g8Y7h z@j@DJr;!*_v^2}|9G-(b{HCNwnNR!*7Anp+qwv`r-?uig51&8WheW>ppZSUE1aSyfPi)2H{z=WL-5G>vPMT5O44!@OZSRH z{vcfnRu<@|I>}ZF_yc>|Ipp_jPLMbAd<1loDR}#;iKi)K-Yi^pp-QQU4A3fOfK~^q z&}$;KV34sx&Q~TN6URR11uAOJR#KwlC&w=V0=r=YW?(0{>}%5gj;xB>Cn#Q^!8wJo z8QDqnr3^>aK2+ zM;loHf#h)&2MG!Uo8@F&NiCDvdI46onbq5)OEeE{HVuZi5E0$-!zT9!p6uh}?ZeX} zU?<*x`u2GD+wIPeKmJIAM6$kazI?eyubtZ+ofc=wFm%kfGq#`FK)<9RG0p>c=EIz% zK1_Hk`B>WQRTU&`0#g6=bZN%E$8dU)12HX3fqTHjyTn&%9KbciKgsP}SfXRO zvlKhg3#twBc=J|C$B2(eK@C(2bF(h19x_~yAdlC_*Kp$;bVH$$n37!6Aeds55h1Iz zaY%q#hh?`y&vlK^>}9-uHFqU0=UEUGo|WqQ^#U(O!=&1S613OP3!FUUnkW>)Cy)^$ z8oQ6H2r*%i^g&1C6y*9EVbA+RIzY6Ut&1;uM`Aj_q^tU?n!H^8g*mM)q#lJpQxHpy z9k$^DL+O~Pyd*MqHA-g0x1@hBgMWNxmaf+s;I$C2M5v4r2s8SiGldwYshfGEO#qIR z1?|1Sf)>JpC@n0;1Tztg$Q08gF(w-p9%pJtH9P`dzdBjWV&r z*yA-EZbQS7k=0h?A68FWHIW97t%ji%NZQB*;OGEFqh^x z4(A9+m2B)Ngzbyia;XENkz1U4hDs|lhjLE`|hEuKADvaD|;%{DT@!iX|8 ze~f`UWe}h>8b>>+5E&{+gf2l3RP)XuU$*iDx+dg7JzxH6J;z75xi+&o(pWTVQy%m? zm%cA+N|nbkJ<+BJvJ>4&W#fklxR0sw+Fk8<$%@J#6~YN=P12=Ilq&R@LNHY!gqb&{ zViUeuNXFo6t)%QpqV#PD4882yNoMX02BEv$;L_RHm6OI6T;jmYCK8}u$#@<`QOtYj-buPJg+W~|k>a9oj(t^8 zP>|=^IQr#BnoDD#;+*g zzMtp9H&B$Cx-x?)yVpZ*q#I9k1GH3<#-J=+UH|g!=HUat&foo^6^FT*osB|UqY}jI zrA>VxfL*2rrEUXcx!cNXH>l6|U;e+hlERmt=_DmNUS*K71Yf)gor*L!+l=WdLO>z0 z6gA-@ODwf~_4b_FMe6>bB-U`1fZ=0{s<#4%AJiXJHH&j(v2(#-Ohc3=Jw};}jCz0) zusO-v&F?5QlE!yg;As?mWFiU0$ViDaVNybDk|cvB_wt*_0$Kous(yQZj0PW)&zF?b zuCDY(*-^4$85`<2PK`-=r06sQj?iqq%)Clvj(vRg3}%cLO+fuAf0x`o`T`K$ZMYn* zW&j1rFNS>~79qrtw)4~Ti9bKRocQz8%ZWcf4K(qGu70}SdK#_i?OY2^(TwGE_VF@) zp2v@i_;DFOu2lGWLS$2{Q}%^1pPDw5Vgig>PZl&O>k^AXNLrv)+i!<4!C&4wyQXh&)^o1rZ2-5PhY;x z^q;s*HF^0ynDg@U38F%VYlb)A=9)iO_5!T140W~vPVdhpHt*Qkk`0GLkGzpK7S#)OyWz3G2{&N{PbdV?hb}TiD|`6U5R-) zF`n&^{mev@`-Q3*G0Qnej~t^zOzx_I_^BU_c1?^(VLZf1SddJ}_-J8G%JXzt+{+=#dLD-fi?h-sR|I}g?eylQ31iqaMeDt0H*um9&jy)nUZ8dJPP5Ou0*QZ z3!s(6Zo_MrhYRoBF;QP!IH&u=GF_+=zE%{dnh@i@@7M_{Rmx7$<}TsT%^`h7S(PEc zvEe`y!|UP-=(p4b;mQ{!j4=|`#nM`{)O%o(6;27X**ZehH1)K;8QIsd>EgSL*rRoxxg&<=Q7N|l}vrrsF z;i?zl4RxB-ePjLQ)eQdk;$^3X_MmK{i^XXROo}#gHFY+bIaYDm^GLW-biT_U6uOcs z12909i^U;-q}F%kiF~<&P&DTh7*b(T3$t`(6DO3_BcY9q>d=z$OKkmu%ffu;g%N*h zA^Ut>pBs?YtIWepoKAlm)z=vX98D3LhS83oEEVf6E<08E9B-Oy_OCwGMzxI z+w04fMY1YVFd~ae@xt6x%s|8%W@0*K z9y`bEzF5yTwAeDICUXAv`m3aVn>r=esTo|++9v80NYwfK_2!}e_@#cTKiq!2`*Qn1 z6K`2Z2gPZ71Raqdm5VT**z~X;t;=32*&#nZ-m}p)&6tCW(+LI@)7wHY6h9jRMHxd4 zo3_60%w|sKC}EQ+prd0RuLeg$DBG2iQa3Db@XL5DWu>UHOvBTVOTf+GICu@>3toH4 zoIV~^*ZH`P$w_Pu=~Fh-5GLAaw0PN1R(ntmmcNzN))$_cMlm0wxz}#A(o?85P-&L@ zNN!uf^nxKKfp*F=td}M%iqOvNBj+jfy71o^{40kJf_cD)w2(X=h1>&=nxd~yqBtPF`LjET99`$l5WKTxpNYE3;fun8nQMsx(m6->v#x)=`Rx{2 z&R>3^4gRYB{ljCf&&c_}qxPijGCVr}Y)?LCap8=oEeX*QJF!#4({D7fAbI|0X6YMX zSz9~`Pm4H%`3yz@z_;JSK_uzm_k@B0+913NrC~TPI$w@1>Y~s`B5=<1T7z_wq1O`3 zY_?MzzzIrot9{*xxil)|>?;^jr_;P;><5sQ3Gz{Y`@-n@?FSUt@>2Y_lxT|7K(;5k zDdVNt!~)Ek?+PM@VsWU(bK;p!#$JIc0bvPT1Reqk_SMeUrw2Cw_?P z`S#1xkMLcFzF{hwnKkWu+hpNTvxd|D5?oJ7RD6XsYqTe?&_@gF5B^;<0-!ze2s&i; z_|V<;St-5gKOf(k3qmQ`1#_=x`ryKi&LMe}4RbIeQc4IFch>kUyoiWjh9r z*xJfEaJ+dt&*}mJHLk7#NVdkt(m)byam8y$P-^YWyx+dx=T}5zMgp>Ji3FGtm6aLc z;pgY>d-4lO!G8H)qc>l^!@ews|3`N9`?tNuAVrcHsRA30G5MCxsjtj%p7s3V2KLMr zrsL0umi#}@KED6N=g|HVfP~o@{XHDWG8H93(6Fu@{VU1=@dHoOj6HtV^IZ_kL<|+@ zF?$q^uEvNz!i}MeA(j<__LES8dNQO)M5sF+Vj>k3<8sJhZ0oJ8O%Q!BNahEc z%u2f_g-RyVe;_P3MOe;tTCZ_%a(T0D(Y`{JIvPhU%9C?#{CX@O2 zV0t(|#E%Ko-n$>C<3rd26lA&A0g#ahhW5scN?gb_2N}RC?1TJbN6h6P;12RhPZ0h7 z`Q{Wfda>Xs00V))8XeylkK3+JP`_&>$+@OG6T^+$4os56K>AkJy!vT#5!zLGxuRQj zCh%U0T$EZMefBE{YfI!L7lujWbRY}l3o?Pl?u<^T7eL<{??ay#rC`E3RC=-Ln#;|M z>V>+DwA4I&F7gFls1CL`<(M&Avsjl}0Tl3j>H5P5N+m!S$RjZ;#_F+`dVir1q;ogcb7y}41Pz8E zt14Z0CsWrC=a3J~&@V^aN38YF2B;Zi&h ztchmO1{8!3DhUamyX3ed_5E8F(FUqqYQJ&cW9G7__z5*l2lw$L%9E)eUZ1z%}rW;Q-WI0-b#DcdZ?p_(I z&{b`g5fx4v^*1=-=vv7A3*4*{)sau)$NdDtm`N=QEDnFj2uLDXv3O!q5N&}=>UiUo zgRL+7y>pVEgrk-B%~Y^-7a?58Z?+sl^QOWOMDv2&wH>m#ueV8b?*Lg{2U#U-?=Y@D zMnK-xSYCFom)xa0oC6>y^JWOaf|59{V+;jTAnVAg*i+cJl*+^A4`_HjIXax}&!*_@ zf~?QGA7}I9OsbkYK;jAT)k;J?k!CTfj6k+HX~~Nng7^JMi&R&z3V32O-XMK-3qvfE zwzXmX4znTTgSy0u&I6WCaGsjPtCijW?$V1F0zt@QMcI0V zHf9@Cw#PkCz1X**?ByYY36rM{;I*=v7>!tD*7R_Nu0HNIbaj@zfor`J57u_nUPn9? z##A#2oHOF1STe0Nrg71NRE5Sm#2oJacpTAg2x$+(>(ilgv z(c(IfW!6=OAVpLnxM_8?!e8q1xKKQH=U1qEz^{g!$rBD#Y&&m>W0LVeE83{OLvp!P zsMoYVsb3-bUu}|XRao4DIgqG_N132}*%3DS9#0$cX;gpOE}o-$z;=UtPB`L8r5B6y z4YX0xu0J!(sGF_c%n+!P{m}&3_~t%V>bjz{6+s*pR2v$pQ=EKKUcwzo$jo<KYY9hXi(n+&D3AuAz4F9^eLzWTexx?8hu>nfl*~l;=uOw3SuO%>o@0~ z3C&~8)stBapS?nE7aRnxc<6CIMYmhLt)_^9#7o33yxQ|d;?&7lg6J8L4b4IF-VDhG z%rSH_xdc!Zz!}uyV)E3*6xkTxPh%2AmcvpVI@y8dEFaw@gYnZ7xvgA%34seOy$} z9F+}Myi@~4z8uj@vc)NGL5p7TjI!c}`ndl4m%B_RV6{d1K`yH)1Pnl_zWROy0p&0# zNn>Kl9~{(AcE{_D#VXODm644DsY)3+iPNVBQo#G3A~J`HpG#O^3i%j=S%?WlpeU?W zma}8AL9cyyii2P3+;&LVR>TcB!-V-;ZC@?7MekGPZEDyRlaz$gQRqX8%+&x;(PK)| zAu1{|Y=F!?fl5aL&hZLP4%3T6*t%M)1%#Mt#R9lcFPJQu%0MCgqeZ;{{C{`41Kw=A zlM<(Js&y|y8p1g%ObhMV{%cg3vAbCU)UCMz;uTQ!0_p>Lsw2Z%b&#g3exz|B-^Dc( zQHUd7Cg>3K!P5~Cl;ZJ1?EzF91Iv~l?q{H8ga6b7sLa!we}HSb{r-g_^qII1`PPZkY+3QOmIr+=wYU;;y^HcwPg$10<5+WAR6a%k;II-M4DN|bhfWI|<=ir75N z#?gfrXnHZCFOCUF(ouk=@RuhgFbowqfK?YM1_dV+@vPL{&Ql!O%2z%%dvXTM!x)w@ zIqeAl@ZZa`oeXe?zJ`WD(U1-60ib(?AP~L-x+3gOE}<+!NwjFqa$IEF9E_|OSW)N$ z)uEIlcCY5_uo#mKSI9UOSyv&5jPY$6heM3UgOW}ITLkB1wgSimUrF^GN*(H5;((~O zv1Sb(j<4FWq-Q)ZWy2}(G~a!CyF(f!{Xn)F3o&Fvmn*JTbhvVy?2i?j%fQ`l`|i6$}Y7Gn<3QAl4Z&@kb~i}7A4rF#pG@e@W0!SAKrhve>#d^ zrR+KI2$S}Yh+M5#CqaQ$|11V7o6wu0`%t5Qd?CITQ6d2zLZFO(_d7ntJ%3t7t(*|l zL`Jk-PAs$_u|DZXQ(Mcb!u1Dym3p6(6(V2FF$2&Fu3jo5>0S0aRX!u$BNGCa6TtW^ zklw<`&@2X2ZGbqj2>XtHpHW33f{#8t?$0(jOWu}BmJqeDTd2FCUL~syQ4Mhj!nmTj z#-7?Cio}0VWZ4kE%nQ);>(~9kdZY3{P`>(gN-3tVew;Qa-{5w7(=!nSYaR7kOFO-Z zpl2a>v=d;QgQ*Cuvd{q~kVa`$J)U zH9WDvaZ#e)dWgoEtPfXC=D{lInD`Yb^Nf6X-xCmv+x9rYOCb~>|S ztp&gnvhu-UCyBvHLK>!$N>2v;=A@R6vXz{fIWiPJu&|voNeBt018O%NkW)vCYi){3 z27C|_AByH^??-8^!hcB_DQP9)l-i>a5^5rkB8+5~PNWk1XG}?o8S+DRkgu$L>Eh=4vi;N9mGEC=5v8Li za#>Vj@v2o=U^AApm)%uB9AdIQjN!+MIDut-P0yaw`FLaUp;NI7)DtKSdR}v!^5M0Uk}0myee_ zR8imi7R8*2t9$bovDq%pC26_EPx1PoD8;px$nj7USJKJ7x{d;z$O*fM9alcmjHM5X z#f&=TnHgQ=&FDlpm8O?*hrkEzA4_?9hzSC~n1=}@JP;Mv4*5kdWi&KrEpj4u+jrimAc$NWxf$`y$>E20ROBcr6ySe%95ezO$ zZCDpYL2Q7$S7nBL(tHb(aUf7>Ve4mDITE1? z*g6210H4Pn2<~QGZB=){*J=Jf8XNm`@FRjY^|MD$Ac|p)jiysFQ9LJPi50z!W~`|x ztD}Y$W?f?-oc~EM8ELuX{K#8$CmR7VsL)IpVdETH`5XEFBz2@ZZDvxe1 z(9~(ENwCr9K{*U>hq{``FpKKNSKH-|N^V~3lI}S6@sJVmwVqKXemu@XlwnG{PXF*P5)iICsY!9juT!?K9fRoJaElXxWC7%k)H>| zOD|mAoJN3m4lBRMALA&oBGof^M^UC6lEkufuM5 zmvTTqkR09zJ=mdniw+X~oyZH8jCa;`k(LlZ)e= z>HVzW0Qrad4Imwy8qw#7r-P-yX9p>rZ6N=l8Nr3dA~SGZGt&%z=oMVSd#*TTI7(45 zadb#4>gKGA3qAWOGLl26IRlX%t>&k8a!Zqh+%h?4PGJeK`0=T_5Ry?=9rm+1cn|sF zO#CI4xO1FvI)x8q;BohUZQc9TWKqPL58DZ@;@%cVkfx>24%t5_dnQ{9*7y-!JC;-r z??W@?^%NO=cKQ>-h&QJw19ZXA9Bu_==Za9qOx_0XFNz&ed4hJrI<{0&`~e*8+a2yM zD$mdo@Z^O$+>e4vq#^)S2E-BYAJe|Re}lKIeRKQa!)s`jtPNs7&Si5zSESV@H|$P+ zt@K>x(_KYlRc7nMn!@1*YDk&br+8O^=5w2R8Z8?fkM;(KoZzYxWL?PHvMs}5^P1Pb z_C51L;>%<9D&TGNu15gMy8p#K$IlcEWVN0SJD8OR7r++h@$%uUgSygS8nB`)00mLc`nTuEJq&9Nxn*I zg3whoQ$x&&kbbo+saK3NaVhycS@pbP5sZ^dDixYe2t5SfD{#;RRvae)fVenv#A^;Z1HBVnj{C8OQb>m_{e3`;pc2byAN71QG#5R-Lf*|jvh9XO{ODH4g@}*` z)FSIh@;lZ(D>rqoV^--T0AXWUd9ND{_}qGPw#W;1aCy7KdIHQ~ z5(#q!O@(U;tquL!MCl*@hL+8_T#Vzjg|J!`wFoi>+nuG~h1;804Inza;(|IIHxlw< zm-mKccU2pcG5m!x39>$T8mI)h=;RWks4f`G_2od92}k_Y{$lvn)K?d5BXfU zK@zL#;x+U!j){8b0!|z|g;Szk3E(K&U7|b_ksgW+S0K*`&=Q?0B>VtS0^r_Z_lfRU z<7Y9TFO{F7mzUf1V*SVVY;z2{8ya!4tBniU3Crdwx4Z@X+7pOl9u(UkR&>e;;TRB^ zzL8YU!B>dwakE5}FnlrDO^OL3f=Yz=;8eZ=8z?}4Kfk-*e7Z%(3ys^h?Ok)K3TTa* z$JejyNT}D>%_CM$Ns=ghS_k6=q1sf_`+7PQb-t!hHUK3_;fRmVv}0rbI$+=yWWdQe zJ9B>j&=e&FJ0$o#m}L>V*AR{17qBzOaFxWG_lGL0U74ioESo42PjFoU6$v{sMFxTE z;z9|tGh7!GT{M?KjOZ1^6+w$Nx@}!d*OVF4glw)-epzVUby~JT+{vKE+{T*bqVBK_G@x9 zsHrkMQ`Rd#`8a6MgQPmH9cM49VQ`mJ?^c~Nz01BoeBxqIzKdk*8H%UqUd8sdQ8+8r zcssAZ`e;8!+o2plf{a$h8vMa=5$Jn|N+AePVntZk9+$IZ55;qS_4;5{H=dm3jk$s9DBi2P+d%y2}?U zD1VR5@ExkolTG64;{RGnin@yUyu}KE8PXF-(=PDU;fSt8W%U=$i8|iT4K#wy^z@+G zWNH*gD4cj^fTfY2f8F; z?=(7`<3r)SvW1^AFNAEvHOh;nG2u3qbIIM&G}5334zXM;P;*rWqesg4D83kKhfy{`B-mVrrOb`z z2kZEdhpI&aZfi;72l&_WmuqAeZjqe?5Jzjjot<7^pCKtiyq9eoPA2g(cD#AwZlXX5 zxGu_c(E#+ueYk%R)=~5_L%1zTeeqWBpabw^0w#vDOwJ*hZjK}ue0-0k2 zrNY1=e2e9t+Q4mR_pbIo!+q>Q>Z_|2y{ldevT zMIg3Eyg~46%g=a!)p8lMA2)27LY5vcbmNV=k;STweaACpZZDvsUIop zzSjkfr2&8L?&su@O-oj#UsyGoQnG||WV#Q`&~;s%Z6LL)9(?l0cs=Q}B$Nq9oF>x< zgmQa%eYv{SSa}rGVCbc0TQoBkH!yI}Q7;Knz(FYivWW2j4 zQvj%2I53$!w(}jwS=TK;XMzy5oZoR0k}PUYbs8Dl-*xl{Q?KSue9pB#G!*6wDn5Z8KL`DCOu$s)Mk^MVe95% z`B*y59b>KX(q69GIp^!USd$K#aUvwgg8E!wc#(-vDp|WqAutDoz&v~N?CrC=XYZc< z`gG)%N4L*Lub++HfE1sN?w*a_JsbU6FKOhhhR46nK#~RfjUy%Iu#qHQP^1IWhJ(;x zIz_5+cCjO1^A8kiK9b&uZ7(tbPPpWwWN)sYP0YXq&4PDWFr5sHxmadiY(rjGbO^gCpXM+;Q zbE(^zS#Y%Jh+D`m(Wp~}VjTPdonEQkxHrBpBb)71v$`Etx0)}E&ct#GMtBx}QQS=?XO zf=D-=$}Htjg)jC$FZ)m&=u{@7WRWjV*FekBeo-f>+cd|!G~zE?`=q=q>Y&|y{R%o0 zFdM3t=>=$RQLqME%NCx=Ig@hJ;2Xk8v!U0y9Y`qjNZOJ27Z#wLLj1w-AC{OktL? z6yTe0U++JoAqAETEh)?|UYC?Lk;m^V9l^C({9bHCgxl5a1h+W)mEr~&%&Iy^9y_CQ zXezLFw9#kqf$Dhv#^V{v6ojFV^B}y;LMWUF)*$RG0AS;t zgVh z5h8wbesygf31o4crD_pRu`V_*)DKx5a$@F!l@nyK{Htio(VRAFFzdKGDj&&I5eaO_ zU);SZTbY8U%#WCm{pni5_v=}6BCy7zDC(1><^+Z-^8j__!h}jLLBPscK&--KH;6JR zhb8tmBg|mwUW=Ump6rDLgp=|q9TaHhSTpx9FkFiZv>S3VX{aVd1QzWuyU}t(VNKBb z=drwF%%QjK^nCQ!&mV5@-+#Cpz4`p<+r4PDLKfVs2Q&na*%-vCW8&iu>HXY83gVD{ zw}?{_#qC%?dPUTL1+%POO~hsBLHUGGNhz8)t&2R`SKMPiGOk2RX(Sws0&NE$NTcZX z&5NHJbV!0P(DmHKc$RzCpg^dq+q+(ByWQbTJ}v-8SzP=?5$)*&Bo8e-qgXclUP>ZQ zkC1Q&4*_y{&>I)$S3iK?VRqv0M*g1Q)4ZQr*&WRh-^Ej^^v+hRFZ?)WD3g3t7CNAq zFqumH;qc1XM7urf4I?rGgG^o z2&wZ4r6sJ`OBDZ*bgF9L!Ko?o@zLgJa~URPeOTVMl$`?;`4mr|oS=kfxX=)#l>fdv z>S!^ZfV<;KUqH|YX8>ptEM631VQK)HRZ|{v`|n6a-xy952Pg^_+uq zQe35s;$RUR(QPs%|G=F9;uS~5Y>l%yqLfhiSTSx=K2TY!-V|LW#Zn+f4748Uo{xYy zpKI{x@j5k>>l5?^+V-!vAHHkF3p3eqAE7t+Sy<0F6UG_Qb|&e~wE6Acr)OUXAO3>+ zy6@h8YhS;=|MqXFN$`JN9IxS#-TnLMzfi}tkz$Hco#6i**@$HKps>TDfs2fB57AmX z>FOM9X4*~g!70m!GTP-X^T+QpfBY`{kKbh8V(OQd1`j}{AF;w!s?$TqM1~(EUYW5XVW#a+uqHfFD5*s5f@R~Oe}VEjE!UiQ zWwwmrmRogf@`_L{QuGlZhz%dq+iD@6yyorr$s!ONpAEMSO6Adm-?lG~uUh<{rCDhk z2ZJm8-5qCcNAe#sAcO+r@Bec5;qQBQzmYTXZ&Af)4Pl|hC<=cC=4X6vBP|X$uoSKk z#xflqO;#xt2B(o-7vK}^n;jw`N0(Sj?Y^;DnWSRCb}RFtQ*F(C=v-r+HJ5^=Leu?q zZdRHiM_$w}(AEnZY`sh2;GtX{YnB&`>Gp4Tw|_zI=exVFcc0$e?S1?E$7i=6-rpi+ zux)R@eQevkAO65OqaQ}U(}914P1D;{%}Rf7?`Lu z7Ve(RWjj5@w=2{1P+#(jW{5=McF83fp+>n*NzUKC6n`iFVJn5^t-~t?Lj?T3qLt!o zW}IKrQRiK>Jm1TM4|*f9>b9#Zg2TzvtOo8JHE`j#RL@OBd?f5s_uQ0oWZ!wx@-OU1 zJFs^p6Bj`FjM0wM-FENY_fK!0!XAD9cEn%3tvoeAv1It{&Wz_mw|rp8DA=h)Q&d`w zX9GFic0MPM&oj}?kP$s&@|{*KU7emoFHslYQKmx-)irzRuFu_AF)F~VPM~n{hkvy)*e4k(H89WY>HbqHJR*Ul6n44zW?Z2y$fTagP+`A3Mh z>S%@g)aUWLC9432p4fFE@|hf&+>JaQ`H=0ILcLk*97~TPZ{R)%e&ef9&h=-(F-k(S zufl=Z;*}Y)2!05QQUb(?xz0!znCujuMXX8^m#jV*i)s5W0ndkMMJ^{f_CxJQI{s<` zi_hhxr#C$YoK`AgteyyWaPEa<=;U`cbPgJ3=i&-&MzN@;7ca79td8qiin8n_iaI)# zndcuY#FcloCAJ<6d9g(wTlhm@5dLYiy@V;qAlcn-_jl-t{`nIlfMj`3_x^K46CSao zwtfFEBX)xs{d3#ifBZ6niKzd4`sH6n?>;m7(Z0vkG1ZFqqyH%%{QQpG^~=+z|1$dh z?{WF5efsym*O~tfYNCe&9ht~7@sp9Feq9SLg!9KpEP-dPe!a$3<5NO8q(3dJ-dj45 zOeS(-Yk}85iw}Glp8B$`kLnjdzY}c z(?dzV>X)xNCN9W05K){W$}e}PQevZI6e|o%Q3&D!$;Q)*W?pwcJMMWq!7QoMhG>RA zpGFJq?khamc)DViMzX_9LWp0=0qg^#O54WuLRnLKmXNgukS2|LqXmpH9ZkSTUa^=X zimt-sTD;i8s_azKTU`J4@$T2#{n>IVj)#XP0fE3-4>^!sS201R z!-xXBB#*8R3z*$x*3iD^A(z!A*h3PKCa!p(M2O2P)Na-IlZX{P?s8AMwajP0Q@9#8 zV)(ZN7^q}u|2%qPtwqFlz{87LHSy`lZb5GudPc+}yBv2S7|4e$q$zC+<0)fGaq93{ zx|UiD?5~n-&fpwfGmm6-Yt^{v)*#}UnF@O9tZWPKU~M-LoWT1rBi5g9ti~js5^D2Q zbQ|n$2dqsOxoJJAr){mlYlEFLl3dt}C%><{s?6~#52bzXbZKl6hnLmH;{1}Xa?heLCU~Gf%4<2Bbv8Zc@gK}LJMOU{_f0eP8>UVW3#cb8hCtx@>Tq7nEO-jkT=hLxG1 za5GwI1^0k>*>3VELUmjhPZUydz_Ndm@Gyy*_Cr_nD;T#FXS0co7hx zvn}-hagY?*Uw^nlRs$22v^Yq42YYF?%AiG^>jSsVJ}FDkSIizP^DZL7X6O=zn2;ev zs$3=N59kN7Jw}F{gvdyl%byxQvqj+w56cQ%UtOn4#PYs*Z50iHi~r~TWBc*#?cX6S z`d$qfs>t+BZ2*qF`s<{t^O{6OD7&N7`hZh%ZJ1}1ObK{zu?38~N;FlYculJHG#vqb zo9I*|D;GsW=|WwS7)~8*GV4`_(aRokDP07!Xh;``Y&;69a1bJ(brIwVVSq2Lr2iPS zH9{vf20_TG>&B6FqubU3=AOwbZb%x?&CWb37}{QMPVHq{0L(sp7JWgLx7|w=xFQaN zo^Ge*C6J4}tT#(;W+_yt7VZrmp_gK2c#`aiq->K3i@6EyW?B~861uST%_UY^kN_u4 zJT4PB%P}W{>ZgUPiB;#tmT9;tqd81L2JW?V+_3lOCxQr~6$j2Lt7ikk$R9IH(3-6d z<2M9oG=YmXwhKIj?=62yrB1GmNAzvqZOmV&GDBWRZI&^j&O+cYa%f-#Q zM%?s*xq-ex6EvC`9%AQ4k2#mCxVI0gg>KHVz zylYpTEc#)0E-a z32%;u_Ov;Cd{1eQiHyigvIN!lMk)l~pQE&%X;dLJN-VC#B2kHUf=aa69+7!C__uo5 zX{0kK1Y$DEoCeU{peF^Jwp~G#m;<_r^7wGtXvC`*jVs>n<#x$}HC`Ecc+8)$HbWj$ z0VR{!U{9HYodZ;1p?b%(Eyyku3%UCG{`1%O_kV{oyL&0a zVNS!SkA&XEIKNmvcQK~k1`!%dk|AxM7P0L3y%P%x0a2fw(U{^dL2o5s)2#Izr6#@_|s>JfCwV4<%JNsV!H~H;HsT#&l~lT$W_vl8uad1$xM8qC)*nUmq?Kx|R`9MgkP z@XVohg&M%CwV z3SQucU{n}>1V%+SGM)KqMov??+%dCJzuhAX30Yh9lqt1wIktx@N zpqRLPRjWr?fD;wAOr0tnN-dI9+?;b56pZ)drD{W5x{uIyy+MX;G3FA|8h7_{(&rk8SUui>U zDAe{jpeOQ_hkiz+SiR`k!AI~0crWUs*Kgj0`HR4sRLu4JCH)sAeu4HnI!A9q@y!s6 zoNS>ZcQyvEGhT{94v$&4M?iOX%yUI$qa2h;?07!Qs7}+-_rb9z{n^cG!u)FhGZ4;u zcl%AO_tqL|K^gAYpV|P{OZC?WmFzcc49fFqXV7(h8lnC>T5Nh&hDPz9v|n#Oy+sD| zZ}%=Ej;bQ!Xc}u)MAeT%M3}9m4E4c;G4&*xC!I_2{NiRMWt&mrM#7_)$5;su9wyy^ zN`YcNrH!BZXp*|f``Q_ihVOcVWwReH)a(ZEWyN7foD)graauapE2pJ9gQpkX5UJn) z5`Iq_MZgK)T*F$|0#+#T1Q{>{Oy?*%NSb4`2csaU5i`(V;ISDEbuP+Em(d-p4GswD zG){X@0cC-#*@V8vbdaJ?SN9+_i705j@5%U6JzMgRX9#}jLBfiGH4-D&KN5%*@JJ|_ zWYOG2Ei9?QKGCk#22g?b9p^7e3m`{Paa}S$$Y4O=(U<{VN<=K&duXR3&rEys;qLY; zeEc*-+V)%a&zv-VzA^OR3~KA!_n+I>x8Eee3h#p&v#?+%WgJ};pv_{P7lZ8yb-)j` zCOzmM+snSK`h;kRm0X;{Q&IuU<&G7-3jTxlKNzF$N)bnQbCL(btWMRB(TfCIuX@QT zinj_!26WUowPdZ>2|^_=f-Y^@6j(1Jof$94K#0>aIHPiIhUe-DVm8-_CUe<}WqMP8 zP#K>@8d$*6enDV41sGZ|a(_KTgNnUyb0v=lL(dWy1}2GI&a_`$yY=RJu|oC3K#dH{ z&<7;9Fj*}jo+JvLEK(9Z`uJW^c(b&CKjHCr#+x3QD-UW;SJ<6B*A+=g58-N=s?CHD zo6HV)2D!#p%N0M4*&w!f!_J7l8DHDgI7AUaZ=!byuDwb;LPtnndBmHZPy2_+sQ7@= zlC4ExMluI9R2LDyE_F+&OG;RFn?OYC4XjKUHjXm+5u%zBS1w<_3aPtTk1%AbjZ?ST zR#XOLU1x=*nuEGFe^A%v4?>%l;2-+J5C`hNv&;&8KwH$&4$3b(nD5WL?j&={obX*@ z$XpL|qbhZTt$ad%pP^nrW=$erT^i8*5j4yER(S(BTK`mrjIlbKZ0Eq`fF%5zXgZf`p zaV+8}e-*})YhM7Cl*mh3MRuecA9W^)Z=j<XL$yk&7$nBE53}D9V=Uw?vRcUexWofM zN!ir#(o_~%P?L^VDB~+QI{1U|a`33qs7U336s#{plOy$~F~tBGEc(OuS3GUyi0-XO zVn;b7piU=Iiz}_}hW3Dk`OkJIsr?fiqpAPX82z85%$1ZOK`%Y!#hpuJ$wF0&GxS_x zy69qiiZUxe!`o}BYfuAwf!ufi)WIRAi|S{EG;|rZ(yDOWZnsP(ybzoUYXOP1BGSu_ zs!Rt+FWUUf0>!$0l~a<$)O+D}bGOG}MQ&%*n5L<;=9*iDGySo-ps^-}1}a0kogIJs z)Ds`4Prly0xqFYEob8%Yh`a(j~HsVt@iIo=3iW{o_M}hiX^W zO7AD$_NM5r@!|Ph;O=E3H(OK1g7zU;vKq9LtO#iiKhG;HhbS-VE|VyTe)zAEfz-q#kN(at{nWRY%~UiAO@_I6LWlN*Iai zcMVKy2wS)t4buuX@878>V2kEyRmzmK_sq|;x<+EpZi5_WG@?C0A5vDu#m<-_qXnah zo&`o2r9*>0eWB<9?Yr=q+AIvCY(3=d7N0)3|N8xoE;d5OtDdqZ78-4}d_~1m*5o@E zbshds^~LE91BbO4zrO}F@+@Z@K^(_%vx#6{>y7TL5zw5dDE*7L1{d;i4&#>WP89D z#Y#gn>+XS%==+nt9M2yK&#JM=3S|7S;>O@TkO&1bj6Hx_=wI5soK_rXg3|UHJ#TlZ z?3m>`@+->;LiHhCq@p$M&2BPdST(Wcy4s;8PF;`a0EAF(!*K=bz0^DRXUW$J$9JC( z>Wdu3iBe;o9-l@WWfI^IfP$k)H6`x(y6j zCm@G3>Te<_lx)?A=bu*l^i2o4-cAo3O%ODpw6V$fx6+DVR|YQX$8ub>nxBuRz>$!* z2)`IGHNh)qhvPL&O)(@zQw5z#-K4+)ssgK*^$S@74Ft#%XuxLUX?04bO&31%W6EWA z)709gs2i#W%{^NGP8PfN=bKZw{4W+4 z0{&{RuD9#cV?@7zmR22Zd?>Lnc0QVn4&fwXnu#%6tGYu1D6}2XQ&p2M$l1b7hM@4Y z##AzKsSV~1a-UprtwX%oboC+dyr_37L}03=go=1{p^|%Cp3~>IyZid)^T%*#BX3fp z#^}wlpzH!6>!bGlmvm+!e-eK-zKOPl`G~TT!6<8{J5HM-%BszOI*8{I?cz9St)hU1 z$?z^VreCkBZ6M45uhvXDiJZH-UnEk{C6RH{&RB(S`V9F(#8j@fa?U6tlK0E9qO@s3 z=@p$fi~Zun_1v84Fs38*G!uK&a1l$`L(QaLKYhIWbpLeJm5E=_G&dV}LNE=(nHDmM zg`rL05(iS@ueT`cS5%}FqM%e(r^6$Pyg4XjVaR$!idx+d!WK$5AZ+rf_FOa6j)SZs zj4C-%AzF&KdSpb|XOBD=JeRLR7JW)eCi0%0*L;hU_1r| zx|nHu!8p-b z$_CubG*u9|1!>jjfNMt{BnQ;%ZU_G?bPJJE^|$$t-mQaSe5X)DolnCW2rE;Wzu9=TIWu z_Ji;#Jc`-_mTPfn#Li+Je0=Zwr}hlI$`FWrS`?v-pCDYE9MH{nI{)LVR|o|S(GDjx zhYw<7Wy-w=u6 z1`&|{+l*}GJ@YXoTC#MH8VfToU?%&=Yr<5fr^jXB4 z&}2nA$oCh5UkNvjtaE{QZp>|f2zd$+(QV+#A*_s7)*$%p=_rEGJ#=O1S8=PQH%%xa zB$H&rhj^p*zCzBAjgLdEq0luQ2rB#po@cGlPBl!!!zq0%ftKJB<9wXZriNe~ ztScnYCSeqv+R3~@#mVbkdEtiU-Iy}$AioPbvVRP z=D}TLj>gV)2P!+)o1#1C%sBv`DEI-zl8>R3!w3*qO-A&+F(oTi@7YY0D5wH*N7M%z zu|gK4y=(^eviS%05_2*%YQWZGLCDiPdGKU>Wik26BwLgOszXhAQ#rF|pZDw%<9GAN z+1(u6jw|Tcj66WY>x&msD~TQtbex3d&_eMZ7zk!j{T$y#g>UKjWfwUHk0H+0y!S_t z@UFPJUf(eh`g8l{%Xb#J`S!QlFUYg`Exe@69;D7hxpoy+1AwdT%LR}&r(ic z5Vu4?q64RaYu5wT;_f50FC zf4;efzK(vVXvux0b>sK`36=KmzoOPYknMl_1G47fL-<4c>)ky>`W?%9fXgT5j|z4j z{V-5pI%JZDzOpN~;KGzi^>St9C8#WakB?+YE#Qe!(UxzW_MHwfC{5BnK<0t*Sa4Q@si&yz#9*&7 z&xte$Fb|61z&nb~^ccO>$=2|mY7)7NA?yIX>_Lx$2AZQox0I-=Pnr?(7VJ)y4oyN$ zsJWv0UvVd3gZ)l8oU_&WP9S!JE(e_fFB4Ng)cSq|1z=RPFA6F{0proW!bLnVkA@2< zx#z|e?R&MisbiFLgogB6Ptb2Q;Oii%U=sD=idHu;QCUQLdc_9ndo1t-{}>LG6hA>K zJMzz9Q|T`n+rEdN}iR(n%N)xhudw>M^ zj`5tbq(P{U1A!^gA*EQPNB%@)B%!jx`CDwExxw8C`|uj-`pw1Z@hNz7%hRvYv=Jj? zsy2o-q{^>#cuO_}wJk{mQ<=KjsW zs&3gQt6O$@MSn?qjTB4$j0V7{&si+Y9w$c;YrRO|B}042z`{1D!V(xPyntcjmY0_( z0)Vo&gn3ixlLGBHxlr1!v>YT*_P^l|XfA(DwWWSeKIlRL$HL$qjfRq&qTr5%=gAucEBu+ewh4W{)h1o9Z7cCBH5-CU%2GDO?N;jz|U3UDcmchrv#N& zIzii%3dIiIPSzFbWCE!HOGz*`{;-Hb{dzolK-=t7^6dITD4`%6T5)jh8wc<)d~pfO zGeppGC)CwsZBjesWPtpSi!beYkvb)(EVO_)`Qw!$@`|YKOpZM&aj}dwDz)hTorpz4 zof_S^#pN~hNJdBoXcM2$RRBMlsS8cGQ)((0JS;V#iObG{)# zuI%{0L~hX2(su!-uP;TH$rj3Z;hpG=`p$Rf$i^2JUv95?II~k-8`g83ic-Yp0UD~Z z?5O8vqV{o=Tug;5I|}WiqZ!@+x}*RHbH4Y-`V$VH10eNo26H!p=9#+#yi9%Kh;C>dD-SBXs@7_aR5&`jNSDx{T0G8lxVSF#}_ zGIUuMF8S_v=gP|Q?uE(C`>I1YDP63C4Ey<)WxQOel*M@E<%VYJ%VicC8kb&PtT*RC z=4KmGpI`(io27|^dKlEvgv=T2O{5WZm;!hZpGgY7#&%9ug@+s1HHNA2gBFmqA8@B( zG2}~2vg}Nd)#VpO=9Oh4hrH|$>T8+PVw!6{2P0I@HsTk9UR!Tf4@Wj0Tb$P=DqL3V zy&*T|0#e{J&113tBOhf1$BJkiRowEJmZ3iDIP6C_WYAna6WqYsdC)BuyV1q=Z)7z~ z|3<{DX~|3`C{Wov`R!^42a>XYMjL6{C3!Jbcn{QPj79((#M}CCh?O|8z!5>}42mnI z&_%Tqv@#1sL#xPXPJBhZ8M-_2e5gh_K{?8t?RY$}l6gr)7(KUwAT6MDfRhQ!hZr<9 zbj98aj!mnM>0=06=96>JE>YQu+jSIq-3mC#^PK1b6emoaVIKYJjLInF(`_F*WcR{g$HCnaBIZwh<3r zu7pd=QdDS=w~}y2t$g+yZF2@@$pTeUoIGKzHk8qpv$IeorlM%rGB&A= z!c?^~XVk2V$z1Xkn$N&xEOeQl6f49-%c^o($A{uIk>YIO^>*f!ntJJBf%izTV7;

    tj{G3>CS*`sd7DdOB@82GV7D;emZX0 z+01yI>b>%8EYHvvh!_&J9N#QOkbf+q$;dE-rv2jxzDgP^HG~Ud?0UYIAX_JeSLXEU zVe#q{bg8()o-QIr-R3f1;O>xpNMKtp&j}E@c{Nf_n`L^J3lS1&_j0?t?lXQ}u5y-s zPh$9EgOm*96~L>4E~F7$ zx>6iI?&p5BhbGJ2vI7LPE+~YL4wX~1E-jeRp(Oe=M4@QgKi_^!eWphG?{{~%_us$j zN#wWp&$W|i3V&whr~yO3l4?^cDt9n0(NF59cKOTILP{Z~HwymHUeV3)ryM2oz%1Ql zp?$!Zo%NH?BZy}1zN~Cg^)M`1Qb9WG8>73A9hGs#?m&8ivlMqgmhxRe`Mn31g^xUM1Q&SWe3| zwDimqH~D)Hje2*FhILRi2_zFxc=W6V166&&ERQR=!FZl}tU&ze%>Dw>$?88IYNO1< z!%=gnT??_D+MNatFZ>!R9aW0XSVftu%_7lxxlVL^qE-pKUgU*KwSSlWWbv7btO^h? zIoI%Z4=U1~S++lBLrW89<&lo*A0rwB)d}h_){aWp4*obSs`S4_&)V0YKY*>=-rs+H z|N1)utnD5OlE`4|FM?vp;*as4%D+4X)U`yUzceGB1RM{~Yl}KsWAVDc@BjSGhrj44 zBa<_ne@5f8Uf{-we0KOaz03g8p{!SFVBmX1NY(cNpCy5Zl?lQs(o^)ysM$kgmDGk# z+7Yk^I|N`>D`ZHcBRblmBX#jgpTUf;+PFM|>Pr_7fK;JQq1xDk2vkm)j31xUU`iHB zV2&5+S}_-ms}vyyzpj+#yKQEcY5imL8UZ!;#B}J$p4IE}TXc6bWf+$7EpqoJpFs3_ z8I-kIzyEgo`omrO>xa*;5gh;*02bi;m;1e^BYN)NfBld4txnm)0mS3;r10UvJo+N=hzWMO^+ua_2Wj(U5clSv3 zyF+&)wq(l2q%{&`jvo-ZMeqms_NgXL3+C*}3La%tPG6rQ8|WGkmW_raXq16Ob_e4> zB8Z0HU>qW|_!Lo=%`s#DEThHIhwIUC#>4gKWQNqE(@K$y&*ec2DU*Y;6sJ3A1k^P1 zk|=uJMRR}D51Xv?#8*1xgI;#OXSo5B1NRh@I82AVUI9d_LV%)8_8os;fro0m1xdfk zX2Z7t=qp~Kud#L}D9O7=Kgutv^iDQ8PJH4W`wlaR7c$;4Px&50ykmYuQ$rKL{h)!Z z!pHA=E29Q>^k7&5c$&Y1slvTE`Wm6Hg>ALQuH}m`Fhf61u4&HO%}aGbrMkbB0pI`H z=Y#@6E$VbQ_j>k4-*d2otZGEr6kV|d5vvJf)Kp%|nc0{a0+mfTZQ_SRI{YZEAk^gx z9?R2F%E2|D(O#lZ>WABV)I854xn5iWj+%(%rfcQHFPg&`lH)vELCeL##ZLx^%oW(l zl7ZVY8BJCJ!5o6}!U^uK+2PniRRpw$R#d{*!B_>_b7qjxzFv;$?9oo%<7v(sG}HTFdDv1kq= z-Uui#{GpzxN)sk8oJmtjb;y*zhww4^seQTT3i0Ns0QzLNTW>5pvTSCB0RzaJsZ@<* zHS`&>7gcb36f@R6oj`33jwD9qCM}o**f^a;rfG;-Ow-v)O)_f9C)GTL_#Um*m@OaQ zuQRIOMqO-ix`nm|9xF$lCNXb0xp6fCz^D$`n?mX^WqT;EH$=%{G^<5q4{Nk{b(;zz zlN+&mTRO~MSt0E?eDCFPUNw2e!D$ck%cp6eB2*Abxa=a+8SY}d@7`qzGsq(f5%mT- zoU1$Tju~;H!@+rm$iP7`QdPpYmzUTr4c}*4UZpH%F;hfDF7;HKbA1ze+RXt|pTb19 z?u^NQ%q>KdRqFPImw=c8BdWUAo5+}uF=7_eg;xd~*YIx1&6<>)o zum*+6K0v-rFSv#SS}O)0Wc?U_kYQt751;ku~+h4X77tvvi&IW5$QB zz$63`Bd*#aj1PBAZZZxCMp4S_dd%0abr{+o=ED2g4p@BRhS#xRVLgfOW+S2tY5|Ogw z*!3;!x64bk@6p4=pfQclEJlbBdH0GxV&}R(V4mPH?G)5bsUK5N6+}enJvS48#$mE& zu3gvdT#Ka^i2wMA**kO$PMt&cB+A*Ofbb$Y4j5j12UtEN!tO+j+SN|INoZnS4>3w7 zk@e|i<_%+{kqU=whqsr(mRg&f6w;k35Ir3Spu^WKqC>i^po)Uq5|+)Bg3g z{qX+VJ=8A9ABmrhj?e%~gx+``W&}9*=>;-L4UNK5eh62ybn7cDsJK-{!W0AgMz&rF zS-C)l7wQ1w`Jlzhr;223KeGY`rNF67k+ntvA&;M+kPz!OT&}lxOhh0vHoGZAGTP9VQAm0E%gYMfD5NC4*g1bxpm=Jn{b+WjFqLGUz)qu4On&>nD_ zd3I0{{o?Y*Tg6ah)9w>g`Ou-s*JEhT&a2KLZuJTYz!D$YM?n*>Rnjz3#A`0yK#hwR zhg%E_ny8(x!BY-PQkdLwtWoyMg_9-K&1Yw_Gj>2c1kD=pLFd`&$j2-6J~UJ5UKR!zaKASN|b2&@OdiAnDO@B~sMGca# z2{hn^b~6qE+#OO%<$XF;jbr^QW0ke9&C+!bZv@Fk><93N;(3CobW|VdY=d+n!~;@v zfLJX?1$q*e7iO{~TEm{-sM+SLQ?xw1sI)@&0sXGfDh25xZB~tiRYvq9nOlZrhgStU z%WPT1HKfQ!KO1{W-v;a%7}k_?0H26oR{uHFd=aWbiBQA|hVe|JxL67}C9YQhpRMM$ z77e@k@#)Zb5ZR~DEPACkCo@eFUZ1<)Ydp>|q-5nvwunxbiWjS>Hm;Y~hIFA46QsS; zv1atv{V77lCMLvzEtMJ3jqteSzC%;=tBvx+hy)g;Pjx<`)14oiYfw8{eK|}A!T5D& zymlA{X6k`ZU2xen&jA;O-naTGQwE`DcMmm+U!r4eKlY^8Wv?L<`=63UOf~mTFAz3k zIrr9Fpvob@$S==sqzT|N)B!1Xo;o6c509rHy9 z!r<;Xo= z2i5uz9<1!|avLPyVSh-oC5@C`r2ZVfh$I>@Ey6Z>VW~!hF6s{VM|^E6WP=_!e6))Zz{FL(tx~X5?=uPr0iBb9i2h>Kv*=IK$YZ0X3rc_^6iZ+v-CAj7Tfj91%O(|bP2(zyxv}(rRqjVAEKd}+|Srs?yDdB`nbRI;H-Fg-hD}J z0c>w~f^1!kAiv~n_j2$1{){!}_HK|2ChaZo@2CI9_7TQjp$ne+hYG&mkA%hiSK!65-k=XL)$jPeyY5FSCavGMeU8FodSU*`tP;UAeUlSd{oRd@h2bC(_ zP;i!N7D^_pixAz@?MvX#;IfcM6pi-@U8O6uBXZ}6`BBT@j#-N$RfSFvvF|HbdUp3S z2Om%u9Z#dfF&>AL&4DJf_oBk(BCqQ%9h#z)*QN51Bi(_D80k$R!99KQ?r)#J+ zIvUC;at_1_bT4NR&3K*3pouuCG%nFK1W>Vr*#>0Cc^n!^E>tWO*h6jvXL-(!$md0G zplMf;X>%wCxNQn^4VJ(72dZDN_=W2j9bMH>D}@4EY8b@_iXs|%GIm$Q;~WQlWR`m| zp+YBvQ=jhk;^5q6q%~F`IH{{=$vr$9?@LBmn`oXMgz5Q^Dr3gp$ zr|IK{RCO_s4z5T6cp9jzT7o-t8usdhbf{eS2kni@H}yo(1rDw~s@EQ_&XG@}+A-W; z!W?vg3Ox&fb@}q~Z~K%)Qg{VWD);$06r^av zGPmBaP|!FB2C{`>zs~$~^0GyphifDown&9QC|-p?Ag}x4^_g>4H^1mN1A{xWiA;a`+d*Ii$Dhknd1!f^3nXj!xIn zpHwYS@n92Av?H1bCHXI;fUQt@hWsu^<$kXJPecd8XH%t%{!nF6E0c6NDH)veugLjv znMyoVF4iYlz!kXLrL{g@!d6o}S>Bzbfz^<{BJ@-!V{*R(FXx61J?q&a9v?_#)^LMW zQYq#3b$0lN_S+qpbTDCNxM`dD+-Hfp?_Z(9y!-I}FL!(IJ`z&>?A`mX-|o?)Ui+$a z^A_HH{`&Fu9{UdENI%=Q&qnsqQT|zw8h00-1cVjsN650?NM*_Ub9BYIv|=JIZmuso zk>1{z^6;db%pLX|=h?N@RPkXDJPhn;raeJ6zW|L#U13wIHcx>smW12NUeWqLIo`&tCz6j3HSA;A$1IBe&4sf)27<4LASkQYI3Mx|` zE(@+-gu7^h3S-1mi^o}s7yS`5DE^URs6vF5NlJyHxUEi9afHkON7R$=$GGQ@;PBxS zoNeT;t{7I3^ga7WXuXS~ag#gNii@SzTYNwX)T_4V)EU=w-{=C!;=8D%g&?5Gc=+By z=V6UG6B=9nG*1C#J_K7F*n5W+4;sBrU82Kal$rwLCLDxFzB_}y#w1$lLJ71BR;0Y3 zVOeLdqF860gJ~7>JQmKS*xueqWq12?baS(}FKb_x1GD$JD3|XVSaw^1Amau2)E|0KGu{h#qLVhrP04*=op9>P^t6&kV09atKBX-T!Bg z0zEWEZz6|ycg9*w=a9{QLL-tOj|)u<2UHsx`N@DKOiQE)TulrhFGY8TQK9Q2KB8iVs`TD#`6qg3@f{m;T>z@itQ$Rat& zLbb<3yNjii7mlY(N%xaOsjkPzbCAGlb6Omo?E1jL$!F-X5kC`Zq@MG2&3l#kntH?o zokTmORLCyI9wt4yQ0bUZzpyYd`5HwiagE(z#PJZXn&tYJ_~hY9MnM+$06?EusySS} zuzlPmkzFf!b3R={u&@PCP6E$ia3WBa(g1|Gq{@hzpf+PR79^W!4uMeD4P^mD*)kO* zz)+;<6ySBpf2BGFQU(l-l&7(;tU3j`ymr=f+{o@`N6q^Q*|tXGx32O+m#nA*RqSN(kSfMebjW>3&ypz*=PSvShx3)}iyqYI?3*5+v%e6XF6cwn z3T{sEzvp$_`<_V^No zz|Yo~^8J7U##tTrT7-INv^!98ibeN>YCU036@$lL;C>3i;wyCrE5ucurUVuX8V4zP zrl7o-0Zxguy}nqYiM*^r3und(sTeJ!@hNJ)Gp@h+aXMW`(JxIlihIGi5IKNkZs&k( zXIX)WB#2_z9AsSyU<|9Gr9loTJG#yZPlsD_8v?%V<&e(IIgy?Y5s<4N_h-Y0ICIwGLPc93J1^-F<$y_l3qbjOC{Y1b$)h^miX_fBlAt9_oT_E{~s% z{*V8AG=|YzOUEqm=X`L}*C>#>Tn^d;x-v?bI1;B`AZ7KZ%`bdHU5S0koHEmOGT5VF z@((mAz?@`xLh7<{+2(?ObH^thJZjRa93RipPL#*-*b#)(&0AXiXqmbqz7u6;Q2^o1 zeGfe(dMCe5MYdSE5*(9IebCYP$f8jq-of@@KkS=6BqW5t5`3?WeQT-j5Cbu=yhnusFKTP zP#!;)XiiapDl|;1KLs8zOV|WB0u0G|&3$Q?>Vg7KRC%4Pu{<3b>7b9!i{L8x#R@km zhIPS`XJxR*$3M{GgB?EX`0=n?J!%lp^NX7mSPVdJUgWi=F;e5l~Hi}Oxj7CEN`e0f%Aqr$QpGaWx5URr3*tVwm0{9V*f|9vzsc+z zpc^XB-GU>6e+yj%v@pSrsDry2m8n0kR;$jd|f@+9`b$mqN^g%)7M8{W8+K;cleZBwuw>RI~Z};e-Qm}A&awc%Z zdCz#bbm>)5g!&{wo>Smn-6ZXlDU9Hw67s+-*>vZbWg3CJk``ww;L4synj=u8;{_j! z`49a8-YPw4Swv61Mzh{2iWU@u4*Ap|Fr`U#$f>zHA;?)#l{gXX-l}Ctl52;lh=4NK z-?nCyy}D{?W%6lqYc{mdmg1B>CZ8L?i&tD{Q;`zF1#-xDEP-`x73KChdis_GH_ zC^0^w^sX*nLc>v=$6aG#SO|{GS|N*rL6YtZ2LshyQGBM3KyhQ)P-sW1mFS2>4tP&m>zl7EI^Nq6TQP^Tw&!B$zcxRCslMAbCM#;|u?M-_1; zemaks@=yS3G6h3aQk*tYje`A20CQua+BK5Ss5df0`2@a<)!EH@vmU8FnCC;q>WDKY zAE~TPe~zvWH@Q;qwbV07jk#l*5eFx@-gTulD#b2%MKPY#>H*dv>mba{b!ASHFCOQ+kAzRTK0Mg+Kg_~je5&ABo{)H&zD)Bd$PCSVK zcP{}~fZHoVib|JyS>FL)rpFLGXB*|0J6CloWxg><7`u)HQ3hC!3F9kwG%RauVaS+N z8mY4um=vepn4uniC@!X^i;AdV*NRbu8>nk=yK-iVnttf@k!^5&f|HH8N2PKHc{m(dEzf~MX(O@+{6u^NrM&qx z$P*n4%kH!=WE;wiv2NhZXPs~BMK)3qp@k-m*_#n+8*SLyOc>a>Y&OjiVOr4eaY}F~ zi#21F$(i)-^kXVVsd9Ydy6%HpC~$m7ULS5Aj`Hkc>HjJ|5J^8Qg$@G3OE9$5Ht3lh z#E3$)NLU6Fy53v`ORJ7n_NqQlSsS+~*-UYyVWM-@ayCxX49yEb*$lwV$U8sA~coh1B64GE&fmo@x|iwjH!37HLD5fgu4c| z9>_)q2mq^EMxV2C3*MAj1g;4`WDCs>|nZ8&o;Of=z`X( zRN)g&Krx?1iB>Fj9xTK&E(!2x|8#aGPd!Dh1ylg49#Jf|NUpaGqJc?4_GqCib{)*R zXgBVxT6B_$C=it?vpI4` zUcPN-SLYYMZ1Qg%o@A#m`OlaPgJ1;%7Ennv?%d6R(by)=V`W&Djl#>H#?4t6!m3YO z5OaKJiDignp)nzxFDOOsk)J%K$PRhAMww33~_uN{m;>O^uI=!E5%lQ9xh_K!Q_iEXxuBKQW6idh;U}qRAb(-07}bk2r}%8-G_4mW zmuD%2J2<04h{JQ09vzEA-bS*984D)`9FVD;chjriWXz~RCEdrk5$1%)EFt<(*+ol0 zpr+e&gWe&Kwb`lE7I;uxB0au8sB0|2hBX1|gQ)Kvh98XS&NxE~iDkQzxLwlZbYr+@ zX>@qWJM(n8vnX37DqI=W*X$lD%Wv=0;K5FVfb0tW?Ap_>(A-eKQ7bnC>^f;Y|Q?72|1?j0mYTWW03>Zm4rhHSGU9UD@{Gj zTLw@15h`~JAxQPusYk>rEG93(h%yP#(ItTE;=r&&)VdRPCvtD0{pf(i=_O2K-EGB}Xw2+q;z)IAEiTdxGG;xee4)M(oXw<48ndHB$o z$5CJmDiu;Hf^eXI2{jqI&utdg6&n3#Y{wYcdA>COLjU&@sckwOG5cD)MO|<_l{W+XIdj zj1z~)yttF8KIZQ7xNUwq`O|2Ej2rGW$Wz@^9i|4!?cXRt#@xj&u7{qBeM1*}I_2IC zNWK8hn^^G#Zx|mo;+AJSFo#~Rnc0%X8F+RzQqt@PaA$wSEEb{{+{E4;Z!4~)gGOqr zI<4hGPDoNIO9ex9lI%%Gx;P;07;6RvFeWNYd{$4Y9XWlD(_`PF`13n z_k{Az0>xvAIXe&AY{>x+zmD*XS-!@ zR#3DbI7uZdKTD!5hUG({LLyoCgfG5YK|0p1ItBK|bFs> zb)S0`pQj|!DtsA54$RP-^Vt~`X;IlVX~f0Vic~Ad`MNUV)XbdrbDp_^R6wIb6Nlw5IcS-!e=t-!qd712>n-r7VX$>Zl#W~itJZXa!Z<|{E8|f>R!}fK zGFcXY`$%yB*TpduA@*uU;H~Dffkh~_XOfiq8olSv0jbd`X^*P zK!Q^SB8Ge_7<|y9f^qSukWdZ}Mu%Pz(Ao_TgAMhN_?9U%#uKAfIk3i+f(bg{?^64m zzK`c;pKUf*Yy9cy4w9c8qw=BllLWS0@jwM?6d>4~BL>P%noNOr7OZ8{$((13IyYAQ z4^S|+*BM*PuPQNz{BHK6n@2M8ii;g9FCRnjz;lpaBJ#GSXI-9iDy%G&cR6T;I2o9LL?wf(?etO-vxc@2HD>6WnU%JAG zP@SwHM;9vu7#fCCVlYVaCTQ}(R>YT?{ZpPbx& zeS3WS{lmQ$%nw!HroKRYtz!hF5q(CME&BKc4V1pNAMZZCzWs_S@~GkR?IZs7-b#Bv zjDCOvpa1&-lRkg_`w!5GBtq-nGE@*mW;t35WLkAZ!;u)lrSQ~S@gZ;FbWya~AXX-1y~+ zLHR@hwP9V}q#KxIpm>Pqu8vk7yFSmJx~QBJbpSj?f;eK2D>bt&W01H~X5ko8{E<5Mw3<|#>eR{JZNcnsd%Msl_iVF`Z($Oerb&ZQjdk8O&r9T}q zk+CdKM5YwS+dq|FNj56sfrP>-U>7*6w@jVCNC!8Nm8Vz~@{ z+7bOh|EArnk7o!HX*LzxaKK}Gd4p6pDc(A#Z8;G?;{OKXu2c_bd!?JF(gBYB;OH;nglU(~+fTgocD^fZ|zB4G@7@czavUgse=bDqo;+X=p0z` z=0Co_|2oti_%^dQKd4V07^A=! zA&WXLX22s{{Gxt9E)Wzy0;Jtm5>A?3)_c?4aWozs9zAK1sJKMd15EK(r%)jM-*6yY zTm!{~jI7_@-vgM0?O}x-o>T251*WsvqJ?syVHy3(Qk?BQSO?I6E>bmd}4ku znrj6wM8H{hsb&>(t$2dTv3cgi??}YXz<_he>ad(e#R`w5dG>+SJ(+=~G;)(rH(96~ zzy?j}pVGx*Rk7ivxjx@KUrZ;nt7XR)dmLE(Kxk7{tQDE4d51wZVaHcSm3sKTq=O5R zJ7HvUn(;wH5%oNkPZN=v+o?7qu*@jOcLTY*D#rHHik{G{ZH6)tRs|g@waxt zve}StA!(=gS$S%{jGil#HPY9U8t(b#{Q4KvTgfzID5l`uj%z_G{LKys!r+Ab6w+b* zdW8vgXDI)(_ygq1V5omwI_XnQGX$YeW$?u?0zoSI>zKS9W4x zqHaj|*QxRP{?os1r*|B2{Tbu>tbx&f|3n-on4hw7tBc{>AR!Kidtu-}0;&U_@F_qf z4AKfHy}aZEUCtFsRyW(S*^fk$6vrhTLzNa_dmx_x7~x;^&&{z{udO&yE0y1`Yh)Z zW+`ajAdT#xf?&qtK|OrQGEiKZAd45pnt(RLB5*zAH(j+t=MC%_V{VS-U>$f*g2*TCX z5QJL(#fxd7_DjMgqvUZtakZH|o+W`f=-!ALJVLx~6{B#~wdf}WF1;?sPA{gW_R~lP zr!+1FlS3oNmx!Eunpu9a<2|B{;vX>R;MEdtGAW6woH=n67REtw1muFgx7l2d zVk2$sXr=0=#w7TsGFZ!IDBKTxDIS~k`KJMnxDkj+rQ7K6{5qle0@VFsFruvD!I zfmy&GR+rEv(G(g1M$q&5{c9~20hc2x_)1@Uo)7o|WE1%l3Q|T$l~E<5TquOJho|>o zNhF)eqNLAf`AJv_Inr$HH%Das&)z(H z`|R%7yJx>X`}4E+Pe->fJzwL`8~k~TKX>@^4u5{dpFiWzd*KAVAe|n2P+1w|!aS7g z%802ptd9mzEegvYu>EmYcc$N_a4U;Ja{VedhJkCq;2A z>?i<&@;Y`KLA!-coZVkxaQylbLEW3;3}P0(F5u!vz>26MKADRK%n}RJ(F7_mGWsQ$ zb*z=|p#Zo5WrUf@$npnY3_DVzDAXZpljQyLBMGN88yo#;v%So?Zbq?dygw{@{ACM! zkRg^W8lUFa`dX4Vid=)uRW!HR?2sH;3U0v?g&o79&cN@7k(!dnT)2?|n6pYY%1;BY zll%0MRap}A0+U2?FUIp+=FV9-ON59x7LWo)fETyCBSsi_nMofTk>W@ip<^9v=&!dQ zzTd$;Q(mqJjLrll0zNo7DvLdBq=mE8_++6&73lm?rbm!0dCZ|}~~ z@0P3V4@lbTd(g_b7qjxz#-UfX? z;LSaEFs{i1AK;r*6fMh580j#aP<_Gg(`pwDI|=@Uv{5O(U3 z*T%6CJ8o~NwjD!rfN^Ecup$A)zXyeop+P1UxH&YuPwrBMN%VkARV-f(^C%Bxb=B+> zDSqW;R}~u7>HW;Z0sTDKVpH@4Mh!aWjlF>mgY1sOJb^r;B-1QCC>;>h=j-*!$@%#X z`63Sv`+ij>$)>4GswgfHrWiob4%9(;r;hzBK%J@McM5MnGo9staW@hkLp^J*6x9mR z!86C6^$-NZW5V(ExiydZr&{+IxcF}28~b?I0+RXk$t!V(E~fY_FmKD{Xnzut2DOln z+vRfvl8X&@agz_L-q^DWiP zfm;M~nK$xubDG|$P=|P6p>wns$J?I+>bsCL?w6fjdY=($@wXUzqCoK$M=8ZyuM5fS zjgG=OB-Dn7MsZF)JAaryNQIOCsS}_wPrl!{#R{>q=a*XmIWEu7FE8TIp~PLTmf_s1 zKGM5h9=YzYh4RjR5-at1_wsaotres-5rOd8)Ey~!T(Wi1CJB0}2y2kCKQw_h1Xxn5 zu8t|CoPKM6{qXtq?FXi*8m{L~dW^gU67tQL@9q1q|Ixnx*2YEsrf5L{RRg~(FFk~c z_2=7fxYe5v-{0Qt!9rpPGrI(@951>JEja`B#EUIZ6WSpap#dID_p+e>HntCtH{p8I zuzgIY5ab7HPJkoMddalP`JpR{D(iPdsNM#g0=vUP00M=eKIM8l{b`w<5uC%gvpzxw zSFjA#8Pv+!RsZt-n9`pH4rP&4tK6;5c4(B?WdYq8y0sLj@CO5b437>%DBb{B;Yn^8 zqIA{`Y8|>0g7^lE>E_P8@5o$#5!_cjU$QM+;(5;F8PuM6fBlG02l#NyD%%ivPk7upklDzl*{0( z4TeG7(#IPJjN7D2SIG$NejOPCqcuw>K?*%__q^YwWoAy{GL*70V}|evr}q84^vP4nHsj7W1QX@CuL4 znKxP_q`O)tfTOlJvTBt#e5o#*GcJgC85(gO)g6~TNL~m9D$>2*kx+C(tfawDdc5G9 z6@PJIeV=V!Q2kUdkrRax=CU_KXD03XXvp;?lX^9;1+4JfqU>Lo?-3$za{pD1F|g($i?tq({J!G{}X3 zFf*G;MB*fEakGaG`5pfpZ^cy6y+C zHY&7)sUUm9zcy@ib!n6k_@;lIA1*rmii#Muu;!GnORU$0vIij*zI5>%oAdQRB z&WNwB%S7YALfI19+rsOLRUv@;7l5&t{Rxza6yi;dlrErF3Vwd^%JB2_lIk)##B%kM zH7S{7uF=_}=xHtAVDLbqQ%*17)jhrbMer$RfJ~YmnMiax%1;$$6#7%RJt~DrrEuEz zmDI8P5p@~i$j1SO7oT~$#hR;7T3>E+xZuDHJ?lI~;CfIq`Pn!^sXhg^iPc=`vNfkG z=E8{CXg40{79EW6c%V+5UUypTe@ZB^1?UBp%HI6>_VtIm|BtaZVUFX-(ggWaa@DqD zP#2}i$Q!*oM_vFSCJto+AXRN`X%GpiIK`_8P^FqN+uy$5_YS|pBf;tx0fc)-Mz}wJ z$M-Htr1H>_?0V}<5eu&@-ZFyA+lz7^;DPp`X@89p-=O7waN0^ODrg6%>s@cyQVkKKuL-w^VZt`r zox~d$zAuK+z^TdXZfG0>i#pt|j@6Fdxa@Cp;b(chcDK3e~ge%9(xG;{=lMyhO<8dbiz6XOm z$$%&a`OMM3&f|kl$&9wTLU0=Q5!fEH-k9nJ9~S)LYsq~K)snyf<$p!BByND*jr@%{ z&8z`W#aj{1>X09+)@S7zgOH&*xYz+SkWp3e? zTwf-S?OJC(L7zRlJuxX>7!|s3xb7?0`jjkP&E$dmJ32Tc-c+9|cQJq8vDLH`g)FEbiq^w1*pS(;W`8ArLwffD8_#yd`FTq0GS)gmcQ zYeH%v3y%jgLsEqji(&mB4hEsz_4#^>-CX`6iU!=&61b}hBAuBi*MLo6*b5n1jL=!^ zfFHF3bY_&SdlcvV`r%g;t~Yi8Q4B1>l;{!lAb0ISL1HIM=s3N}c#O&&a-zU^EQDWG zq}Y1`AsmwIyFz@C(UIp%IZ>xmgnmq0h_NZF%PVWVH!06y*2UIOHjpuCGFBya49d3< zp=o9V)T3=;=O5Is+Vj6E$$OgunZa3dpJ2$4D`ug2+=rQnBGDDe`843HK`c?86rPUh zZ4F?FQHaeR8B3^UI8a+}QRb)(*`EZl+U$dUOox6zzhIy;%|YnG>SAOSL_io3Va-SM zS@w_tJ0wP&;-1iJaf(MMl8uk)Z&x!7ueQISaCnm+OuGWMko>tDWq)O#+~uF#@srg8 z+3QQ#vk7}lEY+aLaEd=Y;t(~E!#aJw7Ti4f=jsP0C?iAJX)-CDSm~KkjOy1=JyoX2 zM(gt}_3ywto#yM4gpM?v-5hxKps^1y;%@{ciUT;ccv-PvH4hWoerz0U{eyo)1g6;1 zi$NChh{|mvWN6@#V*){eW2j<)qp!kIS%UH_p`^YZ{Q~m0gOemx_@PXk0tWQw@lkeD zXo2ChK+V^9AmnWtq#}4_2;Unn-S_~q2-8bFqxeP^^i2rFI7(%b?ww^Y3~G3A|CrjQ znx`pC+G?mdk^ENhfV|60S+IOENr8n*6&P`}agYK_&E1T(Su6II*1;^s-DcLoZ0fce z;M+k*(B>FHo6x7i+A{JfV(`U?u1a#Oz_&!6+$<2Z5x8R;C(5P?Sx0@^VtnKYIu>Ch zi{RRrbXi6t2x+}+v955iKK$*|mq+1mU`Of}a3$WK%uzPcMO%~Pxope(wJl!}Xk*qI zB8-9(u_(JIxOcj|o~sb$$6SL*Hi9J+6D2(IMo)*;NpL_+ zPPMo=oYe)L6228!)Isv;=61}IB?P}m2RPJ|>eA*o`b1QVOgS9YB2x}Wwa64kwd85% zdfp9PkwX{jP6?#ji1zd0?$3X`eF)A+$s%ELL~=gd`54A@fdk+8X^p@sSz)$q#*x;{AVo-}`U)96l}4Ndwi=2^DK0t^zrV){qwsozrTO?@W`JC5$B~N`8BGd zsLU`&0^Nl-kfSFWYw?05Ao{eT^l>SeGuM%zqu95EeTuvIe4mvk4@hT!shu<-;ZXNA zss9={i89u7sKQAqla!N$^)gD6kX4o`*FxB)jU1Sz@0pwPs5vESbh1$O3CV}A7LD-r zu|^Bq7qb97*HGdiGR57k8XT?SbnjkmcR+zd+(m6zzc`gR37|+o_3{Fi)OzP#zbT)h zz#A5IRcd;!s(7N9@pw}NovmI2V+zP^tdBO%>$-YDm1dGO9LuqUY zhzNbP2E!iO4EByNYvy7BT4YwMxMqEd*1aW)`Hk?N1wFiwd zHPYhP6VgPYG`-^}YAvIN5I?w3`gX>s@(Frd@2W0{Dul^Ltq}NI_$1@Xla1o z(Z4_$m4@if;c({W!O_kI(U>TDG=lCPVcL9xP+@NMgTh&I05sJco5XKCLQLx@6$Nd3 zsP)Gq&sC>eV7msMYrtX}v)ms*yA2Fd^^2Id8rHvaMr8-O=jtA~Pl)0E0H=Tm$ZvlE zE`k6KarN>R2|-2DsVG1p7epPwW6#;+3S?m#a1AFEUJfa3yV#6*<}p?a(Fl==0$d`N zA>1V_Y?OQGX@GS#-BM2NC93G<`DK++eTb_2qlfNTG-OoL&G(3w5@-{FVCJ%Bcoq6F zTGh8y^Xua3sv@C49(~*3jevNk;5yIO`L;YQNp9E8{5M zrCNBmOUxCj0-s%W#UaM?M2{#eZ*0COQ)ry2Mwgj<-S7?E^OZZhUX4V+f2B=Mb{ zoCJOv_)IcbVWrh+%0=}QKrTQE4_h8%g@s%Fb+~qNqNvc(zpK(2-U?r%wp5h(6Np6J z=zQshO;|dyUWa9F2+jJ`bkg$(>+EV9*P>%WZ9TfC>Y4<7F3T27L&4}yn)G|)<0$j8 z+~B_F22YBbZ{cYb`4*NAw4m~g#RPpa*w0w^Su5oS@he}RUEw3IplJbru%g`X5SWFa zXFi)D2c9p2{-tPNipAUx`v9%DQ{h&3g7D2B$8b8rET zs%^gcq?$&Z9Lwz1Dx~5(J)UL!9MyIZBEs!YdlFU$tOaZ)xx8PC$PF5Wmeg|u4ZvX%9DPHTlmZWTF)d1$qCca~ejq_dbKx$W|W z@M=UxP?wfHwUff7aHop{!VqoGUajXZdubIC6n8!P$Nk;k1xcFj5rB#)YUl%Dx%dt0 z>x}RWTA&|Nr&yEh@VJY~&ROy<;3v*7XU;oXtaSEt%nkaR(er(C{d2T8#Il%+tbEwlu* z3(-ADNa{tWGEag!l|!o_Jgv}iGNe>MF|M8xoC|&`Nxqv8L!EsX7t(OeLL^!PxqqYe z!9?lL7$A!y6sKD4i~5m{E885mGU=QE&}8+=;zGx88O4Oe#R{B|JPBr_Xf}udTbz=d zYl;mDKjFwbJ$e8?LJyJLHXr;IaiEGh9shNTg$(AHaI#-~@V}g6y(n%?| zr{l2lnJ;oWcDbFZgDej=UrCJc1zb#Lz>fg`0}BR4eA_(d4IQ&(p%Xz74uJL2mMvGi zl`)E%V^QunR2yss&DNj-VTE@uVLnRH4}MZT{I3vZrGbI|JZxLv`%4eB=4Tcf7Sj(c z`~^4&@TUbTbE^NKHyXlxr>O{}LYXU=k1_!T{Dunnd7zSjfO@SsfXQ_8N5I)nHloEF z#nGQQewkgM%2`Mdn#UptL6TK89#VYnh^b8CPLQu!0n+*MXYBn3$1T2IlLdw$7RKMP z94KG37}s;{G8;Y>loQAe;ZX99TB(mKGbG^~d?8!u=hTFLHmgb&J8NlWSelVtfq&Q+bs9C9G1^8*f>o5S>5PS*8QA({ z9|+1NBf6%15;L@H^P&^f`z}zYH!Q0R`*J9YJrK!nLWC&d85>YrD?+pxQ85YcAGz(7 z=aeA{Gpm?rEDT0oQ;cBKQILUk*Wr=W4^eJbv8t5IN?~IUl4%d z?uF}(_o3ARso{i#u1-CS!qYrv=2d(cE>e*a}X_MQ=XgSs`eaB znhf>AW!Ih|bT@2Ssz1G9IWC=Ac^dqB@%^*K4Kf`53bqM1n4Lm*?;|w_yH>`P(J17I zlUHlAjrAFz(4pX&?F2uR^MhiS5M4DGNy2QRiyXHwUDr}(u?z;`r)q=A*>S-51;;>^ zZrmaBNm1tw2se(FQBDF?YYV)DiLP-_uXpFDj3K}Ic|0&fmyXiLv6P^D4d#Juq!r?D z43dm0ZGQ~IA@(zMD#)S3Dm79>8+x-94}oMvP;J*)JMJ&%7sRIzoHUs;96UJ%%|s90_CH z`)?LMoWoD7Q$|;Z-dyKc5w(sTwK?B%pDV4ScJM~CZ@AI%H{9niHS0s) zJiX47nK6%7Z+->^o<{cm_^@T;d+8FNoJGL(`3du-|#%&KxVkpx7_F(UhHWin~c7NqaD;s z$YEz=1XUi;7j(|>={Zl+DqW`C%8Psv&rO=lAjvb@$%q|nDyl82M6!t<^-@IyQCS>4 zm8h*ED+ALUWa0-#zZX-2ZX^<>4M~{jb#M zV16TBKz`4i!EPb!vv}hWZv-@BxeP6CV;quQS7qCf4)_j`1b1<|j{YwKyNXA_XPd%N zY3mj7*1>u}-Xi0|_(S@>$ZzZ-l0!$55~pgitRAA019&|M6|4YDNs73E*R6p9ye`M{ z%~c0qwWPfPA|3D!jbPPf94AXHtT|#fu+~ohLrRb+lvAtzLeS>@^-WO|{_u#@cjvmel z=ZwQS;W9&V!a?t-3IMjPW$!WRl=gdAA(SvJpBKfqzIKKJ{N z_xEr2|3J~ky(aX*6F~6g6op5XJLLxxH}UpZMyw6n!@!uamY>Easv#t)vpK2N4+n?j zu!kNL5 zIlX_}-@SkL`$rhVhN2-n~$?@_RxZGZjiA+7|F&e)@h4R4SKDKB^6TT{9S=jY8wmjaf z&6(a)hL3shfuV64C#h9pG>dVqGoqv8>kD zNc#Bx|3RRNxiy|r1wcP)VJSHyBtL*~60utLXFT)<4 zc`l|Y#inO)CZNQ$ND(MZbUeiO%-E#kY5>-jeU=w3YeV$|s^1!^0D|4-RVOm5Gjx(rIzd>FWTUc~1|5Y; zB%a&Ag$9dHr6@q6-ci(2%Q5E!wHZR5F*t*Orb#sO-sNL59h^3lSp);Vz`4E^moXlq zYbZQJdxG4P%jj`p+AhKhZ*>g#{o2EFJCO_RV01}7iZWRC3j!%@b89mt*dycS5*Y{{ z7UHwDv+f*O#U8!#GnDz73{v8Onuo9a*CPpHioprvMgqYr2PJ^cs7m{1+l_0Nd}o4s zlE$i_0tO00U7RxW_Fw+g!mp}dK!u~~h6tyA)GuZlQHP)>SLNXIfGWqdSMgBdOI$>= z@}K&j2hV8AfVRy!;slb-f@@QR3GxR`MN!n>XFw*Oz0UnaM%zeeE#+p zxw7cyBn>$B`WHgcFcZ3nhk;F6@r_cjZdeZkn}jOtQ6p(aty{SNXB2PK>Hir)z`exIm*mZjA8<%6hth<32kG)=3WH*7)^<389E7?f=*B%ed*2aDZ6 zi0V(+T515{8jI5ysf+6+RILo$$JkGOk`Ox&t5KmGWT+I`LC(f>lToaRrTQQD)_NFD z?lgAYY5H2DSF|31y5zxh1N%0Og`tO#r1^ivD)SjhbMO znAsfN*)CF*uN=}BAWdcwRu+3T%Q*?njxsa{^y5$30x>|V@GeDjqho?=J)QRnlq@Sj zL`hkrkWmw>CD!hbD&j`!jPxor-p%FQ+5-z^DZNUSftb4#h%u5Gh>;e9-%QHcg{{jf z7FgS~yN zKM29N0+A7UGDDCtqtugN_gMg(MgYOca{}{F1w{kXSOt%pL7(DE{$JC0DnK4L(}cJg zu#0$r*yo7L=;)|b!UZ@1r7P@o07!>3sRu+EQPz$%W>Oo{C3n49twZuZ7HmVY#y!v< ztVdCR&Xce-(&FR<1?U=-pVN|V*tuQI=z0L8asRd_`CMv_p_-0nae~o>w}|vQRGgQp zqEz{WQ8vMycNZH*vNOm%fr*;qRz{;MWygb{+jS~s#|R@#bW#bSNg!TZeSW-|4na#< z)|ba$&Tmksi94@{G|d` zSeMy#T|lfZ?#Wxz%X%v>3?LE!=?E?e`q1yKT8L5{Q zeWUDRsc=aj@x}Ib%136iaTkyZz9`mYx*+u7yt9aCFz(gkm#$wj zj2$U^zVWZ2*OtMd<7$z7MMGC@8V zNimd>)Z$Pb1lyXWgvQ`xoF9b<*h(Sj)~%6>YTcg0XQMdPx+t*^Ed>E7R-GD|cL0!V z4tk1rU`_7;KP9JkNyMXCrCkid5xO9<0eHWomgE$*ByGV|-l?icebIrp-1IGH$&yEx zauy&@rG}<7LRN@qtuIA+hL6^vzsz!B7$y4Yry1mi@RVq7%p2)8s`oAm;rwYQ3#~xtO0r@}X__ zGG095T%N7sdtbjMCzXbP#6=q+V$a+gqtrYN0&x&jYb0|b;DCQ;o$5TZ+s z0V9r%E3L&Q*hbO*FVO-7{TR+JR!H7P@j}}FAdL2yELda;!nt-gJ5`)fy+P8FTNP1& zo9PajhAEB1;L=q-53)%*Z$;-ub+mGT>+`ZBtQ^IcY57FCJb5dbq$~XP{{7uQ_iz6B z=KcM{{=)+pta_rUWbYrP!ZjlX4i@=iP{P65G#Zug^ppluz)$Dr!`%u#kghNYjvl-j zC!oz1#0Bofj6L0(3venn{Sqq#iZW;m=g%?(Z`Vg}-rqeuJVy`EzcYwOtXs}VucKf1 z9#vHsIb{AFDy%F{MI8-JHxlI(RtC70pztyKbjYgzhOFJ7s!JcgGPw6UY0aR>BYc*Uc3SxXsJ>8+029f6)A2b7#%BJ#k>kD(5aPDGQ=6iT0xW zW!>M;7bxx|9;xbxpK3_Va$JrzHA{m7t?;I%@#NS1F6C_H43JsNvlXBwB+H(|A-ce? zvLf!9UG7$N%0en(F~+VJv{ArBLYjbW&(YJFa7cZveMoT&n!tfM6^3$XHeXZC30amT zsRRWr9Q1K@3Fl_M`o&ftp-|JN@)$STNvtEhd|^LSwV#?VB5CW+S`R!522rYydwmIV zvp|#Dm@d}*`f7u!o!Fb+bePH?=Z$#=ywLG{&;;-um0l2Sf`mmxVMyI15On`fFCULi zIT5!gpdlR*JIt)q z4QcwpTTTxlc&7Svu<&j@SAtZ!C+YGUm^Sw6R3Q?GTyosh`QbQJUUCCGVta7GkxLM~ zT*$w07I~ASKp7aQV_JC^?)5 z94zw;#3-C0G*X%{Qud-hi9u)Rx3h7N=3rWb7zdO3vJ57kn#b+NDkwE|ltdehvXW>a z`#JD0XDnf)!nN9SlvmldwkytXTL;mVnu)nV@W^rpLBwz*qxBV>;`lW@R%NX6V6|EL zXfEn=4;IE4BgO!!6PP5%k9na$a z)?TF2atskb0k31+kH4nuK9*v8eG?NPYlD?%sZOgr3tm+A5cNQS<+T9E+<1^lx{epO z)JN|hZ|~nce)`nJG3>}1B%lU@#6 zX!H?q-~NdNC)@&$++PFaJ~@#k!Rx5o;ASHb8|qf1Q@9+h0JA}vtt^kZS|j9X1szwm z4IO_GrTG$0Cwn{UXXLQp@I*{&Wzt(y^ksaXgk0&lS`3G~dh&cZ#ct3Rf4LbcGX((BG-KD)~;qq!WDytSD4;0V0myeF;;3t9=L+QeO3{Q`Ec|JM1 zNd-a&;5tJ) zSUTM3spiDctBD2!k6%%U`|k1n_fKEmq18Y}8+l0O$!d@KSL^GekIz5Tm-HE}8-9EH zAd$-dju_?tdU3J>1@HcYwU!z=;ztZ!{=a)RK+sCNCKw*9dEDYrUIGe6(oe$j_$292 zdA<_7IzM4JTdvpoUH((8c5ts$K`JyHb`t2TLDe(cgEO$4Hi_0zD^r#3&lkG=g zh|T(kZMG%7oeK|g2UEsxXenL7buBu!F2Fvvtim?n-fVZRKMlspzkSlyJfJeN4Gw4a;^ zRvt>lvK ze=?T>={b9hlH-6g6I2wVFsTD(#>li))tF~(8Z%8YV`Mt>LnZVKe3~(8T3~!Q8`JJ< zEq6wN*h3UsK?}3dguJ#N)GkyP;z0p-#^uKAv1sQQx6eVOW>ZQYn(_0RUpt&|*`C7Z zO;=Z5ZKpO%Px)TRVgLUA?%{Ek#BL%!m(}E;?U1_gQ+&8kC#!wbku_mBe(h8Iru>|e zLdmhFEb(4I7#>(Y6=nM3rd{JxnKOn!{i$GrAUClb{fv zA=nV{Ff>8rhybxojykqPH1=!c@}8k298Dw9VuOaIpxCht9f#){`vYXyMl-I*35`RX zkoh%6Svc^B@xTFmD)3oZdQFc6=Ei)F;nCgmU!S9|mH*khd*1u?dG8HS9W{aaF!( zmQZ|^lUNPlaNP-~H7Zo2kDHZ^^haf(Fzg;`$M&Yu_Q04OdC2-Q91y%tHc*j(ttomm z@QU6^KtSpcKOhdPa#S8S0TLQ)vzdPdEe4!Ac7sWK$FCh-P!pHedHlc zMi&RXT^KxUb6gyDhT-$#3zIs0QZ(0U@`<|SfhThcVfL|0NJm3U!ic_{9FWkK8Rh_T zQRMXoconr(-C$fKN`e+y6s_XwauW&}Mmy78>q^JLu^u5LIMx{n4K{49=(hIi1F<_O zb;?=|tpOT$C``*3dUX$8PDC+t@s9IGwF%FoK0_WP9DkAvSY}VzX4&MA&cpk+k(&pe zZDEw811^QL2&O6r&XHfP@Qq>u>9lCa9<)R2jXWPr!|Oq{MszmMrE*G(3c@2cw_ujl z>wZXDqjE;#?pf?`_vR`7M;_*JB8WUSprD^RYxLQ6S1X?6{btuY;=-H4QV#m#bxsM7 z$%qqp?|?oaBq$4iL}^QU9~@%V!edvvzXCpS&47gf3O;zV+omy1)zQih*5~O)n#GW1 zl@6shAuNOB4H3;&dR00io%mjEK?x<&03hl(90V7FSEhv1OOlgYGQiNKNPAjMf4e4Y zJcSCQ2^9=!aVG^uLF%Lci$jBi1MN{cYV8xyZ1x1ppU1fAPYdIKpRDHmFCA@u5QreOj+f) zjCwLNklmhjS*euz7AlMuumDgE0u96~i5hPsky5Zmbsu3n{|uAv8SO0CO<(T+^Xt1W z2=Tc8^UeFO5AXiCKl<^N&Ynh8gPL2Wo`H@icTnEfrP46v(Sb9{V^BAICNd4WO{n%URez4BiJk!iqM7Z->e zUt0Qx*ufyLWAJ^`mqIN#`w0Iz+$FSmx=U!IT|zbGuytJRO9mQ1L`XZZJG@EsmXbQK zDeCa^77)u{I=p_#gbFL~x|h&gs;4y5&T5~NZ>aV;LAB4g>>_Bv8sV!5YaZbE{!)T} z_ zwC)ISbt&B_q*5dY(f3Id5XnLK5Ph?m&zn1p0KDh+>L$?8`g31A`?9Uh5 z>&sVAHW@v3^!f3N_!scwhyRWM$AA0?!FK=g@yGp#U%xzl`p26+{TBBc58NOi7{!W> z=yBdyAjPt0`f*K8)|USPs8Xa9e!{Z(Wsjes&IhHp0aVu7LJUXCvs?fd6ss3I3fR}^ zTk%oe5{OAhN7VKlTL`9TpI^-YM|bO=GYl)qV$k|AdyCLLX!l0K%Ch8inlxTYZxxAP_vma)#5|CwMvXe2b0q8R4#pTrog9DIXje_?RJNkei6>4-7mJ znoB7a&F@hP5a^A3NR8B}6k@$}cLuY>!t%G!)2AMW+ab3L~l4W}x|uxe3=VpM4Ymg9CghZJ_l(v$%} z#gTFvAmG?J?-Nj05i7<55mCyD zH3^n$%0*?89vUvM1;c9>kjx|qi}ybS2TknTnTeh~zt zn8be$M@kJCO&1k~NPSi!MwKBonX|1KBZoHTo>;s2Afpl6FE?17RU{TS52vG|C#E}R z{w`M`ZR?`WqcLPkn?dP`$UbT*5ZMnJO%pL|ze_Ur>t_{lj-OLZA~M$=x(}@@smksCVKARO^lbXH}J9JuVjab_?V^L-h3R}u=Vjw_iJECr^n!)TQe z{fIp22zD#7f0S&*17ykD0o%{gx+CwgX6|`Op^^`xOzM)2abd_8r-P3G8&6m&-Sif_ z;OaKTyzi$k1_OsS%a`eq>zbl3NUCM?6zhl=C?}FD>LyBOL`N0-p%j5^N+4OZJj!-! zNQgjMXsGk3H6D+^q8YgzWVPB{l(zL)*QrL34G*6@xRyr7g18XoIMaPlW5(g==PqG-Fpka+y00@oBn;7L$(X@@jDq zgru|VMFGkV+6eC8NdVWd*g%j-Gzy8MSus1S3PK6KeZ9NBDvoIz)0J6Dm>z#J^|#L# zC1mX8i!#lWFK5(RlMxIV@Qa$1lqscCJBSD)OtbulU2&;$cc~N7{fIoqgAQOkV=U#3$Fkv2M4E$Anm~#cwOtdK34oD zg-D#Y`8`0Uj^M!qr0Md4?yPUx_?YD(@Id4XNO8q_7WQ$Dr{W%T;{-zEU-|!;UVap-iCkJeK zsF1**iz>HClRI~5ij`(ns~?p0a}7>+r9o-{NC#VYd!rTItF3KV{~0{f*W1g@{v#Tm zD}I6MDcBgC3|S0DT0mJ~d9&Raf%5J#R~c$EKpmLWV9WC*`F|+2b6}RP1IxvLY*+iGHlkHVFcR;r-#4fQ33R80A5!J-`Za{F zIw(-MC}EMVvCuMQJ*vftz)1Z8=oa1fyvcmfhzGGmBtS9Kh_j2i8Zb}Cc*f8n&H~OS za1Fj(|AIVrsB)Y6mepZc9_#q$YDn|gXZO` zbB-Tl`96mabNAI&9MTTX+Leq#>G)5aWCiq*9g@yDqY0Qy(sb8Zsuh* zEP@=Xj#dO%eV$6LEFUx|flzJ0P+x&D!2r^Xlq9@6P}&W<1}A$TmN}oH+n<7n!E3nq z<+@UopTU>7g^0-O*9JD`5;V=dkl*n-Fssd-)C70Z*sHmkU81TS(4Z^YL_?|(`)5fj?>d%i@~7fy9&TybYOMEe&s-b5(P?z^i^=xOU5(vQV4z!>VIZt;Gpr!;+YwU%kHIf9s|d=<0lc{56I>fbfDd>*zk;-D1py7tZZQa z`3rJxCWo{UOv0j|&>anC_QY{c)sobX-ey?Tn zfyL?y+_)EFF!XoLmtg31Jo6?r=g@tdRb2d&)Iau zaxAG@Oy9T2r$Jf|jmLu=6;Zl?(8?&?2^xk__RkSC{7TBMdx8#Xf|(B%oL7MgJGG`| z4+FL#LU(h!Szo|67UTGn%l_Dln^QoVG3cf3@p5?-HJ(rWT#kF7h?s+cn=~K0-QM zHrRBaaRJ=J%>vDxPsPW+U0=l4%dYBkRAN~?S&t(9e0?q$XV4g%lcfND0UX52&~UxJ zJUz|&E{QwDQ=`DkS+iV)(m(^E)%*~|I|;%WA@27CtK2fs8~9Zn7i0OR5*G`nv>d3M z($*9`rR3@&dX|!h*NS=4DytRSNDh|ABSy)~wGEUD4us#G$Jp0|Q3qLCM5JWD_;hmNsE59`(AP^c!Q2h(-hmDtH4RSZkaD>_EZ<5G4;lRlTMh73y>ISl4oU zc}e6MTiik@ui=x%-ktUYrBp$FGeE04DcDGf-H8HY@L-J#jIYTlT;yECiciE%rp0hG zbPx73MC!>a6FlR8{<>PA#R6pc);>Yrn1?VrJL89Rk&03I#A=5;E|icfy*N9P$M5XzZbAOpr3)!y=oh^Kkh#h~f}PPz)4?W%)rQ{U({JsQW?I zjQ5fldaAM4C@5O)oyKcJN!x6~dLEclS<*wZbydk4=C_)iI$!++@(*9t9;$ppWs=@l zRRH?2wUbvsvEZ_iI39THiY^Xz%EJ$IaTwg7jYHUu{z%IDp(!u>(b!gG7mIC3$3Q`v zMLq}~bwhsKOdLqn|3n3mXk6JPv_FeXzTcIKar|^9#xW8x$dNpW)#MI}M8$eWNUTHK z#B2Kf60M~^L6rqT1s3OXWYZx7VLRV}Qmtyat~(^;v_E4ipWuBlY)p6w4#n;gRb&-! z2s->V0?lqMwi=P#vU<)1q5qd$5SQv)P@ET*V~XSj3q%TZ=J6SJy+UN=45hHt8U#kk zwkon$<5u-+EcgKN7$C+{`SB5d@N%5rB>QE~0A`V;;oOMZ1;60(&r#)Mw>x6fx94|n z-y%)!0qFw&Di=^m#4kA?Yl3ztu^E6Lljy3TeMq2TOdhd92~A%sB|Lxo{QmiG&wqdZ zx99Jk|1YnbAfr+@0cg$h-u?65Z_j(bKkxnRdGFox-v8q3AScO^>IFT}cIN7mugO22 zacSZ$b`mJSgCpG~vLesS$OA&s_^I^B3jDNDcdf|lgksd(?w&TE3-RC3dfl0sXpA~Sf+Yubnr%IllHX= zj0SpMd?3+JRp}N2w%DXr*#MAlgd5tU`YO&PKtdw=8M<_NsYOLuYIzR47{zEFK42B~ zJK5g^ibz&I2f*z0>g=*7q%U?9T^5WsW^I4lSy~JDI;fGNOmDY02yu+yIWBtR3s}NR zI+BUYGX|s>Iv@BiEdQAq{)llDUCmSLSjA?iJ$6(i==aH;!U;JXnx*MUK1Wwbc%@jd z)?cb44Q?iBa0zJ`a=k!>0|uv@(CiV0Baa-q#io;6uhS6404i>(6@ z>e_0D8oRq@Q(s-Zl48H*MQ3i<@qCEP%|NB5UU8^F$szT@WH=};I}{ArA)7%0vHfa@ zmGRxVRYeOz2kS^YXubGh|IfE~|8zw>%}81yp+uG!9W{Ny7Q-OAEp*W%+FAqo!nHma z-}~5KFhkRjzg2zjny>Y?KmZ7?RY*F&04K=Nu>2sD(F=-oqCQ z{t_!Sc1&>U?7WZ2U>~)@1A)}0Cu=+d*~rzx#l>#}%0OG(|;*MNa+3@5UIP(t3J}o#AUXxh0R#OXL8(v^A z&EJ=Ip(IYS^N;n#(GOQ&>f-#Y2L~snO2%P~gF${q`r6tjB{ufK+0C0`z`~}v&XxqQ zw$YIQlxwl966O?EsJLEPopOe*OrfwYb~q<(g>}K$%E(COTIXMJQcz|qR0s!USa zvTaO-Ng8-3ykg!D`Zo+52Ejx9_SIn#a#ZPgO?}5!0JOvyx!MeQ{iswl65>UVc%bMQ z-qm0B>3{-cKib699C)JOrYVDn5eE_xiG=x+G8g;cQTzpRH_j{V7p1Xdo6)5F+BqrI zn$FtMv_x&ZvI8Yg_L-gAa1F~LY;t9rI9~gnl(zI>%1r$pSETbKcE{E`M)g>>xDX)E zHWxZ37fRuqlijN`_@C$ta4Ux~cupLJ#zO!qrlwT}WpfhZN~Z{MdA>W=o65FnLo39M zLVm#Ry*Rx_X~C2PmG;?2EWA)ggloK!uT1n9(-@3Coa(r)8@*;iY3PNi#$(kyM0QW7 z={WYcuFs%mDxoRR0ugw*kH1&=27Hn@Y!!Zh>)~r!+(usoM{zX(vFOjA%t?()r}5Xd z_4u0fj@37*U~|n>4iJ;K?4iU_-a&Lp^_2d&H$=KlqFiBLuZRc&Ua*RT-bFbM_?y%| zCuHKs(M>}l5&T?@Ih*O5749T~_!d!F)g_B7d`JPV1DQK&qvcqKbjkp_pO zKAQlS?23e?%#6Z2VS3*Ei=26NeY%09=QG2Mv?U$JlvRYKfti!8qK0$A)y2Zxvbd>! z1CJ~plM}J~5>a1yQlOPXJgJ#UBM%G7tc6i*?V9=CM+3JOOxBxGj(QpD%R^mNS#&%Z zm?veXWF&cG8}%S-?L4uX@UAjY@Op`;v8xhT*#xmr9&jcU~3SUXqTqo9704JWG&|DZJ@} z72#N&#szA}riz~)z32eJCOjcIWnxz*vy`ZO1GGbnR^Shr?*kN_d}4yLiq2`Won}2Z zQ*zFUc84|2*}%~xUP3K0(&D=e*~!_3F81lgJO$8`7med~ZU9y!fk(ilXk;LE2bJY7 zo*@(Y`W*_KNf8(2Y*5yDkLZaG?hUCYtIwMTr1uf~g^Iw+dPEHqrDhzESQIJ77m^w2 z!{IH-v!wk(`Y~k_%uzuvGXbG;J{k5t1Wmje~zZB0?abuo1aF+i;m?74aJ1?mJtz{`82oM}l!1(H})$BVLy1y+=f z3FCLCD0%_aTCfrXJWFja1Z#bjLVUC#yN+e-d%3cIT>Z;1S?7P<#No~N%hlm zUuQH3q!+~C1p>?W5SS+SdHO&r3O=LgA?h53KjDXiLO5>@l$qoxP$a49h{>=XTTMcA z%E3elnKFL*E{79H|lDYh?9d+ifp6 zSB&Zr!UCy*J{COVK$!Vb!py}lj7Esj?ip>ecwJWKMu0)0c%+((jk+{OKro852bqdw zc`f~6>0CiqX6G-r^p&F_Tgw$`8Pq#M?%|F%55ER)_!!&EY9f$8k%?9L3auXK_JC7H>vOUl?xbl-0VEmj z{SaA}SszV5)o4Y(g4qP)seEdN&y9`=OqKQ+4tO8x7{rh9PKMCXu2ZW8q_o^`u(oJG z<1$-oV2CvfhyF;@-Zhj~ypQNpjV8Gt?>^kKa4hoIw}`#zp){;8fz2G945?zCAdq4I zeNQI3R-#C$d^G~&nSGS1K4BYEr$`1eg_M;&`h{R!Sye@rB~&7R@d`RuLZX#XB`7^} zb82Wfb8`z_2#_6}-j`R`XXh+O|KjZQ1%0k*>+DaTAK!g=_rLFtzI*tN?Lfqsp)7)+ zkG=}YWf)Nx4rmSh8O*p4C0z?kCXX9gS~*FdI*?J_=hf7GUd`0$Xum%}VKxm9AO1K3 zts{M6GC_-x!E83U|I2W8lI}>NV&YJ4LN|K@Q|rp8&QwmQxL<Ckvi zk5EtYyZ7Jq@Y5WivF{44ff!PO=$0n*5@D*s|3R_>fC>5EbItmd-^Ej-!H{U$wRYA> zIZe}BuIbWn@lST=#w_hOJ{Ht|t(M+3>YS?M4zhF9GzN&xYo6h0&sGUGi8xSJE_C_~ z{4+vB%}7dWkj?7pRYYB%G|Z0*ZC|UxXRHhSRT!?fm7U-`8Y}RydocEnx|rn*T`(#X z8X}X2N@R)#_(7nWa-c#mttmupLb{EcIc*-AM#vob!V&r`tJ$f3a+hoIG~R>t(Tun z={%7++}UvMZRd;O%IWWz$nUTs!V?r-Lu>#O+OVFCsSy4gef25DxU zE4tu)svCwRo-vhlLx@U;A&MxK;mVH2xXUV}j;m(8{B|uMV zi?*T{Jp)vf`}U|l9iu4VuerWFN6Lt1#UK5UUli{0Ll3St3W%(totNHGL8Mm1)*1v> zWX5PFu6mtEBTiC26^Dy`BlRh~O=Q?`@OESxlik++Z&p6hCfM3b_}F}4u{;nF*dDfu zGWQ`08gLh3W2}FcQkJN4PQgf;POXN16I@}453-w%0#!r8`yH94eud|>G!%pD?2;S` z-dppC7H4xbHx4Si`DWVV^07oX`FOs3PvtW|G2fx@)a-1NX zf;ph!q`;e5M4-ZWv+;wRH=oIex2QLFX=*zS6c_>2slDJTBhFrgSh8_h4r)v$)my7T z4o>CNIIt0hN315cUB?WiodC}38Mm<6lfJ~w;`V0v4XgOUume9B2K)ea6f1%tEP8i_ zBB~<5o2wt1@m9R?I30+uVi-x-3&1L>p~~gT78Db7<#mS#9$Nr}68>kcZKi0g(FqPo zFsK+qgz6y(H|#0i1mQ>Ir!6Y64oOa&WWPa@@=OVVN!kDT3YiyYD2ah&M^UXA2aW>C zkN7?+Fq$I`*0@$34z?yD0S4pOcOrGL3ugbM+aUDg?FLz(FckzfIB0qQ~g*)ovCLgRnm*O-h%QQL0TdyL!~_o zv-u15>4S4Cp#@N%EK7zj=6riG9I{bRdN8UJ^h`vE!}>XR;CHZqf+E)}8{)HxBtg@^ zGuZ>ggjiy1Im*?SkAwqxzNGl~NXGQCJ`wLAJ{JB%5aj}xWa8&ZFGo&Pd`7;uu4$)E ziF8xVK!!eWeVG~8-IMfzO*y$-LBZBeK;dAvhbkmnnWQwU+WD}b=uN=>v!e)JaN){y zdqAI${^_FfV&kY>bxc3D|Ly(V?+=4$){*BzcgSgrYaI4aNA=@hAMU<9?%(|F?#tbq z$NMjG(4L)9)k_NC1Xd_Iy8Pn;i`;`mQkf!~E5{^_P)iwNZLqTP)oHr+JQwaE^Y^Q1 z=zZ1Di8aDaJ+S;C`2+>2^M|4Hj|l8NrG{lu_+TW7)MWp7jn3EXH!M?iR2FKpd(j+y zos7Qko$p=@6_P2_vf3Rpl&tj&%6Eud6p^f~4An(4tP8yqY}sDB8PLe3e}zXS$oJKDj>YNnnC(vcWpwc|R=N`>LdVRsVD?<21-F4!UwfIcv% z8+y3iZ#8KpUTcMKuM?O>^flvS_glG=836T$Md>K)B3{1Mpf7j?9h%9Qzv~j&#X^@z z$2*8g{YDCk}UcO$&997J{8%5^(jb+S}uUH|vfYX^Pxf1Q2=WVz_%^-dI9u z2+W1_-0y$=^y&Tn@yply{cm^gAMW>eU+(vB?|(zHqqlIc^1ci>PbijN2fGi11qCtg zqiUA-20BGM9lSL?WgP=fbCqGCIj*39BUI{W?cZD>zE|x1>VV&`^*Om4bY{gyri!7> z5myDe*o%xy>ofD?W;`KsCCgDxFY7Lg>G(tkK=1z-7$*E>#_F6}9ndZZ3dUJ}(3$7F zk%Aw?Ttb8NscyTxWfvn?sax0eZMYK(N1dP(4|4W+8HdsIfIiV@75|7d&Ky`o%Y&Pw z7}0yXE|KE+u1i=w5ySr2rd@^1U@C9mMYxc!O6LKe37Z! zL-WvbZ-{DFQ>+8r2EPD@Ls%U<=Vrn`V zOy!fZqI=e?hu<=|ZichrY9+`11>F( z6)|X^@810V9u;El-lIR{{oA94foO8XadZ%V5cWE`R@vlqRCiZd;=BoMSh1S(RieD~ z<$Dm)lvJTXZ_f-w$!Z@!95Gk}P*j(1nZwOsets}4n{$TWFlWR$xgF@(0pdtAV;x4N zMG12qh>syB3O;H5cQBVd5()B5oOb)w(Q}ya{`y(9n6EF0fSpAwrM4uq7|+F-Bx5{oZWAzjS$d^~)AO#`O_g zOb^h`-#mgd{SC~h%z&SHfE2MK2Lx5lOIgdB3vYj;u1YJK|6~7V8>o!}Pt`yshHhSl zgO*CfbVB9Cbxw^(&$MY25J=X76deb2Erd@3fj}JU{)}NP=Zq0qNp$CGrUcFCPAE7- z{*_>PR_PEY0a^z8!UiSPHGyJ=ej}eEItVpY3b5(&{g?f(?;anH-v4p;{_Fko4|flL zXRmYp^ZVX^`ER}d50U4%m@>satTN`lWNKLqz|Vfe z0(D|%L-P+{O&?{EHx7dg#;qhQVnBoOpjNL3mmLFoeZD@Oqv2NX$bM^Xs2|%O!|abm z`WLkjJs4148K@rqK!CbEZ9f<|h{Pbs19;6TB@c)njA5~M4!K0HOpqh%n_COTqMC4c z-0$8wOTMG3n}}xPvg{bgF)_&X#CT@w3xF~Q2K^a2r^qvaP6t6dh%Q6jEM{Hw=C@l^ zC@xa3=GT9Ixc~ibGFqUEAU5~Vq-HSVtN^krEeGc6ES#DsU;w-^2|3tiS7(4Hg3}qG zNv>=Y?rP@D8|R4w!6-O-y**W?`Aknlf>+aFvGSljg^9w)5Q>l-@a&El(v!_y3%D8( zOqh7`Rna#(9}H6nm?<`T)XM9HR4j(Wf>9$1kGQoaAw^E}IdoEb&cWOAhNlooVZ=#7 z)IVTJ1o$~FlwivG+9kiAC%-G_ zqOB7+m;OP{wg2twM|R@+?cIB{+xSd=&mTYhcXd9Zau94}h^Fs*|D)IM{Tu$0zFlAL z-{0Q>ON;%z>{HU_i&_O#g+1py&VY(WxXnmD$_xoA66xU@Y6Bss`sty`vQfUC457&Us@vh_f*G~~(5nI<>J{_6v<(Xf1M4OvXG zNf6<~D%NhANc8z@q`9klSLQTPtaOd|$*qjbCPmrbn67{$(joofnxpg=T~)PRiMV_% zfH<(aTr3F+J9urUgPsD8w}XPyZr42Yv3`+p5Nj$aK_{{bbNA19s z99AvRg_mgwlnfhjIP0I_?fjT0ez3wAprC<0@5R=(K&Ui44RKti83A|I;~~0+_Ox29 z(QG8q$AIgsQBPouuSWRS*Z1%D(Bwbgzj^oDJ7C|DM!btDUl^u``I<(PTAtx(98OH; zYZ`^h8M;R%>{y;4FOOCm)|JNlLiI&n`FirHKbR;;$n;;lK5Q8pYYJH4yMw{4-f2o3WMVXw)@vbTPvq~teXd74h zJrGRD>}fSU9yc>8sz80v*@nU^H;h>%QF}e~X6W6xfB5GIvgW(5?;nrw`}21nKEHqd z$NiUgZ zRl2TG_^wr{u04kwh2q89s{^wAZt~;sM-;8@H`D%vMVw4Fec$`{`S$$3-hcS@{_WfQ zw?9mN`1Q-($2WiLeYyYR-2;)Z!RUB2oc_mml&RZ6vuKc*qD5qoACK=iyFf*F#(lnr zuSf$MZU!jiWd(61N06Q`OJWEN-v2Sf_LJ~I(<irNltM;~h0LkSv5^|DiDZ7>jK5|lw*%fl7Z%eoLHlNhsLnH@EzV3*^A z{o)d(@>-EKdflI`{V92}=;n-R*=|EEn&et;Kcz#4h8PwYLa+nTN7r+ICR7iOnuf+$ z-F4_K9RvOfwP8YjVM`4Oo9{0#R_k+gmPyF2dy=lYa}_G3a5RG(>2LY52$$o8uA@<# zs>g$DDwyPU>@k9(gK{?T?S=Y8k`$thyVZ)nai?@SQ0`kX4&4-Z=895ud&ye=ml}SI zT!a>*^klz#Zi)`MgsL7GbXyL@ijY15n?jO+f#cZ(WjPoJI?C($hR=&($M%}p3xpOUP~!?fB&sW?az^a3 zp!gXjaA%ZO!}iDB8!W{T$kvO@-#IjqrX*a4_ymIOj~#>X_&%}_>s7bLlosmDY5RJyP0Q&Wn5DPT z&8Ha%g#!p6{Gv2UC(n?=DLM=DXnODpl1GJ_0Md*C=72dY-CbGCkQcWnh)P}A3cEf* zpRSbhLkFQOwXr=e-x)U*8X-=;>?)#mf^ADKSqLQ_X>W6B%`VXX@Y(#=hod`z-SF@K z3yh6O+Yh|-Z$12*q6P5A(<3imW+hKi^j%VPwHXrlQbfI`U7*+WY0PU1$jgX%iETQ) z1o>XRgh>>$-?Air@{JhZbVd`|^|kb}u6gdmW0_3w{pMDB(>bl5eC6j4&6IuM_eM_^ z;>{=mypKZP<8?qaTl9v>(%!(NqbeJ#w)12>uV z8s32XB^{_dd~1q6w;;xHykWlLkY7R5ke$*tr+f(7wfL$2iq$#jCv^j)BhLP-NS(yZsj`h>JnG)>7nc&uVKLUzU(ss;V;_ z2-^biw`0Ixr#8&{sg^DME*Cgqke*~K>@ltKhxQGbj@aaRQC9dEZ4#?8@Q6vis`)NG zc@=~UJ8}WM7iJ*RCgiujKyde0S{lulB>^j;1j+tM_a0o|{v7iLT0JHbHHJ(PwL}}k zqNQ(zcy<Y=}mjk)5Zhg>8T7tfrxCZ`!NCtEz9$AUyf&|)?t&KL^g2dO! z78IY8y$gTTp4i1EB>N*bHS+hY~e8lgmR!v6#RRj526kxE|R!@TL-m z^&p&n6QI~G=S9=EA_)Eh%L?U#MF3w1_h>@&@FY`kg=68=BKfu>FK;IeLH0g}E8&`R zKdU4vPH}u8lw)`fl>za))x(GIF#o-kj#R{cg7$ZC-Bqa1S4R`GKLzX9WOQW#t zVnJQ$n7oepA+?SIWp1ig2G`Jtva6ZE`P^%NfY$#lObHyZ2BL}*tQ9X7b1kzlGG`X$ zXJoO-d@YhT*mCDPG#kMv2#|!PCW@$l$*&xTdth~m)bQuMAAkH&a=m7Q-mKAjC;bM= zwGf^`GgHmjHnIr$+8#1tjK^msj?iw+FbLdW-wp zsow#Br_I_?of0114fv#@{Ku(mB#cfEB@Bd&Nfa?m-rLF@8b> zCEi5;lvX;GdohFz`5^iud(3_ch6nww zp3Z~*@JTj|IT3ctt9#UnY85l=brSVY#l3ad+DL~jqXjxa?Zp-T)v1ChnO|=ZDm9GP>HqWx_61P~|>^%Y? zK={)$k;@u=Yc0_+5gy%kUPBoo^R5iIJg5%~0T*XLy-jrEz-@piWw1=;>Q4UU1pkWq zH2I3ECJr6m2$J>Lsassl`;%T!EBJlbN;$DB7-vzxPQEFbpp=wEl^}v84J+BhHTe~J zU2qvogv`9j8!Js`B55IPX)%h#qd2ipe^6fX1o?n|Gexe2A}==ci=(B*Q*=?)_^YBSt#AXMZxif;ab7{$NrQMG99d_H;f@>iPZ|Y6%QOErGt)5^x1~-k(Cj1*<^bwClIowL&dTrWckb zX^^(&HEJB}7>9#$PfCwsDTARqG$X`YuykmP2!BdT7ce1T1H8uwc>ClLpivpFChvYC5-#STl$c>K%-aEBlWwh_#@kn zkxtZZnjt(pK;;wuY?LA8_GF6{?=Itw3F4)~-_DqbdY)q^c!z0|cr}RyMOAkEe^p5@ z0vdFtY(!Mxns#b{<0Z%rcuIjotQ;h#1XRkd;hz8inggaBmG74&n*iyVXhykGwbm=P z(_TBp8tup}619NwKw(SE{b zKR&&`dqli%4|V77|M<>dSmRHwkjP~CthbXve*fZ>WEY+-G9s9a)ZIaev23}A>Z50R zCgHGBP;#oIcFWD~JYh^w z0U6Q5Y=w|I6B$w%Re8j13MNp>mV+UK5GaWQ1zS*uw&vdjUWyuJ&PqZcuVfXN35F=A z66=%FCWun5wcj3v(u{%{lojaKkoPUAMcRg_%_)|#E{loD2<*@ zD;$BG^}qny738dVVBi>o*@Q&NX{5lbGqPN~RgA&FTMbVnth1I$1`-WQTE}=rUF(`j z24U(r%DJ-~KKVBLKwI#jb%Y)}#G%+VNllIHJ*@137OW*Zj=`WI^`s+gm8mCDTjvSR zT?B9fsGy>%;Br%615JUpm#DKx7>PTYswZBjP67qO>PLQsGIcE8Zr;KZ)U5V=0}$tL z#s8Q$nap&*L^AWn`uj9P4|xV!&1SvmNR{_rU+u1BZLN&Tt;1Ga?{G~Ib1n1?$w2jh zH4L~aQ2QJ=m^PJ247en`HcXK7*|l8Gr$bmWHio#m-mcEzQ@%#*Gm4e`zJEkO(Ejo6 zcNXN_|NY&^x6k>@ySINn_YwYqP-)+5CXSj?oA_$#Q6s%UEck8)On_byur9e6-eAq* zO$7M~2P9>QPOy~Td1z2=mL3ci&guQ7-zpqNL*@eFQ0skdUJCibucOy}Kgv$Qt35Et_rD?^^~jqL(9gAeF~4}; zvttP*db2<=P|cNHt*_V1Ym)s3eDc$q{rh)+zwc#6I&E|tq=io8By5x|`VjxaVN|6F zg~ACFWZYanK(xSj2}z;+0ChGLCLfZ`N-pH6B`x)G9pxJZl+XD<)VZl6*RJ{=w8vx@ z+~<`%toXc$IIMBfdiVv$veh>YgDCK>aj0G62P?$m25ZUOH#v-=8PWj`P{a+2`kHFV z(y25J{m>M%^iWe141Ixu97l+k;Swk$kUB0SF3|w+CCyui5*kSEpcP9Kpi1EBp^|Q3 zcH}Q2%vdSG3W@~2tJ()`?wOPe)C{eM{r#VB-hX{~_s9Jad^%M9BysER>6oK-Lp6m zRa#(cc!F1GdgIEFWyundyav_b_}OC3 zl>SQuU)-?k5o?kS2kd!N;mhu|F)a(#{{<<|v_dLbqak<1yf4Au-l)GFdOngj&n^Hl zfM=6!UZAHI#lJ9SubuOPC68h^X8Nh*WQ8-wEHyJnfPO|Zuie(yYymX(eagrij@#Wp zlmyxNY3s2S4Yi{9ja`0$r@aF32~Y#EcUG?rYguEP*j5?jU_nwO?e(QczAB4ZG~Vf%ul!JFq;y7Sdft?_k20+@=dWs{R;gPQLZ;DW%nVImEeVHd z_EJP#L{a}wBF2VRoiDC0UqNAnlDbyB>PUVdv-RWsKYm2!>ix&ZANL=Aef;!~H~R1Vvj2 z|JWXzQ9Q7&Hd?g83Z+bj&!Cd@{-Rbm?Z)V|56nL*-RQ$cL=eQJIL&Vz38-EFSUU#!?4S#lMk^MXW9fwtehnqSEd zg9S$}KkRbz4~I|773h4yqd-w^aAyK8)rNIV_yV`6zA5S?7CM9uWeTRmQW#+e)P<`z zMX$7TmJftJjnGE51w+Jl3iNXUWQnMwsLOG_;=PKR3F(k5v~h^+vvSZRsUs=kHH4dY zHK&VRiHksnG;J>k3kbC(4XUXiM+V>_-)9uuZn0huN=Tq<=pQUjgMexGJ{02>1*vN$ zA0GDF`TAVN6dR481YkR}08R%ZHHK1h0U`3_X$wFt_@g+-wuxmn!$TL>D-T=F(1#TB zt~my50zdcr0C@W^ZX~1WO#wv8s~(4=o~5`CLMdtS@2zhslW3qb zSs2#U-Fv_^&wjiA^5xSPWZKi-LP0&$)Ig@SUd0~)D4Gw(j%NX!U?z=wg<1nRd1*Ld zIgWb*s?Hn2YgH#iy%HCgPJ0bHyKA*eZH5lkDm+ub#6ZnE_!ec3BVmx&lDPYDM*N=RBQv;rWPf0@{%7fk?K&Z$W}v=#)a6Qi_QR%VvF> zbm|NYr8cW_P@UYX)A>~3Ewnm`sCPmgyV+qRV@D`NWwdyRm>@G#@fVnBG?G!|H8_f{ z=7Uf+8pM!RUK|*N?urc+I~OK$*NBrdue&cvs8Tp^Gp)DPhW;t$FI;UbVg^K+<9 zc_7gYXB|u{%`MPn)ZBP`HOJ&EkS2V!T5KB zabqvp0lA!?zgo4hm?OYMHY83+q=(~qz&CZ_V_B3#e;rJbQl4aEI z35EqtEH|m+Mc*CglbXbdhJ>=VH5I8D*1K&C zgNj7$fm>`5rF?^Fs)Fy+vrTc$7R>=W$_pc2;&*q2wstD;=YhUsPtUw8%Td3Z&pDm-s9-_ zs;DvW9}~)oAF&2J%(Jge9|pzKL{%^^H&ZcM^<9y zB%iOuEQDJ`iSJ>JFqQ*Dsg73INqrv4@%!M6w*!i^#A5+gx_m`HAV2zM7RjR3G+WB+U~4RGLie=3oU;-7rSN&KcUKbLRIn=P{-~0e2ao+Dp6V8kpEM` zTA3%G-+^q|1W%x2h}bJxy`aA$Z=Avi$>58~5+;HR4(f?@O+X0e&D7~YVyT-1am{*? z25_HE>O-bL63!{jf!8G7J}*qGi8`(ywn<;PhQ(yiMZj#>SBSb1X**{6i0ppFOEDQiSvb$)`H zQoZbVYYobsxGUk51)79YTofcMpwwI~U?xGOEY6WuY$w;y9+$~mvOO)%;r9AQ(WF`F z1!k7Doh~cekUlq2X*{Xb!H1o<11`$*1vf(fX-}MVn zLxhEx&|=IwBE--f343SyWS}@CrN;9t3{p5!?j@?t5Gsy5%H2mD{7md|;Vba`pPf^2 z4Ucd#hr$)`ZDU01%O-eyl*5%Q7jT@E5Q4Ie{ocJ0p6%~UDA0dj42F)7ic+O~Un~m# z!yNY&ioii_r?D>iNF9TIq)EWp6j_YL<$Q~V)2Q9o`>q1Td(^zhd6t8zqWfs?Qapl4B`&Hp*C9WVtS=r&mODF{pP!-XByWRQRGwj+*WLhJKRk^oON*D<7@Yb~ z!jcY6Q<+MIpY?MOM4Qhf+MHfAp7%ugX`Veu{~A*_tzw2XT>=22QoOkAyVx3(RcwV8 zUPBA5T=cuNhA46TAfxVj2;+c%)HLtxVxe`XV@kJaEZNAq!zUhPi80gb{z&and9&Rs zH2wnD(uWHq3Gg&?HX<-I4f9aWpD;;~d8Qhs0-`<5pQ-Df@?@-DkD!rW(0i&_b{!)x?g}W9Uj6$REE-q79)wB^A}*e8|3q z;sAD&R~Ob35E>6Q3{#I`U1F>+nvol660$L%AEWh9pJ!p4D`Sk$`!nrc`~M=j#ozz?@IN#xE-!iN3W>P~Kp^?JeA6}R zM6u@t-2X{VJ*X*Rz4?G{VO%%|;uN0)-9W_bEj|tkrct0k5+RXVd@I~9@ckft`^SEq zaFCfh;u5$uP)Ol&yeo2a1mikJj(SoUvq% ztgaI}36asHk~1Rj?F%De1?ZqthO9NACV9Bo$xmCmtv2rDE zh96x^jkE@oY$#{c89(2=nj`NX5QkxIN~Vph?r$ zffF!RD>;<$TpOt^JHT6Kp zB=6wCKk>FmJfO@$;3JS~1PY!bTyrr9vaT{#k#)6MT!M;YL2QXawPNIhmO~Po39lPY zjYwo}oRSr~tx)!-+WADF<#vg2z3#iz{*-JHL=Im2)%hMB5j+kstsm|uLp?lmbPR;j z3|kEmK0K62twm<7ZK0~<65hbz;*c@TL?N?x1*{8X+aE`J4%=+%v@tHG%mmkjnFHQ= zamuj(X9vM6*efgD8O`)r>Q#Q9xAOU#A#|K#CF8EQMk;&Wo})X?&}^hWz>Fm>j4F1^ z>l<)u3?i`V#ul^)OhHI|%5PeudKCDLvP|O76phf;&f1WEh=K*V>P@*1!Ju!vI@W_DY-ydZya!X~y={ppuTx4!G)ihD=I*``fvhN{><+ z>)X(mtqbOQUS7Ux_j+rL23Y&_-L<=SL3vPa z>&4aBzu<*Noe*vh!`A2>2OqImguV{&=o)1ZkCI!<<86#3Z--)dAnjpK z(V__raHr15k2ZeUVG@yzRO}Q7Pj0mF_&DB%9SS+AP{$L*9F{}JKRNl!JX zU%p|DT8ETOn2E|ZH0oYm&(ANAN>(z%Af!gx%FM}Gp^MxTxCFsXL6Lo)n(QZ=DDRR3 z_;Dx|bD82(1~XZ%)-46u+r7=V)GNHULzBcziToSlyU+b1U!xZXR2I_beej@KtE1u7v&&shD$QkYEsC$ zaV!M--R;$ZdyJ8SEqmx~rtQ%!^T>!>vh71GjmOTd9HWoWO&p)>v#5g>-@^Btnj}94 z_C$U$U};=U8WUx!xT#rthGRKr!cbp}0!!+&99NnHbGxEL9t!cSqnn4#*Zcz29x%qo zTLb}1)i>E*oj#PwkOsFVGv~N~+8WqT?`(}W-#*)A3UM5_9e4~fr&$J_on*mSyI&x{ z&rVa6C-RSiCf8mQHYl`{O$h1(D0c~GaK}&PeG+{m6G}QE>KQb11NCp+J$7}v`ek*% z8kT@a)cx9d^cWVSZSXBPf@H6E4`BziGic(zrAlq*W&5&rr|g8Dx5AybO@MKzC1L!i zCci=4+lz~*QfJ!iAY4TrzJ(mu9p-yoEFq})XM4P30F423%6p(j6%@$(Na$C&87Zeq zw6J~LM6&x=%(%r=HjFD7p9Z}%$diDegh&(J#k&_#M z>euo*qrCQ+1y4bkhxsMRIgaHYg*Km0aq^-Of{kgsbBN56{F%ccrlc%#ytrjl*}5w_ zLlmrlQSs--;%vh@r@V7VkDfZiDK;hpo1WE?-jyRd2=MB$oLL~BN%-x7rXY6?kedi9 zL(~+eZ~e`$&!3|X-qqFOdhPmsDT~TK_kZB}eI}-*>QJuBg;%&jY=K8_H!w^`65riv z;~gBi#u*;;PZpa9f%03Zs)&1KgDBl)$l92}=#Bx-o8tsNOaZQIcj}_!g`j>60GImJ z`dhsVI@Hq&svYUOVGDi;#~12@#^B&%yO+``K){L)d)VS`nIrM#&dI(NYV;T4Hi-w3 z_Fk(>-!Q;Fe8qF*9VBVSJgO9J`_Px!;w}TQM(>L?6k2F3Odf^tSQmeU-tN${7{>4! zASP|1?lk24_%QMRGI2#B7u#3sN82OTCeYSa@#w7#ya`adoI-G-1WDRPn5&*S>kT+E zVoWwWN+4#P(0wb70aHJ%qTem+YfhtOdX4j!9(Bwiuo>Po$nItL^W;@Nq|*jYqqdn9 zqe5v2SG2`Sjw4XLcgCs1FrB>}*rj_M-_KL;R9-IPt5fO4XfTtM1Jx)aZI{tNwu4XU zkLpF92H|K$VLfvVwGpVJ3RSUN-|)T2lLfO0z@?SJVNiSSJ)#m6O;M=45uMMAi~Y&f zd(7jti!?dNgmd9+sv+}Nm5qm5+~fAs93`(`Hj|L-YtdX3f+1b)e!0y!fk0ie%6FUP z;E}`W#&Yl+1BOS^e~kScOfj0$QwxN#qQlNI?v`&Qjg7r;=w#umA;>*4`KneaT-jyc z(>XxbvnA(grfEo<7FT&&fYjVJ&sF-NjdL}TFPifh5A8YKO6`I*`n?i)PYlLpC;?FQ z;og~q68Z7=R*#xDYGdLsRiYw*ELbplHt~YZU{4&yb4VNpXK1`aQkVv3IqF++lH*Za zs)m0TGm<#~%n`@`@M>9P7bFu%fRz6DA4Y|%D&d91{>qpj+QqFv>*+MHD57VZSSXGu+-J2 z^`-d@zYGvqOSq*>j(Md)9dWO`0%ADI{(`6I;TO1aSVebF_&%omp_Q1u+0BYB8FI6t zONQL6=#n8r=)zRpYaF1OoyxWa_}h9$5z)9Aon01~x(DboYveF3e2gFl5`d255iGz) z3g2w?*oUeZ9`x&~#*C6GaJuRoScb;vcq9XexJ*$*>W7Yx$Wzy09S|=l`62)VPoOhz z1+MJE-69X6ORG+SQ592ZZQmo7;i3o6OyzvB^ImQ*DO_WO^~_n5#e<$633^U4c(9JC z7YIJYphjk;Q*=P?9Xvlzr08j}#t4K{TczQwx<<(I!h8=;M$~m7Cxyi7!JGKRQK+D@ z#|0Cn{j*h~*H$tdVE~W0IYbEW;m+fg5TuEBa%d=W7y%k1>h@#BB=*aJCyBW_2FQow z;jl$}X(EsalYrS&JH)b^#5nMfTiIpduX_Mh9qj4A@(@*sU~R;^`ggQ7WvzZ?;Ex_4 zvUGd2HQpe7UYlQC-@Ce;-Fvox%KrJ{`RwIKYrJ?Ds(D=JA9nf1MnCWgc-Zk{O+*U< zpO`h>uyd-NJ!TY(qIW573d3uwT2@&y-C-k7QeT9-JlUk9SEmJ80uKkWHlF1hX^mAP zDst7xFIM<(GHw6xogd{tyeuYLzrM#f?bMh={66N3&J z26UJU;|WX@n=P4cN|d_&HAhZ!Rfi|5dyIf6yCRnj^%eG3a^)DEhbjnB%3v1}m~@a{ z&xUzA%m>4MKdlE@-e26WcSL-bvx+n|)Cl32)rLnPQiv88N7MQ!5{OnoBXzx^=n8d{5X6ah$1|3yzji+HE+E~rmaarDds~%80HIQ ziyeN>hIXbPn}qT9q=+<{U||INp$@KP!X(NC6u?&Ji#tLnZQba9oy}L|f_u%!-JUC+ znc~|z3h>1+POa#$3)|5xD~l5ZXFCAGgQML;*qvS5gtNC&IoPNa1rfx^hzp2=kA`U| zQmG~0Rs-5Po0@OjNb+!F0b3{Wx&*2Cs+~rwPbLA7($8p;3&J^m7YW%^uT3F+6Mi2Fxk1@_d##4b5hE5LvMu)7Qxh%*tOhg?G z;Jm#2LCQ9ooQ3Y8qz=Ls2HhPGUolW$(y*mKjh4A)>ZlV~;V8`FsJGFmLJ_l|X{zAZKue<9G6p63_92705pCU^%O+7O@wPgFgFPrtpc7ri9ia0@ z7Z~*4$ULB5cn1V_LYcO9WX;${?4uc5Kh=0YAO&(5NYZ2wE!6#i!wISY(z89K(B#FN zab;@K`K>>;Ue(i}C*KGzwR~hJ z85kzMwgw=K_Rby4BLgo^1(v1in{Jb*!Am6iYOhDXI>hj%I2OSMwWE&wLuUteCJ3`! zofbO3aj48p8B{1eY4D};6MxM!R8eQOYFz{637+s|Tu5v#p^hG%s`YDPT4aQ5n}0iB z+dWiXY`b8Fpls9D-L4wYfnZk-<(r&~ z=*w4ElgsPz`NjFQN2y#ckeG|8Zx3j$p$%ecaG>zrLpUEuc&lhYe9vu=W8&!A9aU=$j0*sP{4VgBcWP zWhBz*Qs&T+c6FaU(%mDd&aqq~LMXer#CAu>CARvNohCE}mAj~^7w2SQN=Mo7A#<2v zdX66ru0=2LhAWA(JiWTAdF#z18g}Y(^SY#f#f*)BL&rdJj%U=!7&_(F166Iz@3R8{ z{FY-{o0O=)rw)89k_mb{V5obX0+N0AMv?i-QF^Etj=j|pE>r79a|mnHuBbJ--9{IV zj>JhD>NfgY>G@zvcFm1)cIqn&9ND%Nuj#4$}i{dxz5?}yO6B@=I z{qcnjqf^1f1Mm0S8+T3mQ#HvMx?;(Ms#ZNp0>~5tn_yT27^=53ByPv508qtStc!l$ zbq5pet`30c7^KkPGfsM%q`(%TxtG_@amaAZd#EXNgo>ahdd;uD8%#ilpL%KkE!4qp zO015EcwkaH8$|72452N!0ZIjcHW|5wTB}3X$=b!_IdTdcC7ZN*Z@wuz$po+WddBlh zEZ_HfGTKZ8$0jvlXSYSdE1w}?rf4x8czXbwjO7=N1*$`(gJPh$QDHc=C!6fyL`pDWr{Y9N zM@__^7n{QSAx|G6h=ci+RD}xcE!dK@#Me}%jma4m*iLqsAKQmrb7WSOfkO<1hF?59 z(Qi^xWppvHPeTfjqO>3LO{6CCFW^??7vg%hs``tyFP5v&Q{+ZqY+sbcPxsBMXT2 zqr(FRv^2zok@bL(#T4C4(=hfL)0 z&_DLm+vwbW`bSr%=d<@{`GH{{@V)P4d!>|tIv2`1nPO_&V2oUvOLxtzgHfPK9QFgc z13*5ttc7>cqMmk_?7iYUBq-WON@qQXJPbm)C9A!70gx+z9)3@#Y`J%0Xl%K+iG|Qe zLf}25?>RYfiyK|wdh8PD#zc3@Do799DdeH}D@vY2!xK^KMkLIoQde_9i+C`h6RRG& zUc;Q$&(azQ*&XmKbGbl2NYOr%g|etgjF}+|gh{wJ=-l zn$)2-+>Y5R`X~SqAc6u1qOeSU=l}+=hJz43-((yR^v9iVwo*eCu#;t((`;rbK`dg6 zF9#|$HRONQ2)mRkpCro}I3Be^EIN910gXXhkfmg0bce9j({T*jWB4tN%T^GuVAh%b z9av{I7tzNQNzi)k0)lyXU=${eS)OX6_^3dQmu*UNQBC2!oLpU_l%=1+Tcu%W)s;oi zoaWFWd6DXIr>=>~INPKbXDAv0S+Q^9uZp%?t`+?qi?(W{E?t0CfhbP)k&{bO=8NyJ z0ld>qWHkWXbtd0TCHba1IvlsrSmdj1qGacc1EwF#+xRoVSF8avykE^)$Q|OH%I*QW zcqZZ=$HY_g8D*b9p>cNRTP4?MQ0dfg1!X5wP!78JqS7%1oU=XRoA`kOB3ru%S83Gk z`~;aW|8biaTYU}u8)K9U$KBMQpsd|w-FVun&mravX8rJn^2V8?o@ zC2>W^ZTq0S0L33kQ|eYiUl{kAts?^>YY%m3$Z|vG@cPVfx#roLp(a!1Sc{A^5u|r$ zJNwO<)yStfNi-rPV_#Pu1aOv}m+k7ro$}%1r;BTBE=o>cUixKx#C28Z>G;KRi5e{y zGqFM)3%FEK3X}}KVzQaO$!9#CvTh>mnPZ8dnZ7!IhN`jt)s8R?#0kpUrJhnbL+!;V z(})GdBD)hoeziOSWt3LozxpQi>)f79T+CgMDy#U=6fnKkRwz7<2Y!| zE@{ywzTUS2y|JQTY=7Q zJZr{Dtb+zTn4CKXmvj%3_iL9X0KqiG5yL8Bk49N;71TNcYdE=lE*ztx29y(VsG|w^ zB{i2c_RqqX#ltH`@9kj6n zJ4XZ;HJgTsdsU6u;t?&e@Vga1(30R114CGIbTjVgAf2rt+$;zt^vzP4@I*3#%7xNO zhp5b>RfffZ%l;g}9%O%S`M(Re@;H9SlSP9n3|uiRrm4W~yLq~WLUL!}*<~b(-Zlp0 zutZ8xiem@L6kawpD$T*q`^IPONc^IW!6LT?3nYVqVh*dqXfvs*Daii@2Fv#AUU>YW zGImWB$J2d{!83l6?QV;qS3W}y5{Tak6~eG7?V+9@d`6Ug5&<_X*dJAFy@Ewn6V@4>$y#SbOn=EPgK@nfW&J>A;Sgr_K@MHM<#?x=l0va9+= zlq|elOy-Jqq4(L^J$(q48NV<`n98U?aD1R#gQO?E)D?WGH9kvUEkcONL+n1&K@5r? zOQ4ofsWb+hy^VK{pwo~?L-}d3W7=844MKl+BCFbBM-pC_H5ze(!a9Rz?7nU{y0cd{ z`TE20^wkoj&&DsWFM$k^qGbGR0U-+P_zgnT*~u{!oy3olS5cyvoDgL?6;55rRjmL= zyEa!oueAbP-iOaRwD68^(;O5#Cy=DA$3Ac`-CRuE894=1`|GW>ui5EFJu=Y-EjVGu z2qxlMBZ?$)Z02IN1t2CMR9UZbxtb89AgR#wDB4n0kX5~tB;iT&Ps0FH?lF|BbEJG> zV6{~y@!>dUGA5^vkd#vtl2to_)I4XH4Rz~&wJSJgnyYbg8JA>c4}ls@=$UagkbEUH z#9uC+Phe!fcsjmx-~m_pr`PWaxuL<_E}}aLjkg=zL$`2X8*&Ldd^amqH-g+MroKk% zF0&Sj$Fv}i$wcZQ9Qu_A(t!oMfG>fFS4f;CK{Fd*=S9(yTNDJHmq#gNHe3Z~BFf;m zDyPP>YTKuQE|b*Q4`#ALx!@BBy}-SAwNZTxj7N;gvsyg^a9u+L-Z%wYxuKc&pq1aNALDkz*lHPvO47&RvbUT zHu=Y&zVh$q83+Ix`Xy02T@ z*X}i&jU#(9uAoiSMiCY z^FSt{V3)8Ef+$aqH;+y`_c0uyi$Sm?WP(uliG2&LbSdIkYSy_Dq}E3$fbW zvi1@K`yoC@k!Kr{#I15G-NY<tjlL(RCR-)p!3gilkx{4a9gh6GlpVc#+YYRG zOz3BF4D3@Q$8z6DNDM!SG3uWmOEn%8IYS`<6a?5kJisBnx&~+c-uM|fl8_FZ9`8PY zjite`WdCfQ1Jl{D-~lbM!*tEZAIz>_JfCWzdFQBO7cNS2WuXjZKv?|5$Dd}ob?Hu@ zoVkqE?G6L+U=UBY%eBe9>Al&#`Q6?Go()s{Z-)QP-IsVgNUwr!V!f~UhS1f-lXf<3(K(OiwHz6%(k~Z+$I4yK! z$h5|=qrVp1Pmgy-yL+LX|L3Jy~ne9e_v(15V-Rb7}AStZqu*ajLTb@on#$Y+3C&-(aHVo__3D7g}-00Wk z2B}d|<<3Ckj13zg^YKyGB?*>~ZP_RHGCFhPRO8L?b!CYaP;zKJ18~u=A)^{3DBD1- zNR8*{A?1(#-5F#HM%Woz2rzASK_ZhnFWdEMcgk`#3j);&wRZw|_*l0ljf{{EB#gGX z#hCbn$9Lm>>6-c&L%HKag`BjOeMSuB?g=P|10$nycXUvNP!pBox zxm+1dqBkSK#*=8vxVplm#5}tctegRI03+&(4!gdc-Lg1FuTxn4jWb|T>0LAdQ+i#* z;k8}?&RZ@xMIhYx`AsdItkskS{W_Oe)5dSKDOqxH#-)lCCB=}a<9MsrhuK6;kAiNo zydFG8J^BKr-Y{nLNwy`ccteK3H?Tl+Y_M`+Ytkz##IsJt%qpxvRUf=1>@nX+gGx7j z=HIic(5Op|osu6)3z1Q$hP7It=ok3fIfM#|0ai$E>X<6|8i`*%+$sv_v}7!=MU?Z@JE{uz3-tW>qZdQ(t*0Jvv-i7}JVKn!)O~gXr z%whC4MwlUky8AA%P~*jv`WIty%j@fm9YM@IVn?_VBls;_A!BEMq+Z<_NOlic18A<5 zI_6QG9o!s1&k;8V(8~x3pf@~E5qdz<`%X!aXA#VOzkDehW3`{2ts0>(LLDu850XOE z;Ao?t?^lc!AuS+IP#L#g_7|QT$g1^N8Gt+9ZIA@t8>L`lFYN zvHml8y7u1r#rz&ycY98^ORiBDBZH;hN{XK6035%O6Bw=o08n8Idv=iY>>WPv`9^ni zPfk|YxBU?c=bWb2aNxhtmcb%$!Yp29I!vlX_QstMAV2)J<(`B*QEC(>uB5Xw;EFD@ zW_96e4E>zJhGw#HuPiJcO66>m>Cv_n^EBZA4xuPBL=-vDV#)}dpnZ904VofiGyn&` z2FsQ-k|RoWF;XZ**DHs~mnum%x+69;Vxl;~t5zK?blws&ncWgHnMufGK-*ltvB>Yh zUAM5@x=ZF^g_1z;(=1npV0X6=IBff8umzko8r+UPS;o-!ziSlV?u!eh5f@h1&_hB4 zQVgf7%HnS}VHNT92zf@`FpY*#=Qr)aQW_-|F=GiyQ4SfW0_<4vcQ(X-AS8cyF;vvsw?ku;9^59wiWUp|VR3Zh6Z<|K>nix>M$rC{P(8fFbw&27RPp&pdaY16c!?5DLUdl_9UZla(gwtB<0wt`{Z zL&(s(On=BE_5e;~RAMwn)q~?l4tB`P6VnqDb~$UqXkIzdH?BL(%8~~7tg_uiaA4mN zmSMyP`VN~J=9pr<*Wy7Qp>Lseu|7}z03aj_iP%0?uNf$3VB(@NP8C}y1Fd!?RW3^s zJZFt*cXcpJ9fOot^1j@G@jk+aJ#bkyME5^B#IS{R)#23hA)Osx*Yyl}Iz*S9EhM~x zR80#1Vu?yW^YL>eUly98tRBCwZBc~FpWSCs%zY?9Jb$YBUoPL1|g;XoeQU?A6Ji)*=O`=m{mIhPNswt5NK7vNZ zguQtC(7Ik(#Z7J{Q0>*dQoNvLx!~!697ghKRU_h3^JPR_gHN6G>vieVU@Aj^h)MNU znXCuktC|vcaRN1~u&qiHQqYx`ggnzcjsBhJ}Wci@DL*~exQw7g4Yy}8m#=rQQ&dc^e zai@C_X}R$ibt~L>@_9f)k5ZjhOiPQ{dCI;_zLKhot&`Kkqvi|4>+vVLUin6kL=pBu z$(;K};|yYZ^!pm5WNNxfx7`6`K{w*i7?E~2%R{#c4Ml$IkS|53LQ+PXblbVcTmN0e z8s z0(9vS^1QROE-qWsmEyBT?+u*A1Ozb3+U=rVjX6EEY`N9Z`zz9cLu9%rm4`*dPb*fL z>P|RBx%dP(3uHk!(#u5qeX<9&uWWbvA#Gzm)&ugj{%Z7naOC2KF&(7irqKi>3Jqs0 z^a@Lu&6;Wngt8FFkKtK&1}%6Kt*F9LT!+o6Sv2L&F-$i?J=~%Q-$&2dtU^({ie$tY z<~F_DJurz0hP0_BgD!hGI_{N&;S#!ai586JKv*Qmhy8^d115BX*T-N2trDneiI=L# zvYzsx5~y;)o|Y^JS$X7R%nDKqWsWwFO`zPQyr&9ItlAM6Bxvb+Yxkx2k~AR-k9+rf z_)g3KMF1K;^yv7oS9f|XNdY0g$_F=kTbO+m`Inp<7LbghwecLOjR)nGcK5&^ItW}f zpac9?4prE*kjCU|nwgddK;G-(w!HcYY7(HkN* zR~+1lDFRnR3vMA?4Tl!70Us!C%SvlvfjB?ff)Ho*?{P=0uZ{WvL#J=Cjys8LuNcaC zB)2j~h$fUrWNQbw==doqlflbr!|YltwS{;H^@JE$=muS4`0W<*>cO&w`hfstr(kJ_ zTQo*j>jVXt={OTWNQfUBE_>_r!5$K8cux<|UTdJfLIB0eq!G4=j_Ae%;=(ZolRJ`? ziB6hZ1{8g4O)}KU8}g{EYGe_xHsRq#x`U`1RU& zMLLy0!MIf~px-qFR6Ypkq!y6DIJd zGAmU=H9fM~CU~Tnh(OOg?CUNy7??n2lqx16LIg#k&Ki#|UcdqA+4%)iWKXWIFVCm1 z5OF+STf2Cn*FlB388m-Dne||M!*UyLi%`8586VXTr%guWpebtso$V=(f^kYJA}Cdg zZqXi`=kvOx$sF!|k`C6Bc<_WcgWc8!rH!2(*3sEaH>K1Otgo_>quhBK;N!`0<&Yws z0mr5A1jHg=tC@o2+yJEeCA_LwLh+8W+w3N1A6LkVdqhvjk`&Z)19!8xH`?4pwgni@ zOo;^`AuScY_m*IDCL7v31pK%kisUTvQB#sxHY`BRRlu@YRw1>X zDv`8G&?m?j6ZBx#KyykbJGv|#?;b$K0jHwrWhoB#9T}&ld}o`=50AalV<9XMj!CHM zBK&nKCz_~`AnV>rv4GsN8c;wDpBohi0dseq%sjS(srT9 zRDxPsOJIuK_8*xf!(n@Yq@Ul^fYOJUoRAu zj)wt6!4V91fbX@p2rA`dqqP@!AVA2r)kAPH2w^npFXwGfQxm~mm`a;*$Q>o#&Mj8d zW#h^{T;A@~HI2|7RJwxqLbh+kAXyMTSUDQykvKtLg>7fAi)n(50N}DXsQRTrPa0B? zCt$=3_eDm`@MH~7V4OPKL3PZ6_4a6!n${40btAG5`dvDsY+zkdLeXk~kV7HZkx~cf ztI4J;@jxg;#(CqdOf~1Qqg@gGGlnomlyhS4fG7|gx z5bwG@qOH4RH^)*y`tW!Q!u5D>_w*Eb6ErR(Iom8YAvjW{3b2d4QKh$HnE>cUZWsbF z)$l?<{oGiD5eE)_9mehP*oj<);%zLwUp-_dZ6{a*gJ=`akixSw7DNccMkx3df zdmDKH?WY?QBub+#=oL71&^8`OtGCpw;L>AZF%0}qw*`D`5cPpm!}2MJuF8=n79kO{ zwI#}v!yk;l$CqoW`MB4^RmpceX22dw{A@~GvYl_^PGw`(iKaxl-#k2ehjs&zQQ-e& zD&5ilh4t-vpVtC+Fi&n4d#MzlTn$w+fEspTQ5X}J_9UJLkZb9#92Pw0Z8gCPH62harFigSDvjvgJRGTcAUwbi*IZKipH;iJ`dLg5pk* zB`6D$EkWX>U*3r-V(ITP?xj!aoum8p!(%mDZZw{?qV~x|rR_`!)~0Kzg@6gF)k`k% z8@eY9NOY`(VmO z<&S+*dPx76sH6kN&{ zH7Gpbmc;##igDwvH=Zw+klyFsaV4)wm)sp#5{@j}0)beWR(q(!QRWLOmlpyIBFqU0 z*JCh%AVv+z9wct{~Sv{QZ&Gb^-(h>gSTWW_KVA#Ix7Z2%rI_RxdIMi$_c_* zuTKZ$e1!vY1_xxjj~iK&M!`k(hZiHE-oHWf8Yrnbd5kg~vKdaP1BB<9O@%4;xI_aE z9fNfCkW{E~14;sxC{Th6KjrsUmcb`%l`}niGx}&6L7aXj!Y6_hGFF-7%F;tDp~{4a zi=~4zTve&)4{i5M;!sKelRGz{%?83rEB!fnynr`CCnVsA&+)@U4DV}%fvyp9ED3uq z=vW`jIR%Nj9)Q>@i)%768{aAyJQR1Xt5>Eml^h zUy-GKjX*RyK%Q56_MME=8aK!@z)IikLQ;G^l)Hm^5r{J}1PCAthOx5xD z_*CQpZa(y34L^$#J`$k0DvZCBFn--RKo2zR4&6@)#-k=E~n2G!}K+nu`P{4}nrD)rsBHL?0ACdXh` zCR92+K56XV$eQtx3ZN&5z^ovq0c=ke*GHGGp0sh&5WXxUI zJ$L|42!xRdh-x*6hFTaoq)?<`ZH#wmdv$Eb?OvxP?f5Mo{$y+P@NmynYXjmWsI49+ zK*x2HlZ~?~nl`s|&KW4z)bGCJ;-bw%I@viyUSs^?X^kHpZP-L+&Z5JBMdH5DEGBuv zy`(*3$$ak0Atm{&z4&d6D6m8+bYgK5Y93YRV8dqYlBarqLT1B-eFpT za8Fy^x}Or70PX<97kM(GnpG<-er|_lBS~L^%n8cs9Pjc=QYOCyx`mR72(A(@eNLNS zdHTd8#I>JlK-~wwW{iBVRY!#CT(|t)-5o2xVxTU%VN<@4Az*_EX($Cj%el9~5@0G6 z1;y=+qmn4*+^1mIY_VL`*l|0;@XXc_eLXaaWTau#8N-PRx&c`_&1NPC%W%FCr-q}) z2R7=TN7iB$Uv3TySK8$7jOhT_^1fD*R=1Ouffp`ya()DV^$oR(IfVvqLuzOpA_qUm zPY}M~4tH=Y{4x6Brd!lKtuRYN{?b}Acb*{2k+mg(@HJ>V&ss)XgSSym>DF)ojIpXh z0)w<4#2zreR%){nMixpMptYd#nw7lOt_MY2oPi28KE1H!Tj;1tgGj>s$oJI>^D zPWp94)FJyKZFg za2dCnY8Z~>AX~1DOV~Nt?}S^*+1|F~t&}BpTk;ga`}x1pa|f@!Gp zrb!~?3`?|k>rQW^veR{7`Nc*xKK?S1H2Nxde)h-!W6=&%o6_sL%PV_iqPQ-PVQPgvUAr86dksR)X82t^#kud^q{ZBIiX z%R$=5NR8rjN8BYzrajf>JfJ;QwU1)YkoA>+ELJfl5~VLRUo=DX{HRGMQm9tZ_8CjgFAz%%lu68S%^|nX3|HQyy8*tcT2*5m{Q*LyqQy3^}xQ zS3R*Dcz1KhE5znJh)Ot50z?{mnN`-w%n9d)US`$p@+ZbXtkFVLCWo~EDo%7d7E7!H zQ%BPCRMc>mIT3iK+(u(Z=nB1Rh@KkJSuo=0Gn~JSqbbC|ac2i%=^VxdQB}V2qjWk)1i*Rn0c>3)2pEnn zQXWf~TsPcdbqz?eh%RJWMV;I*@EW(I$f-eHvBJY2<(=rJy5R-_1Elo8V|Y85Q!V*N zVQL*^U%0`TfnG6YXb?!t6N0u{4(Z>RA4rF2cp2{aXL(9>`%@}>3%C;CY`4UUysa|Z z=tj6(&E{-a&JWXNE>DB-!CP8BKPw&YtYm>!w*Ac~2$4aSK1;fI)B`a@c9aZxS@+5c z)NA?T^7-T%b!?ulEuS+BBb^Nbzh{38tiW~{z>pXihlh%11c{EA z3K5b5%9>-DG3Q7&iuRG3F4;|sJWYB>3Cicb^X$Vh-()X!v|8Q5K}0=-FS3{QX7Nbh zsv(L5m8?F56Q2t{0F29fO+16gJ{VMFZ4V>XY$k{GNJ2qOOiXYQOl-ytQ)^go18_D# zxL@@8xl9ne9JmvxVfLXLHjIiWB=J2mx6QX2S3xy`?Y$-Vu(haUP}Giq?SLeJf4Pv> z*B&W7Bpw|ES8O_p{0b0ep(1!6$pscf;~Ri{^?V1#Bn<8}X3mZQ0uhF3%d(b?Eg?vm zEh7~u^s}bgG<*QI-X0tKKMZxk)2h#(pd!FXOcNzRTuEJPtkhAH(~Wu`J4AuQ$@R{p zrGh;m!$Os@scau)8gyS+PPVo#fR0PzjO)TFdi1(5v1`5BWu>wY)?mJe2ppBY)4J@C zUFiFGdOSKfY2-T+@}Q@K5Vyy0f5`f8ymfSH$vToqLZ*KI#k0xv`LjiD?TzVsFRy!V zy!rm**{cN>)D~YBRq8IS7smP3@W7n|1Q6`BuwDZMMjVI%gg_b8mNo~xuD7UZa_6i_ zU111tHRhZJ7o&6L_q7r&Za-j{7mY7L>1aI#mZdg!J%6msLsSEgsU+P&AQZn4j@fqk zRHG30cI-yyQv~Mjsw0x&P&y2J%E^y=ipF1E7}r0z4N=s|8%LkoC=n#* zJnlU>*xn-o5c@_PM{7OmL&aEDw+^jk^y}LdV_7XK#^cQsX#8|KYgc!BCXf7h{O)A- zo@MIv^I(7jbMDsCFnoSr$4YjMQpl!UZjBT0E!=J_Cas>1=eEb_Q!R!xB8-HCS*3Sp zpTQ(xf2`5F;gFcZ1;LyQ2t|-uhZ?WbRlcAHy(Pt+sy~LoY#mek7c zF?ytdhNG=7fQ$&L@znlDI3v4X+!out+n7df@OnFzuzQ@@9FV8o11}cG#F=Es-$2sU z-DfA=J%Soc(g(iRde$_FJaofgIf9qKr`H}+VPb?R>SD5O>OmSV#H^dI+UBE`qM-N_ z{XT_#a@5C3t40CMXE~b+3@aW-5_c(xIBb`rM4p=04_#c=D7YA5JHM~RP(!RHM~yOC zuH1&%3yMECq_gA%}zfpvj?5A~qMqY#gQ-WI8F zdU&ihG=nssperv6<*hpIhFzWcQ~dqHV~i*Y`^@Q)w`ezv()H6%8X~tfJz6&R9da>8 zRg1EP`9Ykz#B}PDtk00{Hgj#`5$ZK09-6Q{`<3A+om*Z*07K&I`Hwp?jreJ*Ov@0m zP@#FQdz>B_o^+rP*$n)Z@=oJp{MCX;on7|8bl%Iys+s}$=vZz8+~)=}6~xXNbvkld z+|5P=W_;)_lKBhTYhAam?&_5{H*@to3Jn7=V2Eg=^)fkrGC?xX_#39PV}LwaAfW(? zgNB9HI-pAf40^1#P}Lru?&I;J#l`%^<=Aj7n=dYw=TAW-#o_@IMq~0&jp&}zSbQT` zV-i!^D!@$J;CT2uF_5f+nbLz+1Aa~HFIboxyY0%|G)U$N3?s*d=5R_WRy-&~?J380 z1S|59lpO-UBeBI!BNKPo*LDn$xA_ziucfTNeF(*N_jUWUj$V0MAi$7A5Sy-KU3?s+ zH;#JkljA4IV~M1x7#Hp?EL!R`nuv*X;B1T%qMKz#l7mD18`>qpKKph7>-cW@1oFhp z_p1QJ0_fqD@woBTg`vw%UCa)!h+8Q#?uc-Hd}HG~WwV6@atCajHa}$`cjUEoWaG9w zXlhB6Moc#g*Si?U4@^3vwQ z9ZJ1{QE%hb8wPXF?k2OBui$h4Z1U0g`PG{IxZBhA?4#MU#Z`0JSST~i*hdk%k5p`? zKsfVwkXRBm59kn!vS-BTzh$TrYI8+A~zWUg9 zcJQX1!xR*_O@{pw%S{T_hZ*l5(2x9vPKz1ZLj)}d!mv)lTjE5F0&v(+1rSM&WAd$R z#0kMIR%myGSfSPLxIv>rf>W+ds_Aqa$_=7JnIADZw!Ed9^6ZomBUV`GHy;y&oLGRx z2gh3!coOdd&zan{Zms3AegX+!O2Qr2UyYd4w#BZC^-4&$XP_m=2&K?iQ$p+qNa>+ zqyW%1)4$$_lFs7`Y+Q}tS0;X3#V{-0al>?-MJNAA5SB^|dZT=u^gDEiNx#y}UL(bA%G2^4;S5 zdhnLo-&niLA9@q-XZvS*AG|BdGB~pcPtsTyJKX#=Bputa2S-JG3bJ46vLP>x6Z5<}zGLDA`8wDFg z&vgp_6&y94X4nug(R*YPw#LlV{6uT=cVFcgE{b~iD0A!KTcYkh+GYF!{2UK9noM(i zhTqX~%34G8n2o*4-Qc`@`3x+mz8WcQT=E??Z#Hymb3-Xlj+N4nD92yeKJt>LhL=}= znYmAnSYYK8Y9iTU+H|BzA_I)>Axm?Qz^qTRb^v7Xp728oy1Mn8^ULLwI~I%V92A6< z?jal;j87j@RWUv~5sBM)av;>w+Lc4k+QK14Ib86y<V0+F6mNM=JboY-M?#aZe^4+`d8PLvtTA&rf#NZbI; zS_^N&3bKw^DkW)k%jX#SlNP?Y8Ma+RehR#UzLrQ12mRpY-spIHi!soz#*CbIA-9Hs z@ZEXoe~C|rauPnWR^#k2A+wG0E%aFH?o=@GRJ9tMqRKbqac68oO8}Mr`rS$d;PM84#>%CNlJSwv=PT6NW}?>?tC@Wr-%#RXL$=aEm-8` z?O>6^KOi(5fvO$AA=ocyZ3hVLg|1+S#hxHOdCP4|p*PAh-&q#*ZlOf8>_Yo41ud$2 zM%gfgtZc{}ukhf7$k!?{1%;`tLP(w&r~W~|?}TJvLnP1a3*}Tz1|AYGDbe(%xHH2J zdZMw=E{y%`;aehRj{wN~ngAs+F7Y_D6shP>OSrB3z1k*V0=5M?hfcFQ?gHunn`tfG zL8i5MIpCh5p^rud*Y0mlB9h&G$r;`F9H)IyW;9?$TYY+@Hjy^c?SPsV#b*I^mK&yq z6R%`Zi`vX+~OvW!Wt77J{S zK;7)`pv zfALnPY{*bi+Yo70=%{ZcU0XR9m>6G8UoAZ$LZc__lE)}VMRv*%H~C7S`JN4%uV~V$Wr)C6b;qtupw0NPnw%F7|_Fi?`GY zmWxABMxNZ15yH}e^W@JRei>DuVZ1lMwu0{ zv;~f?LS(Rpn?Uy5SXW-7Vc?ML{|Qhod%>sJ;}zC|wan~_UfvvN82DP6Q%^@M%YrAn zs>3ZuZkXP@s@?!sn@k)&rS{e~Hqax7y|%F@MNc3d@gjl`z3fbGT?G#zYp_-~fTo~j zNQ*~sTE5y{vJlean3deE%H6*hD%M7twoq^Ij)px&V>Z16T0se;v~ZA%98aDqNp}!Z6YU!U8}R4S(wTWjNDj)qw&@}a z8sMqc+7yr1SFuil7Gs|>V0hK|xWWaTD8JDZbaGk4kuhCov1)*QZ}%_(WKo?o*3Hog z4t1>et5X|#ZdlXdsxZCb?NNF|1nC($rsX_C|F6bxCNRUeGS~|G5CeUO*`ik(V|?Ql zA-|B=reW(TVaA^SUU+c)n^i@VH&3$CPUq9AO;P}bLv2SO&VU&KU z<7_g=+Ng6B<_tQ%B5`pkiHnVt10Lnz{pYjm%V$ohZi`j~uXmnD0#J;NbqV5>mg-!Z zVFIQh%`g}TxCu(Fm0cF{y9bbo+{e6`7+!tLHZsL>YA~nM0M3T>(lh5=IBQ$RCWAwy z;Kxz!GBu0HlfkbC`Z%)PDCGSRk3mjgWDzs4+SDckt?#&KKfK)~Yatw#ycbwI>$#>T zL-K_4rWT~ph%h*w5-%aSbDTUMwnao@A0F=_;Mv&tJr6rN)j%z|VA zg_vc$6i@_CV5h6c?tt(htvj1t5Kd6RmW&HzYFIoT^yKpHg99kIyvyTl%by_qajZj? zSOe$H94f?XsJw)c5zBAGIyJ|@Or^8SpG$iHj{(6!=^l{s;U26ua6Z>8@{Q%6hd~nq zL67K{6YLvz)+2|y?U`vjTd|*n2{20?4j(B?Ym#r5U8i0GAdTOawd(59jcquLytH8& zj^i_|at`Bz^(!J{)1}4_&tMoLi_kkoWn9UzNpcB4&b@OfkH zSPs7csMYqC9&*f5IVJ?X0_XpL@2vI+63ok5wF!Vh> zMr|Bt{6k1Vj}ZhGCHjg~gWRk&s9^{Y#i6QJIk5YPMW>Ypg|x=wXD?=xXN&Rk>3H_) z5_MreT+^)}dJy(LVo!j4+AGxQ`d;4T`i=$X_Sjk2bY~;HHLL^VQ3|Fw(E)mM{2j5& zX}dpor^N9}`hCah+0SXZI+(qVL3}PW4LB!_BVp5`6QNZkgbE&DE8&|~^y)M{;_L9j z-qHTSJHQWkF(SUY!GHHaV*t|+nRZLbbBg>V2@+&)z#sH&Btxd{#qpSUy3i}B)#QZ9lPCeO|%SNL(axA+iV9v6VrYt#j1`C_?h`*A(i8cP@BM&IPfh7GsQ z>hP;_N??A|dxEZQVK|4Fo4OQ8ykJu_xTQGSHU4{CG4Ng;*t{Y(S0@t_LT@rhcuU*W zZGan@s>hq4*QSV|vW`f_<0U-<_snI6FhSx9lb7VaEkv9HCetks@}0o{1Ky7ZXT8ng zuve`Y>tN8*tWK*OH0E;gY%#f7-0l4^j8vapJfAM+^Tqsrb$@y}xtP7%yIj0~es%uh z0y_6$S=2w;yZ`+9-B-`2`1=eycpr%5*%cb_*ZKSmk*kXj?>|ppK6{00PmA7tgfHH| zx}Jaj>BTF&{QmOd1)7)Vv+Mh^W9Ju7adG+TVs?#p+`n4LU);MSA@kbzUrsJ3&q2vP zyszc~{JFZgx_^ZyJX?GoS*a`+OC^HvB!b>k7ACjHz}MMG&K}V|$zYqSO39zw+6Eqw zs*1@#WbdpKDRNHO@OQ+;HxEl~jYGnTdsbtGHJMwD8Y-(=#9P>*%IXb5cBtxGc1Wj{ z_mSoY1RC^9vgv}GaWonzfG+qp77mm!gDYO^lI4-24k9>1!2xP8O2Q7*ZInc8WMGtR zP@6+uP%Ao-N7?WdgXFEF(?NB-1C|o1$Nj^TU9$P2QN;vAC1T^o3==3ZzDP+Ukq626 zF+A7QiC_mtCioC|)&b$L9&JHm%Tr{P<+wpy-L|(La7$ru1}8g4%Q6A95c7-uh#`GM zA4XkFlcgAaw*^Zv8ng4`GhYMU*{^88oMA6V7wy_&pIOd5s;o+08Lm$xqBl5bM zijoczou6;IDXA&&080iWggJb6&}BYQHAw}PM7o)bO(v6QRBoEx&gq_27sYR4O&kGK z&`b!|?N2IFaq?96A+D#{!G_t*vX=N@T^10;d>gZiKgo5PqDRPSXUTsm-Q6pCf8zzOMrP7%?m#N4Rb=i~Hb*~(Z@%Y7Zd9}EH zv0Ni*yth1GJe%L`g%^8z75;pzZ}vqk0pVB2lHZO2l9v&A7^z1`cAIQkPZ5An7s!ZC zDk{Zs6S=1q&nJ0}yj?AA72jBd$%^6ayIKsJC}M_|09#20k?oTc3Emu}u$wl4Lz`=} zyS-WO%{O~ESH{#*s3gZaa@kuCzvw`lti2(~44}JMySVrK#r)MX&`g}+P_9?HJ;sA| z2!33^LDg%#`KCPNo}3nk9VOS*hIj6`CsD5+J&QI(ixNa>6MMT`Iv99sAQg5G_EA0$ z+}hqY(joF68nuLo$$}6(1sgel1Gc)w~T>9@?u_Y3**nPO4H7X94?NlE`1C z-tuqL$VltzJB&kf4pDNMvI1*qamQ71a8(T>KoKu)uDA`b5M9Lfp)~@_XTwgpi;A#J zW%Ru1nGyWG~h0^MhJ2v0x{YX>r(1D#Fnas00P#E_g24W z*@{rR7Y~vb@KhNJg}_qKd1CU$4+)lw-2=+qh_-wtcYvT`@-DZ0A!Q^F;vxOd8@8|H~7fqnE zW}{8~aAYy==+FwZhH$#>0F&<$ge+E-Cy2y!)=6{3;}JSn|NM&~KrAwXC{aQ+Pc~r- zHbuL}r>K0jjU+7qIs6Y`Q3-hwJnkJQWQ#e1%N2BsK=LB+H@Mvovd<~LBK5;Lgg}bl zo|sMFEQ*5D^JmDNj#9O6=%_a+ZR4p%8jT&YtnWq^cK{3ciFe|DtfHUG#85g>b}^NS zGvenhwn2oahGkH?WU{z4WdW4O7N>SKv@=f9th{RSl|c(gtVn+*H}V)#plJ3GKGS{O z9*$P8918A^cyEcqO;ig`Zgb{#`>r5R4q#-oePWz7IfmR2c{=d}4Ob`~{D{$4&hBBa zDX2+GM0H3ivNNl+6eGvc#)!FMje5~|ld2*j$W9`!bNincHmVB%X54oCYtgQIUD#1g zaS((p4H&j0zGO$>x7YL+A}pahwirW|p@#=s+bDmn2|kgxPid%#KBiK)BPFPSjPM&1 zf3r(Ykyw5_hUYTNi9MkdX);zz=dtNw8ECt`Aq) zckFtb4elHwE8do9ox#8|dd~e(Xf#4-q3O9q@YML#1r)&VE#_-l^UYpIGoenW_Zdwv zVo0%NK}<484~uySCK_asHlXn2?1&8I$@uZ;_&|#(*qyW0Sz9~^4Pqd2-t&1#P(bF0 zYRVnh6i=^w}CI;J|=SnKybgY!~hBF!K#>mb^RV6 z_13zs+N0j?lg%#gPM zc|NR{cM}tsP43U-O(O~6%$PEo#kSzhn;z|*oxl$r$P6y&n>~AQd{5QmCkIS&&pWlg zm$R*?>mNJl`WD$xYG(>aKcqv9x0_O-)}6=H0>M9yk@&l%KDYU8`m&c`Rt;c@Gz4eehF~@pekh3nOQLJBK5Qr@ zlXVQOQOy`bJ+veNq-H}?l&R+b@JgXe8@`WD3IAd0B~;u2hrGFI3IhR!U`Mlljrev= zzs~RvIVupl;{|~L3|}s0(c#nl*Bf{e8#Ar;bnt>w-|V;x$?3UlR>TtiehOEizU-&R zJEL7!wb!6AZ|mDb<%Bews?!`Fu^6U_ATt4E1}sbkJvTkw4x)@f&NT*X8?Z(>IdIxM zJ<6lIIzKWqSSMAjZpa-|qdVrW-POVVbqwNURH)4c68U7~`ChjIF1uaJkhANJ%JT4)#*UxNNGdA8P}88`2eYTVxm$ zFE>bboE(Y;RqPmaYe!&q@$3~H_NlFId78nnC)RaahPXmucD&vtaZiF3#{>JSAIU}Jr+Iqq*5Udd_aMRJb{-#?V zDBBGRY7VgIK5Q<7&c5b596{M+%5BH2`j>Su0uT05(uuXz>0o65BL*N$Teid|%JdAM zR>L3#kwb-OgN#i6v}Q~P7Px6N7sj4GXdKies0tff`$!>glxHrQq>0DRp;af3Prl@U zQsnWI!(+?mwL5f;z$2p`IXuxNm;k>#9>@|IjC5V~k>8RaZ)UKECbr%b6? zCv$8S>IFt--w;@@PY$LsDx0w19@FC&uY^h5W0A1H*(0YS1NMz+I%KGpTRPwn{ZV7n0v+EL9f2e0BmStcE}PC3#5c0olUN5^|gahD?KJLKR}-hgA*kA4WCY$NCfjxEK%T~dr$BaBq36~_A^H?~`eQ_T?Da-?TgHw-$qLCogh7$#-S`@XBR!y`Aq!?A2 zL{~%ILf8rJMCpnUIr!sHj{*3^tW1#T8hpF31S`Aj zk?I&A=cxgn3=u@#z>^KLTY(2-BH&S~ZSc*e_z++%^o;d2kr2^CQ#Qhx<}(c|hr;_5 z?x^YbU<9+l8z+y`H=GX&)pHS=6U0i@iD--Af|a8#5z1U{Ki=EjfZUs-A&y`VMXIRex|<^Tb+*yp$39y{gc>Ea627oMFzy&&GbcL8g`cb($W^!)m2 zt;b8fHC(*k%X$)P>++m6rRf^fl=&AU%;fi}TeGz|8AGBJm!LMf!^oH!2T_Y+O>K22 z6=!~o2uKuj{4yRa;?0P7kd^_62U`zcEE*+gox8sLhglMsTK0QosbA{K~fye^XYq&;ABcf#EKW>4$ zIy;uObC@nF1OOo!p1cM^0H@*=9Ss_6-6xAf;*69{Fl?Z>Tcb2|N=}dzD$K(*+(bEc zIHIlQ&Z|1O|*X|YX5B1{<-821Xq48`AW3++_j%` zzxdZCX z+W$|~{wGoUpGNK9kJ@*l^Z9zz{&>{>@~Hh4QTr>S_E$&kPe$#pjoM!y zwZAcHe^b={mZ<%0QTyAY_IF0@?~2-QM(s~U?eC4+ccb=aqW1l${n@CUMeQPL_oH?l zwTDsr`=a*eqxKI*?NQX;jN02#`$5#+joNQT?fs~I7`5My+9y%_ENVZF+V4c|ACB5T z8nwq!dlI#0QF{@!pGNKTsQq5lejc@7MD3r5+E-EgRn-0)% z{T0b4Uh`k@2b1lf{gui4LHnzce3-AjHsXn$Ao*MjyN$=?s!ZziAoa{qbX zoqRrMe=4~M+TWA>`Jny1$=?pzYso(e+IN$?0R#T&}Y*$&#@ms|(!?@xX`Xn#KW&7l1QkfYqg@Z$%QLD2r8Ao=(w{qH?YJ{Po)l9xgI?c~n~?c?O{1nraLwMO{CgHMw@XrCqX zp#3QM(?R=j^0$KaljI)Do+V%R_5Snb$tY+qlAj3L%jDOC_S59=1nqZ|*T2Dk z-g#05?H^CBg7$mKUkcjKlHUs2&y%nDM*n#i$qxnX7s-pD{WAIWp#2lcZwBql-HY1a6}8`p+HXef?~dA^irU{3wZAuNuSM;+5N9`hNmr=VPwX3LIN9{q>9!BlYMeXm4+TS0wKOeP!AZq_$)c&EU zJ&M{JQ5*E!`|tH+%WDz-wxjk=)P4}PA4cunsQrbg{Z`c8i`x59`ygr`M(v}h{dUwo zj@lW;Uq<_5_!eT)uTB21-%p-T&M($y$#-8T-#wRld90r_yF9->N0JsK zy^-81ETQBEyhMf&0+=IGpy=JX_=#n$70ZtjcDC!Elk*c~w<)AvYkC%k>q<57x_m zHJcCXel@7lsy~_3bv`JTMYG=J{B@@x$&Jtf%=fiZ+AJ)@4 zomX`}M_kWxRxfA$G@BumoD*0sCfBdvi^z8s>w^kUo-Wd9zUbot#Ui`k?o%X~In_RF-)QhemF=-!2fk)fOz?p-Cg!QCd^+v-hs9)= z){8-z$vfN)d9gn47in2fs=>0H4EiVpz8s{}GSBDzydLzCa)}GTy?k|r9iPi0^h*Q> z&F9r*hK$O?Vz4OZ>0ppf28;f@D68RglFDt@FDF;m3$EH=eL0*>Cdyv6S85I3$zFbs`>99y=OSmm9stF3dO$RXh@*TJXxylRx5OijBJxM3?d_I}a zht(2GoYj~@KI_l(Wn~v&K7H}x8D5hQ*VB4FTU0~9b3GVfP0MmxFYD<%8mWE z`8>~lJR3|noBsM@o~1<&V9960y6o4*yckZ0{n@0f2kCO6^PfGxI=}MZv&h%Wd4GT{ zPqF{mWRMRhfbzUb7Xz$7e>u<-hI~nj@l4Yt;dNEDKIMF~;dD05u${C1GRtQrH@Cmc zCzHN@qrUFxY{q$2>x)Hyx&*Y%m)RWqw3sYXzGlG$2n8#Dp)wI%Lzl;F&I~`JgVU>0+>$177C+#T4hQ)IFNa&FEs1 z&gT8$Vv-LQbyXuUr>+Lm0cJlKRM~tWJ36<|UKThnvoi0`%fWJvF;$7T&9TPQa)1+{ zqv2kopRybdvwS)k%rNoABwYgP7x{DsgfYyDbU4%DSFdz5&I!J;UoEQ{KDt}QcNe4A>h0&XW3*v987>MaV&uArqjBtB%u8tB)*x-z>uo6d%P zIK(cBK3o=o=_Uj0<^%v)l_@Z>K+FeovyRJQ2@*fR=pqGKt8ze4m0=j@FK~n6QM;ia z(U{q6GF;5_a$W-z(&+%T!BU`x3EWHM(GCmr`+%sj#@7^BjbT*)#{;=n(_&HQ)1^76 z_SvANX@7t>f?$9M0s+myI}{)|Bvdn9zq!K#6_7b7-2k)#T*m~sa+>1q8NO@*SkpC` zJ1j7mEC$sgA5JTPN`J8^rvtzP9s*uq);H(cVu1?0$ZUz1&#GZn0-a9kA-SNUm=@`z zQiSATfn{Bkz_wYLmnCo)KB8WL)yUv)Q`(hKq85Q?U>(0gs;!0ptLHVLI%m zRi>MNHB~gAz%|dxMGX|0Ppf>Gm6Lvf^_f(|q8d){kxEV+7RYfTYJgt8NQ(iGWgi^Y z1O%lh=D>u8$~!EOPI0{EV9&A{CJwBKB^qEGK<=g>DmvQ`3*hy6RpQJcm2bbAVl(Uh z0zA>AUZfM$bSD}E7D)BuvuT;lQY_LG_@b!#^I;CC1H7TR04&(ynGUZDkmaJB0NyJh zM|Dk}6Q4N9vw5XB6;ID~IAE`jNzUez!Ey%HCd;y7xg=o%G5}QS(+!eYF8a%Ix~PCV zFvqH{Q?N8(V+hezKi8#ikjxNdX$Z){ktE@plt@dMO~B;NKq#`gf{jBmlQ~Y&97qm~ z=Mb#fq8I|shd>EsF|Fo?!4M>4RtEHCI>W+Yp8O;xWn6%H2B}X;b@YTNS~80RW^z6( zEBML-*OWj9^R!w_fM~#K)>Wo}pm&({na_s65z}do5aeN5E|~$n56+8Kf_5}OsBIF zY(@^mS%clteZHQVZ%?s5!vS7gSA9r96EHe8kS7rr1f`{-p6gj zrR5|Cuuq1=C1kszhD-+uWm;sZZjz%rlK~0eV1k1&Nz-A8Pnl1_MwI;-pf6Jd?&uE4 z7Z_n6k^$hQo-V*gawbb)lM;NaA+P~Ao^$7U`vpYHem%kcGZ26|Bs;|rSN=`?GGDL3q%G4LEX=H5f?osG$Nmi= zuTGXgF$zH{nqun)>)61$NN3&06}7ZuJkkZ=lK#zIOvh{kF0-4N!r^~Vr0SV&RG|NC%LE6BOD`LlZ72mP1>)^S-i4;WvCZ`V=nuFL3 zL6@hXOhDcGMOd^Y+0C+EpJ5#Vdi~{u^6g|f7((&_*+53|yqczFWv}OxOU}7~+>S#D zLJ9tHmV=}gAl8$7G2lrn2N23-%JjzPjMp%Q=MySJu+ab{ zOaQ6^aH|Ej6MGDP5BO#dx&_)OZ*VsZ*8$iCZ~!>qYzmq&m>|%0FkfKGcn;(w89%;0 zf4)Fu2w#z|Q#xFN@<4=xTBioqD{?SY3+x+&Z?kc{64xd3UrSF(dK{aX!)znT*AYTu`fX@0? z12(~X6yOv1e?YC1X+1G7Fy{dxIkXHo)KD>D&n6Z4ZLp<7OdALYvaH9rZk2a5HPwLy#~1Gl zA6(b#{i+ZC9;{aitqM?Ye};MC|V7z-BBfU>}?qu;~>Lw9M?~iw|Ta@B+x5MZW~oM1dIc5Ln$lAP!i1frQto5*(FX zpo&br2x z!OURSq3Ky55O+Lc-id$Jgp#=Q_Ce;Vl0zLr`g5w2Ndj0|1tq@Ed`6Kl74?y0wZo$z3NFoSX$ax&nY;y&mF zFf(*+bLf>IFPRf4yzv;Y_u`dcs=+!YQ_e~#&xT+_7Xt{PP-fvq@OV^q=;|oHi@8C? zIftMQ4r^Xcp>Ug1s{z3PKnPL;X&*WL$RNMEf@U1!v6Az2fh94`2 z2)tiVB41(vFFsvdk8P2Qmr(g$FU>P%A0da>)%f{U@(QVLVUM77@wI>DPC_f;Yk%qv zym#>TPv1$N@b9nPNoak0?O(l<{22fKnL7#ZdF_wiNodt#yb7&Tulfe9XZcsY7k?j{wtOerw63xLrTI&172CgH{{D*j`zOucUo(IIiuwCD&EMZJ ze}BvT{cZF2ADX|vYySS8`TPHwzyCjbcOHI2**^~aAX~O%3)u-FTS)e-C4?kfNV0`g zLP)Y@%U-0iRF-6^NK%9-p-7^HN|Go^k|MvEb6)eu&vo_f>HG97-{<+bF4yaN&pDqt zGxyvxb8mA`#-QUV{JpHq#r(X2C0UNwusZAT2HwP5*p|1mD|_)iKEQ`KlH(X0`uO$+ zhbr#Ve3sAi6|UnB?q)bTgb1LU?FHiBxpaU^X-#Q$?DSU@t zFh|P7_j_?L$8jc~=WDz?7|s;_PAOJr6Lw%9KFle6mMgf4yO|~!9u=-XKg;quHs>Ae z%aMGX3%H8kFnOB9`m?YwE3h8JF|^@!bmah!;Y_~3eLTffX%l~c8LwnjHf9Ij!{L04 z3-}thG8`5bZeK2zHHO}IT+`ylCb#Q|Vx33$AaT@0{9IG0B?>pWU495%O5j@N@OcxB#3qP;P zL41*0xR1w~EV#FZe;1A=4V}(yEFIiy!{xQuoR2UZ6B>TLoSV3Z-|#HMF{0u3^Rpbg za44tnIex-p{Ec;jb%pD@jZ?UoYq^7|gE1H3_rtLrp|`LrAK+5H$IqB8D3FAIcQxzr zR*vTjT*K{584PO-|GqFQvK43ZHE!j8e$OJoaL8~yHQ1CRIh(t9oP{q>{9Se4%kf;# zPnkIwRvG?I33lKET*}Sd!^8ZEDf1@Qla+;7jLK&gF}Ilkf8Ye`JdMiQm7J1z3hP*qH5j7YA|-XK(>mauav)OP*wk z0*URoj73?Ib$K&8@g5H4M9$(8zQL{B%WrswX$mH`BL|DJDjTo^dvXBBa3W`N9$(`| z9^q-GDwO#B%)FdMS)PsAmfiUz7w{Fn#Si!yzvEe6T-b47QC`jK*pwak5XW;S7jh*J z@dVE^Ymvn7)@KX$;Si4JOfKX~9_KmcydtsQqO8E$Y|8eW&UswHx44sE@C0K;6Tg#* zd0C28*^sT-jr}=_kMTLK;70D^A^yf0#S+``9ADx(Zs#$cVVdHJzstdzY{J{vlY=;x zPjDe$<=gy-U-2iVx-#***?9#kvL0{YY%b;3{E?YUB-YoCJve|PIgPWpC^SthwoLqb zXu4Qzvv@mq@eq&l1b=3ll8Noe$gC{E>)DcB*^Bq_0Z!u6T*?((&2@a6+qjdT@F-94 zG|w?bsl@hQ%<^o^cD#!NIfgU1fGfF)yZ9wfGWk`B-_6YYtjGH}os0Nt=o86eYs8yF zQ^sQ3xFa+ui|!R4;Mbu+`QZnjpSC=l+#~$^l)RXkm_IaQELKuno%MMWTk&@G3Jrem z9uDLXj^H>>1e3k3Ck?-*X#)5z0{$0fM45|U~<@p(0p5t+lt>XrN9ye8J z@a5?HK^R{ituI9w2W8;kl!_$}%9cTKJ-**TaVu_cxr!TKUr=VeCX9nJ;B}TaW=nQp z*U+Hs*DEww*?plwS!!@-P^K9fnm-mB7aH8tr-TOSJtH)@$37!o6dK&~mWKvAxyJIh zLxX$A_R!$kzSr`@p}|I<5T6MRuAM281qSO+7aCmCGKU7&qNx3>U>FC97tTJAJUF+m z4h_B^Z!Zh-e1k9!@?5jfATi?YV`XBoJHxna&>k3CE*6Wohm{Y;hKKRhu~^WA8B1Ov zs1Jo!jK$`LR*J=52n`Z5-tJX77JD;{uZ_jt4XqN3eHdCb7TX_MEf)Jaw0e;LLuj&+!p$&q1OX&5nSclLX zVzKU_4TIwqdSfj1U}&RQ?2*vmU{4Nh5{o?PJX!lrbZD@~JY-8wM!T$ODqtKqQ*r%aErax@?@zCDEeu~fYlHddk ze>Z%#J+xrx-NAl__KC&HhTapjPlw(ci&YB^PNI6DePglap~2s^3B5m<(kZlGEY`#F z`#3nXe=IgiJduxu4hW88=mSB%3>_HcOP{aen~b;r4GQ)*jDwSSkL90-J{XG~2@UrD zq~&KrhXntFYgh7zg6m7@(BOHA&|tfBvvBCcLA@|^SkQi8c?~vT)6n6;{)Uc-#h=kn zJ~BA(d>*ww4h!Q^vDkRa9}j&b7Mmqr7&R^?AxvK^*K)*3gNuSiaCnK|e$2b{F^K zPzFB}Uw=AgX7H2o<#||$!KE#}Jh()~4K6)#gG)->;8GDcxCF!vPVKnCDH}ID6~p}r zPO-S18D#l*9AwS7{TO70cpMzMxIxm!4U#DCG|uE4&gWvj%vD^=joiW=+|7MF$Rj+? zQ~Z_5k|nk)oOLJM&u}h{&@9Z!a8{dec{p25XbF~OC01i?hO@VX-*3Ve3};aZmv>}1 z>q!{*%u%;dMONzO>B5Y|PC9EXq$4HVIf}yL z){5=fnLQZw%SPpt!Ilr>XinfX&g2}<=VFF)Aceobifg%%TeyQ!fA2o=K_208p5m`e zmOAk}shNRUn3MTfge4gDCsz`Ob1{Y6U7Odl30trYJF*LV@?H+$5RTwjPGZzg{gn6_ zF62_K;A*bp+YIN13b%hJKjr}*;!&R9X`W+>G>P@3WhQ20ZWdrsmSTBUW)0S5LpEj9 zzur#ViQU+neL0XrIf~;sg)=yd&v6l7C(IBaF)9kBOh+vs}cN7?s1{6u-^)xQn0i zAiv=W{><}CrMwuGyQ6VTxh;>k!vy&;-VPI3#`37V9dC~bme;lXMmA?_M&;~i+|utI zpI}w-^!l^)sHEeRNVmWGIQ4e??&n~}+tY%B71e{Hab+`nKAZEogi-zHb@2wq+u4Hk z?GW!_RPXsp{4IZEG`=jk@_AI>iMPK6>&szzUPj}}N{XX;&b8uNY`|zdS-f2?SYK4X zxx?o@cn_m-WDkj>dd;KaX^gkm1?zi8{5+%j%&X$H+{A7CkWoD*-i{Zn?}+8!^E7{B zRDVgY{GEllSb))Zwy54x(ei4n!-j0esJ_xc+=cOWzhL|NiU%>Or;HI#V$}XOOB{`p zi|QxKEnm&`+|2Ea>LvTdhj@%9`3s}^NE+qnOw7(aEX=4LQchf%HCdmH8Pz}9iaW78 z`>;QwddEodcuwU^#@h>n^o{Bp%Pe2XHQdOpjOrQToSb2~<8yw^`9BOVry=0wKZ8-x9NS{&6MUJys^j?s9? zc)Mfp{dasG)f=Mr$9ndclL@5gf;89Oo0_s6Mbjyp*r-4Zg*w9`J$qV}8cN{Ekui|ExHL@@kNL@%(pT zc`Y~;|6h6F!tY(`^PJ4jqP&VxyKB&e6JK9#23;)ixG94!ka!%mx85o4$)L$U{`o*Y z%nP?Gv*j0Ve<91GcHuJON({P`;_I)=pvxy7|Jn8byRC2#NC>{@E(4`WOCviGwaUP@g|K;MiQwQ4wcbZKfsgSiKFdXX ziL3Y~qyDb<#Jl(@5AqvE{ainb&oe&WBG|qR>Ni;#^=}mv7iSq(Vs%FSTJdoh!TOq8 z-kKfRl~I4z{o=tK&asS-&j{8R^<&Mpd_I?O1z%^>fAy|-2jk;5g7qB`f5oWZ>PPXf zOr9c>VL{5F2MMBkYN8xiz~7k zqvy36ikq<&J22{R>Lu>WK^(?0jQW|Ti)V2j7jrqI{-yQe&D_r2+|Q_A>6rK=e_^uV z!XMTTMYf z_i-Sj=k=m~pox}0&ZqetUtrY#^O|@a-{JfGh{5j1^T!|6-wOHOKdKylVL7Is-y6(f z9L=a5ewuhD=Wsrwa&gkQn8a}&;QkwxpGSzJ_TWk4#~GCmo)Jgo=)ZSd%xBhjnBVaf zro2#!;6`jmoXo&U@2bBD!h)@^Cq_BZS2gucrPDdH0~unjx*T436?*`r}!+R z@h&fkSMg20&G`7vV13azmrpG}$ZvRpKQkKNlFI!s1LNa9gZ1SW7i4jkVI@{)T}IDA zHy6jpg9hvCDDKMMyr0oHmf_;DoXi=Fj}Hyj7mZ(8V)+Wb&JBE*(YTd8;sgAO-||OB z<5iNoAEsqAZZwBDFN?4w%kx^+VgokeEo{d-*n{^l8kh2rcoZMyG{(o12I&)xM|s}z z7x^mJaucI*C?AUV@(Ui}_l(A${3cH6ewdzFn2QBijHOwT)mVoO*^I5&fn69q{~nDq z8D#k|j^QLuXEeTKo_H~rb2Zm98dtJiyqo)Zh{qU>C;3I3%zZNrGch}(aU_MsC0LG? zS(EkIm@U|rofwU4jmC}iw|odkay+Lp8ZR$xrw>zh*Q(}A8{YQWHjF62k{w(4~m54^3=@8Xq?C8;zGQVWqA#w@g32835_hjnQeGG zqj4Q~i~I3Gj^H>(<2jxX&*1_tW{#?HKp_woS_5IV24-b$7G!ajVI@{)UEav%Y|W1B%HF)6gE^exJLJNAKAAH(oAbGZEBHF2 zc{1J=@8BLD;8*;XKk`?G&o+kJk(QS*2lKKBOR_w#Wi3YYb2Jg(!gjoaJ$MfX@F9-k zqnySk`3#@ui+q)9xry5tzDqCM-@W{TNBBKY^EakUpZL4<%)(qOz+x=Timb*ujOH6@ zCT_(J?808`%RwB*F`UHdoW*%u%;j9o_1w&8ev{qe{XE2DJjq{}EJGrF(l8UVGY<>1 z1k159YqCBYvjxL<5r)UF6T7nyqj^_`h(~ffr*bCeav_&-CD(8xw{jHU_UYfp(k_Zpl$$HhPK952$IkdBu!C-bu?uVMvO zWo_QTro5Hyc_(}FJ`Uu=9L#-4UW*gqlZoHfQ_#j7c9H;OJM$ZW?5J%UGKe~<=a=rLx zT@U;Dy+7ODJJ;V`cwJm+`5JEIR_^2{{G4C&IDg_fUgUg9$4eRY_vRND@IEM?kl&|m&zQry4fFJWS9_DvE z#j{M|e7u;Mc^UKZ3YOy4titPfJ#S)5-p0a5Ef*_^G}kzLuF_j53Zb1Wxw24{0VmoR#M z@OAM9zRMkq<^zbH8~n=hZ}}sCWqe+M;CxOST-d|x3C@W zU=QBI0epy~_$a6GNj}49UeoA#z*j9_%T3(I4;eiN_=WfgzvpTG#+2G6(=!Wmu>gy) zG%K#!l4u@yV83wyCI2Qk>J`0@Hrxew2=Kl8bm|LlI(|JLz|N#*>+`IJ=7Po%?A z_s>`O2H)Zqe!!3U84vS2p5j@iaR0fOnRyxW@d}pW)vUtncs*}oOWwxLyo>kp0S@IO zoWRHU6rbfHzQk30lW+4q?&7CB$ZvRpKl40Ox&LHfR_10w7H1h&Vs+N#jcm@=?8vU{ z&HFi+!#S3dIfJt~pG&xcuX6+6qU# z7PjLZ?7@3DfDdsLALTSY$!GXHU*xNdp1a>9-o_8PmtXJ*zvpTG#+3RE(=&R`K9{%v zi?K8-GJ3wgj<_M4u@yTodak~gIGW#mka!r!FnXSTx_B1naWR)OdX9d*cr&+iH}^Ao ze*T#FB!6Kt{e@{5JvX0SoQKgo^CiUP7(FjvQ(T{o*@A5uJtyB?+=u-+gd-U}A3s$b z&09ZLypYQnoE}N#!=OI1MLO)@Ztmkj9^v;q&EJ?(`$T$XVJ;S6F_vaUR%0DDWHYv6 z2X~%*6sM#?q|FYOKSCY{pjXz%J~? zz8u719K%VR&RLwt#azzST+hwi&fVP4Lp;Wl{DsMs)6*~$vojA1vjoerGHbFv8?y!5 zvJ<e&e#CwJl1KRi&oHK(o|+k%jh8cN z-hjk)?PBHj%)E^Gcm+%GYF1(N9;#@bu$wGz$=leOcky06z@dDE6ZjaTdBL6)FXBsF z#Wxwv2lk$L7eD1ee#2-Uu%E@}nMyq%1G6%k|Er+5ILojSt23JS>qc>Nwq{30@7Idv z`?}xq!5q%9oXlvRui4`HT*4K6og4TrcW@66@GE}HANebjr%mLew7i5ln3qLZlI3|V zYq0^F@D{e?9qhsAJz)dH4{;P9GHXKc;6E zM(-;tATGwztjKDt!-j0eR_wqo?8Uwu#9vM2B3Kt9aToXE%d zG@s)Oe3`Ft9pB;m{D}MbC6Dq4o?*;5$<)lqY`mO>cqPm78rEPvHsZ}}!=TvlU)4VJ zn*CkJclbU(V$?45rT8d+;2Fl$GoyNTMsYS?&O*GBWqA#2upS%nX13w&?8dv z9&?;{3ZLK{F5ptW!Z-L9x9|gg%+Gk3-|-aBGU@rt#CewV{AD5?cBnV+;Q=N+f0;<1 z|F-LLG{5ay*VT>O!l>PTkN5z;;4{;P9GHr`O-5BbFl!6u{0~P8tbqj zo3Rx;unT*!F9&fL$8Zv-a~9`uF_&{S*K;$sb2s<%5RdUBe_=A$r!>sO?99W$EWvWD z%$lsv#%#g1?8NTu!~Pt?ksQydoXNRd$Yor~HQdOp+{sV)Ilty{{={>|mBR<`Gz?8*B$kPmY-C-QMV&FA<6U*>CE$9MQXKjJ=q$)o&%XBgAY zmzo)wjhC|!uVh(X!y2r|M!cDAcsslCZuaAY9Kms%!Y4R~3%Hc8@D0AjE&PBV^D`di zcRaJM%8y%Lh1=k8lDX<5PT=i}(^(@lC$X z_qdCn@*uzA3I5FUOr@PK1G6$W3$i%NuoA1YE^lOWwq{3mWpCck!5q%9oXi=V&G}ry z=)DN9i#PCH?%*CC;8*;XKk`?G?x3C@WU=QBI z0epy~_$a6GNj}5p8NKJ=RqNBz5Iel_&rbaH>T9im!4Ueiv?JWrCE{HSceVS zjIG##UD%6#If%nJhLbp*vpA27xty!Ho}0OyySbl-c#J0*T#o*$+DHD@a`JM%8y%Lh1=k8lDX<5PT=i}(^( z@lC$X_qdCn@*uzA3I5FUOr_kJfmxZG1zDVBSc%nHmp8IGTeBm(vN!MNU=HV4PUZ~G z=6o*U3ck(_e3v`8hX?o-zvYkomC2Pi)AADLU|tqsNtWleti=Xw!duvmcd!TV;Q&6w zQGArs_#~g<^L&x7axFJ;8$aY;e!(OBo~QX6Q!00+XBOsS0TyFvR%A8SVM8`!D|TQP z_F`WS;xLZkBu?in&f{V(=W4F!W^U(h?&l#M<4OL)WXhXqn2Fh$hlN>!MuKbGeYqxRPtQkz2WwpYU^j&Ex!u=XjCwW;$NVoXpRnyowcA zm9=>ToAOq+=fBImmiuhampsZJc!n|M&D6}uY`mO>cqPm78rEPvHsZ}}!`s=7ce5WK zUdJZs7;~n4j@5zvC&MWeVlZi;QUa3sfbDra&o7jhX_at$|f zD|hk}e$KCXoImj#FH+7($4i-$`B{`#u>z~IHg90kJQj)b`5NVu8mz}gyqRryJG=32 z_Tz&b!Ev0zCpd=-xRkH(4gOzwzU^Oief(GbZhQBG&g{YHy%qh$gE@?&Ie~xme9s?P z@5lU%hxr|&ar#O1;lyIb$KJ3vo$-iD|_>P4(4!<x3C@WU=QBI0epy~_$a6GNj}5p`66HCT5e*} z^U8_ine@DJA{~;RS5Blu((}rRbm*qv?QZttgB-zeoWdtKhYPrruka1N#V!1RAM-OF z=65{BvrM7?E9rUV#PLgdUOABt|E|v~|EtQm|Ek~pckNe*o_qPd{@$eeUgA9ZN7eU| z%F~JTO{rfrJ+m+u3$PeVvm&c8Y5t!?dM3^PlSqf8`F|4W@UNc#=RftnyFk0=Qoh1B z_!gt~)uev>M1D*f*Pcj+q;c(ubojI5+W%9pC-Yq|7W1F|UV^{3o%|Z@t}7jP+G;TwF5TlfJ#=4U+2?|6!5nL<1A#mvmhn2%Sm6t89# zUdQWs6I=2&cII8Ymk)3#AK?T(#;5o!7x5*o;+uS%?{ODDbN9oU7v*q4JijAJ;7(>aUt zxR}ein(Mil+qs+jd5Fh&lD{yScHlJ3#O%z&!YsjZtjwCM&&F)Qw(P|2?8E*X!jVjR z-+Lne-lv^@ARp#vPUPc!n$PhCzRcISj_>e&e#CwJl1KRi&oE|OOloFiHeSv`ypm;k z4QsF-8}Vkg;qC0kyV;KqGUEjcUk>6hj^QLu=Pb_SVlL-uuIFZM z=Wg!jAs*vN{=#JHQ)!rq*_nrhS%T$QnKfCTjoE^2*@@lRhy6K(BRQT^Ig@j_kjuD| zYq*hHxs#vpbAHX^{E6pyk@{FVUdo)z&!W7F6|mBR<`Gz?8*B$kPmY-C-QMV z&FA<6U*>CE$9MQXKjJ=q$)o&%XBbnTO3jSS#>-iVSF$XxVGY(}Bi_t5yq(>6H~aBH zj^H>>;S-$01zgHk_y*tN7Jk5w`56!MJD%cMrckfCn3;JQ^YIFn;?=Ce>v%nHVoTn} z&b*8F@&OLzBb>m;_!OVzBEG~`e3NhUJ?`SCJjic&fou&U{>a4K^A8jR$_J5 z<&A94*6hfx?9KZ*n8P`ilR1O4IiE|og0FJ}-{lVO;Q@ZdZ}}sCWpeeZw7i5ln3qLZ zlI3|VYq0^F@D{e?9qhq-IDijv6d&a@KFMeJJYVFiT+2<|#t*rdU+@UO=V|`Nle&e#CwJl1KRi&oGuQk&jX{BeU^x7UGpG%WGJJ_1K6vvkh-&H{Q*De2^nJj#Kyq z=Wqd+@)f?px44BL@MC_)!~Bk?c$O)=FX3Wl=4H&sD_DwGvkI@{^}LBKc^f)gP1xr2LnfM4-j{>WdMJY(W~OUp}`gLzqmC0U-= zvKAY#32$LL-oYNchXeQ!NAXckPU)G2 zxmbY3Seg}Cjdj?N&De?^*oD2=mxDNrV>pS^Ig9hSn9I4E>$#cRxtsfWh{t%6zcAS) z?*Gih?99W$EWvWD%$lsv#%#g1?8NTu!~Pt?ksQyUT9DK}_CK_b?Uz1>c#J3c3zKR0 zNyALc&OA(-M@xRw{*yG1Rw6ylNROCypVZ9AY`mO>cqPj+X&$XadgjwEbOlTCYF6QO zyq-6)C2wPA-o<CwVqXs8Fpl9QPUkGn<63At~GCzy*Dpp`s*5(at%3Il2cBU}yH9FnWHw&TLcEe?c@1l@9vksyw&CsU#=F^%4{`*@ zaSET{94_EezQQ;77Ps&Ne$3B!nBVaf&oYH}pNpB9moXo&U@2bBD!h)@^Cq_BZS2gu zcrPE|P(H#5e2h==SuWyBT*Wu}Hs9kee#(RVh9~$l&oh;FpA5{(+$_lAEW=8y&bkb4 zn@RP>|DnG4x4!@4A2r`iG_Iny`sVd)!e~C`Xgq&3FH_R^ibTFn8efq}hotcpiF8OB zUy(?Mr12Grbog%@Uy*dbPaO9x%02(+@sodce!Jhh-{0hZ-;%enGwKAM49nVSV! zoMl*v)mfJ}vN>C`BfGLU@8@6+=U7hW49@0!F5wEk&JBE*JGh4j_!Yn9kNlO%wTq_Z zCCtIREW(m3&udwW4cLUYupRGU58lH8e2Am?D5vpBKEvnvB46cNZsIn6$i4i6NBBKY z^Eal{E}EWMn2QBijHOwT)mVoO*^I5&fnC^(eL0B3IEIrrowGQPi@BVuxt^Q3ox8c8 zhj@%9`3sY2=S{;*%+5S4%n~fe%B;!yY|IvH%TDahKJ3pS9Le#V%9)(Ygz~IHg8~4-pcm8lRbGK2l8Q#=0rZur}-RT z;LCiC>-Y}e=SSSfFL{(d@C;+d38rR7X5-~7#4A~r*RTfbu@P@(8{W=tyqo>_AV+W< zr|=2R;Q}t@D|~}*aSK1-$NY?k`5jO3EK?XScri2cGUnqIEXAu?h1chr}-OGUYba! z^vuFsEWlzc&5EqXI&8>hY{d@j!d~plK^(?0oW$vz#d%!JoebO)!vojA1vjoerGHbFv8?y!5vJ<D{A*qL|n zUOvE~e1sGD7@y*^T*Q~Sif{65zQ-rX7yEJ$hj9!iaXM#l9v5>tS93i#b31o)KM(O3 zPx2Qg%aurzvglN#B;nTcOsqA@lxhweir3btiYY~gLxUf$F8KfJg;RfHefWK?-p@8-oYNchXeQ! zNAXckhY{d@j z!d~plK^(?0oW$vz#d%!JQs!iS7Ufl} zz^bgx8`zY$vOVu)Pu|CYe3+v-k&p9fKF1gMGGF65zQgzV5%=*+9_0@_!~Qs+u4nGvmYPi2#(_vKEXL$z@>bJZ}2T{;RpPfpYbri<0+nH z3jNp@Gczw^K3>66yqZ;b9k1t2Y{}c$nRoGCKER=TgcJA}pW?Gz#Fw~=Z}M%v$6frC z2l)+8@MoT9D$hSa4K^A8jR$_J5<&A94*6hfx?9KZ*n8P`ilR1O4IiE|og0FJ} z-{lVO;Q@ZdZ}}sCWpe%3X?Y2AFfWU+B+K(!)?x!T;Vo>(JJ^HwZ~!0TC_c(*e3H-b zdA`V3xt5!_jURF^zu*ym&(r*kDfMHgXBOsS0TyFvR%A8SVM8`!D|TQP_F`WS;xLZk zBu?in&f{V(=W4F!W^U(h?&l#M<4OL)WcshuFcY&g4-2yd%ds+RvOXKL1>3R{yR#4b za|lOrJg0Id=W-#JaV6JqBe!xVKjG*6n#cJQ&+#Jt*y(sFb22}R@+wwfRo3PWY|2~N zo_De*@8du|%+Z|4$N4m$;|qM5uW=pU;rslE`}ie~@&}$_O#gLiW@I*A&O*GBWqA#2 zupS%nX13w&?8dva|u`Qb#CCh+`&COz_0i% zf8?)BuKzkMFJTVmWf7KSd0xv}Y``YGh3$9;d+;6(;6oh6M>&m8@)72!RT+HQM z&Gp>O?cB}%Jj7!>$zPaE|8*K>Vs_?XVU}PyR%T7sXJfWtTXtf1_F;bx;Yg0>RLKuVD?=V=r!v$Q*SNI0s;ue0ukNFu7^E;m6S*FmBeK9lhGUnqIEXAu?g+X&d zQhWMe*q$EEvzA)BaRz4LU-kT4)V>})FW=VsJFz?aus?@zB*$|qXL2qVav4{04L5Qt zck&Z{&aZi#Kk*zd(vF;tN%O8H&dYz)ylemN=l#F?_6>I39LCX{z-gSxfAs$Rqu1Za zel%w*wr6MdU?2A5pG~hh{%$@O^JT8$T5jb3^>p}WZ~s60_x@e;%@+A*2Y2)T`g}P( z-Cv{U=%ar2s2niD=VKYYm*{bE^gMmk-yW3*mil}JqxTc76Ti)*e)q(E{J*8&{r}4K zgY)%|_V*wCc^=zWh{ai&6i4b>N%=pKKmV`ZXOpf!iR1T2 z?YBw!Kao!Vs`m4w^#9LH{|w4Gzt<0)RQ^dE_dm-2N$Hf7PGLFmZ|#pv%1?>>^7r2N zlg_)O^X{)a?+$7YJHq2U#lNb(EGggqZTa@k9*?AS{cF-SO2^=_lK42#EDWZRh{pw3 zj8Xf4MR7IOVM8`!G~T0wxC?u+F9$KI-;WVb;&jg9JVy87<>J*`&&}MpeEbbU(a{LRdu2p+#a{n`G%;IZ)d-(_Gh z3_c#`WL!6ee*KV?3czxp~-%X#xxk9Qbmy`wpS(>RlJ7+n{la?syf z&pv3q|LA&A*<^|QRf*MDo6-2M3!igo<}Cr5u%kS(%#!S)659iPc$`H?lcfvm?8*H}B_Q4(C`-<_yl} zd@kV%zRnGNmpizJ2ly4g<&XT8$%7k1*she8moNwOvItAEJg;RfHeeIp!gjoaJ$MfX z@F9-kqnySk`3#@ui+q)9xry8OA@}kN9^v;q&EJ^Pd`jt=g}GRO#aNmZS&enrkj>bN z9oU7v*q4JijAJ;7(>aUtxR}ein(Mil+qs+jd5Fh&lD{xn>O?+D!%WQ1JS@x-EXT^M z$@*-}7HrE-?9M*y&mkPi@tn$;oXdq=#+6*djoiwe{DhzLYaZuMJjaX7r<9JDGAHx1 zD6e7#R%LD8z^1&F?Rh7A@;(ma!yL_te4J17IljP``5M>p9lp-rX7yEJ$hj9!iaXM#l9v5>tS93i#b31o)KM(O3Px2QgGoMl#W@2{cVPTeFIaX#( z)@Nh3U|V)#clKd_4&g|S=Ty$*TrT7?uH+hSzQMP+g&*)^e#XQ6 zj;DB*DT0oG@OpGHGxIX$;}tB$t67ED@p|6Gmb{Ifc^B{H102dnIDwDxDL%_Ze2J_0 zCg0|J+{I6Mkl*kGf983nGOtnwW@T;`WO0^ZC01u$-pJ-`&5rEK-n^fKIh z^SOj8_&PW6UGCr>9^hB}mOt`WCJ#EiQEjcUk>6hj^QLu=Pb_SVlL-uuIFZM=Wg!jAs*vN{=#J068R_%Gch~!urN!o94oUX z>$5Riuq`{WJNvLdhj1jvb1G+YE*EkcS8@$Eaw~W86MoLGd7MA-953>|q;$NLIhmhD zc@-rrZEWHw&TLcEe?c@1l@9vksyw&CsU#=F^%4{`*@aSET{94_EezQQ;77Ps&Ne$3B! znBVaf&oYJgC0)$Syo~vH1xxX2R^fHLo;R^2Z)0cP#e4Yxhw>3l;A4D>&vFr8;wrw$ zxA`7-@lzh;H$1_gd7i0qCh}7TW@T;`WO0^ZC01u$-pJ-`&5rEK-n^fKIh z^SOj8_&PW6UGCr>9^hB}mOt`WCilLiw7i5ln3qLZlI3|VYq0^F@D{e?9qhq-IDijv z6d&a@KFMeJJYVFiT+2<|#t*rdU+@UO=V|`Nl)(U*@Vbz~IHg8~4-pcm8lRbGK2l8Q#=0rZur}-RT;LCiC>-Y}e=SSSfFL{(d@C;*l z6X}zh8JUfjvkTp0hO zuh-G<1>H^Y`#{j_6gMb-#{J)3w=TSI{n2`&?f9enRAJjyg5_A5H5uKX8jD-7EjzJ0 z`>;QUa3sfbDx>S!T=7CK<4UgKMsDR!e!|cBHIMTrp5sN%*L1v;(fsE5#YK4)E3hh~ z@t!w`oAOq+=benkdEO@;$cK61@w+g-FdzTX=YLhXa_x@};|6aO8<=DTsd^pB- zP2zMWJx7qWaI@TL1c6*B@=q@7=fl=>Ayn zf4O~8e*V4sVQ=fbpMyD^V>y{KIGgjige&+uH}GBV;2tJDAC)*CHo1>(W77Mw6X}xl zzU)Lg{8jJEj;>cxdH=#Vi}NyCeqnk1_pVbHe*cf+3(H9ten0yB_m=s z5-S!Elv}7!u?B$xihAB}=3SZ9G3#@m=kYnGe{?-h^4l}(o!?$-@3r^r*?TU#$i1X} z{cwo4KPUgczK8bP{`}Z9?k8uG&yb7AW#n@5RdOv^M{XoPAnoVH_VM-s@-s5T^8qbL z`#G@_dHYndGkG3)0eK0TLG~hVBnOa#$Q<%6as*jM-bX%2P9~?4)5+Q70td^W@9qDzcVbPyUsBpR6Z8B0nXMlBQXF`7|fLOSU6VAejwXLeK0ua}kCDG4XOZ*C#pH|RE97eOP4X|~W^z0EA^8vT z5P6LJ4tDHveL76GCEJsylRqHOC%coEl0PATO7lF31mm|O!8bZoxGU5g1m<8 zP2No2MrM=4$s%$Tc`rGEe1v?I{4H5YK1=?Ae1Tj+zDE9ue4E@vZX^FjeoP)DKPUgI zd3^b_B-@ZDk*ASolU>LQ$sdzfk(uO8*QPH2679zliW)-kcY`H$>UnYms1M)eez`T3^JAMN?t@>PWB|PC;O4Nl3C<1 zvXCq#$CBg8hsi1AG;${S47rG0MlL5`CD)R55asgRIzC`|!TtluS-yz>4 zcaVF?Pe|;BV*4L^{@|O=7hqQv%lppH`+WBL;~9K>DrsNWU&Py&lRe4n$$q4LJ)gzf z!^lFilpITrC;zwS?`=Qq`4W3Oc{zPs{fd2l4l{|pj|dkf@wQVV->G2IMvf_nW)A_Q zC2w;;L3UnY?7p;|;?lu+B_jssl;lP8a;chulKxxb{eI68P_G&`CLZIR3)CY4rnOY0t=)zI7_ zrNa=vvk0w-O=k}o0)tzdUzFqC%r40;9G1(b#vk+bdkV61N}!b9#3T86g=j{68VxXM zIYk8pxrOL_B6DX+b^$_H^}8W0I~py?8&VpT`3x&5DwS(rLCckRog(L|Z0U{(>_SXA z?w4~vIJ}AdCFd@4a!)8Z8Q0eLq>}6HWfKov&Qm^W}y*IXTDW z%jG+{8A>kdI61lY=4)TMldDv6*wh;5J4?w)A8q?)E4jH&ZjO>$ z=;Y)vzJ4roa`Tkj3McoBl3VTMo>g+|oZNgRx53FRP;y(H-0ziKy_0)R$?bD;vMhbe z@1T>DbF;qOF()V2zI-`(zlI$Liv^Ca=o2gwUX=aMxER%N>0w3+2tbdG4lB)I61kd;LDXexf&%m)yb_=axk4Nh*YlH2O!DR=LzjNU9aR)oLrrfllP@spIi&`wNJjU&*uK3Ce?sFxV@8smQgKzoC^(?zw zzEE;wot#|L_4y_^xv!L5xsx+^Zuj}7IyreSi7z+9$;o${`*L%goP1Wtms{xMnkl(u zPEM|I`+O^$-0@0owUcYEcl7gkaIisF_<)C zyBo9Ht^}t}UNgJ7?2C=LLLR_k>*Te+JKxGt;n=d2`96d?JKtJN+sBAvCVZV_g2mRY z#%)V29`xs1`mGDW<7F)LwceuU=$M*>8tWS;s>|?~S9=?fo&^mQ{ zG41-y=&-58`FLsHWYm4l$7Op97M@(Qvc=9T*;hF>Z01MezTYUm4!w+#&+6D>CzE}d z_`=LeR7(4%D82_XF+Q4GTVh!x`}R!2`anO#_n6}Q^-ac{nb0*Z+hpI7DPfa}ocJDB zd{ywtc(MiYSV{JEdNge0ytw$D1byq{;y%XojVogReZFe=vXBtpZ$Y1LB7C33O!0p{ zU;D?xradafC)>8qHyVw*D}XP3YS>IfPJH$}I#!Qq9M2qoJK)=98-;p1nY{d};Y-D1 z#5WT;U;AoqZhCu5_HD-(wA$@=mg1{}Zxrfn@wKl3KD&PBAna@3wf&7b(aJ!dZ{m|! zAE=l9+Vkj5Ex$qVZ3(bHs1zRr;(JzU-^I5Zv#C|0fxh-t&&2w`0uv;QKQG6TAjgj}R+2ZpRZ^r(G&*v)@-|Q*I9BFB7{`K2p8`cM(&sQnF z-{HyNya4N?0$)fy4)c}u`i<+lp@q29x~uoGm_IS%-+}gK;J6 z_Z`KTg_VC#inaN-kJ?kwzVF6;?<&6cFtbPHK!U~BzKkvr)9L$hpB(%9_E-7!##|Sm zeOXsVOgh`QS@FHtV9d(_)8;9{0Vk_`2ea z{~0HIv#+=m>-VI%Z=2#P!WR$p3@~15$|FX8Ge`QnL-9TT!;l%9Xr8yf)fEv_iJbU$ zDZZ}fgQlf$1WlBC)C^G^G$?L z&NGQ`FTy_GZuq8J8R+v>JQguEs2ATypwBnv{E!)ldRu(HK2sy67$Na}4ElU;!*_3x z@dBUSzsTz;pYO(QA@eKSIMCO=Hu&KKJk`Y7w_owq!8h4T6kj!b4aiA<4=BDnE)1D! z)Z1d!vJT~GC4&|7r^AP7@f`wv{cYDh*m#~cBQc(_3-S71fGtsv**#9@{Q>c zGV&QQTkK?V`!Z)o%sBe&dGw}yvn~ndtDTeZ+4Ja4`PTk8m@ji)!WTh1ecRjqON04p zpGo*yDZUQ)AYGv4hm(JH`PuX6P4)MxD}woI7bJXbmG*R=4-z=;gj!P^7Z#Ze9=;n z{$^Aqd>s{EyPm;(!_nk{xR z+1F`V#0*5G_~f&uKHvGhLPoB?+T!z-;fIPc={rmDor6$onHh`ESMwr{Cy|r(ovrvT z>W$-PD+7JLtmQaw(7tK#_2Bxz zA)eQFC44gi`0C)Rr4NUAUf&k@f}DSzRv&3R|BOQluWx_A{#LH}!1oa9ZLyQd{jGzq29?sjYw+jmZ+&U7^;_{#V*O&5?)4pnFUaeKO87dV6Vkq) zD!w+Ou>Z521rd#vXq;46bqa?-w= z6yMT-?VISdudm|!6h1j$W(%URlDzyj!6yq>+IO?!TRS?~{%;e0IIunDB)$QPZyS7% zpxzccncThx_%@+Ze7E4wH=ger6Rdr;pCsCMtKu6M(6`<3-LCkafbU$}SrE}!N$&3v z_|j1${k=o+t%R>H9Ri;(wIOl-YOvyKb5E$r_k$$2Zz6nl|Cg=!ZiH`Jfajw+_~bXR zrN23f@BCke{^j#}h{j5C`+6KoY;U=W?+W;2oZ8~^Wx{92^DxEtGx&lWA7nYcJjIs} zUkBSb(AT~)$9K2ldjP&5`>P6P`4uR>#{>E*9Ul&PyxZ?Q_<}rtRKeE?%R|P?2*tMw zz98$P&RKpq1n{B)C3<~}?+*ofKS=RW_%J8&VVCLk zb-)+;9&E`*0f@#*a{G?JH;&gYu?z9~T49DkwvV#oQX039Unst_;Y(wi1itoFz}Exy z(%*X(-^~at4dAPVua>_16yI@^18yJ3r!+pFV-{A+;EzL!$& zLv^eOoz2j6?iMyx*imBf#Qwx5ewnB9^kX($7d8(&1KRr9Cgs|reK-};SnTBg05`+W AkpKVy literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.bin b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.bin new file mode 100644 index 0000000000000000000000000000000000000000..477c5d98874568e2862c6720b86b47a0afbeb03d GIT binary patch literal 14180 zcmcJ0dwf*YweUJ;-j5`cOn{lmgEQw$$YTPA3?PuICv)P2Y7ftj zqA-BoOGIy#p*Cbdk!V{9e&q{JAJ$w`(fj#ozjFv_1A%rBuBWZ7Mr}=a)cMw)1dF}B z_xtDj^82khd!N1bI%}`J_Im8SbBG0IhZo?%Nr3<6kKkPUyN3T?J?}IAjphpl0R0HR zKsbl+CxpKu{8xSdyZ7Y#u9SH(7lWWhGl>wSRpTN^!z&cWDX#uF07GzoHxIxnx>67{ zsFo&c7Q?d0AVUx|SHBtiT~WIlFX;sLA?!o&36Q^<)OU~3FBoAdYj3ImyV%v}u67Iq z_bgD)OLvOO+sYn=3OSU4J|nhGJ66*_5DCl$XbJ%MQAPvoa_ZF`GyqpsYfuD5h#S@$ zwiwz@wC<7&8x5O;O}jRo*ksu3R>CF1=3Scyzjfjv!^0;w�}gaVrKzX#|LKqp3nT z+Du6naCNlM2EpCti2chk6Ek9gAwBJgO{+;_>D_cRR<|C4M(uF3F_eF|LvXL*Vy5RE zN-6V{c$3`K_>@@6bTx8N9ikIOF?K7p7lI*`g<$Q}7}fox_tUD5D;? zL8TU+?8~cqahO99d184LKODYU-Z&Ua~%_&cva{(-nr(4SJkqI`)DqTf0er zDh%fq>TE|hKIiGtY@Y(`^RXId3#emRtSUXuupK~M%(muX>jlzEPqY?Iw9-R57=Z^X z@Jl=ZuTKM*LIGs*00L4R7=(-g>lpwg#h`}dvC~DSspwH=v71lA%9YcX7-DX|wArmt zvC?K6wZ_x#amUu|ZrVN4*XpZpEe6W(hLq2my+_U-pF;Yd!q^vRl|H)!k3k)oq~4v#mEYsuw6HcItzhh`-_4gbcT#SpDpuFNkC)gUp{_L)YoXlyhFAe@=Lh_5 zp)Y1L?lswYx9~CQwNbVB?L0NxjyhX=A5>AQi**YS*t(_wISR(y%}F@8^k{1?))*M? zr{-MjTW&Q~_i9jnT({xdLgtfe@JG_m(}uzdBg5rl!+m}C+1;8{Bhp2`#VC3V2ZyLGwk*(spSjkU>4tgpJDfu zB`m*pvfhmK0;~u5lQil72-aCXcX5G%%i>$Ox9;;H_2q^U+bCGzEdq zW3ZP>+`_>OC@%x^gR$sh-x|Xl;WX;$W61mev;f?g%2{jz5-CtB zR614ac{gJda}=}{j1_f>;(%GJaLUd7(U=u_!Vw(dbNm=2ey5RZSRiXNE^)+;;3FBet_`DY|HPlWoWz_Tgt=>dYO10;kO9q5JnJA zXR&^TSg($kAeLWLb-(yagmVoyZ~ED#S?m|_;Z9;5c+ik_cOgj{3*hZ5mE@p zam?U?Fiun$oCqF-VuV5n8^8nxFe6wHD1=gk=?FFiJAwltAE5xjjgW(oi;#yf4Z(xp zMKB^*5nKpPgsJEQ{`pvY#3pt`Fr@l90pRw-vXwi+v^LylKBn|jA#?CA8sMyK!uU!_ zRf-@$I~*@SLd^kbxmwp3m<8L{NC-J5+|ys?~xcC_DBpT{0@gjmIn zNI3_AskgO9?oeuAintGTlHzEL+Qjv5D|sQuj-b3)@rB-&^2A4#N7uiz-nl+^F%xpM zGLR!KZtZIHLk$pnScp>kC-kva)Y<*`MDH6eG2z<9`b>OQ;YdBo(FG8|O~0r!qOO3r;NfiPHd_FHbShRAPqs915sO!CVmwy+|1 zn>)&hE2KN36xR|?LfbC(%u^#`fm`t`cB2mjUd+ya>>j zjixM+IQEpdK(Zo=@d?xq)|fOyk!)hzVNmVojmc>>B{I#G@Sqybf8r^c-^CkXZ*$?i z{Ekoi&_lLyC=n9#J5jG{;4$R81|G#-uQP$o8MD@4??I>o07p z+rVw#x}i=sBs{PUadLZ0;@l&JHFP4*(cL3dH(EgJaGZ0^NMaeZH`1;dP-agLOtymd zZ|OK^m{CM`hd$Ffqalb>DFaNw9Dz9pW{ms$>`K@-S zBITSH98#PMD%`pbB{vaW_XlO8)U)o0a$LHwt`I(vdSGLRTNYDt)Q-f!3E>ueN@v?d7%y+FpM607iqWm9xtHtR|XZ zx;VXw#BI~X7vRICZuFqm8B|$VCz70ml?k9d~+ps@urgMmIrA_u<%Pf{2- z=N@r%eWah?hHhWmqsRSk8XdyFpg(xfj(Ui({53y#&I-_uN?L+X)Y^K#Z6eogLVZ1l z>+~ViucF8FfYRtn?abojt2?teIT|e+ znZj+xuvNWd&q&_^bBRg^IE?Il+=^Wuz_=q!t4nv4a^pJ1OqAW+$GU5>9OnM0Gn3_d z?mgZ8m?I9{yBI5tr&fw_cx`2w{C;+A2Dkn2!Rb5)H6dT@t{BBl<)??e>bs8-9o&#U z+_9|K9ebv&^}dlls&b8*>>DwS?7Q$ViO8r>a5EL^-Ar@S!!|Xz8I2qp z3i*^zEc6fq7toU!v87x+oTYhBdL53(XNjD;1tZ#9Rhsqr-QhgV$d=;Mp;4?GpBBx< zhVf~@Y{HGPU%j7$+K^Z2*tIcBHQJ6=6{(u>d%-QGC=2`&zGFUr7s}%G;5+N*?~a@4 zA+CeisPX<~F}l`9JA}h+-_e7c59v3uKh}efwRVv4o$0eHZ8-8)95+>I)QaqPDA5io z6m9Ftj=KlP{R(niwV8$5TDNlC@)H~>*Zv|>B5?zmcd}nGnzAjnHIrD&rj>&AcI%Q~ zFa2PtG2}siF|CeM;*h^|6m8<8XcHetxE1w=^tGgHTt@`+z0e&934}oe_j;_aC!Szk z#Pj7`>__y`m7+0&CjQ#hPiT-j#k72(PBY0b)D6tY7wSf)h{*Hv{wAj7^SXk*5ty#V z%YN%(O!YCYw1}1_k5qvr6KfVdk{PoVrT-?(Yrk3q7{#D(4d-D*gS@06U6YCjz;6-P z&_0YwsQGZRrXQ*9P zvMQ86U-8LQEq<%<2RP272!+_sD&pIPKB)*ZUYmwd4@%plqF)ew3hCSSqc7r*rO;!R zQ%9}0`Qc`abic2ZVb+AURy=9)Nq)#<%9XYFW(?(a zWqpb`b#YNg(v-|Q%YUNhi>up5^}Hnz2+B#z`$I0I8(@R7n>PmYH4@u&H6E3p?Q0Ou z=?)PBMX?sk@Q^_~D-Y@MK!84}n-{D4F_Z`SHWb_svypFNmzI+H-Dhbf^-zqC-5R49 zeujx>_;|qD^aADR7@&>|L7@ltZ43;}8y6MO2F%nPZ6r=4v`8*CJ~=QTfp<;2eSalZxvIk!2M zgk! ziYK94@-#!>4w-skk8p=Xp?686w%RikweKf#M99tLMkO;j0?9q0b=g<0)rMj0I>jt|QFv&}+xJ5xQ6q z@1H0a8L%u-vvjjOHO3D)yg-SkuJm3Y8%BtJ;($ zQ$<-GFb?FRPXH=8U0ElO&?9+NA|J6Pa9)tf{7}i6^h_rNtr|7cc$(zjWXIWvD*jnN zHTRGFW&IlO*S=kk^Fzi##z5>4#^7MaK4#IoWxGcxY9w+0buj8BGlf9l? zF%R+c#Jt5Tci*`iO!@qsaeU_a@tF^C_9V4>cOlN|s@;J5O0=*lPQK#mzeX=JiaU8o zH}gs+LwIrFoEyXdZ4@xZ6rb%|9e4Hr1#Kn4`5TYLidkH}+bjl5fjpvrM81Ecd2Mcp zjU9~D;QswwtuPj^HlY3l%q=u0&}A!PM+LLCF9y{CEgpCl-_=ViV$_$YYHGM(F~=VY zJl1#7R4o(>54EX*9B05hQ9gw|>{^S#FqDWM7h;+w23JIU0x;!`QkBvnF|`hj^@k$Qx+G4zZYblZ+boYm!(Ov4vt#LI~rj z>Bs>AiY@)zca>oM8Wj197ndwL)QC^~EnY<47nK#`Z?R}8CckEkY>Gsxcs|yAO-0y3 z^0L{09AS^kj7Q`VqY|cJtr8sB-zoTe7RR~_=O72?0+$i<3_4`51K=ZsdvIKj;y%M( zgzqA>Vm?-n?RT;O`w{%pi9qgeAUW+Iwl6^%7xDQA1p3AGktS;s*j6{ezc$b>6FC4Y zSoefC4H|5F!e1LS*!P4R1`Uoq;fg_nb5A&DFvHsDf|p2{9xN!Z(Q8%G>K(-Bzo zdr;XP+47!jS;&^fY?*M5J+kE!I2Oc*EPl4UCtD_Cqz1)ox!wmSsmStrS43&d=u`@I zXw*DT6CYbSrf$)dMk1Fdcti2y{DBCwFu{9;<>6>#5T8opXzG`d#>f=oOy?|!r!2-o z=Pl0t5rcD^@Kxa(LR8r9o#VXdJrH>=Y&ebiJlz#}twD?|69i$Nah|ix88EJGc-DI- zrtb_mUw%BYKQh`sp887Uw#coK-$c@>Mmhq!Birrsa$n1XS&eFDRzST1@$!sux_~=R zk?zR$n}x_%>6I{&caI%%yCQ;`si;%mhjThxG&3(}86JDUE2tmp?L~(aTI;y89+r@#n6ZfE3Ez_T~)+iA*wd*pV00Xz}@y2|=6w>*0=4HY+OFbgy6^s-WP z@Mz{{|o;Rp1?hsHWn?!u~2i~<&8z(=j-5Wr9Z33ov;5i zp7J4TPNo{P`_dGfq+0A3`>OaB`l4y2{iKBvTte}rZjJV5s?#dT?Q?~qs;y^dBXy16 z>3fpjQ2Jzju0S|>>C`HViW%u|tyO%cI;6o3p#1>%aYhVvLJQqu&jd8x?}N(4=b)lW z%~bzH#aS|%g`!G0_rr7zFy(LX_xb*nUtjvK^=2XIy_5RYBzF%ZclS5>_!g@JSIhw& z<)AA1I=!y+bq(09Nc&=6k6sAh#+D`OqEe{%ik9?JfmKv$hz_K6)E%v@_+%edwc*^; zMfX#4hsJz4utuj?JYVPkS+9d=bHL|Dy@ATRwbtS{`lyQA&Sff_)TFls!=$L5sj64) zutTS2B#SzAs4m7;?N{T0i^k<)4kbclUJOjxDgKBr!LKS!)N{foKC&M-OjqC;>$1p6Lq6_fv_|rA zpN!y^=-A3tBM-mVAQ)8%rdv;XS5a{4W_@Qs1 zL48xeyb-^?KrO0lIE#Fjzk`zB!{1rD2l-BVF#tzCeApYKqjF#~h9W(LVR;Vx=Dkcs zX?EOAIPTw)nb1zXM`Q}8Zqz?Pss7@<3GUx~FU~GS?p@($RVm^MKZD%oApiaEkx{(# z9>v|8rI~sU@C(IQK8EiGaNXdVc@W`BJuSeic}@1BTwt~ZbIu(MI?tlrF;c>Jg7&jd zGADee`9)!}^I`?1(IBdxEBcdl`}sdl(Ach|prS@SG3_+23N?V<4wZesmV;M4e@W@)#T?yGkSXLOTT1;zZ++_O}XkMwv$XYuj{ z86U>8rR6G&)=zSUW6Jito+so8`I$D!PkELf_dnpLV3MB;cya@%@jpdgPWqnU?Xax$ zk$RKg=6i=P6h?HTcs(!gATPhp@>1-lxFTa0dSP(778KdDCA)P&ku6)Y85hupU8{Ky z@x4X^O;h+sA{p|Ba-QK_lCyYC(X+1ag>4$lphoY!WM5*Rd}Gp-{^RieN{rR!eV|_} zeXO6F2XGCBu?(CmMRtQ-{qL-PZ3Pt?*A1}KlfXAhqZfB{$W5EP7agKIRV+ibN$tTM zIT|y582$LNsn^*3jLZO)fYJYTb?VF3myO3f$Sa1_49U@Q@x;fd?!q3F2BT*#_qHF! z9S~Y0zHRVwKsi-+-zti5)?aV|^kMf4T0_Cv@VGaW3473W>=6iM!YO7R3 zFMVW!%Bz=qIz7Un9WXPX(Fe)+%C1n~v6O~2I!PsZ!wWPbO`1F0=@zgz*CTPz7~&+Z zmExkULB^{uXJEu(w~!F(+^3n>V&IT zbRt_K`_-RhGS}okp4A_W^sm#PpI%~-x0ys|+Ixs(EVHwEGYe;*Z6E9F@yfD%q74)CJYB(kSOQPvDjyZh@MYwrAyVRQ?6tpEwYH z3-#>{eFDQd4i#^y)Qm0R8oX;k_`SyKJW)xN$YxA|VWvs#&CWiVgVsy4kp|ImC-?4M zi9WAo=Bd->{8KiLVnWi5c;3{r12e7uipg)jua{$KLdRPy?xFvz84Z_-W(3%t&O~+| zqY~H7N!H1EC2Po`9l}%3p3Y8GMF*ciFE*her!P?ii_gb=wMUA!w}g9If#KSBh5t<> z+_}v!4e=b|h?<|$_gdgo6lMIU&*$daSjSS2beeFaZi{qE#MNv(>$n@&_gP>*>(@Kr zhRaBQmK?;Bloraz&rzj&dOBMy=Ao!O;ES#!C;Hso13nu39l%w9-tm*hXF7#qvlf&~ zg0qLKo6qormYG91%IwJAHkyWnVj5e?%`j$+JIRKD@~Y9Ug7=@Kwf^c9`r{2djz zk0cbop2dGvEy1r%McXq=`k~HG%BUAh4uN8bAzlQzc%i` zIkgcTV0-R@;0HJ#6_6Qg$@~Gm)P!yO2tCAs@CT@CfBZWeL^r``AKDqb8&L^BY&D+7 zOM(sZ|9(-t&+mlC$QJB-dufu3TksH+WaO8@~y`3T@Y#6Kf1> z-C|*Lk-W2wN}!L@C~j9PgLA-)OA>h&j~~iDJ>$TpC8J+L`}u5!Vr~9ISb91`vjzTi z)}~Tu-P|~?8eB*Jfnys_m5LT9m9N>(%-E}$Me|;iH_B9NY9y!_MJ+Z$9ey3}L2(SUgfHx%Lp?jze!Wb3PFgFKp`CiJ)yh@^ zkX*G8XzEbpw+8`1T_?pKh}FY zsi5_AF57z-6*8)oFdugaasN(`=f01AlH67(fn4s*yBYR_>f$y34j4TofoMqO7JsWR(_ z9an_nYSf_`2uU#d&J_cC>EE7la#+I^?T}lFe5V{i!)b@~j;BmAq#wmI@2KpS2$j?o z1}vmxbap+P~|B zZ%jd$gzQRDbqS1FOlS7B_k^t@Z}-_TVsOhVi(MGkywT_C&x~!ldPN^knK9BRgdxvS zT!DBJm-fdN;utbx=Q7kBq4*OGcO;Ohr;knGnG%1qN13r{pKTYP4zJd}CI1ZlXWLL6 z`i!rk%{ITVu9XR`+u{oKZlU+l2RJ;V`YJ{b7ktJq$UF(mT*tSJ5I^{~V_&@|I=4zGl z+h*g?9UOgxsY!Y~io-%`L54m;YFw-;SgitM_uGs9ZN}VOx~|g@K%SKyk8|T#dM5l%7Ra;)SPa;L99gIgO-YS2tjF0`46;fO7)H+7Nzc00 z+x3?4`x4%WL<+CyqCm|_dMKt7Jy=Wl-!Ydc1Uut{b&@|;v%pqqI1Ry{y`%lCv&9TUTXjd*)=3=O1kUL8@d^L3@4DEf z8_Q22kiRQ4i5;AR@%$sQqa7Z1;_W#&?@t~m?+-Jm(XKRg;|0V{`koG-#0Z|^ytUN+YqUnQvFvC4HOzUBFx#s5 zVO8<1c+2CkN2sMk`O42R!lyXjbv@owsT(!4&!ee5$IN@}Ak+_`vth4K_RB78pMrd{LvNGY1)Nv#ORjRHuQ41ecO!q~?t_4LAEI&( zTAS4)M%FJsfmkqerDl8zf@^T(hGckNQ7oj~m0n~%GxS2=ux`ta-jwCoEw{D%Br}d+ zY_fj%5nmiQ<5OJginLEAqns*(zfC5V&WDjTrN)aSDJpZVh}G>@>eF0%EtvP4x-18O zfcha|1P^6NOWrA4GA(z7Z#v_Fy7a`%XR)$cRHIUJFUYp;r0-yObmaHAxB9$le5wK# zz-MEQ`iGPg za?6^&Mq)LL5=Wx<6nUa+(eHKA*=+3+`X_Qv7|%~&!khl{B`Wh|B(MLjuHMZIQdygjH3U#UJ^tOU!-&K?=Y^(>We&mHg@tK z;=S3o)AM-Nzu_)ZY)|-#O4WRtJ%=E1))#5~b?le_kOqy@XF$L>1S4I*y)-=gLNFs> ztcMKa*$@JYfU5!m2zYda*Ruh)$MCEc!G=JtRN*lbc`0L?kSSX;tE?uphES{($?t6ZY$@i)B@?g;pPx;_pYeIE zPRisxsT&dh8tlU|9(^%n2>(C-xS2IU2IRXJ!`iQZAHno}xzUaj`LGhA9A(2hbKPql5VRw7XI`#yVSl1-ZS zoZs*Bd*An;wLhOVdwuuwthJu?UC+AhwUccN7F7v?z~YyN6){5FvlyF>`(CStF%8pC zXCl)=mco)1Ig9;Lx{qf@gPs7MM{`3u#zc~BMT9~EJ&DN!$TR@CZzp0nPwtfHS}u;0$mEI0Kvk z&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u z;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp z0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n z8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7 zoB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+R zz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG z1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hC zGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mE zI0Kx4|C0_!rv>KbmCWB|8L#5=!WuqWej6I2){tMgz$TWzaadrw14k8 zm7md_ut@SViy@@9Gm9puTgbxfr-CH;GI|1xiKO%KOvV!J8QsjH4H{|^6%E5et%q4y zD5EC<>8=M4RDTsA-JM87`V{CY>;9+Xnpbpei8;BZh$Y((RA-i^oMY1SOnAw0kKOm4 zZ;wx?Nb#NM(m^)dEHZhAX^jxj3VsvAQoY^4x^)IFP^2Dd@ zw|Nd!KW)zw4^&I6v_jLK?KeLl>|>USpqW|9Z}AI zWuFW0egZXfJ=no|yDYxW2kZU4LZ;l?wcaOfDFcJAg^lMjaDOE%D!F)@1o*--i$0QSzsAzw^!U)IPMdijJz_ZC*W=u3-kKrZA4vcoLjLARqM7BlM40({L}UJQM6?)#;6B(Mxx6*( zW#CfF0u=)mc7An4S{IFdD>6{TE-eSf^Gi!24@SaUKk}OGtSB`Y5Cg2tc)-Ta&yNJm z;<|k-LqWGip0=?|b0g+;hj5=6aVcrWAkCkG0rME)xS~}O35e!(Ot_**(;>Y~QcYBv z9hGX%MwpISSt7G8HZu11c*e#Fj18nSR^SLQ5n(_JJiwT*TZD(CnZnpd0Y6a0C#NkW6sYs~aF?5f$-+vPM>I&+wipK47FW=Nxba;tW0icK4o zsZXOXXCgmek0w9GmJl56({7#LnqvDRxWn_uhz`&G7)%&U^PjscB*P<{Jd^DzG05)#d~+VAlu z%PXB3=JU8~@-H~&*iE}0l}!P`JgdeOxW_fC<{&~=V6JZ}EMx_Obic=BTNLWF-tWnj zA8^dOUzj(qS(v0qr&b52*fsg3z8s%WP->rem&uR3fTC0 z)nV?G=Q%6GtW9t(o$l;McmKAwStFOiPB(mTzGtLNLRe zDYJqH8pgmPi$AlrgFUt6m@^GXWc@wD^ebIU6ZNG>Lu}Hkkt94FD}Em53mhqJ436TQ zY0$pJ7jb7rAU=KFdS*n*#;wLXuXO24HKF6k+t+i{yJ{E4Y@qSt)ri$R+HP`K{L|)U zS~Jk<7Jqu}>D2~vrZo*nFBSRMw~n$i;e_!eZ-zMq`Dvt-+R=WDa=zo$O#Eep+E8h$ z4_KJWXK2U8G23W7CVPC{LVxVYRpMq^R*lecJhjazKC?u+4C;4#1!>nRPTe-zkkOE~Na$bG4{SJ#_L8Y#QwzcIquzOEBe3&x^ZVU_zTt~Qy@W+7x4U@O(#T_TC_N}h6>=WaKO=Pf#FlhU6Ji~&+VtnxA)(`kre`X3 z@+eu`u`*A)ulJP5idhoIqP85GXKNC5=ml2sS(|VwqeshDI&T#REPp7qeL1LoYC;4vgarqP3QQNg(uGo+CdI3HZ8^7k%V!-N7WbL+!pyAxC+IHL6;7t5Z z2r_AWcm`r~gVXUlU-|tagfXKy<($xWwSP%T=@ddbpuT=tqc@b=k!eq<{d%O-j+F;w zUqbd_|16Czp~&7BDYE|o;ZsHOpOBpGFNLJgemPQT{{Z1P2$v9g5zZ@Gzl7GC{khP} zw+AEn_WwY5Uy-~ENq7GkNb>9_BYF0pA^a4fC!&>p63Mmy2;pB5x)EMQommF6p`mOn z6~Ty*gD{rav`o*mECIoQARy!+Oh8CNNJdCO7>zInAroN~LK;Fk!gvHDLKcD!ArT=1 zAr;{k%mM#!+*_4oUsr`C)z2s}=^(p%#dez}bgnDmxUWa3NU;1l#Lz0OSYP?N(_qp# z#)=Yyf@rm+h7ES%Hlrs2GwFe9XZ9u|oBZdCVfn;((MtVmwuXsi2*PYisVN$DE|ooI zJd%CPmK@aE^ZiDT-Y!h1w5;g!3zVa;htf<(@OAG$o_I`TMeq|{e!!gHaw4Be6BjRK z^?@2;U~Z>F+EnlRV7s}kvlY{{Js&GYjHRBHu@y_Y`B0l_bC!S9++>X3C4*}ZPZf=d z>#8P6tl*YAy;aM6Wo(>%KYUVZY_s zVnvEmWTWhhoCm7&SsA18a4cB*2IkmA`0PR4G5gwb_2|36lW{M_-HQ7BHi5Cn&?hpw zlfYKoN{u<|0od0P$J&KjM9;9QEk?CqyuGCZnjH2aQh$RKOeAOqaDDRAJ zS_3PghJGn+VJc&DB*v1yN}c-w`eIA>`l`dZ4E=T#lLBNpmBNa?3Q4;xzLuVr3~E~) zq%U=eOcRs&dhW*a{_cP@xwcoI=@^iNLROHueOd0M``Qss=U%)olO5}Zr9m`yTw93}*I_(NbtF)&bA4sb-nf1B${mo~Z_t!6Xj(zyd=CPZ{ z-nV@7^82!C@*G+AGM42q)@0eU99j51P@Q#GKAYz`;GtHV=gDU)Jhvb=-80TEqP-_z zz59qY)1K=n_ngL*w$v3Hw9UR5b zOPJVJ$k=x5ix}pzzHS3+KmN3Rjw2B&x+mZtW?e@D%l7ESOdAU(f1v9aUzY39NV!%P z)PC@}F?;p_tCsDn9XoUMx-YvhL)J@Rgx372@T)TR80;=%d-1F3C}u|g9>gx+pE&V> zO|IPDZ9m!6iQns+E6n+g%=g zyTtT|5-V5<3%wK$nDqyj>YuQsg?h1yP6@f~C%OvPq3o9h=CV`I!r&i#JnVXi_IsTV zX%Drxr2UVIUAS*I97^cOloJnS%6bG1Leilzn3anu1 zwtbo!4fYb-!uKc9J@?&hHM(T^S=^K8e!n0m%lW$pidm>791yKKEn!wVEy>S{wIOTG zZvSqBa5j*iBG2~x%*%>zgtP~19dkTC_p%a-k9sA=5s)lCY5h81TDxog?|dze&h;<* zUUghvKbC#&=wy8>KImdanvm|m6!0tO=z~Q*mU^PAm|1{@>AKQSL-Vj?E~~il$PLG> z&aKWjoHv}e*1u7|wf>Dq4r4XA+IP`6Tk%9an_!<%L+iE)_E*>+mt(X8}E`G6k6?O(Ex>DLlp&a(4fQ56~%P9vwi+u19rv1>KNFe`RU5fl2 z%m)u9!w<2RkI#db5*hQtNpo?B*H-2yMfbWj@YhS|r#s=x3m|)QOAaODJv# zc69aXdq-Iwx%`+gucPboBeWtDDlBb6#nCp6G{Ke>w6tN6GgnlYe4iUMXGL}yGl>q8 zNx|ooJe$JnQ6H0`>dYNj(JlyT%%=QITY5-m&ci(=B$zXCH-s|GHr%z?O=M#2SD7!d z@`^0qx*aV_t}ZV|Rpgq0@+_P4zy(UeGjZ@)g`(GqXNiN)UQN)PmDbT1)!%csUsIl> zNs*tc|6at>b|f;({I3zqW6pI{zYAT-zIxQ$iFymgx=?oVGM{UmP~ocYRO;P{djAv_ zm$aE#d3mPqRm1D3spNePInla-+MV84^mQjKN~)!`R#GUBCEk^|_*YB*utZm3#C$P+ zp5zO#(M$R;Cho_zk5sbSFvcs<7XySccG!a2g?V6YwUQ3D#E`znO+~q>*& zP-AoyA*MGc*o}?^>{fg|w_#uV?QDis4CYo_I#x8WCCtKQ-GKs@Z?HFLOjwf$v)QS# z9#(QKxYBXZk?Sc$5BMTNX-GH8p5Tv31hAOl-}gXXX)Z3pBqoEg5S|7;J|!VY3L4^g`*~4vVWzo)_=(Ns6UHc z@Cj%MLl3>%!ke0!pJV@eBZyYVKHt}!Sd?&%^=-SJsMnX3jY%0-gprGOdbikfyw@V* z?6)k+Ue}@TNWWiS$enm^qZKt)-NG>%Ep}=t^|Vf;yl5}hAFE5&^|5+= zYMRL)PG{rA{vM5#RXche&8Q4}R*f{S_6cu_-0r0KnA*{gLh|ruh^N;+fq47FpWuBB zD;RZW%Hj%7>Rp*~h2!O&uUEh7`J}$ZbF}^#;uW5buA@7Dg*5uuZZ?FzM0QZ!s7~_{ z-`4#mMv5%^DR#(VtYrnuJi;q`%(`YK`KYTwhC8$4C% zU@_gE08fs_idjzc>gL1E7n>6#ky(5x_6?3kUukn=GtC>czu(rQ@M&e0G~S0${wOT7 z=iCyV1Mb27F9?Eth5tkn+C7z76JdFj1wqncuRhwJWxElA408s_`@2*9c7K5;0sRR3 zJIvYvsaKOD+wYIYv$cqO1k0H7-_Famg0IO5!CXy1njv>+3}Io$Qg+C8{WR8oni=vc z=%&Qdbs(O4*XvjhTo2LuH^rXkBXlE_Y=6p;%cAjsdA(<;CexZDH#+pt>hGBh`{`Xl zB5Wi3=$!$*qe#MzlJcVcV4^+ON9aZfd(7HZn!7zIV4KqW2Gi?Q>91mqW~3b|(vOGM zc>4sO&`$V9Xx!pk>>kev-H9~J2~6mi;9KwM)%2zd?W3U;)p^B3?IUzd)=W-imc)=S zS$CfH-}J^=2N(akN0{-c^;#rud%WyQv=7yT>OkWV*5E7wX%g&)+8kd%x_eiz0LugM z^*GgAqq3Xy>0M8nJstY#_Vh(7cHOg!=|@}d3E-ZdkNapAFrN}u?;49%UAc?ly^=;= z8K6=!dgA#F^x;h&@*Vi;zyR5jfi~CL3p6?zYfSs|U8@5bJ%7enNjgI|=J*oqt9K>X z3-kr)@)w$GeBHY+?=wkU^mF5L zw(`>~A8R_iQz0g_GQx;EJBKFqS0=JMll-?RLoM8-*Nc8h`Qd5HW-Dr zKsOSf#e|%d!q{gBO{mviyl2>l@J|R%?8ho0zsJnjL4^DXM9BT~X-|6^^2NyG3huu{ z(7d*(swT09C9SSu-<_{{gLq(OIdPAz^|Ur=kFD;sHhGV2{%LK>9$V3AZR#G|sM7=H zB+Zx~Q(SX;%$OvNJ4n*3(^wrOpF~VJ{hs!OX=&vSCB8?A%Szm?#7SE?oub4~B6sL( z6n!PWM~TZy+^)pQ0_ZF8Uz|D#tB^uaQYi5~N?carb|p@hBZU$_iMl|aDf&u$j}oUk z3a9N#ywb!@2~|rgGpc;m0}-JcKGc`cuc0}%_-b%-#8*wcJYm)5JZ?Q)CC+WPX30x! zuBy|x`>Ol8|D&q9YMgFz>J%2}Ht5Et-jRB+N}KwS{B3!y?2@--O;5d&b-3yUoAx~R z^O^%yZ_T$?-7U-VOx?`X!qfttd;arT_h9=jveY*ouR2)O*VEtqt*Se#7F7MZD%@SI zsbagTwk6L@dn=tysSXZIDF|L?fk^|p2{PV1RUN9@cDr2lZOsbSo8FYn(hgL~!GWTA z!B1GAkePq4%aymyo@s2!sjTX#vR65=en_`8oNHUy!A`Dtrix9qg%XiV!n}crhpH|_ zDyuXlML{k07XJ|zN?7n%c(fIKnBVWRYEJ2`$yW>+vMArG*!f)5(W?F)Hg#M`ha9x? z7;^YgWI&{O@$I12d@{l&XW>1VVE(s=P%vOlMNYku*;sZ9*Edg;Cp=?i(q`LC6V>6V zNGkG3Qmpu=2v%c#f4nA7c*?pNZ$gYFW_}81HLT!-cEJ4O$Zc$C)lvNBLw85&R@nyjACM=db{GeYKaMefxXL}hKsQ%ckUA!-U09vhS$Rk5 zzO)CkO!6zjIN2iT&I;1KRqGOSt>ff!wym6%6kb|y&;`>a3s!n8sGDICv}eoNBzvGd z*ZOtI{)VWG4K_niZ_|g0WUI!SJiltd?261*;sfS;!9k}f+oHb& z9x%>)Gjea$dyx{1eHW}JO;1@Z?Av)qD>LPlRHE5r)q#Co#Y(cOKv1~54LNiOE0QVw zER-jJk7!A$)&cVrj1hh4)AVM(ulug5UshFB&1WaN-%PL8EQB>XtJYLKki3w+n|`RO zAZ;A$Wh`xX)t3xsZ=rt-3;B0d-DRD_p0KS6noZbSUVJLdif#+BDcE6$@6N*nAM6gJ z{Opy&{IeaxGfC8rx!3fwzhm8r6SybCy6m~Ai!l8|t1kQd)_JTh? zv^M8GS(zr2B|kp1(h$Us^f!qm)`8NB5Sz+EFXBB;uXdiiO0z0?pdb{<{AX-V6AZHh|OFsygF>%s6)-9&*S~ul=w=zNQ$ht@PWz4!qVBP&SChMxi6!e(G z5%3W7Mb<~=<-HwZ<_D1Xm9EanSoV*QEDkQrV@2Nzbz}(zD~0Z}nu2fyz7wj?In^bU zG+%lq`+i}@*{h~etRW(palUT-hsZqUsx2^O!f#k{W5}8FPM1)0=cR$-nqWuPDlC&+ zk%5xRU^3et5hiwI?~bt29Q5k^V4&cNZmCTIBgAO7iC>dLKDC z0v>`>a0bf?Uc%n(wg|JV2%eHz$sdr%2cZf!P%w&>M+S;Bf&%kHx*<4FKrLN_GuFGS zPH9KuJ%+PtG~SbuZf*opsT4N+XNXx`LDI~ObY!g**qPfS(`r*$rZol9JV>X)W9|x8 zzzPj4{|25;z*9N+u8e&nN}m(VE}nl8c29aA%FNw@ot+fY@*CS8PJ81nPn#VR@H!CU&o*e&{akcgB zbP+vdZ~xO$w&_XBsEbdUPnq^xOIU-ZA-T7!LDQ>uz~hbZcwf&!OV-7Mkh7BFOZ%;6 zHg?fi>)kXC-~?0|2d38xnP;9CC}jzQcfpHT!NrK)oX^IXLe?KCdDrLduS}IMMD+F& zmScTJdS1vjQHpmWW_)}>^}~8LZ&Hx;RmQABos^Vnn_v;_86UG}l44Kh2=f2u zHw+&CBiM4v^n^8;-JSPnr9MB&^uBeh+#Au^)waA3TYjb3l9Mk;*}4Omg;{FGoa|&J zmYg^zJ4uNp>E>vj%UEqS(%h@lYBT~aTFKA_o+qX*9<`_;`}vH2wk3twM4|7VuaXxh z$DZgzzMfavCo8a4oB4-GJocx^nVF2m!#Tv6l+jIZgEM;GU;Wl{p`t&cWe*$M@x)i1 zg*Q6%rA_*4DfZ0n91kni2RrdbPJ-*C+{ZZYaXFVbl+F9TKym8ALiErqR8(}M! z)FSQC(s1IV3+_M)A;kJFTs!JLhBqLZ5Y26;uNBx2=P9i3gRh+HS#e@eV)H8w;vFG9yZbtmTrMVc zI$aL1lb}7D@1^Gpu6OR9S(|C?+)lZz3~G;RUXJGS=C#h+cwP9O zAhcczTgQl~>=)fJLMate~mNvF5@3eT^3UXF-}eLwVt=LL+R z=T&vN_7#qA@h-J{AwEVARkYaKD@WRE6XtX%=v`TXObjAtZ>Z2c~j?h z?6i7{Vr703nS@x>$5$CL&;GS%%)dsSQDE-e-LABw%OQCsM`Em9sSl-uj^Na@bN6nz zVv4mLvslza&VMD4mUT4ttDO#)ca^Qv$wbM!!}f0>vMw{>$7ih)StQKvj_fnAGcIua z`@yz}*Y#@mqr3H}X{Nz(#*W^syO`35{(X^&U-v}Tv8mUP{}hh}Cn>80lXZH~(bTzn zl_BA*%X7lyT2CkXQsW7ehUKqgQW1-+d%gPE-EvMs$l}ShOgmRvd%=3zF!?NMsnqN} zo&Kzxqk)vZ40E`h>!&za@jHXPg;@UaS4?!cei{*^{B=cmk0j^3t?0iQ%tdLpVC~U!4yxrqc|dTA#)_(buE)*}DD|v}qFgfVuNtX88l!qlgV$ zT{ZAK%u>;@?K8{}hi!j=uYEdf4ARuFzAlV2_%@=LF&e9J8t;%DI~?1$%WK};u64@J z9nLJ4XkJ}5aMk=5y}h8`Rj;#mB3wq0TuRysdQD{fQ$p)=E(>}H~+w*X}(2hAO zBuG1)BHBRgcR1*t(|<&{8~d3#?9k;qFn&HiAefW#k0AEUfW|x~Kdg+Y0!Ft?)T<2r z=(ng_e|MhU!16rtv2)<+n*$4HzUFE12=jDjE71Oi;7re#kyP^w_p-^Of}ZJr!uMgb z!Q_E~G6yT_gBR=AJd}>_pd^v4vR&S;sp#D9UQ_6J!QplkVw`%xnP@I%#MEOq+^!c~ zFEsqN;UlbD$cC$^rGa4);((!2LQejFoLvEc%fERI((f{#9f6*)@}3oo<2 zl{fH(UT>dS(}B}YtG>3wxYD+)(t^HbmCz#{8Tc{)`|dC{G2NPpdz9W*fm0;)h<&w3 zIJ&NC;Of5*u!9C=KHHAbDKSJoc1ZunGg@=IoG1VD_A8Ne`_XzDeMJZLZPp<=T~6}u zQNc9ngL|p}6u_PY>{V$@F)=|I1CH#TjoIWqa6@eqp9@*vor*8U=XZwHbLs?%`sRfz zM#^jIjojKyV13Bt80(-XlWzEWI&Dek^F0pZ4%_D;qi2<;(wOh~LnM=}^w2kL&*7`2 zEYAw#5uB`vo+RT6W0vD#TR4>ASqjZ8$4bYA$Pt_7d@8s+8=-t2#+h4Gn(wH0takhy zcD^q#Q7ienf06T)oHzqx@#MTysf91ua?jDsdHH%_?O1#Tz>2d%1&hdHEn1lMWn32> z#mYRKa$U|Tg%6dn3J2?Z|GE~l^lvVtN<`#|)Og;+*E?_J9F~vR!ohqKr8#{~ z&bbi!_XydIEr{6e$>*%6WFB6jdC6>}46O;3kI?VpExk)AL z+Rc2&xG|qyvB+Q!3ui`a63kNi(>M*W%-W6G8`^14SB9X&SBX(Js-eDBP-I&2v+5R1SiLoqM zG_m!Le1F-Tq+;!PW_crM*!Saz4VqvUXXu8Cvl0DK);^bwu`B+I@94FUYdeIB_d`F~ zy()p7eISx@;DMM zWpT*mWAH_)5X4D3R#F`}rMBRU8H*!flH{3SorKXz#uwGJ2RD26C6t{pGvOd!AstA3 z`Z#9fLVU$5dP+PWc(hhls6+32%=C%eJ3iOiiIrQsO}Nxy`n{1&>amIN=!~#1>oT-E zOwZU(VFfQpS>?jPcwT+VTK4OnGVueWJT1|e&sOFvz*ip68Rc?K#c1Epu)-H4lPbkW zL19)1<8xp4p5qDol9^m?XxBfk+n4-MD$D5DfW7QLhR2_Cm6YQ97~0t$46i6z9-PQ* zGs}I&;Re*&TXHp&?=g826Qr}FbF&=dJcZ#FXzmIpp4(Vb9Q2h$@D)IkCs{UQY-lSH zgZ`3Vhs?~Mzo2}yud%i)oW@KZ+e}KE>8bDu(w1;d!g(bxCY{BMroAv((j98DW%}yv z$&ec?(YFsWzI||cIx*U;?$w#|Jx@T3OMdUHwf1-J*4Gx{EmG(9 za;zXexOX0yo6z6iL+OU{cR#v?Wtc{stD9~5`s%3>_1^7z*!Z|I zzu_&De~;~YP$>ISIftNi*3EhRT(oCUJ>-!`e3QhDj~itIFohn_ku=E~{KTzkHflIbRm%yPGzOZ7tpnYsLACE0IbZ z#lE?*vDLZOJy$Gjm{M3)(Lgzt+v#^2ip|6RI5!$r;{J6ke1Ur$Q=Fa?)zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ z0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?( z3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk z&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u z;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp z0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+Rz!~5Sa0WO7oB_@NXMi)n z8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kx4|IZBQkSr#SyqG1V8WtxBV{~JMal&}5Q7{SBvOHHTs%&j?H;VOb-o}Qx z;*7G{#p28<6;q~(@mmCYH)p~2lSdFJH$X6FW% zM{IU)_O^I8ZV=0-&zW8^>)TYHRD=Os0u#2TrH)cCJ^yw#W62<5NUl-jB4iZyf}rKN zSEh~KseeTG58Cf&zAIFvj|CUACDdEdP24$>9_4u}^caj@sObIuJU!4|2;DKzwWW=mC)xct^j1PI z1M%VY0Hy3Wm5GaZu1rg@(%Nk({o-XCKw^3iS4(|9y(M?eDa`2zdtl&JS|Q2UO(7y`E8iq_eje^%#~P z*+DY8qU|#>XH-{zOizb6r6YU=c~ZMq#yE^W{{qj+$JBnKPER%wzO{t0QYHP6FUIr0 z6&Up!KHjz6dTqvO`l zxb!*^hif*%NbMxzIq591pl*LdXL!C;?+o;l{YbM!Ni)dff;2o3WAZDI?^5K0oo~=T zhUZCjZ9txlr8oO&{5iz`rT;#U^Z}&buar4x(}?{!2;H%h8G8e|f6v#c{2R~>LKn?E z=v%}AJ->$M1&9xC`*=EtXxsj7jt=}sG|F%SIeF30rDd@W z5__a&a9Y)+Wrm>=@Lb0}8=!f2YgSxIQ0C!)3Xg**kt(QM0wX+h35t)#aXi1*;tx=o zgm`xhYk(UwjM6(;!!6{h^I zs4&U5VvLAh(e!&&nB<35{GE*9vA7E{{*SBV=kT2H5|tc^A|S44dm$ncB8ESWMwH(; zAIDYY{~3~h0d7>ZqkJ0Cr=wTY-kf20z6z85XH=N#e^G@=J~r0xsYWChRhZ-}RhZ=W zsxZktDopZ56(;#k6(;#E6(;%9Dopa{RG8#%sW8dkQDKt*T7^mep$d~cF&RI~6|LV^ z6(;$M!*J`2m^%2-!ngHkKN@f2?M*nUp=Dzrk6-cjJ2ou8iz=Kog8mhiob1U+iRUP= z{1NnxDmm%DrNUJIJ{2apktPo1iq^kCg-L#^3R8Mz!4T6Y`Er$BGWh|5b=^4lOMEXL%Efa6!3&jwZb-+>J3X}Yt3RCo=3X}X}6{hH?DopZz6{hG76()INCVrGlVDEsBy=Xt8 zE$)wmqw?tZ{J08Vh9Y6|AJYGt62`80d#9@Mr}Cz&FqyJQg-PxkhT(o99^=xm3^WvB zngRu2(ns@)xZ%>UJje;h<)zfHu`6zme^~xURG92Jroxnea2S43RsTxlPdHkhmem6v z111{c{1FDkh5j*uJr{@NpGcFZatUnj2>KZ+IoVgL!tEpIm#O3(kWT}qE)(zXx2oh+ zf2#^p{WJ zQ6Iu_|0DfnDtjou4@3X}YK6{hrWsW8c}s4&UDP+^i!C-Rgln*SmdCb>g} zDZNL9Nxn;kBO~y4SS9a+{3+m_NEhcXN45W;{6!U}{O7B1LUc=nr$g)GMJhR^U!ua4 z-lM|lBc#7|*nVfZ3R8ZohvE8RxN#WvsW6p~F;&D3mzJ4OKH>OyQ80o%t;5oPp~99C z^cUiteDo67?IXxntK>D1t1;O(IDKNj=o}%vj}|A&C9tLuo3Rn^{MGV?I7EJXM#zuO2-NyZ%s1mBaftN$M@Ua+1<@;N z|Nde4TwILwPmhqE&Jxt=r%N~E{y0SXmq$oX=L^v*TKM@awHF#SFi zenb;bJJepv;!$}VEpK)~TqlYbsmgnEg!1U@B6>yj{VM(72>Nt}q1Hd5(!X05mkrrR zXBKMx!^7|iRr>QIly_v9{_?`1{HVXvIY#t~+V2~NX$=y+3@kiC{&c<(y`t%ttMvbK z1bsT^Q0u=x@n*bLmHzV)($l$zI(=Z$&G?uq{k0L&(|LzFy{G7A{DmsLc7*nzGZ1z9 zUByF~_@wiX=oRJT+%PN-+GJpHiG85D((>OKHiFob>7J zBYH*WJ37~hV`aQnVbZ6w4Yhoc!LaiTGVX~jjAk=bC>CITw$FYHxkB~o|M?|lv{ac6OR#p0WBc!ME z2zB}loENC^YyU-hI-^kQzcUQKubN+}{er`ASe1Y62=>vrMm+zOkcWV&$;p3+KRVxt zUQtZv7I94F%^sZ4qWq)|zCSZC_Xy?DSw%cOFNALtuM~F8DCMQKRqlx<&W)v7)_yJ7a_;;Pjuixe1A_kE+_pm)p+{xu>8pWcT{r1 z=Tz7|I2H_zpYN;Wq<>L`KOCk{B)eg5+M{2eH4RC4w3F z0pQ!9A5Xsv_$y>ia?+=B*ah&112KGj)XnK%9hToo;4sGXCD0$uwCtC|GkHAI~M*h^yl>a2)9ymcp%)VK`>iWsRn^f(!2pHd%DAPElUkdzj ztbH?3>wAC$EZPDYkkBX459avrd5#SoM7x^ji`F-Hsh0*V1 z2>$>WmqlzI@Q;B10_CC@{uyu$_QwjJDDPLm>hdlF z!*)@C{%G)d6}Sxi=@hJG1HemwseIy7hp|DOp2i9_&H=vXmZAPP3%CjOr~HVoJAi3? zi{>w|rNEDY%;hA9eRaSBDz`AEzX|v@q!(lOKHyx~R20Kc0ISRYDf-*HG5@6Uo`O7t z3f>yie-jwrNDS8R=fL@~Z|tc2UjZM19P02XExQE#7<>dxrr6&HydCZHMht%qtS&zp z4Xwtvs4&@60K60V(|AXG&IG3QwWwf$RRh0tG(`ydD0zKZf@KkAgp0WB4iHO{(^J9{6r_o_Kw`fz|an0sL9YkUzc$ z{1;XK=>_(paxg(D|8wAbkeN~*>}5!MN#)ODfEPnKZhtoLUtoOPzH;ElCSeU0%kOsJ zP4E{Duo?L+0uGfh7Kq800?z?IdkI5s0FIYO<98kKW9UC||5*!s73EWY)IM#%@$-2a zKX;LSarFB(l7BA^{TDv=Iua1x2l;YU`#lG|3YCeEM=t@lf!{N+{Qn0qz6l!izaIh9 znU*ak4*}EoK^NKo8(=m6pA5tOz*(?AmGohcfQVW@9XP%|p!$fwU%CkY2e5iR|0VE{{W|t*;7cIi15Qc*DsUnAiMKaH+r6T)KLc3Z zzY2iwfw322E0B=EI^i2hozXbRLkY^=* zwCA^h&&29O?Xd|sUOwsX1RkZz?-^jaUPBlS`452A{QU$t3qEiTa!UU;uzEdp3b+z{ z#_jt(@b@ej(_{MAfRC6Jy3zhRrh$KZVK16cu{RTVD~ro<{sjD6^l4CvF#`KVD5}#J zLjGs8_lj8lw*$9i46U#31XkO>61W@vadu4K1)M)c=_5*ge86|Z-gy6b5O^`hkJ6a_ zW58{g&(Wlc{(j(R(dPXzd<3{v)j$6Q_!Zbs<&pjW3aoCAp98DMmvg|m`l0^Z2mBz? zm&Ni=OGgC!ph#u@Gy>gpOc)~#*aSnq7CYiQlr z+*0Rk^E21RCSRkw)!i^<_RN{HMpmj@U`b}tf9$C_Tg6NbhUan zyX%_WEgRdKU2ax)U$eK>U03gPt*>iAG5B)<*5Yo4)kx8}al_iW2572v$;XB7Fqc=D(tx$ zH@emX){%AYrn-hTjg58pIpGp(Ha6qoh9QxnisUV=Y^|%262;OGs`Iue+TIOo8N8*b z&9BNd`XJus(Nxjos6%55q8_)?H)TfooSCc%{zge#J#9_()9PIK+myPtP2MRRSzQ~v zsKr}>=#*Hru4TQ~N6uAN*Xo8{bq$-F8tPh+CDffQp2h}6z0q60*5xWkwoPq~Eo&;M z?6&%7WR2I~vZlq0M{624I$K-3Ez}K_c-w{;s&h5o-{LJtrcLf9mv75t^wxUH&E3@E zCf|XFQ7r)K+bB6Ao1?M&VmCZ#^Fgv^O=DY&ha%n$4QuF0OKZavZ%eatN*P;opHtzr z$+_MgP1gi|Hd4!PaBkQ*If}@06u5bfuNiF|9X49lkek;v-z=)5inY`?uct&P$-5DE zrMD^m*SHa0k0)!$K`7#FakjQ@p;%*!+pVOcDtnp-s~qze>bOdRCLaa~N~uKZ8l0_8 z=9)Xz*Sv8ph97Z_7alGa`Df28p6aAOMw$xlV8+y0Q_7~cZrs?2?&{qz)!E!cVe;Ay zZIg$d)uwml{_hkC(r>V*xGEG(7Wa{Rblbfbu6eTzLzgGAL6yEH{ z&T61}b$5*NDWsH~u0E!)v^gvt`RGWqaMS znw1OK{Kb`W-NN`VRM$d{gdAIF5T`r28!}pmj$Wk8noj1G`L$}mk%>jeC%OM+#4E}eRELb&c!u@@z?;N zShrlBHTb-)1>Fv#<=Q$l{|41SwWiUzmMvSbRK9ERv_b94`KmfAu8JyR+}?mrj!Dsv znzz)qw9pJiBVBWAT`T@}tz`{{)pZ-|A-vz+yit*=tarhKF(xjT<)MP2y*u7}kr00z zx6wsv7y;bQ4Vbx`l}TwRpPRTF8gMsb7Tk!&M+3*IQ$AQ7Ouy=xx`Avc8m`Q_wT$Vj!@2Ju0Uz zeXV>9K+NTAL{&)<$#sa(U)pUB5Md z`Cxo_$*O9{Iunbpi(*3!vK_2>BL;Q=j1(@Vp3wyj`9<^1?tQa&FPEneHy}F8MHe=8 z8(Unno8ah!EkIR4qYjq42J;AoMDqAT&CL2%GF zqu$gUvv_E%i!VCen=u^42B^AduAsW9iMA2ZWodlv8J*>nHI&kNQTo?Jdp{PvrC6OR9H||KhQm7d&>W-C6wgv=Hl-&uU@d`hG}befkvQ5h)gwLn3GKmH-R3p1 zcA-+01tkV=&>QROu`LI-8}JR-7TZU~2Mkqj*hs@%tUsw}jrE{dJ;?9Nl&N*Z?h$iC zk_~RJT4;1?aQmZMNo6|`Ym?YEVYsu^p>?s2r|unF7*o|^ZsNU(uUG@cx{)%c#q3pC z65q|CNr#3CWjt*3(jFqdtX3TCW}k{?wp<<>_mu50t5z;Hg#(Q~qg!f`;nx{{Ui0(Nq8c literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.hex b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.hex new file mode 100644 index 0000000..451c0c6 --- /dev/null +++ b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.hex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diff --git a/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.lss b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.lss new file mode 100644 index 0000000..d4705a6 --- /dev/null +++ b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.lss @@ -0,0 +1,6653 @@ + +D21_ADC_with_DMA.elf: file format elf32-littlearm + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000036e8 00000000 00000000 00010000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .relocate 0000007c 20000000 000036e8 00020000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 2 .bss 00000220 20000080 00003770 00020080 2**4 + ALLOC + 3 .stack 00002000 200002a0 00003990 00020080 2**0 + ALLOC + 4 .ARM.attributes 00000028 00000000 00000000 0002007c 2**0 + CONTENTS, READONLY + 5 .comment 00000059 00000000 00000000 000200a4 2**0 + CONTENTS, READONLY + 6 .debug_frame 00000948 00000000 00000000 00020100 2**2 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00000000 : + 0: a0 22 00 20 81 19 00 00 7d 19 00 00 7d 19 00 00 .". ....}...}... + ... + 2c: 7d 19 00 00 00 00 00 00 00 00 00 00 7d 19 00 00 }...........}... + 3c: 7d 19 00 00 7d 19 00 00 7d 19 00 00 7d 19 00 00 }...}...}...}... + 4c: 7d 19 00 00 7d 19 00 00 7d 19 00 00 79 04 00 00 }...}...}...y... + 5c: 7d 19 00 00 7d 19 00 00 ad 12 00 00 bd 12 00 00 }...}........... + 6c: cd 12 00 00 dd 12 00 00 ed 12 00 00 fd 12 00 00 ................ + 7c: 7d 19 00 00 7d 19 00 00 7d 19 00 00 7d 19 00 00 }...}...}...}... + 8c: 7d 19 00 00 7d 19 00 00 7d 19 00 00 7d 19 00 00 }...}...}...}... + 9c: 7d 19 00 00 7d 19 00 00 7d 19 00 00 7d 19 00 00 }...}...}...}... + ac: 7d 19 00 00 00 00 00 00 }....... + +000000b4 <__do_global_dtors_aux>: + b4: b510 push {r4, lr} + b6: 4c06 ldr r4, [pc, #24] ; (d0 <__do_global_dtors_aux+0x1c>) + b8: 7823 ldrb r3, [r4, #0] + ba: 2b00 cmp r3, #0 + bc: d107 bne.n ce <__do_global_dtors_aux+0x1a> + be: 4b05 ldr r3, [pc, #20] ; (d4 <__do_global_dtors_aux+0x20>) + c0: 2b00 cmp r3, #0 + c2: d002 beq.n ca <__do_global_dtors_aux+0x16> + c4: 4804 ldr r0, [pc, #16] ; (d8 <__do_global_dtors_aux+0x24>) + c6: e000 b.n ca <__do_global_dtors_aux+0x16> + c8: bf00 nop + ca: 2301 movs r3, #1 + cc: 7023 strb r3, [r4, #0] + ce: bd10 pop {r4, pc} + d0: 20000080 .word 0x20000080 + d4: 00000000 .word 0x00000000 + d8: 000036e8 .word 0x000036e8 + +000000dc : + dc: 4b08 ldr r3, [pc, #32] ; (100 ) + de: b510 push {r4, lr} + e0: 2b00 cmp r3, #0 + e2: d003 beq.n ec + e4: 4907 ldr r1, [pc, #28] ; (104 ) + e6: 4808 ldr r0, [pc, #32] ; (108 ) + e8: e000 b.n ec + ea: bf00 nop + ec: 4807 ldr r0, [pc, #28] ; (10c ) + ee: 6803 ldr r3, [r0, #0] + f0: 2b00 cmp r3, #0 + f2: d100 bne.n f6 + f4: bd10 pop {r4, pc} + f6: 4b06 ldr r3, [pc, #24] ; (110 ) + f8: 2b00 cmp r3, #0 + fa: d0fb beq.n f4 + fc: 4798 blx r3 + fe: e7f9 b.n f4 + 100: 00000000 .word 0x00000000 + 104: 20000084 .word 0x20000084 + 108: 000036e8 .word 0x000036e8 + 10c: 000036e8 .word 0x000036e8 + 110: 00000000 .word 0x00000000 + +00000114 <_adc_configure_ain_pin>: + 114: b530 push {r4, r5, lr} + 116: b097 sub sp, #92 ; 0x5c + 118: ad02 add r5, sp, #8 + 11a: 0004 movs r4, r0 + 11c: 2250 movs r2, #80 ; 0x50 + 11e: 490a ldr r1, [pc, #40] ; (148 <_adc_configure_ain_pin+0x34>) + 120: 0028 movs r0, r5 + 122: 4b0a ldr r3, [pc, #40] ; (14c <_adc_configure_ain_pin+0x38>) + 124: 4798 blx r3 + 126: 2c13 cmp r4, #19 + 128: d80b bhi.n 142 <_adc_configure_ain_pin+0x2e> + 12a: 2300 movs r3, #0 + 12c: 00a4 lsls r4, r4, #2 + 12e: a901 add r1, sp, #4 + 130: 5960 ldr r0, [r4, r5] + 132: 704b strb r3, [r1, #1] + 134: 70cb strb r3, [r1, #3] + 136: 708b strb r3, [r1, #2] + 138: 3301 adds r3, #1 + 13a: 700b strb r3, [r1, #0] + 13c: b2c0 uxtb r0, r0 + 13e: 4b04 ldr r3, [pc, #16] ; (150 <_adc_configure_ain_pin+0x3c>) + 140: 4798 blx r3 + 142: b017 add sp, #92 ; 0x5c + 144: bd30 pop {r4, r5, pc} + 146: 46c0 nop ; (mov r8, r8) + 148: 00003524 .word 0x00003524 + 14c: 00002449 .word 0x00002449 + 150: 00001921 .word 0x00001921 + +00000154 : + 154: 22c0 movs r2, #192 ; 0xc0 + 156: 0152 lsls r2, r2, #5 + 158: 81c2 strh r2, [r0, #14] + 15a: 0002 movs r2, r0 + 15c: 2300 movs r3, #0 + 15e: 322a adds r2, #42 ; 0x2a + 160: 7003 strb r3, [r0, #0] + 162: 7043 strb r3, [r0, #1] + 164: 8043 strh r3, [r0, #2] + 166: 7103 strb r3, [r0, #4] + 168: 7603 strb r3, [r0, #24] + 16a: 6203 str r3, [r0, #32] + 16c: 61c3 str r3, [r0, #28] + 16e: 6083 str r3, [r0, #8] + 170: 7303 strb r3, [r0, #12] + 172: 7403 strb r3, [r0, #16] + 174: 7443 strb r3, [r0, #17] + 176: 7483 strb r3, [r0, #18] + 178: 74c3 strb r3, [r0, #19] + 17a: 7503 strb r3, [r0, #20] + 17c: 7013 strb r3, [r2, #0] + 17e: 1d42 adds r2, r0, #5 + 180: 7543 strb r3, [r0, #21] + 182: 7583 strb r3, [r0, #22] + 184: 77d3 strb r3, [r2, #31] + 186: 84c3 strh r3, [r0, #38] ; 0x26 + 188: 8503 strh r3, [r0, #40] ; 0x28 + 18a: 75c3 strb r3, [r0, #23] + 18c: 3226 adds r2, #38 ; 0x26 + 18e: 302c adds r0, #44 ; 0x2c + 190: 7013 strb r3, [r2, #0] + 192: 7003 strb r3, [r0, #0] + 194: 4770 bx lr + ... + +00000198 : + 198: 2380 movs r3, #128 ; 0x80 + 19a: b5f0 push {r4, r5, r6, r7, lr} + 19c: 0014 movs r4, r2 + 19e: 4aac ldr r2, [pc, #688] ; (450 ) + 1a0: 6001 str r1, [r0, #0] + 1a2: 0007 movs r7, r0 + 1a4: 6a10 ldr r0, [r2, #32] + 1a6: 025b lsls r3, r3, #9 + 1a8: 4303 orrs r3, r0 + 1aa: 6213 str r3, [r2, #32] + 1ac: 780b ldrb r3, [r1, #0] + 1ae: b087 sub sp, #28 + 1b0: 2005 movs r0, #5 + 1b2: 07db lsls r3, r3, #31 + 1b4: d500 bpl.n 1b8 + 1b6: e0e7 b.n 388 + 1b8: 780b ldrb r3, [r1, #0] + 1ba: 3017 adds r0, #23 + 1bc: 079b lsls r3, r3, #30 + 1be: d500 bpl.n 1c2 + 1c0: e0e2 b.n 388 + 1c2: 7863 ldrb r3, [r4, #1] + 1c4: 713b strb r3, [r7, #4] + 1c6: 2b00 cmp r3, #0 + 1c8: d104 bne.n 1d4 + 1ca: 4aa2 ldr r2, [pc, #648] ; (454 ) + 1cc: 3304 adds r3, #4 + 1ce: 6c11 ldr r1, [r2, #64] ; 0x40 + 1d0: 430b orrs r3, r1 + 1d2: 6413 str r3, [r2, #64] ; 0x40 + 1d4: 7823 ldrb r3, [r4, #0] + 1d6: a905 add r1, sp, #20 + 1d8: 700b strb r3, [r1, #0] + 1da: 201e movs r0, #30 + 1dc: 4b9e ldr r3, [pc, #632] ; (458 ) + 1de: 683d ldr r5, [r7, #0] + 1e0: 4798 blx r3 + 1e2: 4b9e ldr r3, [pc, #632] ; (45c ) + 1e4: 201e movs r0, #30 + 1e6: 4798 blx r3 + 1e8: 0023 movs r3, r4 + 1ea: 332c adds r3, #44 ; 0x2c + 1ec: 9302 str r3, [sp, #8] + 1ee: 781b ldrb r3, [r3, #0] + 1f0: 7b22 ldrb r2, [r4, #12] + 1f2: 9201 str r2, [sp, #4] + 1f4: 2b00 cmp r3, #0 + 1f6: d033 beq.n 260 + 1f8: 0022 movs r2, r4 + 1fa: 322b adds r2, #43 ; 0x2b + 1fc: 7816 ldrb r6, [r2, #0] + 1fe: 9a01 ldr r2, [sp, #4] + 200: 1996 adds r6, r2, r6 + 202: b2f6 uxtb r6, r6 + 204: 199b adds r3, r3, r6 + 206: b2db uxtb r3, r3 + 208: 9303 str r3, [sp, #12] + 20a: 9b01 ldr r3, [sp, #4] + 20c: 1af0 subs r0, r6, r3 + 20e: 9b03 ldr r3, [sp, #12] + 210: b2c0 uxtb r0, r0 + 212: 42b3 cmp r3, r6 + 214: d81b bhi.n 24e + 216: 89e0 ldrh r0, [r4, #14] + 218: 4b91 ldr r3, [pc, #580] ; (460 ) + 21a: 4798 blx r3 + 21c: 7d63 ldrb r3, [r4, #21] + 21e: 009b lsls r3, r3, #2 + 220: b2db uxtb r3, r3 + 222: 702b strb r3, [r5, #0] + 224: 7da3 ldrb r3, [r4, #22] + 226: 7862 ldrb r2, [r4, #1] + 228: 01db lsls r3, r3, #7 + 22a: 4313 orrs r3, r2 + 22c: b2db uxtb r3, r3 + 22e: 706b strb r3, [r5, #1] + 230: 7923 ldrb r3, [r4, #4] + 232: 2b30 cmp r3, #48 ; 0x30 + 234: d100 bne.n 238 + 236: e0b4 b.n 3a2 + 238: d818 bhi.n 26c + 23a: 2b10 cmp r3, #16 + 23c: d100 bne.n 240 + 23e: e0ad b.n 39c + 240: 2b20 cmp r3, #32 + 242: d100 bne.n 246 + 244: e0ad b.n 3a2 + 246: 2b00 cmp r3, #0 + 248: d11a bne.n 280 + 24a: 001a movs r2, r3 + 24c: e0a7 b.n 39e + 24e: 230f movs r3, #15 + 250: 4018 ands r0, r3 + 252: 7b23 ldrb r3, [r4, #12] + 254: 3601 adds r6, #1 + 256: 18c0 adds r0, r0, r3 + 258: 4b81 ldr r3, [pc, #516] ; (460 ) + 25a: 4798 blx r3 + 25c: b2f6 uxtb r6, r6 + 25e: e7d4 b.n 20a + 260: 9801 ldr r0, [sp, #4] + 262: 4e7f ldr r6, [pc, #508] ; (460 ) + 264: 47b0 blx r6 + 266: 89e0 ldrh r0, [r4, #14] + 268: 47b0 blx r6 + 26a: e7d7 b.n 21c + 26c: 2b32 cmp r3, #50 ; 0x32 + 26e: d009 beq.n 284 + 270: d200 bcs.n 274 + 272: e098 b.n 3a6 + 274: 2b33 cmp r3, #51 ; 0x33 + 276: d100 bne.n 27a + 278: e08c b.n 394 + 27a: 2b34 cmp r3, #52 ; 0x34 + 27c: d100 bne.n 280 + 27e: e085 b.n 38c + 280: 2017 movs r0, #23 + 282: e081 b.n 388 + 284: 2204 movs r2, #4 + 286: 2310 movs r3, #16 + 288: 2102 movs r1, #2 + 28a: 2070 movs r0, #112 ; 0x70 + 28c: 0109 lsls r1, r1, #4 + 28e: 4001 ands r1, r0 + 290: 430a orrs r2, r1 + 292: 70aa strb r2, [r5, #2] + 294: 7de2 ldrb r2, [r4, #23] + 296: 2a3f cmp r2, #63 ; 0x3f + 298: d8f2 bhi.n 280 + 29a: 70ea strb r2, [r5, #3] + 29c: 6839 ldr r1, [r7, #0] + 29e: 7e4a ldrb r2, [r1, #25] + 2a0: b252 sxtb r2, r2 + 2a2: 2a00 cmp r2, #0 + 2a4: dbfb blt.n 29e + 2a6: 8861 ldrh r1, [r4, #2] + 2a8: 7ce2 ldrb r2, [r4, #19] + 2aa: 430a orrs r2, r1 + 2ac: 1d61 adds r1, r4, #5 + 2ae: 7fc8 ldrb r0, [r1, #31] + 2b0: 00c0 lsls r0, r0, #3 + 2b2: 4302 orrs r2, r0 + 2b4: 7d20 ldrb r0, [r4, #20] + 2b6: 0080 lsls r0, r0, #2 + 2b8: 4302 orrs r2, r0 + 2ba: 7ca0 ldrb r0, [r4, #18] + 2bc: 0040 lsls r0, r0, #1 + 2be: 4302 orrs r2, r0 + 2c0: 431a orrs r2, r3 + 2c2: 80aa strh r2, [r5, #4] + 2c4: 7e22 ldrb r2, [r4, #24] + 2c6: 4694 mov ip, r2 + 2c8: 2a00 cmp r2, #0 + 2ca: d007 beq.n 2dc + 2cc: 2b10 cmp r3, #16 + 2ce: d100 bne.n 2d2 + 2d0: e0af b.n 432 + 2d2: d900 bls.n 2d6 + 2d4: e069 b.n 3aa + 2d6: 2b00 cmp r3, #0 + 2d8: d100 bne.n 2dc + 2da: e09b b.n 414 + 2dc: 6838 ldr r0, [r7, #0] + 2de: 7e43 ldrb r3, [r0, #25] + 2e0: b25b sxtb r3, r3 + 2e2: 2b00 cmp r3, #0 + 2e4: dbfb blt.n 2de + 2e6: 4663 mov r3, ip + 2e8: 722b strb r3, [r5, #8] + 2ea: 683a ldr r2, [r7, #0] + 2ec: 7e53 ldrb r3, [r2, #25] + 2ee: b25b sxtb r3, r3 + 2f0: 2b00 cmp r3, #0 + 2f2: dbfb blt.n 2ec + 2f4: 8ba3 ldrh r3, [r4, #28] + 2f6: 83ab strh r3, [r5, #28] + 2f8: 683a ldr r2, [r7, #0] + 2fa: 7e53 ldrb r3, [r2, #25] + 2fc: b25b sxtb r3, r3 + 2fe: 2b00 cmp r3, #0 + 300: dbfb blt.n 2fa + 302: 8c23 ldrh r3, [r4, #32] + 304: 842b strh r3, [r5, #32] + 306: 9b02 ldr r3, [sp, #8] + 308: 781a ldrb r2, [r3, #0] + 30a: 2a00 cmp r2, #0 + 30c: d003 beq.n 316 + 30e: 3a01 subs r2, #1 + 310: b2d2 uxtb r2, r2 + 312: 2a0f cmp r2, #15 + 314: d8b4 bhi.n 280 + 316: 0023 movs r3, r4 + 318: 332b adds r3, #43 ; 0x2b + 31a: 7818 ldrb r0, [r3, #0] + 31c: 280f cmp r0, #15 + 31e: d8af bhi.n 280 + 320: 683e ldr r6, [r7, #0] + 322: 7e73 ldrb r3, [r6, #25] + 324: b25b sxtb r3, r3 + 326: 2b00 cmp r3, #0 + 328: dbfb blt.n 322 + 32a: 7b26 ldrb r6, [r4, #12] + 32c: 89e3 ldrh r3, [r4, #14] + 32e: 0500 lsls r0, r0, #20 + 330: 4333 orrs r3, r6 + 332: 68a6 ldr r6, [r4, #8] + 334: 0412 lsls r2, r2, #16 + 336: 4333 orrs r3, r6 + 338: 4303 orrs r3, r0 + 33a: 4313 orrs r3, r2 + 33c: 612b str r3, [r5, #16] + 33e: 0023 movs r3, r4 + 340: 332a adds r3, #42 ; 0x2a + 342: 781b ldrb r3, [r3, #0] + 344: 752b strb r3, [r5, #20] + 346: 230f movs r3, #15 + 348: 75ab strb r3, [r5, #22] + 34a: 7fcb ldrb r3, [r1, #31] + 34c: 2b00 cmp r3, #0 + 34e: d00c beq.n 36a + 350: 8ce3 ldrh r3, [r4, #38] ; 0x26 + 352: 4944 ldr r1, [pc, #272] ; (464 ) + 354: 428b cmp r3, r1 + 356: d893 bhi.n 280 + 358: 84ab strh r3, [r5, #36] ; 0x24 + 35a: 2380 movs r3, #128 ; 0x80 + 35c: 8d22 ldrh r2, [r4, #40] ; 0x28 + 35e: 011b lsls r3, r3, #4 + 360: 18d3 adds r3, r2, r3 + 362: b29b uxth r3, r3 + 364: 428b cmp r3, r1 + 366: d88b bhi.n 280 + 368: 84ea strh r2, [r5, #38] ; 0x26 + 36a: 22e0 movs r2, #224 ; 0xe0 + 36c: 4b3e ldr r3, [pc, #248] ; (468 ) + 36e: 2000 movs r0, #0 + 370: 6819 ldr r1, [r3, #0] + 372: 00d2 lsls r2, r2, #3 + 374: 014b lsls r3, r1, #5 + 376: 0019 movs r1, r3 + 378: 401a ands r2, r3 + 37a: 4b3c ldr r3, [pc, #240] ; (46c ) + 37c: 681b ldr r3, [r3, #0] + 37e: 0edb lsrs r3, r3, #27 + 380: 430b orrs r3, r1 + 382: b2db uxtb r3, r3 + 384: 4313 orrs r3, r2 + 386: 852b strh r3, [r5, #40] ; 0x28 + 388: b007 add sp, #28 + 38a: bdf0 pop {r4, r5, r6, r7, pc} + 38c: 7c61 ldrb r1, [r4, #17] + 38e: 7c22 ldrb r2, [r4, #16] + 390: 2310 movs r3, #16 + 392: e77a b.n 28a + 394: 2206 movs r2, #6 + 396: 2310 movs r3, #16 + 398: 2101 movs r1, #1 + 39a: e776 b.n 28a + 39c: 2208 movs r2, #8 + 39e: 2100 movs r1, #0 + 3a0: e773 b.n 28a + 3a2: 2200 movs r2, #0 + 3a4: e7fb b.n 39e + 3a6: 2202 movs r2, #2 + 3a8: e7f5 b.n 396 + 3aa: 2b20 cmp r3, #32 + 3ac: d018 beq.n 3e0 + 3ae: 2b30 cmp r3, #48 ; 0x30 + 3b0: d000 beq.n 3b4 + 3b2: e793 b.n 2dc + 3b4: 7ce3 ldrb r3, [r4, #19] + 3b6: 69e0 ldr r0, [r4, #28] + 3b8: 2b00 cmp r3, #0 + 3ba: d009 beq.n 3d0 + 3bc: 0003 movs r3, r0 + 3be: 3380 adds r3, #128 ; 0x80 + 3c0: 2bff cmp r3, #255 ; 0xff + 3c2: d900 bls.n 3c6 + 3c4: e75c b.n 280 + 3c6: 6a23 ldr r3, [r4, #32] + 3c8: 3380 adds r3, #128 ; 0x80 + 3ca: 2bff cmp r3, #255 ; 0xff + 3cc: d900 bls.n 3d0 + 3ce: e757 b.n 280 + 3d0: 28ff cmp r0, #255 ; 0xff + 3d2: dd00 ble.n 3d6 + 3d4: e754 b.n 280 + 3d6: 6a23 ldr r3, [r4, #32] + 3d8: 2bff cmp r3, #255 ; 0xff + 3da: dd00 ble.n 3de + 3dc: e750 b.n 280 + 3de: e77d b.n 2dc + 3e0: 7ce0 ldrb r0, [r4, #19] + 3e2: 69e6 ldr r6, [r4, #28] + 3e4: 4b22 ldr r3, [pc, #136] ; (470 ) + 3e6: 2800 cmp r0, #0 + 3e8: d00c beq.n 404 + 3ea: 2080 movs r0, #128 ; 0x80 + 3ec: 0080 lsls r0, r0, #2 + 3ee: 1830 adds r0, r6, r0 + 3f0: 4298 cmp r0, r3 + 3f2: d900 bls.n 3f6 + 3f4: e744 b.n 280 + 3f6: 2280 movs r2, #128 ; 0x80 + 3f8: 6a20 ldr r0, [r4, #32] + 3fa: 0092 lsls r2, r2, #2 + 3fc: 1810 adds r0, r2, r0 + 3fe: 4298 cmp r0, r3 + 400: d900 bls.n 404 + 402: e73d b.n 280 + 404: 429e cmp r6, r3 + 406: dd00 ble.n 40a + 408: e73a b.n 280 + 40a: 6a22 ldr r2, [r4, #32] + 40c: 429a cmp r2, r3 + 40e: dd00 ble.n 412 + 410: e736 b.n 280 + 412: e763 b.n 2dc + 414: 7ce0 ldrb r0, [r4, #19] + 416: 69e6 ldr r6, [r4, #28] + 418: 4b12 ldr r3, [pc, #72] ; (464 ) + 41a: 2800 cmp r0, #0 + 41c: d0f2 beq.n 404 + 41e: 2280 movs r2, #128 ; 0x80 + 420: 0112 lsls r2, r2, #4 + 422: 18b0 adds r0, r6, r2 + 424: 4298 cmp r0, r3 + 426: d900 bls.n 42a + 428: e72a b.n 280 + 42a: 2080 movs r0, #128 ; 0x80 + 42c: 6a22 ldr r2, [r4, #32] + 42e: 0100 lsls r0, r0, #4 + 430: e7e4 b.n 3fc + 432: 7ce0 ldrb r0, [r4, #19] + 434: 69e6 ldr r6, [r4, #28] + 436: 4b0f ldr r3, [pc, #60] ; (474 ) + 438: 2800 cmp r0, #0 + 43a: d0e3 beq.n 404 + 43c: 2280 movs r2, #128 ; 0x80 + 43e: 0212 lsls r2, r2, #8 + 440: 18b0 adds r0, r6, r2 + 442: 4298 cmp r0, r3 + 444: d900 bls.n 448 + 446: e71b b.n 280 + 448: 2080 movs r0, #128 ; 0x80 + 44a: 6a22 ldr r2, [r4, #32] + 44c: 0200 lsls r0, r0, #8 + 44e: e7d5 b.n 3fc + 450: 40000400 .word 0x40000400 + 454: 40000800 .word 0x40000800 + 458: 0000184d .word 0x0000184d + 45c: 000017c5 .word 0x000017c5 + 460: 00000115 .word 0x00000115 + 464: 00000fff .word 0x00000fff + 468: 00806024 .word 0x00806024 + 46c: 00806020 .word 0x00806020 + 470: 000003ff .word 0x000003ff + 474: 0000ffff .word 0x0000ffff + +00000478 : + 478: b570 push {r4, r5, r6, lr} + 47a: 4b20 ldr r3, [pc, #128] ; (4fc ) + 47c: 4798 blx r3 + 47e: 220f movs r2, #15 + 480: 4b1f ldr r3, [pc, #124] ; (500 ) + 482: 4920 ldr r1, [pc, #128] ; (504 ) + 484: 8c1b ldrh r3, [r3, #32] + 486: 4c20 ldr r4, [pc, #128] ; (508 ) + 488: 4013 ands r3, r2 + 48a: 009b lsls r3, r3, #2 + 48c: 5858 ldr r0, [r3, r1] + 48e: 4d1f ldr r5, [pc, #124] ; (50c ) + 490: 7803 ldrb r3, [r0, #0] + 492: 4013 ands r3, r2 + 494: 4a1e ldr r2, [pc, #120] ; (510 ) + 496: 7013 strb r3, [r2, #0] + 498: 7801 ldrb r1, [r0, #0] + 49a: 4a1e ldr r2, [pc, #120] ; (514 ) + 49c: 0109 lsls r1, r1, #4 + 49e: 1864 adds r4, r4, r1 + 4a0: 1869 adds r1, r5, r1 + 4a2: 7813 ldrb r3, [r2, #0] + 4a4: 8864 ldrh r4, [r4, #2] + 4a6: 8849 ldrh r1, [r1, #2] + 4a8: b2db uxtb r3, r3 + 4aa: 1a61 subs r1, r4, r1 + 4ac: 6141 str r1, [r0, #20] + 4ae: 001c movs r4, r3 + 4b0: 2101 movs r1, #1 + 4b2: 400c ands r4, r1 + 4b4: d00c beq.n 4d0 + 4b6: 2310 movs r3, #16 + 4b8: 7011 strb r1, [r2, #0] + 4ba: 7443 strb r3, [r0, #17] + 4bc: 7c03 ldrb r3, [r0, #16] + 4be: 420b tst r3, r1 + 4c0: d003 beq.n 4ca + 4c2: 6843 ldr r3, [r0, #4] + 4c4: 2b00 cmp r3, #0 + 4c6: d000 beq.n 4ca + 4c8: 4798 blx r3 + 4ca: 4b13 ldr r3, [pc, #76] ; (518 ) + 4cc: 4798 blx r3 + 4ce: bd70 pop {r4, r5, r6, pc} + 4d0: 2102 movs r1, #2 + 4d2: 420b tst r3, r1 + 4d4: d006 beq.n 4e4 + 4d6: 7011 strb r1, [r2, #0] + 4d8: 7c03 ldrb r3, [r0, #16] + 4da: 7444 strb r4, [r0, #17] + 4dc: 420b tst r3, r1 + 4de: d0f4 beq.n 4ca + 4e0: 6883 ldr r3, [r0, #8] + 4e2: e7ef b.n 4c4 + 4e4: 2104 movs r1, #4 + 4e6: 420b tst r3, r1 + 4e8: d0ef beq.n 4ca + 4ea: 2306 movs r3, #6 + 4ec: 7011 strb r1, [r2, #0] + 4ee: 7443 strb r3, [r0, #17] + 4f0: 7c03 ldrb r3, [r0, #16] + 4f2: 420b tst r3, r1 + 4f4: d0e9 beq.n 4ca + 4f6: 68c3 ldr r3, [r0, #12] + 4f8: e7e4 b.n 4c4 + 4fa: 46c0 nop ; (mov r8, r8) + 4fc: 00001379 .word 0x00001379 + 500: 41004800 .word 0x41004800 + 504: 2000009c .word 0x2000009c + 508: 200001e0 .word 0x200001e0 + 50c: 200000b0 .word 0x200000b0 + 510: 4100483f .word 0x4100483f + 514: 4100484e .word 0x4100484e + 518: 000013ad .word 0x000013ad + +0000051c : + 51c: 2300 movs r3, #0 + 51e: 2203 movs r2, #3 + 520: 7003 strb r3, [r0, #0] + 522: 7043 strb r3, [r0, #1] + 524: 7082 strb r2, [r0, #2] + 526: 70c3 strb r3, [r0, #3] + 528: 7103 strb r3, [r0, #4] + 52a: 4770 bx lr + +0000052c : + 52c: b5f8 push {r3, r4, r5, r6, r7, lr} + 52e: 4b3f ldr r3, [pc, #252] ; (62c ) + 530: 0005 movs r5, r0 + 532: 000e movs r6, r1 + 534: 4798 blx r3 + 536: 4f3e ldr r7, [pc, #248] ; (630 ) + 538: 783b ldrb r3, [r7, #0] + 53a: 2b00 cmp r3, #0 + 53c: d116 bne.n 56c + 53e: 2220 movs r2, #32 + 540: 4b3c ldr r3, [pc, #240] ; (634 ) + 542: 6959 ldr r1, [r3, #20] + 544: 430a orrs r2, r1 + 546: 615a str r2, [r3, #20] + 548: 2210 movs r2, #16 + 54a: 69d9 ldr r1, [r3, #28] + 54c: 430a orrs r2, r1 + 54e: 2102 movs r1, #2 + 550: 61da str r2, [r3, #28] + 552: 4b39 ldr r3, [pc, #228] ; (638 ) + 554: 881a ldrh r2, [r3, #0] + 556: 438a bics r2, r1 + 558: 801a strh r2, [r3, #0] + 55a: 2201 movs r2, #1 + 55c: 4937 ldr r1, [pc, #220] ; (63c ) + 55e: 801a strh r2, [r3, #0] + 560: 6359 str r1, [r3, #52] ; 0x34 + 562: 4937 ldr r1, [pc, #220] ; (640 ) + 564: 6399 str r1, [r3, #56] ; 0x38 + 566: 4937 ldr r1, [pc, #220] ; (644 ) + 568: 8019 strh r1, [r3, #0] + 56a: 703a strb r2, [r7, #0] + 56c: 4b2f ldr r3, [pc, #188] ; (62c ) + 56e: 4798 blx r3 + 570: 2400 movs r4, #0 + 572: 2301 movs r3, #1 + 574: 687a ldr r2, [r7, #4] + 576: 421a tst r2, r3 + 578: d14c bne.n 614 + 57a: 40a3 lsls r3, r4 + 57c: 687a ldr r2, [r7, #4] + 57e: 4313 orrs r3, r2 + 580: 607b str r3, [r7, #4] + 582: 7a3b ldrb r3, [r7, #8] + 584: 3b01 subs r3, #1 + 586: 723b strb r3, [r7, #8] + 588: 2701 movs r7, #1 + 58a: 4b2f ldr r3, [pc, #188] ; (648 ) + 58c: 4798 blx r3 + 58e: 2f00 cmp r7, #0 + 590: d047 beq.n 622 + 592: 4b2e ldr r3, [pc, #184] ; (64c ) + 594: 2102 movs r1, #2 + 596: 702c strb r4, [r5, #0] + 598: 701c strb r4, [r3, #0] + 59a: 2401 movs r4, #1 + 59c: 4b2c ldr r3, [pc, #176] ; (650 ) + 59e: 4f26 ldr r7, [pc, #152] ; (638 ) + 5a0: 781a ldrb r2, [r3, #0] + 5a2: 438a bics r2, r1 + 5a4: 701a strb r2, [r3, #0] + 5a6: 701c strb r4, [r3, #0] + 5a8: 4b20 ldr r3, [pc, #128] ; (62c ) + 5aa: 4798 blx r3 + 5ac: 220f movs r2, #15 + 5ae: 782b ldrb r3, [r5, #0] + 5b0: 21fc movs r1, #252 ; 0xfc + 5b2: 4013 ands r3, r2 + 5b4: 4a25 ldr r2, [pc, #148] ; (64c ) + 5b6: 0189 lsls r1, r1, #6 + 5b8: 7013 strb r3, [r2, #0] + 5ba: 782a ldrb r2, [r5, #0] + 5bc: 693b ldr r3, [r7, #16] + 5be: 4094 lsls r4, r2 + 5c0: 2260 movs r2, #96 ; 0x60 + 5c2: 43a3 bics r3, r4 + 5c4: 613b str r3, [r7, #16] + 5c6: 7833 ldrb r3, [r6, #0] + 5c8: 015b lsls r3, r3, #5 + 5ca: 4013 ands r3, r2 + 5cc: 7872 ldrb r2, [r6, #1] + 5ce: 0212 lsls r2, r2, #8 + 5d0: 400a ands r2, r1 + 5d2: 21c0 movs r1, #192 ; 0xc0 + 5d4: 4313 orrs r3, r2 + 5d6: 78b2 ldrb r2, [r6, #2] + 5d8: 0409 lsls r1, r1, #16 + 5da: 0592 lsls r2, r2, #22 + 5dc: 400a ands r2, r1 + 5de: 4313 orrs r3, r2 + 5e0: 78f2 ldrb r2, [r6, #3] + 5e2: 2a00 cmp r2, #0 + 5e4: d004 beq.n 5f0 + 5e6: 2107 movs r1, #7 + 5e8: 400a ands r2, r1 + 5ea: 3101 adds r1, #1 + 5ec: 430a orrs r2, r1 + 5ee: 4313 orrs r3, r2 + 5f0: 7932 ldrb r2, [r6, #4] + 5f2: 2a00 cmp r2, #0 + 5f4: d001 beq.n 5fa + 5f6: 2210 movs r2, #16 + 5f8: 4313 orrs r3, r2 + 5fa: 2400 movs r4, #0 + 5fc: 647b str r3, [r7, #68] ; 0x44 + 5fe: 4b12 ldr r3, [pc, #72] ; (648 ) + 600: 4798 blx r3 + 602: 782b ldrb r3, [r5, #0] + 604: 4a13 ldr r2, [pc, #76] ; (654 ) + 606: 009b lsls r3, r3, #2 + 608: 509d str r5, [r3, r2] + 60a: 61ac str r4, [r5, #24] + 60c: 4b0e ldr r3, [pc, #56] ; (648 ) + 60e: 4798 blx r3 + 610: 0020 movs r0, r4 + 612: bdf8 pop {r3, r4, r5, r6, r7, pc} + 614: 3401 adds r4, #1 + 616: b2e4 uxtb r4, r4 + 618: 0852 lsrs r2, r2, #1 + 61a: 2c05 cmp r4, #5 + 61c: d1ab bne.n 576 + 61e: 2700 movs r7, #0 + 620: e7b3 b.n 58a + 622: 4b09 ldr r3, [pc, #36] ; (648 ) + 624: 4798 blx r3 + 626: 2014 movs r0, #20 + 628: e7f3 b.n 612 + 62a: 46c0 nop ; (mov r8, r8) + 62c: 00001379 .word 0x00001379 + 630: 20000000 .word 0x20000000 + 634: 40000400 .word 0x40000400 + 638: 41004800 .word 0x41004800 + 63c: 200001e0 .word 0x200001e0 + 640: 200000b0 .word 0x200000b0 + 644: 00000f02 .word 0x00000f02 + 648: 000013ad .word 0x000013ad + 64c: 4100483f .word 0x4100483f + 650: 41004840 .word 0x41004840 + 654: 2000009c .word 0x2000009c + +00000658 : + 658: b570 push {r4, r5, r6, lr} + 65a: 0005 movs r5, r0 + 65c: 4b18 ldr r3, [pc, #96] ; (6c0 ) + 65e: 4798 blx r3 + 660: 7c6c ldrb r4, [r5, #17] + 662: 4e18 ldr r6, [pc, #96] ; (6c4 ) + 664: b2e4 uxtb r4, r4 + 666: 2c05 cmp r4, #5 + 668: d102 bne.n 670 + 66a: 47b0 blx r6 + 66c: 0020 movs r0, r4 + 66e: bd70 pop {r4, r5, r6, pc} + 670: 69ab ldr r3, [r5, #24] + 672: 885b ldrh r3, [r3, #2] + 674: 2b00 cmp r3, #0 + 676: d102 bne.n 67e + 678: 47b0 blx r6 + 67a: 2017 movs r0, #23 + 67c: e7f7 b.n 66e + 67e: 2240 movs r2, #64 ; 0x40 + 680: 4b11 ldr r3, [pc, #68] ; (6c8 ) + 682: 601a str r2, [r3, #0] + 684: 782b ldrb r3, [r5, #0] + 686: 3a31 subs r2, #49 ; 0x31 + 688: 4013 ands r3, r2 + 68a: 4a10 ldr r2, [pc, #64] ; (6cc ) + 68c: 7013 strb r3, [r2, #0] + 68e: 782b ldrb r3, [r5, #0] + 690: 4a0f ldr r2, [pc, #60] ; (6d0 ) + 692: 5cd3 ldrb r3, [r2, r3] + 694: 2207 movs r2, #7 + 696: 4013 ands r3, r2 + 698: 4a0e ldr r2, [pc, #56] ; (6d4 ) + 69a: 7013 strb r3, [r2, #0] + 69c: 2305 movs r3, #5 + 69e: 7828 ldrb r0, [r5, #0] + 6a0: 746b strb r3, [r5, #17] + 6a2: 4b0d ldr r3, [pc, #52] ; (6d8 ) + 6a4: 0100 lsls r0, r0, #4 + 6a6: 18c0 adds r0, r0, r3 + 6a8: 69a9 ldr r1, [r5, #24] + 6aa: 2210 movs r2, #16 + 6ac: 4b0b ldr r3, [pc, #44] ; (6dc ) + 6ae: 4798 blx r3 + 6b0: 2302 movs r3, #2 + 6b2: 4a0b ldr r2, [pc, #44] ; (6e0 ) + 6b4: 7811 ldrb r1, [r2, #0] + 6b6: 430b orrs r3, r1 + 6b8: 7013 strb r3, [r2, #0] + 6ba: 47b0 blx r6 + 6bc: 2000 movs r0, #0 + 6be: e7d6 b.n 66e + 6c0: 00001379 .word 0x00001379 + 6c4: 000013ad .word 0x000013ad + 6c8: e000e100 .word 0xe000e100 + 6cc: 4100483f .word 0x4100483f + 6d0: 20000100 .word 0x20000100 + 6d4: 4100484d .word 0x4100484d + 6d8: 200001e0 .word 0x200001e0 + 6dc: 00002449 .word 0x00002449 + 6e0: 41004840 .word 0x41004840 + +000006e4 : + 6e4: b570 push {r4, r5, r6, lr} + 6e6: 2401 movs r4, #1 + 6e8: 2603 movs r6, #3 + 6ea: 8803 ldrh r3, [r0, #0] + 6ec: 780a ldrb r2, [r1, #0] + 6ee: 43a3 bics r3, r4 + 6f0: 4022 ands r2, r4 + 6f2: 4313 orrs r3, r2 + 6f4: 8003 strh r3, [r0, #0] + 6f6: 784a ldrb r2, [r1, #1] + 6f8: 2506 movs r5, #6 + 6fa: 4032 ands r2, r6 + 6fc: 40a2 lsls r2, r4 + 6fe: 8803 ldrh r3, [r0, #0] + 700: 43ab bics r3, r5 + 702: 4313 orrs r3, r2 + 704: 8003 strh r3, [r0, #0] + 706: 788b ldrb r3, [r1, #2] + 708: 2218 movs r2, #24 + 70a: 4033 ands r3, r6 + 70c: 40b3 lsls r3, r6 + 70e: 8805 ldrh r5, [r0, #0] + 710: 4395 bics r5, r2 + 712: 431d orrs r5, r3 + 714: 8005 strh r5, [r0, #0] + 716: 78ca ldrb r2, [r1, #3] + 718: 8803 ldrh r3, [r0, #0] + 71a: 4d18 ldr r5, [pc, #96] ; (77c ) + 71c: 4032 ands r2, r6 + 71e: 0212 lsls r2, r2, #8 + 720: 402b ands r3, r5 + 722: 4313 orrs r3, r2 + 724: 8003 strh r3, [r0, #0] + 726: 790a ldrb r2, [r1, #4] + 728: 8803 ldrh r3, [r0, #0] + 72a: 4d15 ldr r5, [pc, #84] ; (780 ) + 72c: 4022 ands r2, r4 + 72e: 0292 lsls r2, r2, #10 + 730: 402b ands r3, r5 + 732: 4313 orrs r3, r2 + 734: 8003 strh r3, [r0, #0] + 736: 794a ldrb r2, [r1, #5] + 738: 8803 ldrh r3, [r0, #0] + 73a: 4d12 ldr r5, [pc, #72] ; (784 ) + 73c: 4022 ands r2, r4 + 73e: 402b ands r3, r5 + 740: 02d2 lsls r2, r2, #11 + 742: 4313 orrs r3, r2 + 744: 8003 strh r3, [r0, #0] + 746: 798a ldrb r2, [r1, #6] + 748: 8803 ldrh r3, [r0, #0] + 74a: 4022 ands r2, r4 + 74c: 4c0e ldr r4, [pc, #56] ; (788 ) + 74e: 0312 lsls r2, r2, #12 + 750: 4023 ands r3, r4 + 752: 4313 orrs r3, r2 + 754: 8003 strh r3, [r0, #0] + 756: 2307 movs r3, #7 + 758: 79ca ldrb r2, [r1, #7] + 75a: 401a ands r2, r3 + 75c: 8803 ldrh r3, [r0, #0] + 75e: 0352 lsls r2, r2, #13 + 760: 04db lsls r3, r3, #19 + 762: 0cdb lsrs r3, r3, #19 + 764: 4313 orrs r3, r2 + 766: 8003 strh r3, [r0, #0] + 768: 890b ldrh r3, [r1, #8] + 76a: 8043 strh r3, [r0, #2] + 76c: 68cb ldr r3, [r1, #12] + 76e: 6043 str r3, [r0, #4] + 770: 690b ldr r3, [r1, #16] + 772: 6083 str r3, [r0, #8] + 774: 694b ldr r3, [r1, #20] + 776: 60c3 str r3, [r0, #12] + 778: bd70 pop {r4, r5, r6, pc} + 77a: 46c0 nop ; (mov r8, r8) + 77c: fffffcff .word 0xfffffcff + 780: fffffbff .word 0xfffffbff + 784: fffff7ff .word 0xfffff7ff + 788: ffffefff .word 0xffffefff + +0000078c : + 78c: 0002 movs r2, r0 + 78e: 7c43 ldrb r3, [r0, #17] + 790: 2005 movs r0, #5 + 792: 4283 cmp r3, r0 + 794: d004 beq.n 7a0 + 796: 6993 ldr r3, [r2, #24] + 798: 2b00 cmp r3, #0 + 79a: d103 bne.n 7a4 + 79c: 0018 movs r0, r3 + 79e: 6191 str r1, [r2, #24] + 7a0: 4770 bx lr + 7a2: 68db ldr r3, [r3, #12] + 7a4: 68d8 ldr r0, [r3, #12] + 7a6: 2800 cmp r0, #0 + 7a8: d1fb bne.n 7a2 + 7aa: 60d9 str r1, [r3, #12] + 7ac: e7f8 b.n 7a0 + ... + +000007b0 : + 7b0: b5f0 push {r4, r5, r6, r7, lr} + 7b2: 2400 movs r4, #0 + 7b4: b089 sub sp, #36 ; 0x24 + 7b6: 9200 str r2, [sp, #0] + 7b8: 9301 str r3, [sp, #4] + 7ba: 233f movs r3, #63 ; 0x3f + 7bc: 2600 movs r6, #0 + 7be: 2700 movs r7, #0 + 7c0: 0025 movs r5, r4 + 7c2: 9006 str r0, [sp, #24] + 7c4: 9107 str r1, [sp, #28] + 7c6: 9303 str r3, [sp, #12] + 7c8: 9a03 ldr r2, [sp, #12] + 7ca: 4b13 ldr r3, [pc, #76] ; (818 ) + 7cc: 2001 movs r0, #1 + 7ce: 2100 movs r1, #0 + 7d0: 4798 blx r3 + 7d2: 19b6 adds r6, r6, r6 + 7d4: 417f adcs r7, r7 + 7d6: 9b06 ldr r3, [sp, #24] + 7d8: 9a07 ldr r2, [sp, #28] + 7da: 4003 ands r3, r0 + 7dc: 400a ands r2, r1 + 7de: 9604 str r6, [sp, #16] + 7e0: 9705 str r7, [sp, #20] + 7e2: 4313 orrs r3, r2 + 7e4: d003 beq.n 7ee + 7e6: 2301 movs r3, #1 + 7e8: 4333 orrs r3, r6 + 7ea: 001e movs r6, r3 + 7ec: 9f05 ldr r7, [sp, #20] + 7ee: 9b01 ldr r3, [sp, #4] + 7f0: 42bb cmp r3, r7 + 7f2: d809 bhi.n 808 + 7f4: d102 bne.n 7fc + 7f6: 9b00 ldr r3, [sp, #0] + 7f8: 42b3 cmp r3, r6 + 7fa: d805 bhi.n 808 + 7fc: 9a00 ldr r2, [sp, #0] + 7fe: 9b01 ldr r3, [sp, #4] + 800: 1ab6 subs r6, r6, r2 + 802: 419f sbcs r7, r3 + 804: 4304 orrs r4, r0 + 806: 430d orrs r5, r1 + 808: 9b03 ldr r3, [sp, #12] + 80a: 1e5a subs r2, r3, #1 + 80c: 9203 str r2, [sp, #12] + 80e: d2db bcs.n 7c8 + 810: 0020 movs r0, r4 + 812: 0029 movs r1, r5 + 814: b009 add sp, #36 ; 0x24 + 816: bdf0 pop {r4, r5, r6, r7, pc} + 818: 00001c75 .word 0x00001c75 + +0000081c <_sercom_get_sync_baud_val>: + 81c: b510 push {r4, lr} + 81e: 0849 lsrs r1, r1, #1 + 820: 0004 movs r4, r0 + 822: 2300 movs r3, #0 + 824: 2040 movs r0, #64 ; 0x40 + 826: 42a1 cmp r1, r4 + 828: d201 bcs.n 82e <_sercom_get_sync_baud_val+0x12> + 82a: bd10 pop {r4, pc} + 82c: 0003 movs r3, r0 + 82e: 1c58 adds r0, r3, #1 + 830: 1b09 subs r1, r1, r4 + 832: b280 uxth r0, r0 + 834: 428c cmp r4, r1 + 836: d9f9 bls.n 82c <_sercom_get_sync_baud_val+0x10> + 838: 2040 movs r0, #64 ; 0x40 + 83a: 2bff cmp r3, #255 ; 0xff + 83c: d8f5 bhi.n 82a <_sercom_get_sync_baud_val+0xe> + 83e: 8013 strh r3, [r2, #0] + 840: 2000 movs r0, #0 + 842: e7f2 b.n 82a <_sercom_get_sync_baud_val+0xe> + +00000844 <_sercom_get_async_baud_val>: + 844: b5f0 push {r4, r5, r6, r7, lr} + 846: b085 sub sp, #20 + 848: 001e movs r6, r3 + 84a: ab0a add r3, sp, #40 ; 0x28 + 84c: 000d movs r5, r1 + 84e: 7819 ldrb r1, [r3, #0] + 850: 0003 movs r3, r0 + 852: 434b muls r3, r1 + 854: 9203 str r2, [sp, #12] + 856: 2740 movs r7, #64 ; 0x40 + 858: 42ab cmp r3, r5 + 85a: d817 bhi.n 88c <_sercom_get_async_baud_val+0x48> + 85c: 2e00 cmp r6, #0 + 85e: d118 bne.n 892 <_sercom_get_async_baud_val+0x4e> + 860: 0002 movs r2, r0 + 862: 0033 movs r3, r6 + 864: 0008 movs r0, r1 + 866: 4c1b ldr r4, [pc, #108] ; (8d4 <_sercom_get_async_baud_val+0x90>) + 868: 0031 movs r1, r6 + 86a: 47a0 blx r4 + 86c: 002a movs r2, r5 + 86e: 0001 movs r1, r0 + 870: 0033 movs r3, r6 + 872: 4c19 ldr r4, [pc, #100] ; (8d8 <_sercom_get_async_baud_val+0x94>) + 874: 0030 movs r0, r6 + 876: 47a0 blx r4 + 878: 2200 movs r2, #0 + 87a: 2301 movs r3, #1 + 87c: 1a12 subs r2, r2, r0 + 87e: 418b sbcs r3, r1 + 880: 0c14 lsrs r4, r2, #16 + 882: 0418 lsls r0, r3, #16 + 884: 4304 orrs r4, r0 + 886: 2700 movs r7, #0 + 888: 9b03 ldr r3, [sp, #12] + 88a: 801c strh r4, [r3, #0] + 88c: 0038 movs r0, r7 + 88e: b005 add sp, #20 + 890: bdf0 pop {r4, r5, r6, r7, pc} + 892: 2400 movs r4, #0 + 894: 2e01 cmp r6, #1 + 896: d1f6 bne.n 886 <_sercom_get_async_baud_val+0x42> + 898: 000a movs r2, r1 + 89a: 0023 movs r3, r4 + 89c: 0021 movs r1, r4 + 89e: 4e0d ldr r6, [pc, #52] ; (8d4 <_sercom_get_async_baud_val+0x90>) + 8a0: 47b0 blx r6 + 8a2: 9000 str r0, [sp, #0] + 8a4: 9101 str r1, [sp, #4] + 8a6: 000b movs r3, r1 + 8a8: 0002 movs r2, r0 + 8aa: 0021 movs r1, r4 + 8ac: 0028 movs r0, r5 + 8ae: 4c0a ldr r4, [pc, #40] ; (8d8 <_sercom_get_async_baud_val+0x94>) + 8b0: 47a0 blx r4 + 8b2: 2380 movs r3, #128 ; 0x80 + 8b4: 0006 movs r6, r0 + 8b6: 019b lsls r3, r3, #6 + 8b8: 4298 cmp r0, r3 + 8ba: d8e7 bhi.n 88c <_sercom_get_async_baud_val+0x48> + 8bc: 9a00 ldr r2, [sp, #0] + 8be: 9b01 ldr r3, [sp, #4] + 8c0: 0f69 lsrs r1, r5, #29 + 8c2: 00e8 lsls r0, r5, #3 + 8c4: 47a0 blx r4 + 8c6: 00f4 lsls r4, r6, #3 + 8c8: 1b04 subs r4, r0, r4 + 8ca: b2e4 uxtb r4, r4 + 8cc: 0364 lsls r4, r4, #13 + 8ce: 4334 orrs r4, r6 + 8d0: e7d9 b.n 886 <_sercom_get_async_baud_val+0x42> + 8d2: 46c0 nop ; (mov r8, r8) + 8d4: 00001c8d .word 0x00001c8d + 8d8: 000007b1 .word 0x000007b1 + +000008dc : + 8dc: b537 push {r0, r1, r2, r4, r5, lr} + 8de: 4c0d ldr r4, [pc, #52] ; (914 ) + 8e0: 0005 movs r5, r0 + 8e2: 7823 ldrb r3, [r4, #0] + 8e4: 2b00 cmp r3, #0 + 8e6: d001 beq.n 8ec + 8e8: 2900 cmp r1, #0 + 8ea: d00c beq.n 906 + 8ec: a901 add r1, sp, #4 + 8ee: 2013 movs r0, #19 + 8f0: 4b09 ldr r3, [pc, #36] ; (918 ) + 8f2: 700d strb r5, [r1, #0] + 8f4: 4798 blx r3 + 8f6: 2013 movs r0, #19 + 8f8: 4b08 ldr r3, [pc, #32] ; (91c ) + 8fa: 4798 blx r3 + 8fc: 2301 movs r3, #1 + 8fe: 2000 movs r0, #0 + 900: 7065 strb r5, [r4, #1] + 902: 7023 strb r3, [r4, #0] + 904: bd3e pop {r1, r2, r3, r4, r5, pc} + 906: 7863 ldrb r3, [r4, #1] + 908: 0008 movs r0, r1 + 90a: 42ab cmp r3, r5 + 90c: d0fa beq.n 904 + 90e: 201d movs r0, #29 + 910: e7f8 b.n 904 + 912: 46c0 nop ; (mov r8, r8) + 914: 20000105 .word 0x20000105 + 918: 0000184d .word 0x0000184d + 91c: 000017c5 .word 0x000017c5 + +00000920 <_sercom_get_default_pad>: + 920: 4a39 ldr r2, [pc, #228] ; (a08 <_sercom_get_default_pad+0xe8>) + 922: 0003 movs r3, r0 + 924: b500 push {lr} + 926: 0008 movs r0, r1 + 928: 4293 cmp r3, r2 + 92a: d033 beq.n 994 <_sercom_get_default_pad+0x74> + 92c: d807 bhi.n 93e <_sercom_get_default_pad+0x1e> + 92e: 4a37 ldr r2, [pc, #220] ; (a0c <_sercom_get_default_pad+0xec>) + 930: 4293 cmp r3, r2 + 932: d014 beq.n 95e <_sercom_get_default_pad+0x3e> + 934: 4a36 ldr r2, [pc, #216] ; (a10 <_sercom_get_default_pad+0xf0>) + 936: 4293 cmp r3, r2 + 938: d01f beq.n 97a <_sercom_get_default_pad+0x5a> + 93a: 2300 movs r3, #0 + 93c: e017 b.n 96e <_sercom_get_default_pad+0x4e> + 93e: 4a35 ldr r2, [pc, #212] ; (a14 <_sercom_get_default_pad+0xf4>) + 940: 4293 cmp r3, r2 + 942: d03a beq.n 9ba <_sercom_get_default_pad+0x9a> + 944: 4a34 ldr r2, [pc, #208] ; (a18 <_sercom_get_default_pad+0xf8>) + 946: 4293 cmp r3, r2 + 948: d044 beq.n 9d4 <_sercom_get_default_pad+0xb4> + 94a: 4a34 ldr r2, [pc, #208] ; (a1c <_sercom_get_default_pad+0xfc>) + 94c: 4293 cmp r3, r2 + 94e: d1f4 bne.n 93a <_sercom_get_default_pad+0x1a> + 950: 2300 movs r3, #0 + 952: 2903 cmp r1, #3 + 954: d80b bhi.n 96e <_sercom_get_default_pad+0x4e> + 956: f001 f8f7 bl 1b48 <__gnu_thumb1_case_uqi> + 95a: 2a50 .short 0x2a50 + 95c: 2e2c .short 0x2e2c + 95e: 2300 movs r3, #0 + 960: 2903 cmp r1, #3 + 962: d804 bhi.n 96e <_sercom_get_default_pad+0x4e> + 964: f001 f8f0 bl 1b48 <__gnu_thumb1_case_uqi> + 968: 07050243 .word 0x07050243 + 96c: 4b2c ldr r3, [pc, #176] ; (a20 <_sercom_get_default_pad+0x100>) + 96e: 0018 movs r0, r3 + 970: bd00 pop {pc} + 972: 4b2c ldr r3, [pc, #176] ; (a24 <_sercom_get_default_pad+0x104>) + 974: e7fb b.n 96e <_sercom_get_default_pad+0x4e> + 976: 4b2c ldr r3, [pc, #176] ; (a28 <_sercom_get_default_pad+0x108>) + 978: e7f9 b.n 96e <_sercom_get_default_pad+0x4e> + 97a: 2300 movs r3, #0 + 97c: 2903 cmp r1, #3 + 97e: d8f6 bhi.n 96e <_sercom_get_default_pad+0x4e> + 980: f001 f8e2 bl 1b48 <__gnu_thumb1_case_uqi> + 984: 06040237 .word 0x06040237 + 988: 4b28 ldr r3, [pc, #160] ; (a2c <_sercom_get_default_pad+0x10c>) + 98a: e7f0 b.n 96e <_sercom_get_default_pad+0x4e> + 98c: 4b28 ldr r3, [pc, #160] ; (a30 <_sercom_get_default_pad+0x110>) + 98e: e7ee b.n 96e <_sercom_get_default_pad+0x4e> + 990: 4b28 ldr r3, [pc, #160] ; (a34 <_sercom_get_default_pad+0x114>) + 992: e7ec b.n 96e <_sercom_get_default_pad+0x4e> + 994: 2300 movs r3, #0 + 996: 2903 cmp r1, #3 + 998: d8e9 bhi.n 96e <_sercom_get_default_pad+0x4e> + 99a: f001 f8d5 bl 1b48 <__gnu_thumb1_case_uqi> + 99e: 022c .short 0x022c + 9a0: 0604 .short 0x0604 + 9a2: 4b25 ldr r3, [pc, #148] ; (a38 <_sercom_get_default_pad+0x118>) + 9a4: e7e3 b.n 96e <_sercom_get_default_pad+0x4e> + 9a6: 4b25 ldr r3, [pc, #148] ; (a3c <_sercom_get_default_pad+0x11c>) + 9a8: e7e1 b.n 96e <_sercom_get_default_pad+0x4e> + 9aa: 4b25 ldr r3, [pc, #148] ; (a40 <_sercom_get_default_pad+0x120>) + 9ac: e7df b.n 96e <_sercom_get_default_pad+0x4e> + 9ae: 4b25 ldr r3, [pc, #148] ; (a44 <_sercom_get_default_pad+0x124>) + 9b0: e7dd b.n 96e <_sercom_get_default_pad+0x4e> + 9b2: 4b25 ldr r3, [pc, #148] ; (a48 <_sercom_get_default_pad+0x128>) + 9b4: e7db b.n 96e <_sercom_get_default_pad+0x4e> + 9b6: 4b25 ldr r3, [pc, #148] ; (a4c <_sercom_get_default_pad+0x12c>) + 9b8: e7d9 b.n 96e <_sercom_get_default_pad+0x4e> + 9ba: 2300 movs r3, #0 + 9bc: 2903 cmp r1, #3 + 9be: d8d6 bhi.n 96e <_sercom_get_default_pad+0x4e> + 9c0: f001 f8c2 bl 1b48 <__gnu_thumb1_case_uqi> + 9c4: 0604021d .word 0x0604021d + 9c8: 4b21 ldr r3, [pc, #132] ; (a50 <_sercom_get_default_pad+0x130>) + 9ca: e7d0 b.n 96e <_sercom_get_default_pad+0x4e> + 9cc: 4b21 ldr r3, [pc, #132] ; (a54 <_sercom_get_default_pad+0x134>) + 9ce: e7ce b.n 96e <_sercom_get_default_pad+0x4e> + 9d0: 4b21 ldr r3, [pc, #132] ; (a58 <_sercom_get_default_pad+0x138>) + 9d2: e7cc b.n 96e <_sercom_get_default_pad+0x4e> + 9d4: 2300 movs r3, #0 + 9d6: 2903 cmp r1, #3 + 9d8: d8c9 bhi.n 96e <_sercom_get_default_pad+0x4e> + 9da: f001 f8b5 bl 1b48 <__gnu_thumb1_case_uqi> + 9de: 0212 .short 0x0212 + 9e0: 0604 .short 0x0604 + 9e2: 4b1e ldr r3, [pc, #120] ; (a5c <_sercom_get_default_pad+0x13c>) + 9e4: e7c3 b.n 96e <_sercom_get_default_pad+0x4e> + 9e6: 4b1e ldr r3, [pc, #120] ; (a60 <_sercom_get_default_pad+0x140>) + 9e8: e7c1 b.n 96e <_sercom_get_default_pad+0x4e> + 9ea: 4b1e ldr r3, [pc, #120] ; (a64 <_sercom_get_default_pad+0x144>) + 9ec: e7bf b.n 96e <_sercom_get_default_pad+0x4e> + 9ee: 4b1e ldr r3, [pc, #120] ; (a68 <_sercom_get_default_pad+0x148>) + 9f0: e7bd b.n 96e <_sercom_get_default_pad+0x4e> + 9f2: 2303 movs r3, #3 + 9f4: e7bb b.n 96e <_sercom_get_default_pad+0x4e> + 9f6: 4b1d ldr r3, [pc, #116] ; (a6c <_sercom_get_default_pad+0x14c>) + 9f8: e7b9 b.n 96e <_sercom_get_default_pad+0x4e> + 9fa: 4b1d ldr r3, [pc, #116] ; (a70 <_sercom_get_default_pad+0x150>) + 9fc: e7b7 b.n 96e <_sercom_get_default_pad+0x4e> + 9fe: 4b1d ldr r3, [pc, #116] ; (a74 <_sercom_get_default_pad+0x154>) + a00: e7b5 b.n 96e <_sercom_get_default_pad+0x4e> + a02: 4b1d ldr r3, [pc, #116] ; (a78 <_sercom_get_default_pad+0x158>) + a04: e7b3 b.n 96e <_sercom_get_default_pad+0x4e> + a06: 46c0 nop ; (mov r8, r8) + a08: 42001000 .word 0x42001000 + a0c: 42000800 .word 0x42000800 + a10: 42000c00 .word 0x42000c00 + a14: 42001800 .word 0x42001800 + a18: 42001c00 .word 0x42001c00 + a1c: 42001400 .word 0x42001400 + a20: 00050003 .word 0x00050003 + a24: 00060003 .word 0x00060003 + a28: 00070003 .word 0x00070003 + a2c: 00010003 .word 0x00010003 + a30: 001e0003 .word 0x001e0003 + a34: 001f0003 .word 0x001f0003 + a38: 00090003 .word 0x00090003 + a3c: 000a0003 .word 0x000a0003 + a40: 000b0003 .word 0x000b0003 + a44: 00110003 .word 0x00110003 + a48: 00120003 .word 0x00120003 + a4c: 00130003 .word 0x00130003 + a50: 000d0003 .word 0x000d0003 + a54: 000e0003 .word 0x000e0003 + a58: 000f0003 .word 0x000f0003 + a5c: 00170003 .word 0x00170003 + a60: 00180003 .word 0x00180003 + a64: 00190003 .word 0x00190003 + a68: 00040003 .word 0x00040003 + a6c: 00080003 .word 0x00080003 + a70: 00100003 .word 0x00100003 + a74: 000c0003 .word 0x000c0003 + a78: 00160003 .word 0x00160003 + +00000a7c <_sercom_get_sercom_inst_index>: + a7c: b570 push {r4, r5, r6, lr} + a7e: b086 sub sp, #24 + a80: 4669 mov r1, sp + a82: 4b09 ldr r3, [pc, #36] ; (aa8 <_sercom_get_sercom_inst_index+0x2c>) + a84: 466a mov r2, sp + a86: cb70 ldmia r3!, {r4, r5, r6} + a88: c170 stmia r1!, {r4, r5, r6} + a8a: cb70 ldmia r3!, {r4, r5, r6} + a8c: c170 stmia r1!, {r4, r5, r6} + a8e: 2300 movs r3, #0 + a90: 0099 lsls r1, r3, #2 + a92: 5851 ldr r1, [r2, r1] + a94: 4281 cmp r1, r0 + a96: d102 bne.n a9e <_sercom_get_sercom_inst_index+0x22> + a98: b2d8 uxtb r0, r3 + a9a: b006 add sp, #24 + a9c: bd70 pop {r4, r5, r6, pc} + a9e: 3301 adds r3, #1 + aa0: 2b06 cmp r3, #6 + aa2: d1f5 bne.n a90 <_sercom_get_sercom_inst_index+0x14> + aa4: 2000 movs r0, #0 + aa6: e7f8 b.n a9a <_sercom_get_sercom_inst_index+0x1e> + aa8: 00003574 .word 0x00003574 + +00000aac <_read>: + aac: b570 push {r4, r5, r6, lr} + aae: 000e movs r6, r1 + ab0: 0014 movs r4, r2 + ab2: 0015 movs r5, r2 + ab4: 2800 cmp r0, #0 + ab6: d008 beq.n aca <_read+0x1e> + ab8: 2001 movs r0, #1 + aba: 4240 negs r0, r0 + abc: e00c b.n ad8 <_read+0x2c> + abe: 4b07 ldr r3, [pc, #28] ; (adc <_read+0x30>) + ac0: 3d01 subs r5, #1 + ac2: 6818 ldr r0, [r3, #0] + ac4: 4b06 ldr r3, [pc, #24] ; (ae0 <_read+0x34>) + ac6: 681b ldr r3, [r3, #0] + ac8: 4798 blx r3 + aca: 1b61 subs r1, r4, r5 + acc: 1871 adds r1, r6, r1 + ace: 2d00 cmp r5, #0 + ad0: dcf5 bgt.n abe <_read+0x12> + ad2: 43e0 mvns r0, r4 + ad4: 17c0 asrs r0, r0, #31 + ad6: 4020 ands r0, r4 + ad8: bd70 pop {r4, r5, r6, pc} + ada: 46c0 nop ; (mov r8, r8) + adc: 20000238 .word 0x20000238 + ae0: 20000230 .word 0x20000230 + +00000ae4 <_write>: + ae4: 3801 subs r0, #1 + ae6: b570 push {r4, r5, r6, lr} + ae8: 000e movs r6, r1 + aea: 2802 cmp r0, #2 + aec: d80d bhi.n b0a <_write+0x26> + aee: 000c movs r4, r1 + af0: 188d adds r5, r1, r2 + af2: 1ba0 subs r0, r4, r6 + af4: 42ac cmp r4, r5 + af6: d00a beq.n b0e <_write+0x2a> + af8: 4b05 ldr r3, [pc, #20] ; (b10 <_write+0x2c>) + afa: 7821 ldrb r1, [r4, #0] + afc: 6818 ldr r0, [r3, #0] + afe: 4b05 ldr r3, [pc, #20] ; (b14 <_write+0x30>) + b00: 3401 adds r4, #1 + b02: 681b ldr r3, [r3, #0] + b04: 4798 blx r3 + b06: 2800 cmp r0, #0 + b08: daf3 bge.n af2 <_write+0xe> + b0a: 2001 movs r0, #1 + b0c: 4240 negs r0, r0 + b0e: bd70 pop {r4, r5, r6, pc} + b10: 20000238 .word 0x20000238 + b14: 20000234 .word 0x20000234 + +00000b18 : + b18: b570 push {r4, r5, r6, lr} + b1a: b094 sub sp, #80 ; 0x50 + b1c: ac08 add r4, sp, #32 + b1e: 0020 movs r0, r4 + b20: 4b28 ldr r3, [pc, #160] ; (bc4 ) + b22: 4798 blx r3 + b24: 2104 movs r1, #4 + b26: 2280 movs r2, #128 ; 0x80 + b28: 7321 strb r1, [r4, #12] + b2a: 21c0 movs r1, #192 ; 0xc0 + b2c: 2300 movs r3, #0 + b2e: 4d26 ldr r5, [pc, #152] ; (bc8 ) + b30: 0052 lsls r2, r2, #1 + b32: 8062 strh r2, [r4, #2] + b34: 0149 lsls r1, r1, #5 + b36: 3aff subs r2, #255 ; 0xff + b38: 60a3 str r3, [r4, #8] + b3a: 7123 strb r3, [r4, #4] + b3c: 7062 strb r2, [r4, #1] + b3e: 81e1 strh r1, [r4, #14] + b40: 7522 strb r2, [r4, #20] + b42: 74a3 strb r3, [r4, #18] + b44: 0022 movs r2, r4 + b46: 4b21 ldr r3, [pc, #132] ; (bcc ) + b48: 4921 ldr r1, [pc, #132] ; (bd0 ) + b4a: 0028 movs r0, r5 + b4c: 4798 blx r3 + b4e: 682b ldr r3, [r5, #0] + b50: 7e5a ldrb r2, [r3, #25] + b52: b252 sxtb r2, r2 + b54: 2a00 cmp r2, #0 + b56: dbfb blt.n b50 + b58: 220f movs r2, #15 + b5a: 759a strb r2, [r3, #22] + b5c: 761a strb r2, [r3, #24] + b5e: 7819 ldrb r1, [r3, #0] + b60: 3a0d subs r2, #13 + b62: 430a orrs r2, r1 + b64: 701a strb r2, [r3, #0] + b66: 682a ldr r2, [r5, #0] + b68: 7e53 ldrb r3, [r2, #25] + b6a: b25b sxtb r3, r3 + b6c: 2b00 cmp r3, #0 + b6e: dbfb blt.n b68 + b70: 4668 mov r0, sp + b72: 4b18 ldr r3, [pc, #96] ; (bd4 ) + b74: 4798 blx r3 + b76: 466a mov r2, sp + b78: 2327 movs r3, #39 ; 0x27 + b7a: 2400 movs r4, #0 + b7c: 4e16 ldr r6, [pc, #88] ; (bd8 ) + b7e: 4669 mov r1, sp + b80: 7053 strb r3, [r2, #1] + b82: 0030 movs r0, r6 + b84: 4b15 ldr r3, [pc, #84] ; (bdc ) + b86: 7094 strb r4, [r2, #2] + b88: 4798 blx r3 + b8a: 2301 movs r3, #1 + b8c: a902 add r1, sp, #8 + b8e: 700b strb r3, [r1, #0] + b90: 714b strb r3, [r1, #5] + b92: 70cb strb r3, [r1, #3] + b94: 330f adds r3, #15 + b96: 810b strh r3, [r1, #8] + b98: 682b ldr r3, [r5, #0] + b9a: 704c strb r4, [r1, #1] + b9c: 331a adds r3, #26 + b9e: 60cb str r3, [r1, #12] + ba0: 4b0f ldr r3, [pc, #60] ; (be0 ) + ba2: 708c strb r4, [r1, #2] + ba4: 718c strb r4, [r1, #6] + ba6: 71cc strb r4, [r1, #7] + ba8: 710c strb r4, [r1, #4] + baa: 4c0e ldr r4, [pc, #56] ; (be4 ) + bac: 3320 adds r3, #32 + bae: 610b str r3, [r1, #16] + bb0: 0020 movs r0, r4 + bb2: 4b0d ldr r3, [pc, #52] ; (be8 ) + bb4: 614c str r4, [r1, #20] + bb6: 4798 blx r3 + bb8: 0021 movs r1, r4 + bba: 0030 movs r0, r6 + bbc: 4b0b ldr r3, [pc, #44] ; (bec ) + bbe: 4798 blx r3 + bc0: b014 add sp, #80 ; 0x50 + bc2: bd70 pop {r4, r5, r6, pc} + bc4: 00000155 .word 0x00000155 + bc8: 20000108 .word 0x20000108 + bcc: 00000199 .word 0x00000199 + bd0: 42004000 .word 0x42004000 + bd4: 0000051d .word 0x0000051d + bd8: 20000110 .word 0x20000110 + bdc: 0000052d .word 0x0000052d + be0: 2000012c .word 0x2000012c + be4: 20000240 .word 0x20000240 + be8: 000006e5 .word 0x000006e5 + bec: 0000078d .word 0x0000078d + +00000bf0 : + bf0: b510 push {r4, lr} + bf2: 4b09 ldr r3, [pc, #36] ; (c18 ) + bf4: 681b ldr r3, [r3, #0] + bf6: 7e5a ldrb r2, [r3, #25] + bf8: b252 sxtb r2, r2 + bfa: 2a00 cmp r2, #0 + bfc: dbfb blt.n bf6 + bfe: 2202 movs r2, #2 + c00: 7b19 ldrb r1, [r3, #12] + c02: 430a orrs r2, r1 + c04: 731a strb r2, [r3, #12] + c06: 7e5a ldrb r2, [r3, #25] + c08: b252 sxtb r2, r2 + c0a: 2a00 cmp r2, #0 + c0c: dbfb blt.n c06 + c0e: 4803 ldr r0, [pc, #12] ; (c1c ) + c10: 4b03 ldr r3, [pc, #12] ; (c20 ) + c12: 4798 blx r3 + c14: bd10 pop {r4, pc} + c16: 46c0 nop ; (mov r8, r8) + c18: 20000108 .word 0x20000108 + c1c: 20000110 .word 0x20000110 + c20: 00000659 .word 0x00000659 + +00000c24 : + c24: 2300 movs r3, #0 + c26: 0018 movs r0, r3 + c28: 4904 ldr r1, [pc, #16] ; (c3c ) + c2a: 5a5a ldrh r2, [r3, r1] + c2c: 3302 adds r3, #2 + c2e: 1880 adds r0, r0, r2 + c30: 2b20 cmp r3, #32 + c32: d1fa bne.n c2a + c34: 0900 lsrs r0, r0, #4 + c36: b280 uxth r0, r0 + c38: 4770 bx lr + c3a: 46c0 nop ; (mov r8, r8) + c3c: 2000012c .word 0x2000012c + +00000c40 : + c40: b510 push {r4, lr} + c42: 4b04 ldr r3, [pc, #16] ; (c54 ) + c44: 4798 blx r3 + c46: 4b04 ldr r3, [pc, #16] ; (c58 ) + c48: 4798 blx r3 + c4a: 4904 ldr r1, [pc, #16] ; (c5c ) + c4c: 4b04 ldr r3, [pc, #16] ; (c60 ) + c4e: 4798 blx r3 + c50: bd10 pop {r4, pc} + c52: 46c0 nop ; (mov r8, r8) + c54: 00000c25 .word 0x00000c25 + c58: 00002339 .word 0x00002339 + c5c: 3a0cf909 .word 0x3a0cf909 + c60: 00001ce1 .word 0x00001ce1 + +00000c64 : + c64: b573 push {r0, r1, r4, r5, r6, lr} + c66: 466b mov r3, sp + c68: 1d9c adds r4, r3, #6 + c6a: 2300 movs r3, #0 + c6c: 0006 movs r6, r0 + c6e: 000d movs r5, r1 + c70: 8023 strh r3, [r4, #0] + c72: 0021 movs r1, r4 + c74: 0030 movs r0, r6 + c76: 4b03 ldr r3, [pc, #12] ; (c84 ) + c78: 4798 blx r3 + c7a: 2800 cmp r0, #0 + c7c: d1f9 bne.n c72 + c7e: 8823 ldrh r3, [r4, #0] + c80: 702b strb r3, [r5, #0] + c82: bd73 pop {r0, r1, r4, r5, r6, pc} + c84: 00001073 .word 0x00001073 + +00000c88 : + c88: b570 push {r4, r5, r6, lr} + c8a: 0004 movs r4, r0 + c8c: 000d movs r5, r1 + c8e: b2a9 uxth r1, r5 + c90: 0020 movs r0, r4 + c92: 4b02 ldr r3, [pc, #8] ; (c9c ) + c94: 4798 blx r3 + c96: 2800 cmp r0, #0 + c98: d1f9 bne.n c8e + c9a: bd70 pop {r4, r5, r6, pc} + c9c: 00001051 .word 0x00001051 + +00000ca0 : + ca0: b5f8 push {r3, r4, r5, r6, r7, lr} + ca2: 2380 movs r3, #128 ; 0x80 + ca4: 4a2d ldr r2, [pc, #180] ; (d5c ) + ca6: 05db lsls r3, r3, #23 + ca8: 6013 str r3, [r2, #0] + caa: 23ff movs r3, #255 ; 0xff + cac: 2501 movs r5, #1 + cae: 2400 movs r4, #0 + cb0: 8113 strh r3, [r2, #8] + cb2: 1d53 adds r3, r2, #5 + cb4: 77dd strb r5, [r3, #31] + cb6: 1d93 adds r3, r2, #6 + cb8: 77dd strb r5, [r3, #31] + cba: 1dd3 adds r3, r2, #7 + cbc: 77dc strb r4, [r3, #31] + cbe: 0013 movs r3, r2 + cc0: 3308 adds r3, #8 + cc2: 77dc strb r4, [r3, #31] + cc4: 0013 movs r3, r2 + cc6: 332c adds r3, #44 ; 0x2c + cc8: 701c strb r4, [r3, #0] + cca: 0013 movs r3, r2 + ccc: 332d adds r3, #45 ; 0x2d + cce: 701c strb r4, [r3, #0] + cd0: 2313 movs r3, #19 + cd2: 7693 strb r3, [r2, #26] + cd4: 4b22 ldr r3, [pc, #136] ; (d60 ) + cd6: 4e23 ldr r6, [pc, #140] ; (d64 ) + cd8: 6313 str r3, [r2, #48] ; 0x30 + cda: 4b23 ldr r3, [pc, #140] ; (d68 ) + cdc: 6054 str r4, [r2, #4] + cde: 6353 str r3, [r2, #52] ; 0x34 + ce0: 2301 movs r3, #1 + ce2: 425b negs r3, r3 + ce4: 6393 str r3, [r2, #56] ; 0x38 + ce6: 63d3 str r3, [r2, #60] ; 0x3c + ce8: 2380 movs r3, #128 ; 0x80 + cea: 035b lsls r3, r3, #13 + cec: 60d3 str r3, [r2, #12] + cee: 23e1 movs r3, #225 ; 0xe1 + cf0: 025b lsls r3, r3, #9 + cf2: 6213 str r3, [r2, #32] + cf4: 4b1d ldr r3, [pc, #116] ; (d6c ) + cf6: 7294 strb r4, [r2, #10] + cf8: 72d4 strb r4, [r2, #11] + cfa: 6294 str r4, [r2, #40] ; 0x28 + cfc: 6154 str r4, [r2, #20] + cfe: 8214 strh r4, [r2, #16] + d00: 76d4 strb r4, [r2, #27] + d02: 7614 strb r4, [r2, #24] + d04: 7714 strb r4, [r2, #28] + d06: 7654 strb r4, [r2, #25] + d08: 7754 strb r4, [r2, #29] + d0a: 4919 ldr r1, [pc, #100] ; (d70 ) + d0c: 601e str r6, [r3, #0] + d0e: 4b19 ldr r3, [pc, #100] ; (d74 ) + d10: 0030 movs r0, r6 + d12: 6019 str r1, [r3, #0] + d14: 4918 ldr r1, [pc, #96] ; (d78 ) + d16: 4b19 ldr r3, [pc, #100] ; (d7c ) + d18: 6019 str r1, [r3, #0] + d1a: 4919 ldr r1, [pc, #100] ; (d80 ) + d1c: 4b19 ldr r3, [pc, #100] ; (d84 ) + d1e: 4798 blx r3 + d20: 4f19 ldr r7, [pc, #100] ; (d88 ) + d22: 0021 movs r1, r4 + d24: 683b ldr r3, [r7, #0] + d26: 6898 ldr r0, [r3, #8] + d28: 4b18 ldr r3, [pc, #96] ; (d8c ) + d2a: 4798 blx r3 + d2c: 683b ldr r3, [r7, #0] + d2e: 0021 movs r1, r4 + d30: 6858 ldr r0, [r3, #4] + d32: 4b16 ldr r3, [pc, #88] ; (d8c ) + d34: 4798 blx r3 + d36: 6834 ldr r4, [r6, #0] + d38: 4b15 ldr r3, [pc, #84] ; (d90 ) + d3a: 0020 movs r0, r4 + d3c: 4798 blx r3 + d3e: 231f movs r3, #31 + d40: 4018 ands r0, r3 + d42: 4085 lsls r5, r0 + d44: 4b13 ldr r3, [pc, #76] ; (d94 ) + d46: 601d str r5, [r3, #0] + d48: 6832 ldr r2, [r6, #0] + d4a: 69d3 ldr r3, [r2, #28] + d4c: 2b00 cmp r3, #0 + d4e: d1fc bne.n d4a + d50: 6822 ldr r2, [r4, #0] + d52: 3302 adds r3, #2 + d54: 4313 orrs r3, r2 + d56: 6023 str r3, [r4, #0] + d58: bdf8 pop {r3, r4, r5, r6, r7, pc} + d5a: 46c0 nop ; (mov r8, r8) + d5c: 2000014c .word 0x2000014c + d60: 00160002 .word 0x00160002 + d64: 20000250 .word 0x20000250 + d68: 00170002 .word 0x00170002 + d6c: 20000238 .word 0x20000238 + d70: 00000c89 .word 0x00000c89 + d74: 20000234 .word 0x20000234 + d78: 00000c65 .word 0x00000c65 + d7c: 20000230 .word 0x20000230 + d80: 42001400 .word 0x42001400 + d84: 00000d99 .word 0x00000d99 + d88: 20000018 .word 0x20000018 + d8c: 00002575 .word 0x00002575 + d90: 00001281 .word 0x00001281 + d94: e000e100 .word 0xe000e100 + +00000d98 : + d98: b5f0 push {r4, r5, r6, r7, lr} + d9a: 0007 movs r7, r0 + d9c: b093 sub sp, #76 ; 0x4c + d9e: 4b9e ldr r3, [pc, #632] ; (1018 ) + da0: 6039 str r1, [r7, #0] + da2: 0008 movs r0, r1 + da4: 0014 movs r4, r2 + da6: 9104 str r1, [sp, #16] + da8: 4798 blx r3 + daa: 2201 movs r2, #1 + dac: 9b04 ldr r3, [sp, #16] + dae: 0006 movs r6, r0 + db0: 681b ldr r3, [r3, #0] + db2: 2005 movs r0, #5 + db4: 4213 tst r3, r2 + db6: d000 beq.n dba + db8: e10a b.n fd0 + dba: 9b04 ldr r3, [sp, #16] + dbc: 3017 adds r0, #23 + dbe: 681d ldr r5, [r3, #0] + dc0: 2302 movs r3, #2 + dc2: 401d ands r5, r3 + dc4: d000 beq.n dc8 + dc6: e103 b.n fd0 + dc8: 18f3 adds r3, r6, r3 + dca: 381b subs r0, #27 + dcc: 4098 lsls r0, r3 + dce: 0003 movs r3, r0 + dd0: 4a92 ldr r2, [pc, #584] ; (101c ) + dd2: 3614 adds r6, #20 + dd4: 6a11 ldr r1, [r2, #32] + dd6: b2f6 uxtb r6, r6 + dd8: 430b orrs r3, r1 + dda: 6213 str r3, [r2, #32] + ddc: 0023 movs r3, r4 + dde: 332d adds r3, #45 ; 0x2d + de0: 9302 str r3, [sp, #8] + de2: 781b ldrb r3, [r3, #0] + de4: a90c add r1, sp, #48 ; 0x30 + de6: 700b strb r3, [r1, #0] + de8: 0030 movs r0, r6 + dea: 4b8d ldr r3, [pc, #564] ; (1020 ) + dec: 4798 blx r3 + dee: 0030 movs r0, r6 + df0: 4b8c ldr r3, [pc, #560] ; (1024 ) + df2: 4798 blx r3 + df4: 9b02 ldr r3, [sp, #8] + df6: 0029 movs r1, r5 + df8: 7818 ldrb r0, [r3, #0] + dfa: 4b8b ldr r3, [pc, #556] ; (1028 ) + dfc: 4798 blx r3 + dfe: 7ae3 ldrb r3, [r4, #11] + e00: 2608 movs r6, #8 + e02: 717b strb r3, [r7, #5] + e04: 1d63 adds r3, r4, #5 + e06: 7fdb ldrb r3, [r3, #31] + e08: 71bb strb r3, [r7, #6] + e0a: 1da3 adds r3, r4, #6 + e0c: 7fdb ldrb r3, [r3, #31] + e0e: 71fb strb r3, [r7, #7] + e10: 7ee3 ldrb r3, [r4, #27] + e12: 723b strb r3, [r7, #8] + e14: 7f23 ldrb r3, [r4, #28] + e16: 727b strb r3, [r7, #9] + e18: 683b ldr r3, [r7, #0] + e1a: 9303 str r3, [sp, #12] + e1c: 0018 movs r0, r3 + e1e: 4b7e ldr r3, [pc, #504] ; (1018 ) + e20: 4798 blx r3 + e22: ab0e add r3, sp, #56 ; 0x38 + e24: 801d strh r5, [r3, #0] + e26: 8a23 ldrh r3, [r4, #16] + e28: 3014 adds r0, #20 + e2a: 9302 str r3, [sp, #8] + e2c: 2380 movs r3, #128 ; 0x80 + e2e: 9a02 ldr r2, [sp, #8] + e30: 01db lsls r3, r3, #7 + e32: 429a cmp r2, r3 + e34: d014 beq.n e60 + e36: d808 bhi.n e4a + e38: 2380 movs r3, #128 ; 0x80 + e3a: 2610 movs r6, #16 + e3c: 019b lsls r3, r3, #6 + e3e: 429a cmp r2, r3 + e40: d100 bne.n e44 + e42: e0c8 b.n fd6 + e44: 2610 movs r6, #16 + e46: 2500 movs r5, #0 + e48: e00a b.n e60 + e4a: 23c0 movs r3, #192 ; 0xc0 + e4c: 9a02 ldr r2, [sp, #8] + e4e: 01db lsls r3, r3, #7 + e50: 429a cmp r2, r3 + e52: d100 bne.n e56 + e54: e0be b.n fd4 + e56: 2380 movs r3, #128 ; 0x80 + e58: 2603 movs r6, #3 + e5a: 021b lsls r3, r3, #8 + e5c: 429a cmp r2, r3 + e5e: d1f1 bne.n e44 + e60: 6823 ldr r3, [r4, #0] + e62: 9306 str r3, [sp, #24] + e64: 68e3 ldr r3, [r4, #12] + e66: 9307 str r3, [sp, #28] + e68: 6963 ldr r3, [r4, #20] + e6a: 9308 str r3, [sp, #32] + e6c: 7e23 ldrb r3, [r4, #24] + e6e: 9309 str r3, [sp, #36] ; 0x24 + e70: 1de3 adds r3, r4, #7 + e72: 7fdb ldrb r3, [r3, #31] + e74: 930a str r3, [sp, #40] ; 0x28 + e76: 6863 ldr r3, [r4, #4] + e78: 9305 str r3, [sp, #20] + e7a: 0023 movs r3, r4 + e7c: 9a05 ldr r2, [sp, #20] + e7e: 3308 adds r3, #8 + e80: 2a00 cmp r2, #0 + e82: d100 bne.n e86 + e84: e0a9 b.n fda + e86: 2280 movs r2, #128 ; 0x80 + e88: 9905 ldr r1, [sp, #20] + e8a: 0552 lsls r2, r2, #21 + e8c: 4291 cmp r1, r2 + e8e: d10e bne.n eae + e90: 7fdb ldrb r3, [r3, #31] + e92: 2b00 cmp r3, #0 + e94: d10b bne.n eae + e96: 4b65 ldr r3, [pc, #404] ; (102c ) + e98: b2c0 uxtb r0, r0 + e9a: 6a25 ldr r5, [r4, #32] + e9c: 4798 blx r3 + e9e: aa0e add r2, sp, #56 ; 0x38 + ea0: 0001 movs r1, r0 + ea2: 4b63 ldr r3, [pc, #396] ; (1030 ) + ea4: 0028 movs r0, r5 + ea6: 4798 blx r3 + ea8: 2800 cmp r0, #0 + eaa: d000 beq.n eae + eac: e090 b.n fd0 + eae: 7e63 ldrb r3, [r4, #25] + eb0: 2b00 cmp r3, #0 + eb2: d002 beq.n eba + eb4: 7ea3 ldrb r3, [r4, #26] + eb6: 9a03 ldr r2, [sp, #12] + eb8: 7393 strb r3, [r2, #14] + eba: ae0e add r6, sp, #56 ; 0x38 + ebc: 8833 ldrh r3, [r6, #0] + ebe: 9a03 ldr r2, [sp, #12] + ec0: 8193 strh r3, [r2, #12] + ec2: 9a07 ldr r2, [sp, #28] + ec4: 9b06 ldr r3, [sp, #24] + ec6: 4313 orrs r3, r2 + ec8: 9a08 ldr r2, [sp, #32] + eca: 4313 orrs r3, r2 + ecc: 9a05 ldr r2, [sp, #20] + ece: 4313 orrs r3, r2 + ed0: 9a02 ldr r2, [sp, #8] + ed2: 4313 orrs r3, r2 + ed4: 9a09 ldr r2, [sp, #36] ; 0x24 + ed6: 0212 lsls r2, r2, #8 + ed8: 4313 orrs r3, r2 + eda: 9a0a ldr r2, [sp, #40] ; 0x28 + edc: 0752 lsls r2, r2, #29 + ede: 4313 orrs r3, r2 + ee0: 0022 movs r2, r4 + ee2: 3208 adds r2, #8 + ee4: 7fd2 ldrb r2, [r2, #31] + ee6: 2a00 cmp r2, #0 + ee8: d101 bne.n eee + eea: 3204 adds r2, #4 + eec: 4313 orrs r3, r2 + eee: 7e62 ldrb r2, [r4, #25] + ef0: 7f21 ldrb r1, [r4, #28] + ef2: 0292 lsls r2, r2, #10 + ef4: 0249 lsls r1, r1, #9 + ef6: 430a orrs r2, r1 + ef8: 7f61 ldrb r1, [r4, #29] + efa: 7ae0 ldrb r0, [r4, #11] + efc: 0209 lsls r1, r1, #8 + efe: 430a orrs r2, r1 + f00: 1d61 adds r1, r4, #5 + f02: 7fc9 ldrb r1, [r1, #31] + f04: 0449 lsls r1, r1, #17 + f06: 430a orrs r2, r1 + f08: 1da1 adds r1, r4, #6 + f0a: 7fc9 ldrb r1, [r1, #31] + f0c: 0409 lsls r1, r1, #16 + f0e: 430a orrs r2, r1 + f10: 7aa1 ldrb r1, [r4, #10] + f12: 4301 orrs r1, r0 + f14: 430a orrs r2, r1 + f16: 8921 ldrh r1, [r4, #8] + f18: 29ff cmp r1, #255 ; 0xff + f1a: d100 bne.n f1e + f1c: e073 b.n 1006 + f1e: 2080 movs r0, #128 ; 0x80 + f20: 0440 lsls r0, r0, #17 + f22: 4303 orrs r3, r0 + f24: 430a orrs r2, r1 + f26: 0021 movs r1, r4 + f28: 312c adds r1, #44 ; 0x2c + f2a: 7809 ldrb r1, [r1, #0] + f2c: 2900 cmp r1, #0 + f2e: d103 bne.n f38 + f30: 4940 ldr r1, [pc, #256] ; (1034 ) + f32: 7889 ldrb r1, [r1, #2] + f34: 0789 lsls r1, r1, #30 + f36: d501 bpl.n f3c + f38: 2180 movs r1, #128 ; 0x80 + f3a: 430b orrs r3, r1 + f3c: 6838 ldr r0, [r7, #0] + f3e: 69c1 ldr r1, [r0, #28] + f40: 2900 cmp r1, #0 + f42: d1fc bne.n f3e + f44: 9903 ldr r1, [sp, #12] + f46: 604a str r2, [r1, #4] + f48: 6839 ldr r1, [r7, #0] + f4a: 69ca ldr r2, [r1, #28] + f4c: 2a00 cmp r2, #0 + f4e: d1fc bne.n f4a + f50: 9903 ldr r1, [sp, #12] + f52: ad0d add r5, sp, #52 ; 0x34 + f54: 600b str r3, [r1, #0] + f56: 2380 movs r3, #128 ; 0x80 + f58: 702b strb r3, [r5, #0] + f5a: 6b23 ldr r3, [r4, #48] ; 0x30 + f5c: 706a strb r2, [r5, #1] + f5e: 930e str r3, [sp, #56] ; 0x38 + f60: 6b63 ldr r3, [r4, #52] ; 0x34 + f62: 70ea strb r2, [r5, #3] + f64: 6073 str r3, [r6, #4] + f66: 6ba3 ldr r3, [r4, #56] ; 0x38 + f68: 70aa strb r2, [r5, #2] + f6a: 60b3 str r3, [r6, #8] + f6c: 6be3 ldr r3, [r4, #60] ; 0x3c + f6e: 0014 movs r4, r2 + f70: 60f3 str r3, [r6, #12] + f72: 00a3 lsls r3, r4, #2 + f74: 58f0 ldr r0, [r6, r3] + f76: b2e1 uxtb r1, r4 + f78: 2800 cmp r0, #0 + f7a: d102 bne.n f82 + f7c: 9804 ldr r0, [sp, #16] + f7e: 4b2e ldr r3, [pc, #184] ; (1038 ) + f80: 4798 blx r3 + f82: 1c43 adds r3, r0, #1 + f84: d005 beq.n f92 + f86: 7028 strb r0, [r5, #0] + f88: 0c00 lsrs r0, r0, #16 + f8a: b2c0 uxtb r0, r0 + f8c: 0029 movs r1, r5 + f8e: 4b2b ldr r3, [pc, #172] ; (103c ) + f90: 4798 blx r3 + f92: 3401 adds r4, #1 + f94: 2c04 cmp r4, #4 + f96: d1ec bne.n f72 + f98: 003b movs r3, r7 + f9a: 2400 movs r4, #0 + f9c: 3330 adds r3, #48 ; 0x30 + f9e: 85fc strh r4, [r7, #46] ; 0x2e + fa0: 60fc str r4, [r7, #12] + fa2: 613c str r4, [r7, #16] + fa4: 617c str r4, [r7, #20] + fa6: 61bc str r4, [r7, #24] + fa8: 61fc str r4, [r7, #28] + faa: 623c str r4, [r7, #32] + fac: 62bc str r4, [r7, #40] ; 0x28 + fae: 627c str r4, [r7, #36] ; 0x24 + fb0: 85bc strh r4, [r7, #44] ; 0x2c + fb2: 709c strb r4, [r3, #2] + fb4: 701c strb r4, [r3, #0] + fb6: 705c strb r4, [r3, #1] + fb8: 70dc strb r4, [r3, #3] + fba: 6838 ldr r0, [r7, #0] + fbc: 4b16 ldr r3, [pc, #88] ; (1018 ) + fbe: 4798 blx r3 + fc0: 0005 movs r5, r0 + fc2: 4b1f ldr r3, [pc, #124] ; (1040 ) + fc4: 491f ldr r1, [pc, #124] ; (1044 ) + fc6: 4798 blx r3 + fc8: 0020 movs r0, r4 + fca: 4b1f ldr r3, [pc, #124] ; (1048 ) + fcc: 00ad lsls r5, r5, #2 + fce: 50ef str r7, [r5, r3] + fd0: b013 add sp, #76 ; 0x4c + fd2: bdf0 pop {r4, r5, r6, r7, pc} + fd4: 2608 movs r6, #8 + fd6: 2501 movs r5, #1 + fd8: e742 b.n e60 + fda: 7fdb ldrb r3, [r3, #31] + fdc: 2b00 cmp r3, #0 + fde: d007 beq.n ff0 + fe0: 002b movs r3, r5 + fe2: 9600 str r6, [sp, #0] + fe4: 6aa1 ldr r1, [r4, #40] ; 0x28 + fe6: 6a20 ldr r0, [r4, #32] + fe8: aa0e add r2, sp, #56 ; 0x38 + fea: 4d18 ldr r5, [pc, #96] ; (104c ) + fec: 47a8 blx r5 + fee: e75b b.n ea8 + ff0: 6a23 ldr r3, [r4, #32] + ff2: b2c0 uxtb r0, r0 + ff4: 930b str r3, [sp, #44] ; 0x2c + ff6: 4b0d ldr r3, [pc, #52] ; (102c ) + ff8: 4798 blx r3 + ffa: 9600 str r6, [sp, #0] + ffc: 0001 movs r1, r0 + ffe: 002b movs r3, r5 + 1000: aa0e add r2, sp, #56 ; 0x38 + 1002: 980b ldr r0, [sp, #44] ; 0x2c + 1004: e7f1 b.n fea + 1006: 7ee1 ldrb r1, [r4, #27] + 1008: 2900 cmp r1, #0 + 100a: d100 bne.n 100e + 100c: e78b b.n f26 + 100e: 2180 movs r1, #128 ; 0x80 + 1010: 04c9 lsls r1, r1, #19 + 1012: 430b orrs r3, r1 + 1014: e787 b.n f26 + 1016: 46c0 nop ; (mov r8, r8) + 1018: 00000a7d .word 0x00000a7d + 101c: 40000400 .word 0x40000400 + 1020: 0000184d .word 0x0000184d + 1024: 000017c5 .word 0x000017c5 + 1028: 000008dd .word 0x000008dd + 102c: 00001869 .word 0x00001869 + 1030: 0000081d .word 0x0000081d + 1034: 41002000 .word 0x41002000 + 1038: 00000921 .word 0x00000921 + 103c: 00001921 .word 0x00001921 + 1040: 00001249 .word 0x00001249 + 1044: 000010dd .word 0x000010dd + 1048: 20000284 .word 0x20000284 + 104c: 00000845 .word 0x00000845 + +00001050 : + 1050: 79c2 ldrb r2, [r0, #7] + 1052: 231c movs r3, #28 + 1054: 2a00 cmp r2, #0 + 1056: d00a beq.n 106e + 1058: 8dc2 ldrh r2, [r0, #46] ; 0x2e + 105a: 3b17 subs r3, #23 + 105c: 2a00 cmp r2, #0 + 105e: d106 bne.n 106e + 1060: 6803 ldr r3, [r0, #0] + 1062: 3202 adds r2, #2 + 1064: 8519 strh r1, [r3, #40] ; 0x28 + 1066: 7e19 ldrb r1, [r3, #24] + 1068: 4211 tst r1, r2 + 106a: d0fc beq.n 1066 + 106c: 2300 movs r3, #0 + 106e: 0018 movs r0, r3 + 1070: 4770 bx lr + +00001072 : + 1072: b510 push {r4, lr} + 1074: 7982 ldrb r2, [r0, #6] + 1076: 231c movs r3, #28 + 1078: 2a00 cmp r2, #0 + 107a: d011 beq.n 10a0 + 107c: 8d82 ldrh r2, [r0, #44] ; 0x2c + 107e: 3b17 subs r3, #23 + 1080: 2a00 cmp r2, #0 + 1082: d10d bne.n 10a0 + 1084: 6802 ldr r2, [r0, #0] + 1086: 2004 movs r0, #4 + 1088: 7e14 ldrb r4, [r2, #24] + 108a: 4204 tst r4, r0 + 108c: d008 beq.n 10a0 + 108e: 8b53 ldrh r3, [r2, #26] + 1090: b2db uxtb r3, r3 + 1092: 069c lsls r4, r3, #26 + 1094: d01d beq.n 10d2 + 1096: 2402 movs r4, #2 + 1098: 4223 tst r3, r4 + 109a: d003 beq.n 10a4 + 109c: 231a movs r3, #26 + 109e: 8354 strh r4, [r2, #26] + 10a0: 0018 movs r0, r3 + 10a2: bd10 pop {r4, pc} + 10a4: 4203 tst r3, r0 + 10a6: d002 beq.n 10ae + 10a8: 8350 strh r0, [r2, #26] + 10aa: 231e movs r3, #30 + 10ac: e7f8 b.n 10a0 + 10ae: 2001 movs r0, #1 + 10b0: 4203 tst r3, r0 + 10b2: d002 beq.n 10ba + 10b4: 8350 strh r0, [r2, #26] + 10b6: 2313 movs r3, #19 + 10b8: e7f2 b.n 10a0 + 10ba: 2010 movs r0, #16 + 10bc: 4203 tst r3, r0 + 10be: d002 beq.n 10c6 + 10c0: 8350 strh r0, [r2, #26] + 10c2: 2342 movs r3, #66 ; 0x42 + 10c4: e7ec b.n 10a0 + 10c6: 2020 movs r0, #32 + 10c8: 4203 tst r3, r0 + 10ca: d002 beq.n 10d2 + 10cc: 8350 strh r0, [r2, #26] + 10ce: 2341 movs r3, #65 ; 0x41 + 10d0: e7e6 b.n 10a0 + 10d2: 8d13 ldrh r3, [r2, #40] ; 0x28 + 10d4: 800b strh r3, [r1, #0] + 10d6: 2300 movs r3, #0 + 10d8: e7e2 b.n 10a0 + ... + +000010dc <_usart_interrupt_handler>: + 10dc: b5f8 push {r3, r4, r5, r6, r7, lr} + 10de: 4b58 ldr r3, [pc, #352] ; (1240 <_usart_interrupt_handler+0x164>) + 10e0: 0080 lsls r0, r0, #2 + 10e2: 58c4 ldr r4, [r0, r3] + 10e4: 6825 ldr r5, [r4, #0] + 10e6: 69eb ldr r3, [r5, #28] + 10e8: 2b00 cmp r3, #0 + 10ea: d1fc bne.n 10e6 <_usart_interrupt_handler+0xa> + 10ec: 7e2b ldrb r3, [r5, #24] + 10ee: 7daf ldrb r7, [r5, #22] + 10f0: 401f ands r7, r3 + 10f2: 0023 movs r3, r4 + 10f4: 3330 adds r3, #48 ; 0x30 + 10f6: 781a ldrb r2, [r3, #0] + 10f8: 785e ldrb r6, [r3, #1] + 10fa: 2301 movs r3, #1 + 10fc: 4016 ands r6, r2 + 10fe: 421f tst r7, r3 + 1100: d019 beq.n 1136 <_usart_interrupt_handler+0x5a> + 1102: 8de2 ldrh r2, [r4, #46] ; 0x2e + 1104: 2a00 cmp r2, #0 + 1106: d100 bne.n 110a <_usart_interrupt_handler+0x2e> + 1108: e072 b.n 11f0 <_usart_interrupt_handler+0x114> + 110a: 6aa2 ldr r2, [r4, #40] ; 0x28 + 110c: 1c51 adds r1, r2, #1 + 110e: 7813 ldrb r3, [r2, #0] + 1110: 62a1 str r1, [r4, #40] ; 0x28 + 1112: 7961 ldrb r1, [r4, #5] + 1114: b2db uxtb r3, r3 + 1116: 2901 cmp r1, #1 + 1118: d064 beq.n 11e4 <_usart_interrupt_handler+0x108> + 111a: b29b uxth r3, r3 + 111c: 05db lsls r3, r3, #23 + 111e: 0ddb lsrs r3, r3, #23 + 1120: 852b strh r3, [r5, #40] ; 0x28 + 1122: 8de3 ldrh r3, [r4, #46] ; 0x2e + 1124: 3b01 subs r3, #1 + 1126: b29b uxth r3, r3 + 1128: 85e3 strh r3, [r4, #46] ; 0x2e + 112a: 2b00 cmp r3, #0 + 112c: d103 bne.n 1136 <_usart_interrupt_handler+0x5a> + 112e: 3301 adds r3, #1 + 1130: 752b strb r3, [r5, #20] + 1132: 3301 adds r3, #1 + 1134: 75ab strb r3, [r5, #22] + 1136: 2302 movs r3, #2 + 1138: 421f tst r7, r3 + 113a: d009 beq.n 1150 <_usart_interrupt_handler+0x74> + 113c: 752b strb r3, [r5, #20] + 113e: 0023 movs r3, r4 + 1140: 2200 movs r2, #0 + 1142: 3333 adds r3, #51 ; 0x33 + 1144: 701a strb r2, [r3, #0] + 1146: 07f3 lsls r3, r6, #31 + 1148: d502 bpl.n 1150 <_usart_interrupt_handler+0x74> + 114a: 0020 movs r0, r4 + 114c: 68e3 ldr r3, [r4, #12] + 114e: 4798 blx r3 + 1150: 2304 movs r3, #4 + 1152: 421f tst r7, r3 + 1154: d027 beq.n 11a6 <_usart_interrupt_handler+0xca> + 1156: 8da2 ldrh r2, [r4, #44] ; 0x2c + 1158: 2a00 cmp r2, #0 + 115a: d100 bne.n 115e <_usart_interrupt_handler+0x82> + 115c: e06d b.n 123a <_usart_interrupt_handler+0x15e> + 115e: 8b6b ldrh r3, [r5, #26] + 1160: b2db uxtb r3, r3 + 1162: 071a lsls r2, r3, #28 + 1164: d446 bmi.n 11f4 <_usart_interrupt_handler+0x118> + 1166: 223f movs r2, #63 ; 0x3f + 1168: 4013 ands r3, r2 + 116a: d045 beq.n 11f8 <_usart_interrupt_handler+0x11c> + 116c: 2202 movs r2, #2 + 116e: 211a movs r1, #26 + 1170: 4213 tst r3, r2 + 1172: d10f bne.n 1194 <_usart_interrupt_handler+0xb8> + 1174: 2204 movs r2, #4 + 1176: 211e movs r1, #30 + 1178: 4213 tst r3, r2 + 117a: d10b bne.n 1194 <_usart_interrupt_handler+0xb8> + 117c: 2201 movs r2, #1 + 117e: 2113 movs r1, #19 + 1180: 4213 tst r3, r2 + 1182: d107 bne.n 1194 <_usart_interrupt_handler+0xb8> + 1184: 2210 movs r2, #16 + 1186: 2142 movs r1, #66 ; 0x42 + 1188: 4213 tst r3, r2 + 118a: d103 bne.n 1194 <_usart_interrupt_handler+0xb8> + 118c: 2220 movs r2, #32 + 118e: 4213 tst r3, r2 + 1190: d004 beq.n 119c <_usart_interrupt_handler+0xc0> + 1192: 2141 movs r1, #65 ; 0x41 + 1194: 0023 movs r3, r4 + 1196: 3332 adds r3, #50 ; 0x32 + 1198: 7019 strb r1, [r3, #0] + 119a: 836a strh r2, [r5, #26] + 119c: 0773 lsls r3, r6, #29 + 119e: d502 bpl.n 11a6 <_usart_interrupt_handler+0xca> + 11a0: 0020 movs r0, r4 + 11a2: 6963 ldr r3, [r4, #20] + 11a4: 4798 blx r3 + 11a6: 2310 movs r3, #16 + 11a8: 421f tst r7, r3 + 11aa: d006 beq.n 11ba <_usart_interrupt_handler+0xde> + 11ac: 752b strb r3, [r5, #20] + 11ae: 762b strb r3, [r5, #24] + 11b0: 421e tst r6, r3 + 11b2: d002 beq.n 11ba <_usart_interrupt_handler+0xde> + 11b4: 0020 movs r0, r4 + 11b6: 69e3 ldr r3, [r4, #28] + 11b8: 4798 blx r3 + 11ba: 2320 movs r3, #32 + 11bc: 421f tst r7, r3 + 11be: d006 beq.n 11ce <_usart_interrupt_handler+0xf2> + 11c0: 752b strb r3, [r5, #20] + 11c2: 762b strb r3, [r5, #24] + 11c4: 0733 lsls r3, r6, #28 + 11c6: d502 bpl.n 11ce <_usart_interrupt_handler+0xf2> + 11c8: 0020 movs r0, r4 + 11ca: 69a3 ldr r3, [r4, #24] + 11cc: 4798 blx r3 + 11ce: 2308 movs r3, #8 + 11d0: 421f tst r7, r3 + 11d2: d006 beq.n 11e2 <_usart_interrupt_handler+0x106> + 11d4: 752b strb r3, [r5, #20] + 11d6: 762b strb r3, [r5, #24] + 11d8: 06b3 lsls r3, r6, #26 + 11da: d502 bpl.n 11e2 <_usart_interrupt_handler+0x106> + 11dc: 6a23 ldr r3, [r4, #32] + 11de: 0020 movs r0, r4 + 11e0: 4798 blx r3 + 11e2: bdf8 pop {r3, r4, r5, r6, r7, pc} + 11e4: 7851 ldrb r1, [r2, #1] + 11e6: 3202 adds r2, #2 + 11e8: 0209 lsls r1, r1, #8 + 11ea: 430b orrs r3, r1 + 11ec: 62a2 str r2, [r4, #40] ; 0x28 + 11ee: e795 b.n 111c <_usart_interrupt_handler+0x40> + 11f0: 752b strb r3, [r5, #20] + 11f2: e7a0 b.n 1136 <_usart_interrupt_handler+0x5a> + 11f4: 2237 movs r2, #55 ; 0x37 + 11f6: e7b7 b.n 1168 <_usart_interrupt_handler+0x8c> + 11f8: 8d2b ldrh r3, [r5, #40] ; 0x28 + 11fa: 6a61 ldr r1, [r4, #36] ; 0x24 + 11fc: 05db lsls r3, r3, #23 + 11fe: 0ddb lsrs r3, r3, #23 + 1200: b2da uxtb r2, r3 + 1202: 700a strb r2, [r1, #0] + 1204: 6a62 ldr r2, [r4, #36] ; 0x24 + 1206: 1c51 adds r1, r2, #1 + 1208: 6261 str r1, [r4, #36] ; 0x24 + 120a: 7961 ldrb r1, [r4, #5] + 120c: 2901 cmp r1, #1 + 120e: d104 bne.n 121a <_usart_interrupt_handler+0x13e> + 1210: 0a1b lsrs r3, r3, #8 + 1212: 7053 strb r3, [r2, #1] + 1214: 6a63 ldr r3, [r4, #36] ; 0x24 + 1216: 3301 adds r3, #1 + 1218: 6263 str r3, [r4, #36] ; 0x24 + 121a: 8da3 ldrh r3, [r4, #44] ; 0x2c + 121c: 3b01 subs r3, #1 + 121e: b29b uxth r3, r3 + 1220: 85a3 strh r3, [r4, #44] ; 0x2c + 1222: 2b00 cmp r3, #0 + 1224: d1bf bne.n 11a6 <_usart_interrupt_handler+0xca> + 1226: 2204 movs r2, #4 + 1228: 752a strb r2, [r5, #20] + 122a: 0022 movs r2, r4 + 122c: 3232 adds r2, #50 ; 0x32 + 122e: 7013 strb r3, [r2, #0] + 1230: 07b3 lsls r3, r6, #30 + 1232: d5b8 bpl.n 11a6 <_usart_interrupt_handler+0xca> + 1234: 0020 movs r0, r4 + 1236: 6923 ldr r3, [r4, #16] + 1238: e7b4 b.n 11a4 <_usart_interrupt_handler+0xc8> + 123a: 752b strb r3, [r5, #20] + 123c: e7b3 b.n 11a6 <_usart_interrupt_handler+0xca> + 123e: 46c0 nop ; (mov r8, r8) + 1240: 20000284 .word 0x20000284 + +00001244 <_sercom_default_handler>: + 1244: 4770 bx lr + ... + +00001248 <_sercom_set_handler>: + 1248: b5f0 push {r4, r5, r6, r7, lr} + 124a: 4c09 ldr r4, [pc, #36] ; (1270 <_sercom_set_handler+0x28>) + 124c: 4a09 ldr r2, [pc, #36] ; (1274 <_sercom_set_handler+0x2c>) + 124e: 7823 ldrb r3, [r4, #0] + 1250: 2b00 cmp r3, #0 + 1252: d109 bne.n 1268 <_sercom_set_handler+0x20> + 1254: 001e movs r6, r3 + 1256: 4f08 ldr r7, [pc, #32] ; (1278 <_sercom_set_handler+0x30>) + 1258: 4d08 ldr r5, [pc, #32] ; (127c <_sercom_set_handler+0x34>) + 125a: 50d7 str r7, [r2, r3] + 125c: 50ee str r6, [r5, r3] + 125e: 3304 adds r3, #4 + 1260: 2b18 cmp r3, #24 + 1262: d1fa bne.n 125a <_sercom_set_handler+0x12> + 1264: 3b17 subs r3, #23 + 1266: 7023 strb r3, [r4, #0] + 1268: 0080 lsls r0, r0, #2 + 126a: 5011 str r1, [r2, r0] + 126c: bdf0 pop {r4, r5, r6, r7, pc} + 126e: 46c0 nop ; (mov r8, r8) + 1270: 2000018c .word 0x2000018c + 1274: 20000190 .word 0x20000190 + 1278: 00001245 .word 0x00001245 + 127c: 20000284 .word 0x20000284 + +00001280 <_sercom_get_interrupt_vector>: + 1280: b507 push {r0, r1, r2, lr} + 1282: 2309 movs r3, #9 + 1284: 466a mov r2, sp + 1286: 7013 strb r3, [r2, #0] + 1288: 3301 adds r3, #1 + 128a: 7053 strb r3, [r2, #1] + 128c: 3301 adds r3, #1 + 128e: 7093 strb r3, [r2, #2] + 1290: 3301 adds r3, #1 + 1292: 70d3 strb r3, [r2, #3] + 1294: 3301 adds r3, #1 + 1296: 7113 strb r3, [r2, #4] + 1298: 3301 adds r3, #1 + 129a: 7153 strb r3, [r2, #5] + 129c: 4b02 ldr r3, [pc, #8] ; (12a8 <_sercom_get_interrupt_vector+0x28>) + 129e: 4798 blx r3 + 12a0: 466b mov r3, sp + 12a2: 5618 ldrsb r0, [r3, r0] + 12a4: bd0e pop {r1, r2, r3, pc} + 12a6: 46c0 nop ; (mov r8, r8) + 12a8: 00000a7d .word 0x00000a7d + +000012ac : + 12ac: b510 push {r4, lr} + 12ae: 4b02 ldr r3, [pc, #8] ; (12b8 ) + 12b0: 2000 movs r0, #0 + 12b2: 681b ldr r3, [r3, #0] + 12b4: 4798 blx r3 + 12b6: bd10 pop {r4, pc} + 12b8: 20000190 .word 0x20000190 + +000012bc : + 12bc: b510 push {r4, lr} + 12be: 4b02 ldr r3, [pc, #8] ; (12c8 ) + 12c0: 2001 movs r0, #1 + 12c2: 685b ldr r3, [r3, #4] + 12c4: 4798 blx r3 + 12c6: bd10 pop {r4, pc} + 12c8: 20000190 .word 0x20000190 + +000012cc : + 12cc: b510 push {r4, lr} + 12ce: 4b02 ldr r3, [pc, #8] ; (12d8 ) + 12d0: 2002 movs r0, #2 + 12d2: 689b ldr r3, [r3, #8] + 12d4: 4798 blx r3 + 12d6: bd10 pop {r4, pc} + 12d8: 20000190 .word 0x20000190 + +000012dc : + 12dc: b510 push {r4, lr} + 12de: 4b02 ldr r3, [pc, #8] ; (12e8 ) + 12e0: 2003 movs r0, #3 + 12e2: 68db ldr r3, [r3, #12] + 12e4: 4798 blx r3 + 12e6: bd10 pop {r4, pc} + 12e8: 20000190 .word 0x20000190 + +000012ec : + 12ec: b510 push {r4, lr} + 12ee: 4b02 ldr r3, [pc, #8] ; (12f8 ) + 12f0: 2004 movs r0, #4 + 12f2: 691b ldr r3, [r3, #16] + 12f4: 4798 blx r3 + 12f6: bd10 pop {r4, pc} + 12f8: 20000190 .word 0x20000190 + +000012fc : + 12fc: b510 push {r4, lr} + 12fe: 4b02 ldr r3, [pc, #8] ; (1308 ) + 1300: 2005 movs r0, #5 + 1302: 695b ldr r3, [r3, #20] + 1304: 4798 blx r3 + 1306: bd10 pop {r4, pc} + 1308: 20000190 .word 0x20000190 + +0000130c : + 130c: b570 push {r4, r5, r6, lr} + 130e: 4b09 ldr r3, [pc, #36] ; (1334 ) + 1310: 2000 movs r0, #0 + 1312: 4798 blx r3 + 1314: 21fa movs r1, #250 ; 0xfa + 1316: 4c08 ldr r4, [pc, #32] ; (1338 ) + 1318: 0089 lsls r1, r1, #2 + 131a: 0005 movs r5, r0 + 131c: 47a0 blx r4 + 131e: 4b07 ldr r3, [pc, #28] ; (133c ) + 1320: 4907 ldr r1, [pc, #28] ; (1340 ) + 1322: 6018 str r0, [r3, #0] + 1324: 0028 movs r0, r5 + 1326: 47a0 blx r4 + 1328: 2205 movs r2, #5 + 132a: 4b06 ldr r3, [pc, #24] ; (1344 ) + 132c: 6018 str r0, [r3, #0] + 132e: 4b06 ldr r3, [pc, #24] ; (1348 ) + 1330: 601a str r2, [r3, #0] + 1332: bd70 pop {r4, r5, r6, pc} + 1334: 0000173d .word 0x0000173d + 1338: 00001b5d .word 0x00001b5d + 133c: 2000000c .word 0x2000000c + 1340: 000f4240 .word 0x000f4240 + 1344: 20000010 .word 0x20000010 + 1348: e000e010 .word 0xe000e010 + +0000134c : + 134c: b530 push {r4, r5, lr} + 134e: 2480 movs r4, #128 ; 0x80 + 1350: 2100 movs r1, #0 + 1352: 4b07 ldr r3, [pc, #28] ; (1370 ) + 1354: 0264 lsls r4, r4, #9 + 1356: 681a ldr r2, [r3, #0] + 1358: 4b06 ldr r3, [pc, #24] ; (1374 ) + 135a: 3801 subs r0, #1 + 135c: d200 bcs.n 1360 + 135e: bd30 pop {r4, r5, pc} + 1360: 2a00 cmp r2, #0 + 1362: d0fa beq.n 135a + 1364: 605a str r2, [r3, #4] + 1366: 6099 str r1, [r3, #8] + 1368: 681d ldr r5, [r3, #0] + 136a: 4225 tst r5, r4 + 136c: d0fc beq.n 1368 + 136e: e7f4 b.n 135a + 1370: 2000000c .word 0x2000000c + 1374: e000e010 .word 0xe000e010 + +00001378 : + 1378: 4b09 ldr r3, [pc, #36] ; (13a0 ) + 137a: 6819 ldr r1, [r3, #0] + 137c: 2900 cmp r1, #0 + 137e: d10b bne.n 1398 + 1380: f3ef 8010 mrs r0, PRIMASK + 1384: 4a07 ldr r2, [pc, #28] ; (13a4 ) + 1386: 2800 cmp r0, #0 + 1388: d105 bne.n 1396 + 138a: b672 cpsid i + 138c: f3bf 8f5f dmb sy + 1390: 4905 ldr r1, [pc, #20] ; (13a8 ) + 1392: 7008 strb r0, [r1, #0] + 1394: 2101 movs r1, #1 + 1396: 7011 strb r1, [r2, #0] + 1398: 681a ldr r2, [r3, #0] + 139a: 3201 adds r2, #1 + 139c: 601a str r2, [r3, #0] + 139e: 4770 bx lr + 13a0: 200001a8 .word 0x200001a8 + 13a4: 200001ac .word 0x200001ac + 13a8: 20000014 .word 0x20000014 + +000013ac : + 13ac: 4b08 ldr r3, [pc, #32] ; (13d0 ) + 13ae: 681a ldr r2, [r3, #0] + 13b0: 3a01 subs r2, #1 + 13b2: 601a str r2, [r3, #0] + 13b4: 681b ldr r3, [r3, #0] + 13b6: 2b00 cmp r3, #0 + 13b8: d109 bne.n 13ce + 13ba: 4b06 ldr r3, [pc, #24] ; (13d4 ) + 13bc: 781b ldrb r3, [r3, #0] + 13be: 2b00 cmp r3, #0 + 13c0: d005 beq.n 13ce + 13c2: 2201 movs r2, #1 + 13c4: 4b04 ldr r3, [pc, #16] ; (13d8 ) + 13c6: 701a strb r2, [r3, #0] + 13c8: f3bf 8f5f dmb sy + 13cc: b662 cpsie i + 13ce: 4770 bx lr + 13d0: 200001a8 .word 0x200001a8 + 13d4: 200001ac .word 0x200001ac + 13d8: 20000014 .word 0x20000014 + +000013dc : + 13dc: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 13de: 2501 movs r5, #1 + 13e0: 2700 movs r7, #0 + 13e2: ac01 add r4, sp, #4 + 13e4: 4e08 ldr r6, [pc, #32] ; (1408 ) + 13e6: 0021 movs r1, r4 + 13e8: 203e movs r0, #62 ; 0x3e + 13ea: 7065 strb r5, [r4, #1] + 13ec: 70a7 strb r7, [r4, #2] + 13ee: 7025 strb r5, [r4, #0] + 13f0: 47b0 blx r6 + 13f2: 2280 movs r2, #128 ; 0x80 + 13f4: 4b05 ldr r3, [pc, #20] ; (140c ) + 13f6: 05d2 lsls r2, r2, #23 + 13f8: 601a str r2, [r3, #0] + 13fa: 0021 movs r1, r4 + 13fc: 200f movs r0, #15 + 13fe: 7027 strb r7, [r4, #0] + 1400: 7065 strb r5, [r4, #1] + 1402: 47b0 blx r6 + 1404: bdf7 pop {r0, r1, r2, r4, r5, r6, r7, pc} + 1406: 46c0 nop ; (mov r8, r8) + 1408: 00001411 .word 0x00001411 + 140c: 41004498 .word 0x41004498 + +00001410 : + 1410: b507 push {r0, r1, r2, lr} + 1412: 2280 movs r2, #128 ; 0x80 + 1414: ab01 add r3, sp, #4 + 1416: 701a strb r2, [r3, #0] + 1418: 780a ldrb r2, [r1, #0] + 141a: 705a strb r2, [r3, #1] + 141c: 784a ldrb r2, [r1, #1] + 141e: 709a strb r2, [r3, #2] + 1420: 788a ldrb r2, [r1, #2] + 1422: 0019 movs r1, r3 + 1424: 70da strb r2, [r3, #3] + 1426: 4b01 ldr r3, [pc, #4] ; (142c ) + 1428: 4798 blx r3 + 142a: bd07 pop {r0, r1, r2, pc} + 142c: 00001921 .word 0x00001921 + +00001430 <_system_dfll_wait_for_sync>: + 1430: 2310 movs r3, #16 + 1432: 4902 ldr r1, [pc, #8] ; (143c <_system_dfll_wait_for_sync+0xc>) + 1434: 68ca ldr r2, [r1, #12] + 1436: 421a tst r2, r3 + 1438: d0fc beq.n 1434 <_system_dfll_wait_for_sync+0x4> + 143a: 4770 bx lr + 143c: 40000800 .word 0x40000800 + +00001440 : + 1440: b570 push {r4, r5, r6, lr} + 1442: 2808 cmp r0, #8 + 1444: d806 bhi.n 1454 + 1446: f000 fb7f bl 1b48 <__gnu_thumb1_case_uqi> + 144a: 0508 .short 0x0508 + 144c: 12303005 .word 0x12303005 + 1450: 150b .short 0x150b + 1452: 28 .byte 0x28 + 1453: 00 .byte 0x00 + 1454: 2400 movs r4, #0 + 1456: 0020 movs r0, r4 + 1458: bd70 pop {r4, r5, r6, pc} + 145a: 4b16 ldr r3, [pc, #88] ; (14b4 ) + 145c: 691c ldr r4, [r3, #16] + 145e: e7fa b.n 1456 + 1460: 4b15 ldr r3, [pc, #84] ; (14b8 ) + 1462: 4c16 ldr r4, [pc, #88] ; (14bc ) + 1464: 6a1b ldr r3, [r3, #32] + 1466: 059b lsls r3, r3, #22 + 1468: 0f9b lsrs r3, r3, #30 + 146a: 40dc lsrs r4, r3 + 146c: e7f3 b.n 1456 + 146e: 4b11 ldr r3, [pc, #68] ; (14b4 ) + 1470: 695c ldr r4, [r3, #20] + 1472: e7f0 b.n 1456 + 1474: 4d0f ldr r5, [pc, #60] ; (14b4 ) + 1476: 2400 movs r4, #0 + 1478: 682b ldr r3, [r5, #0] + 147a: 079b lsls r3, r3, #30 + 147c: d5eb bpl.n 1456 + 147e: 4b10 ldr r3, [pc, #64] ; (14c0 ) + 1480: 4798 blx r3 + 1482: 2224 movs r2, #36 ; 0x24 + 1484: 682b ldr r3, [r5, #0] + 1486: 4013 ands r3, r2 + 1488: 2b04 cmp r3, #4 + 148a: d111 bne.n 14b0 + 148c: 0020 movs r0, r4 + 148e: 4b0d ldr r3, [pc, #52] ; (14c4 ) + 1490: 4798 blx r3 + 1492: 68ac ldr r4, [r5, #8] + 1494: b2a4 uxth r4, r4 + 1496: 4344 muls r4, r0 + 1498: e7dd b.n 1456 + 149a: 4b0b ldr r3, [pc, #44] ; (14c8 ) + 149c: 2400 movs r4, #0 + 149e: 781b ldrb r3, [r3, #0] + 14a0: 075b lsls r3, r3, #29 + 14a2: d5d8 bpl.n 1456 + 14a4: 4b03 ldr r3, [pc, #12] ; (14b4 ) + 14a6: 68dc ldr r4, [r3, #12] + 14a8: e7d5 b.n 1456 + 14aa: 2480 movs r4, #128 ; 0x80 + 14ac: 0224 lsls r4, r4, #8 + 14ae: e7d2 b.n 1456 + 14b0: 4c06 ldr r4, [pc, #24] ; (14cc ) + 14b2: e7d0 b.n 1456 + 14b4: 200001b0 .word 0x200001b0 + 14b8: 40000800 .word 0x40000800 + 14bc: 007a1200 .word 0x007a1200 + 14c0: 00001431 .word 0x00001431 + 14c4: 00001869 .word 0x00001869 + 14c8: 40000850 .word 0x40000850 + 14cc: 02dc6c00 .word 0x02dc6c00 + +000014d0 : + 14d0: b570 push {r4, r5, r6, lr} + 14d2: 7884 ldrb r4, [r0, #2] + 14d4: 7802 ldrb r2, [r0, #0] + 14d6: 7845 ldrb r5, [r0, #1] + 14d8: 2001 movs r0, #1 + 14da: 2640 movs r6, #64 ; 0x40 + 14dc: 4005 ands r5, r0 + 14de: 4020 ands r0, r4 + 14e0: 2480 movs r4, #128 ; 0x80 + 14e2: 4908 ldr r1, [pc, #32] ; (1504 ) + 14e4: 01ad lsls r5, r5, #6 + 14e6: 6a0b ldr r3, [r1, #32] + 14e8: 01c0 lsls r0, r0, #7 + 14ea: 43b3 bics r3, r6 + 14ec: 432b orrs r3, r5 + 14ee: 43a3 bics r3, r4 + 14f0: 4303 orrs r3, r0 + 14f2: 2003 movs r0, #3 + 14f4: 4002 ands r2, r0 + 14f6: 4804 ldr r0, [pc, #16] ; (1508 ) + 14f8: 0212 lsls r2, r2, #8 + 14fa: 4003 ands r3, r0 + 14fc: 4313 orrs r3, r2 + 14fe: 620b str r3, [r1, #32] + 1500: bd70 pop {r4, r5, r6, pc} + 1502: 46c0 nop ; (mov r8, r8) + 1504: 40000800 .word 0x40000800 + 1508: fffffcff .word 0xfffffcff + +0000150c : + 150c: b5f8 push {r3, r4, r5, r6, r7, lr} + 150e: 2808 cmp r0, #8 + 1510: d806 bhi.n 1520 + 1512: f000 fb19 bl 1b48 <__gnu_thumb1_case_uqi> + 1516: 0514 .short 0x0514 + 1518: 1a0e0c05 .word 0x1a0e0c05 + 151c: 2007 .short 0x2007 + 151e: 34 .byte 0x34 + 151f: 00 .byte 0x00 + 1520: 2017 movs r0, #23 + 1522: bdf8 pop {r3, r4, r5, r6, r7, pc} + 1524: 2302 movs r3, #2 + 1526: 4a19 ldr r2, [pc, #100] ; (158c ) + 1528: 6a11 ldr r1, [r2, #32] + 152a: 430b orrs r3, r1 + 152c: 6213 str r3, [r2, #32] + 152e: 2000 movs r0, #0 + 1530: e7f7 b.n 1522 + 1532: 2302 movs r3, #2 + 1534: 4a15 ldr r2, [pc, #84] ; (158c ) + 1536: 6991 ldr r1, [r2, #24] + 1538: 430b orrs r3, r1 + 153a: 6193 str r3, [r2, #24] + 153c: e7f7 b.n 152e + 153e: 2302 movs r3, #2 + 1540: 4a12 ldr r2, [pc, #72] ; (158c ) + 1542: 8a11 ldrh r1, [r2, #16] + 1544: 430b orrs r3, r1 + 1546: 8213 strh r3, [r2, #16] + 1548: e7f1 b.n 152e + 154a: 2302 movs r3, #2 + 154c: 4a0f ldr r2, [pc, #60] ; (158c ) + 154e: 8a91 ldrh r1, [r2, #20] + 1550: 430b orrs r3, r1 + 1552: 8293 strh r3, [r2, #20] + 1554: e7eb b.n 152e + 1556: 2202 movs r2, #2 + 1558: 2400 movs r4, #0 + 155a: 4e0d ldr r6, [pc, #52] ; (1590 ) + 155c: 4d0b ldr r5, [pc, #44] ; (158c ) + 155e: 6833 ldr r3, [r6, #0] + 1560: 4f0c ldr r7, [pc, #48] ; (1594 ) + 1562: 4313 orrs r3, r2 + 1564: 6033 str r3, [r6, #0] + 1566: 84aa strh r2, [r5, #36] ; 0x24 + 1568: 47b8 blx r7 + 156a: 68b3 ldr r3, [r6, #8] + 156c: 62eb str r3, [r5, #44] ; 0x2c + 156e: 6873 ldr r3, [r6, #4] + 1570: 62ab str r3, [r5, #40] ; 0x28 + 1572: 84ac strh r4, [r5, #36] ; 0x24 + 1574: 47b8 blx r7 + 1576: 6833 ldr r3, [r6, #0] + 1578: b29b uxth r3, r3 + 157a: 84ab strh r3, [r5, #36] ; 0x24 + 157c: e7d7 b.n 152e + 157e: 2302 movs r3, #2 + 1580: 4a05 ldr r2, [pc, #20] ; (1598 ) + 1582: 7811 ldrb r1, [r2, #0] + 1584: 430b orrs r3, r1 + 1586: 7013 strb r3, [r2, #0] + 1588: e7d1 b.n 152e + 158a: 46c0 nop ; (mov r8, r8) + 158c: 40000800 .word 0x40000800 + 1590: 200001b0 .word 0x200001b0 + 1594: 00001431 .word 0x00001431 + 1598: 40000844 .word 0x40000844 + +0000159c : + 159c: b57f push {r0, r1, r2, r3, r4, r5, r6, lr} + 159e: 22c2 movs r2, #194 ; 0xc2 + 15a0: 211e movs r1, #30 + 15a2: 4b19 ldr r3, [pc, #100] ; (1608 ) + 15a4: 00d2 lsls r2, r2, #3 + 15a6: 609a str r2, [r3, #8] + 15a8: 4a18 ldr r2, [pc, #96] ; (160c ) + 15aa: 2400 movs r4, #0 + 15ac: 6853 ldr r3, [r2, #4] + 15ae: ad01 add r5, sp, #4 + 15b0: 438b bics r3, r1 + 15b2: 6053 str r3, [r2, #4] + 15b4: 2301 movs r3, #1 + 15b6: 702b strb r3, [r5, #0] + 15b8: b2e0 uxtb r0, r4 + 15ba: 0029 movs r1, r5 + 15bc: 4b14 ldr r3, [pc, #80] ; (1610 ) + 15be: 3401 adds r4, #1 + 15c0: 4798 blx r3 + 15c2: 2c25 cmp r4, #37 ; 0x25 + 15c4: d1f8 bne.n 15b8 + 15c6: 2400 movs r4, #0 + 15c8: 466b mov r3, sp + 15ca: 2601 movs r6, #1 + 15cc: 705c strb r4, [r3, #1] + 15ce: 709e strb r6, [r3, #2] + 15d0: 701c strb r4, [r3, #0] + 15d2: 4668 mov r0, sp + 15d4: 4b0f ldr r3, [pc, #60] ; (1614 ) + 15d6: 4798 blx r3 + 15d8: 4b0f ldr r3, [pc, #60] ; (1618 ) + 15da: 2006 movs r0, #6 + 15dc: 4798 blx r3 + 15de: 4b0f ldr r3, [pc, #60] ; (161c ) + 15e0: 4798 blx r3 + 15e2: 4b0f ldr r3, [pc, #60] ; (1620 ) + 15e4: 0029 movs r1, r5 + 15e6: 721c strb r4, [r3, #8] + 15e8: 725c strb r4, [r3, #9] + 15ea: 729c strb r4, [r3, #10] + 15ec: 72dc strb r4, [r3, #11] + 15ee: 2306 movs r3, #6 + 15f0: 0020 movs r0, r4 + 15f2: 702b strb r3, [r5, #0] + 15f4: 4b0b ldr r3, [pc, #44] ; (1624 ) + 15f6: 606e str r6, [r5, #4] + 15f8: 706c strb r4, [r5, #1] + 15fa: 722c strb r4, [r5, #8] + 15fc: 726c strb r4, [r5, #9] + 15fe: 4798 blx r3 + 1600: 0020 movs r0, r4 + 1602: 4b09 ldr r3, [pc, #36] ; (1628 ) + 1604: 4798 blx r3 + 1606: bd7f pop {r0, r1, r2, r3, r4, r5, r6, pc} + 1608: 40000800 .word 0x40000800 + 160c: 41004000 .word 0x41004000 + 1610: 0000184d .word 0x0000184d + 1614: 000014d1 .word 0x000014d1 + 1618: 0000150d .word 0x0000150d + 161c: 00001639 .word 0x00001639 + 1620: 40000400 .word 0x40000400 + 1624: 00001659 .word 0x00001659 + 1628: 000016fd .word 0x000016fd + +0000162c : + 162c: 4b01 ldr r3, [pc, #4] ; (1634 ) + 162e: 7858 ldrb r0, [r3, #1] + 1630: 09c0 lsrs r0, r0, #7 + 1632: 4770 bx lr + 1634: 40000c00 .word 0x40000c00 + +00001638 : + 1638: 2308 movs r3, #8 + 163a: 4a05 ldr r2, [pc, #20] ; (1650 ) + 163c: 6991 ldr r1, [r2, #24] + 163e: 430b orrs r3, r1 + 1640: 6193 str r3, [r2, #24] + 1642: 2301 movs r3, #1 + 1644: 4a03 ldr r2, [pc, #12] ; (1654 ) + 1646: 7013 strb r3, [r2, #0] + 1648: 7811 ldrb r1, [r2, #0] + 164a: 4219 tst r1, r3 + 164c: d1fc bne.n 1648 + 164e: 4770 bx lr + 1650: 40000400 .word 0x40000400 + 1654: 40000c00 .word 0x40000c00 + +00001658 : + 1658: b5f8 push {r3, r4, r5, r6, r7, lr} + 165a: 780c ldrb r4, [r1, #0] + 165c: 784b ldrb r3, [r1, #1] + 165e: 0224 lsls r4, r4, #8 + 1660: 0006 movs r6, r0 + 1662: 0005 movs r5, r0 + 1664: 4304 orrs r4, r0 + 1666: 2b00 cmp r3, #0 + 1668: d002 beq.n 1670 + 166a: 2380 movs r3, #128 ; 0x80 + 166c: 02db lsls r3, r3, #11 + 166e: 431c orrs r4, r3 + 1670: 7a4b ldrb r3, [r1, #9] + 1672: 2b00 cmp r3, #0 + 1674: d002 beq.n 167c + 1676: 2380 movs r3, #128 ; 0x80 + 1678: 031b lsls r3, r3, #12 + 167a: 431c orrs r4, r3 + 167c: 684b ldr r3, [r1, #4] + 167e: 2b01 cmp r3, #1 + 1680: d912 bls.n 16a8 + 1682: 1e5d subs r5, r3, #1 + 1684: 401d ands r5, r3 + 1686: 2202 movs r2, #2 + 1688: 2d00 cmp r5, #0 + 168a: d006 beq.n 169a + 168c: 021d lsls r5, r3, #8 + 168e: 2380 movs r3, #128 ; 0x80 + 1690: 4335 orrs r5, r6 + 1692: 029b lsls r3, r3, #10 + 1694: e007 b.n 16a6 + 1696: 3501 adds r5, #1 + 1698: 0052 lsls r2, r2, #1 + 169a: 429a cmp r2, r3 + 169c: d3fb bcc.n 1696 + 169e: 2380 movs r3, #128 ; 0x80 + 16a0: 022d lsls r5, r5, #8 + 16a2: 4335 orrs r5, r6 + 16a4: 035b lsls r3, r3, #13 + 16a6: 431c orrs r4, r3 + 16a8: 7a0b ldrb r3, [r1, #8] + 16aa: 2b00 cmp r3, #0 + 16ac: d002 beq.n 16b4 + 16ae: 2380 movs r3, #128 ; 0x80 + 16b0: 039b lsls r3, r3, #14 + 16b2: 431c orrs r4, r3 + 16b4: 4f0c ldr r7, [pc, #48] ; (16e8 ) + 16b6: 47b8 blx r7 + 16b8: 2800 cmp r0, #0 + 16ba: d1fb bne.n 16b4 + 16bc: 4b0b ldr r3, [pc, #44] ; (16ec ) + 16be: 4798 blx r3 + 16c0: 4b0b ldr r3, [pc, #44] ; (16f0 ) + 16c2: 701e strb r6, [r3, #0] + 16c4: 47b8 blx r7 + 16c6: 2800 cmp r0, #0 + 16c8: d1fc bne.n 16c4 + 16ca: 4b0a ldr r3, [pc, #40] ; (16f4 ) + 16cc: 609d str r5, [r3, #8] + 16ce: 001d movs r5, r3 + 16d0: 47b8 blx r7 + 16d2: 2800 cmp r0, #0 + 16d4: d1fc bne.n 16d0 + 16d6: 2280 movs r2, #128 ; 0x80 + 16d8: 686b ldr r3, [r5, #4] + 16da: 0252 lsls r2, r2, #9 + 16dc: 4013 ands r3, r2 + 16de: 431c orrs r4, r3 + 16e0: 606c str r4, [r5, #4] + 16e2: 4b05 ldr r3, [pc, #20] ; (16f8 ) + 16e4: 4798 blx r3 + 16e6: bdf8 pop {r3, r4, r5, r6, r7, pc} + 16e8: 0000162d .word 0x0000162d + 16ec: 00001379 .word 0x00001379 + 16f0: 40000c08 .word 0x40000c08 + 16f4: 40000c00 .word 0x40000c00 + 16f8: 000013ad .word 0x000013ad + +000016fc : + 16fc: b570 push {r4, r5, r6, lr} + 16fe: 0005 movs r5, r0 + 1700: 4c09 ldr r4, [pc, #36] ; (1728 ) + 1702: 47a0 blx r4 + 1704: 2800 cmp r0, #0 + 1706: d1fb bne.n 1700 + 1708: 4b08 ldr r3, [pc, #32] ; (172c ) + 170a: 4798 blx r3 + 170c: 4b08 ldr r3, [pc, #32] ; (1730 ) + 170e: 701d strb r5, [r3, #0] + 1710: 47a0 blx r4 + 1712: 2800 cmp r0, #0 + 1714: d1fc bne.n 1710 + 1716: 2380 movs r3, #128 ; 0x80 + 1718: 4a06 ldr r2, [pc, #24] ; (1734 ) + 171a: 025b lsls r3, r3, #9 + 171c: 6851 ldr r1, [r2, #4] + 171e: 430b orrs r3, r1 + 1720: 6053 str r3, [r2, #4] + 1722: 4b05 ldr r3, [pc, #20] ; (1738 ) + 1724: 4798 blx r3 + 1726: bd70 pop {r4, r5, r6, pc} + 1728: 0000162d .word 0x0000162d + 172c: 00001379 .word 0x00001379 + 1730: 40000c04 .word 0x40000c04 + 1734: 40000c00 .word 0x40000c00 + 1738: 000013ad .word 0x000013ad + +0000173c : + 173c: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 173e: 0007 movs r7, r0 + 1740: 4e18 ldr r6, [pc, #96] ; (17a4 ) + 1742: 47b0 blx r6 + 1744: 2800 cmp r0, #0 + 1746: d1fb bne.n 1740 + 1748: 4b17 ldr r3, [pc, #92] ; (17a8 ) + 174a: 4798 blx r3 + 174c: 4b17 ldr r3, [pc, #92] ; (17ac ) + 174e: 701f strb r7, [r3, #0] + 1750: 9301 str r3, [sp, #4] + 1752: 47b0 blx r6 + 1754: 2800 cmp r0, #0 + 1756: d1fc bne.n 1752 + 1758: 4d15 ldr r5, [pc, #84] ; (17b0 ) + 175a: 4b16 ldr r3, [pc, #88] ; (17b4 ) + 175c: 6868 ldr r0, [r5, #4] + 175e: 04c0 lsls r0, r0, #19 + 1760: 0ec0 lsrs r0, r0, #27 + 1762: 4798 blx r3 + 1764: 0004 movs r4, r0 + 1766: 9b01 ldr r3, [sp, #4] + 1768: 701f strb r7, [r3, #0] + 176a: 686b ldr r3, [r5, #4] + 176c: 02db lsls r3, r3, #11 + 176e: 0fdb lsrs r3, r3, #31 + 1770: 9301 str r3, [sp, #4] + 1772: 4b11 ldr r3, [pc, #68] ; (17b8 ) + 1774: 701f strb r7, [r3, #0] + 1776: 47b0 blx r6 + 1778: 2800 cmp r0, #0 + 177a: d1fc bne.n 1776 + 177c: 68ad ldr r5, [r5, #8] + 177e: 4b0f ldr r3, [pc, #60] ; (17bc ) + 1780: 4798 blx r3 + 1782: 9b01 ldr r3, [sp, #4] + 1784: 022d lsls r5, r5, #8 + 1786: 0c2d lsrs r5, r5, #16 + 1788: 2b00 cmp r3, #0 + 178a: d108 bne.n 179e + 178c: 2d01 cmp r5, #1 + 178e: d904 bls.n 179a + 1790: 0020 movs r0, r4 + 1792: 0029 movs r1, r5 + 1794: 4b0a ldr r3, [pc, #40] ; (17c0 ) + 1796: 4798 blx r3 + 1798: 0004 movs r4, r0 + 179a: 0020 movs r0, r4 + 179c: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 179e: 3501 adds r5, #1 + 17a0: 40ec lsrs r4, r5 + 17a2: e7fa b.n 179a + 17a4: 0000162d .word 0x0000162d + 17a8: 00001379 .word 0x00001379 + 17ac: 40000c04 .word 0x40000c04 + 17b0: 40000c00 .word 0x40000c00 + 17b4: 00001441 .word 0x00001441 + 17b8: 40000c08 .word 0x40000c08 + 17bc: 000013ad .word 0x000013ad + 17c0: 00001b5d .word 0x00001b5d + +000017c4 : + 17c4: b510 push {r4, lr} + 17c6: 0004 movs r4, r0 + 17c8: 4b06 ldr r3, [pc, #24] ; (17e4 ) + 17ca: 4798 blx r3 + 17cc: 4b06 ldr r3, [pc, #24] ; (17e8 ) + 17ce: 4a07 ldr r2, [pc, #28] ; (17ec ) + 17d0: 701c strb r4, [r3, #0] + 17d2: 2380 movs r3, #128 ; 0x80 + 17d4: 8851 ldrh r1, [r2, #2] + 17d6: 01db lsls r3, r3, #7 + 17d8: 430b orrs r3, r1 + 17da: 8053 strh r3, [r2, #2] + 17dc: 4b04 ldr r3, [pc, #16] ; (17f0 ) + 17de: 4798 blx r3 + 17e0: bd10 pop {r4, pc} + 17e2: 46c0 nop ; (mov r8, r8) + 17e4: 00001379 .word 0x00001379 + 17e8: 40000c02 .word 0x40000c02 + 17ec: 40000c00 .word 0x40000c00 + 17f0: 000013ad .word 0x000013ad + +000017f4 : + 17f4: b510 push {r4, lr} + 17f6: 0004 movs r4, r0 + 17f8: 4b0e ldr r3, [pc, #56] ; (1834 ) + 17fa: 4798 blx r3 + 17fc: 4b0e ldr r3, [pc, #56] ; (1838 ) + 17fe: 4a0f ldr r2, [pc, #60] ; (183c ) + 1800: 701c strb r4, [r3, #0] + 1802: 4b0f ldr r3, [pc, #60] ; (1840 ) + 1804: 4c0f ldr r4, [pc, #60] ; (1844 ) + 1806: 8858 ldrh r0, [r3, #2] + 1808: 8859 ldrh r1, [r3, #2] + 180a: 0500 lsls r0, r0, #20 + 180c: 4011 ands r1, r2 + 180e: 8059 strh r1, [r3, #2] + 1810: 8859 ldrh r1, [r3, #2] + 1812: 0f00 lsrs r0, r0, #28 + 1814: 4021 ands r1, r4 + 1816: 8059 strh r1, [r3, #2] + 1818: 0011 movs r1, r2 + 181a: 2280 movs r2, #128 ; 0x80 + 181c: 01d2 lsls r2, r2, #7 + 181e: 885c ldrh r4, [r3, #2] + 1820: 4214 tst r4, r2 + 1822: d1fc bne.n 181e + 1824: 885a ldrh r2, [r3, #2] + 1826: 0200 lsls r0, r0, #8 + 1828: 400a ands r2, r1 + 182a: 4302 orrs r2, r0 + 182c: 805a strh r2, [r3, #2] + 182e: 4b06 ldr r3, [pc, #24] ; (1848 ) + 1830: 4798 blx r3 + 1832: bd10 pop {r4, pc} + 1834: 00001379 .word 0x00001379 + 1838: 40000c02 .word 0x40000c02 + 183c: fffff0ff .word 0xfffff0ff + 1840: 40000c00 .word 0x40000c00 + 1844: ffffbfff .word 0xffffbfff + 1848: 000013ad .word 0x000013ad + +0000184c : + 184c: b510 push {r4, lr} + 184e: 780c ldrb r4, [r1, #0] + 1850: 4b03 ldr r3, [pc, #12] ; (1860 ) + 1852: 0224 lsls r4, r4, #8 + 1854: 4304 orrs r4, r0 + 1856: 4798 blx r3 + 1858: 4b02 ldr r3, [pc, #8] ; (1864 ) + 185a: b2a4 uxth r4, r4 + 185c: 805c strh r4, [r3, #2] + 185e: bd10 pop {r4, pc} + 1860: 000017f5 .word 0x000017f5 + 1864: 40000c00 .word 0x40000c00 + +00001868 : + 1868: b510 push {r4, lr} + 186a: 0004 movs r4, r0 + 186c: 4b06 ldr r3, [pc, #24] ; (1888 ) + 186e: 4798 blx r3 + 1870: 4b06 ldr r3, [pc, #24] ; (188c ) + 1872: 701c strb r4, [r3, #0] + 1874: 4b06 ldr r3, [pc, #24] ; (1890 ) + 1876: 885c ldrh r4, [r3, #2] + 1878: 4b06 ldr r3, [pc, #24] ; (1894 ) + 187a: 0524 lsls r4, r4, #20 + 187c: 0f24 lsrs r4, r4, #28 + 187e: 4798 blx r3 + 1880: 0020 movs r0, r4 + 1882: 4b05 ldr r3, [pc, #20] ; (1898 ) + 1884: 4798 blx r3 + 1886: bd10 pop {r4, pc} + 1888: 00001379 .word 0x00001379 + 188c: 40000c02 .word 0x40000c02 + 1890: 40000c00 .word 0x40000c00 + 1894: 000013ad .word 0x000013ad + 1898: 0000173d .word 0x0000173d + +0000189c <_system_pinmux_config>: + 189c: 78d3 ldrb r3, [r2, #3] + 189e: b530 push {r4, r5, lr} + 18a0: 2b00 cmp r3, #0 + 18a2: d135 bne.n 1910 <_system_pinmux_config+0x74> + 18a4: 7814 ldrb r4, [r2, #0] + 18a6: 2c80 cmp r4, #128 ; 0x80 + 18a8: d003 beq.n 18b2 <_system_pinmux_config+0x16> + 18aa: 2380 movs r3, #128 ; 0x80 + 18ac: 0624 lsls r4, r4, #24 + 18ae: 025b lsls r3, r3, #9 + 18b0: 4323 orrs r3, r4 + 18b2: 2502 movs r5, #2 + 18b4: 7854 ldrb r4, [r2, #1] + 18b6: 43ac bics r4, r5 + 18b8: d106 bne.n 18c8 <_system_pinmux_config+0x2c> + 18ba: 7894 ldrb r4, [r2, #2] + 18bc: 2c00 cmp r4, #0 + 18be: d124 bne.n 190a <_system_pinmux_config+0x6e> + 18c0: 2480 movs r4, #128 ; 0x80 + 18c2: 02a4 lsls r4, r4, #10 + 18c4: 4323 orrs r3, r4 + 18c6: 6041 str r1, [r0, #4] + 18c8: 7854 ldrb r4, [r2, #1] + 18ca: 3c01 subs r4, #1 + 18cc: 2c01 cmp r4, #1 + 18ce: d801 bhi.n 18d4 <_system_pinmux_config+0x38> + 18d0: 4c12 ldr r4, [pc, #72] ; (191c <_system_pinmux_config+0x80>) + 18d2: 4023 ands r3, r4 + 18d4: 24a0 movs r4, #160 ; 0xa0 + 18d6: b28d uxth r5, r1 + 18d8: 05e4 lsls r4, r4, #23 + 18da: 432c orrs r4, r5 + 18dc: 431c orrs r4, r3 + 18de: 6284 str r4, [r0, #40] ; 0x28 + 18e0: 24d0 movs r4, #208 ; 0xd0 + 18e2: 0c0d lsrs r5, r1, #16 + 18e4: 0624 lsls r4, r4, #24 + 18e6: 432c orrs r4, r5 + 18e8: 431c orrs r4, r3 + 18ea: 6284 str r4, [r0, #40] ; 0x28 + 18ec: 78d4 ldrb r4, [r2, #3] + 18ee: 2c00 cmp r4, #0 + 18f0: d10a bne.n 1908 <_system_pinmux_config+0x6c> + 18f2: 035b lsls r3, r3, #13 + 18f4: d503 bpl.n 18fe <_system_pinmux_config+0x62> + 18f6: 7893 ldrb r3, [r2, #2] + 18f8: 2b01 cmp r3, #1 + 18fa: d10c bne.n 1916 <_system_pinmux_config+0x7a> + 18fc: 6181 str r1, [r0, #24] + 18fe: 7853 ldrb r3, [r2, #1] + 1900: 3b01 subs r3, #1 + 1902: 2b01 cmp r3, #1 + 1904: d800 bhi.n 1908 <_system_pinmux_config+0x6c> + 1906: 6081 str r1, [r0, #8] + 1908: bd30 pop {r4, r5, pc} + 190a: 24c0 movs r4, #192 ; 0xc0 + 190c: 02e4 lsls r4, r4, #11 + 190e: e7d9 b.n 18c4 <_system_pinmux_config+0x28> + 1910: 6041 str r1, [r0, #4] + 1912: 2300 movs r3, #0 + 1914: e7de b.n 18d4 <_system_pinmux_config+0x38> + 1916: 6141 str r1, [r0, #20] + 1918: e7f1 b.n 18fe <_system_pinmux_config+0x62> + 191a: 46c0 nop ; (mov r8, r8) + 191c: fffbffff .word 0xfffbffff + +00001920 : + 1920: b510 push {r4, lr} + 1922: 0003 movs r3, r0 + 1924: 000a movs r2, r1 + 1926: 0944 lsrs r4, r0, #5 + 1928: 09c1 lsrs r1, r0, #7 + 192a: 2000 movs r0, #0 + 192c: 4281 cmp r1, r0 + 192e: d102 bne.n 1936 + 1930: 4904 ldr r1, [pc, #16] ; (1944 ) + 1932: 01e0 lsls r0, r4, #7 + 1934: 1840 adds r0, r0, r1 + 1936: 211f movs r1, #31 + 1938: 400b ands r3, r1 + 193a: 391e subs r1, #30 + 193c: 4099 lsls r1, r3 + 193e: 4b02 ldr r3, [pc, #8] ; (1948 ) + 1940: 4798 blx r3 + 1942: bd10 pop {r4, pc} + 1944: 41004400 .word 0x41004400 + 1948: 0000189d .word 0x0000189d + +0000194c <_system_dummy_init>: + 194c: 4770 bx lr + ... + +00001950 : + 1950: b510 push {r4, lr} + 1952: 4b05 ldr r3, [pc, #20] ; (1968 ) + 1954: 4798 blx r3 + 1956: 4b05 ldr r3, [pc, #20] ; (196c ) + 1958: 4798 blx r3 + 195a: 4b05 ldr r3, [pc, #20] ; (1970 ) + 195c: 4798 blx r3 + 195e: 4b05 ldr r3, [pc, #20] ; (1974 ) + 1960: 4798 blx r3 + 1962: 4b05 ldr r3, [pc, #20] ; (1978 ) + 1964: 4798 blx r3 + 1966: bd10 pop {r4, pc} + 1968: 0000159d .word 0x0000159d + 196c: 000013dd .word 0x000013dd + 1970: 0000194d .word 0x0000194d + 1974: 0000194d .word 0x0000194d + 1978: 0000194d .word 0x0000194d + +0000197c : + 197c: e7fe b.n 197c + ... + +00001980 : + 1980: 4821 ldr r0, [pc, #132] ; (1a08 ) + 1982: 4922 ldr r1, [pc, #136] ; (1a0c ) + 1984: b570 push {r4, r5, r6, lr} + 1986: 4288 cmp r0, r1 + 1988: d004 beq.n 1994 + 198a: 2300 movs r3, #0 + 198c: 4c20 ldr r4, [pc, #128] ; (1a10 ) + 198e: 18ca adds r2, r1, r3 + 1990: 42a2 cmp r2, r4 + 1992: d332 bcc.n 19fa + 1994: 2100 movs r1, #0 + 1996: 4b1f ldr r3, [pc, #124] ; (1a14 ) + 1998: 4a1f ldr r2, [pc, #124] ; (1a18 ) + 199a: 4293 cmp r3, r2 + 199c: d331 bcc.n 1a02 + 199e: 21ff movs r1, #255 ; 0xff + 19a0: 4b1e ldr r3, [pc, #120] ; (1a1c ) + 19a2: 4a1f ldr r2, [pc, #124] ; (1a20 ) + 19a4: 438b bics r3, r1 + 19a6: 6093 str r3, [r2, #8] + 19a8: 2202 movs r2, #2 + 19aa: 250c movs r5, #12 + 19ac: 2408 movs r4, #8 + 19ae: 2630 movs r6, #48 ; 0x30 + 19b0: 4b1c ldr r3, [pc, #112] ; (1a24 ) + 19b2: 481d ldr r0, [pc, #116] ; (1a28 ) + 19b4: 625a str r2, [r3, #36] ; 0x24 + 19b6: 78c3 ldrb r3, [r0, #3] + 19b8: 39fc subs r1, #252 ; 0xfc + 19ba: 438b bics r3, r1 + 19bc: 4313 orrs r3, r2 + 19be: 70c3 strb r3, [r0, #3] + 19c0: 78c3 ldrb r3, [r0, #3] + 19c2: 43ab bics r3, r5 + 19c4: 4323 orrs r3, r4 + 19c6: 70c3 strb r3, [r0, #3] + 19c8: 4b18 ldr r3, [pc, #96] ; (1a2c ) + 19ca: 7b98 ldrb r0, [r3, #14] + 19cc: 43b0 bics r0, r6 + 19ce: 0006 movs r6, r0 + 19d0: 2020 movs r0, #32 + 19d2: 4330 orrs r0, r6 + 19d4: 7398 strb r0, [r3, #14] + 19d6: 7b98 ldrb r0, [r3, #14] + 19d8: 43a8 bics r0, r5 + 19da: 4304 orrs r4, r0 + 19dc: 739c strb r4, [r3, #14] + 19de: 7b98 ldrb r0, [r3, #14] + 19e0: 4388 bics r0, r1 + 19e2: 4302 orrs r2, r0 + 19e4: 739a strb r2, [r3, #14] + 19e6: 2380 movs r3, #128 ; 0x80 + 19e8: 4a11 ldr r2, [pc, #68] ; (1a30 ) + 19ea: 6851 ldr r1, [r2, #4] + 19ec: 430b orrs r3, r1 + 19ee: 6053 str r3, [r2, #4] + 19f0: 4b10 ldr r3, [pc, #64] ; (1a34 ) + 19f2: 4798 blx r3 + 19f4: 4b10 ldr r3, [pc, #64] ; (1a38 ) + 19f6: 4798 blx r3 + 19f8: e7fe b.n 19f8 + 19fa: 58c5 ldr r5, [r0, r3] + 19fc: 3304 adds r3, #4 + 19fe: 6015 str r5, [r2, #0] + 1a00: e7c5 b.n 198e + 1a02: c302 stmia r3!, {r1} + 1a04: e7c9 b.n 199a + 1a06: 46c0 nop ; (mov r8, r8) + 1a08: 000036e8 .word 0x000036e8 + 1a0c: 20000000 .word 0x20000000 + 1a10: 2000007c .word 0x2000007c + 1a14: 20000080 .word 0x20000080 + 1a18: 200002a0 .word 0x200002a0 + 1a1c: 00000000 .word 0x00000000 + 1a20: e000ed00 .word 0xe000ed00 + 1a24: 410070fc .word 0x410070fc + 1a28: 41005000 .word 0x41005000 + 1a2c: 41004800 .word 0x41004800 + 1a30: 41004000 .word 0x41004000 + 1a34: 00002401 .word 0x00002401 + 1a38: 00001a75 .word 0x00001a75 + +00001a3c <_sbrk>: + 1a3c: 4b05 ldr r3, [pc, #20] ; (1a54 <_sbrk+0x18>) + 1a3e: 0002 movs r2, r0 + 1a40: 6819 ldr r1, [r3, #0] + 1a42: 2900 cmp r1, #0 + 1a44: d101 bne.n 1a4a <_sbrk+0xe> + 1a46: 4904 ldr r1, [pc, #16] ; (1a58 <_sbrk+0x1c>) + 1a48: 6019 str r1, [r3, #0] + 1a4a: 6818 ldr r0, [r3, #0] + 1a4c: 1882 adds r2, r0, r2 + 1a4e: 601a str r2, [r3, #0] + 1a50: 4770 bx lr + 1a52: 46c0 nop ; (mov r8, r8) + 1a54: 200001c8 .word 0x200001c8 + 1a58: 200022a0 .word 0x200022a0 + +00001a5c <_close>: + 1a5c: 2001 movs r0, #1 + 1a5e: 4240 negs r0, r0 + 1a60: 4770 bx lr + +00001a62 <_fstat>: + 1a62: 2380 movs r3, #128 ; 0x80 + 1a64: 019b lsls r3, r3, #6 + 1a66: 2000 movs r0, #0 + 1a68: 604b str r3, [r1, #4] + 1a6a: 4770 bx lr + +00001a6c <_isatty>: + 1a6c: 2001 movs r0, #1 + 1a6e: 4770 bx lr + +00001a70 <_lseek>: + 1a70: 2000 movs r0, #0 + 1a72: 4770 bx lr + +00001a74

    : + 1a74: b5f8 push {r3, r4, r5, r6, r7, lr} + 1a76: 4b1f ldr r3, [pc, #124] ; (1af4 ) + 1a78: 4798 blx r3 + 1a7a: 4b1f ldr r3, [pc, #124] ; (1af8 ) + 1a7c: 4798 blx r3 + 1a7e: 2201 movs r2, #1 + 1a80: 4b1e ldr r3, [pc, #120] ; (1afc ) + 1a82: 701a strb r2, [r3, #0] + 1a84: f3bf 8f5f dmb sy + 1a88: b662 cpsie i + 1a8a: 4b1d ldr r3, [pc, #116] ; (1b00 ) + 1a8c: 4798 blx r3 + 1a8e: 4b1d ldr r3, [pc, #116] ; (1b04 ) + 1a90: 4798 blx r3 + 1a92: 4b1d ldr r3, [pc, #116] ; (1b08 ) + 1a94: 4798 blx r3 + 1a96: 211b movs r1, #27 + 1a98: 4c1c ldr r4, [pc, #112] ; (1b0c ) + 1a9a: 481d ldr r0, [pc, #116] ; (1b10 ) + 1a9c: 47a0 blx r4 + 1a9e: 211b movs r1, #27 + 1aa0: 481c ldr r0, [pc, #112] ; (1b14 ) + 1aa2: 47a0 blx r4 + 1aa4: 481c ldr r0, [pc, #112] ; (1b18 ) + 1aa6: 4b1d ldr r3, [pc, #116] ; (1b1c ) + 1aa8: 4798 blx r3 + 1aaa: 251b movs r5, #27 + 1aac: 4b1c ldr r3, [pc, #112] ; (1b20 ) + 1aae: 4798 blx r3 + 1ab0: 4b1c ldr r3, [pc, #112] ; (1b24 ) + 1ab2: 1c07 adds r7, r0, #0 + 1ab4: 4798 blx r3 + 1ab6: 4b1c ldr r3, [pc, #112] ; (1b28 ) + 1ab8: 0006 movs r6, r0 + 1aba: 4798 blx r3 + 1abc: 4b1b ldr r3, [pc, #108] ; (1b2c ) + 1abe: 1c01 adds r1, r0, #0 + 1ac0: 1c38 adds r0, r7, #0 + 1ac2: 4798 blx r3 + 1ac4: 491a ldr r1, [pc, #104] ; (1b30 ) + 1ac6: 4b1b ldr r3, [pc, #108] ; (1b34 ) + 1ac8: 4798 blx r3 + 1aca: 4b16 ldr r3, [pc, #88] ; (1b24 ) + 1acc: 4798 blx r3 + 1ace: 0031 movs r1, r6 + 1ad0: 0002 movs r2, r0 + 1ad2: 4819 ldr r0, [pc, #100] ; (1b38 ) + 1ad4: 47a0 blx r4 + 1ad6: 20fa movs r0, #250 ; 0xfa + 1ad8: 4b18 ldr r3, [pc, #96] ; (1b3c ) + 1ada: 0040 lsls r0, r0, #1 + 1adc: 4798 blx r3 + 1ade: 0029 movs r1, r5 + 1ae0: 4817 ldr r0, [pc, #92] ; (1b40 ) + 1ae2: 47a0 blx r4 + 1ae4: 0029 movs r1, r5 + 1ae6: 480b ldr r0, [pc, #44] ; (1b14 ) + 1ae8: 47a0 blx r4 + 1aea: 0029 movs r1, r5 + 1aec: 4815 ldr r0, [pc, #84] ; (1b44 ) + 1aee: 47a0 blx r4 + 1af0: e7dc b.n 1aac + 1af2: 46c0 nop ; (mov r8, r8) + 1af4: 00001951 .word 0x00001951 + 1af8: 0000130d .word 0x0000130d + 1afc: 20000014 .word 0x20000014 + 1b00: 00000ca1 .word 0x00000ca1 + 1b04: 00000b19 .word 0x00000b19 + 1b08: 00000bf1 .word 0x00000bf1 + 1b0c: 0000246d .word 0x0000246d + 1b10: 0000358c .word 0x0000358c + 1b14: 00003592 .word 0x00003592 + 1b18: 00003597 .word 0x00003597 + 1b1c: 00002561 .word 0x00002561 + 1b20: 00000c41 .word 0x00000c41 + 1b24: 00002259 .word 0x00002259 + 1b28: 00002299 .word 0x00002299 + 1b2c: 00001f21 .word 0x00001f21 + 1b30: 447a0000 .word 0x447a0000 + 1b34: 00001ce1 .word 0x00001ce1 + 1b38: 000035d3 .word 0x000035d3 + 1b3c: 0000134d .word 0x0000134d + 1b40: 000035e4 .word 0x000035e4 + 1b44: 000035ea .word 0x000035ea + +00001b48 <__gnu_thumb1_case_uqi>: + 1b48: b402 push {r1} + 1b4a: 4671 mov r1, lr + 1b4c: 0849 lsrs r1, r1, #1 + 1b4e: 0049 lsls r1, r1, #1 + 1b50: 5c09 ldrb r1, [r1, r0] + 1b52: 0049 lsls r1, r1, #1 + 1b54: 448e add lr, r1 + 1b56: bc02 pop {r1} + 1b58: 4770 bx lr + 1b5a: 46c0 nop ; (mov r8, r8) + +00001b5c <__udivsi3>: + 1b5c: 2200 movs r2, #0 + 1b5e: 0843 lsrs r3, r0, #1 + 1b60: 428b cmp r3, r1 + 1b62: d374 bcc.n 1c4e <__udivsi3+0xf2> + 1b64: 0903 lsrs r3, r0, #4 + 1b66: 428b cmp r3, r1 + 1b68: d35f bcc.n 1c2a <__udivsi3+0xce> + 1b6a: 0a03 lsrs r3, r0, #8 + 1b6c: 428b cmp r3, r1 + 1b6e: d344 bcc.n 1bfa <__udivsi3+0x9e> + 1b70: 0b03 lsrs r3, r0, #12 + 1b72: 428b cmp r3, r1 + 1b74: d328 bcc.n 1bc8 <__udivsi3+0x6c> + 1b76: 0c03 lsrs r3, r0, #16 + 1b78: 428b cmp r3, r1 + 1b7a: d30d bcc.n 1b98 <__udivsi3+0x3c> + 1b7c: 22ff movs r2, #255 ; 0xff + 1b7e: 0209 lsls r1, r1, #8 + 1b80: ba12 rev r2, r2 + 1b82: 0c03 lsrs r3, r0, #16 + 1b84: 428b cmp r3, r1 + 1b86: d302 bcc.n 1b8e <__udivsi3+0x32> + 1b88: 1212 asrs r2, r2, #8 + 1b8a: 0209 lsls r1, r1, #8 + 1b8c: d065 beq.n 1c5a <__udivsi3+0xfe> + 1b8e: 0b03 lsrs r3, r0, #12 + 1b90: 428b cmp r3, r1 + 1b92: d319 bcc.n 1bc8 <__udivsi3+0x6c> + 1b94: e000 b.n 1b98 <__udivsi3+0x3c> + 1b96: 0a09 lsrs r1, r1, #8 + 1b98: 0bc3 lsrs r3, r0, #15 + 1b9a: 428b cmp r3, r1 + 1b9c: d301 bcc.n 1ba2 <__udivsi3+0x46> + 1b9e: 03cb lsls r3, r1, #15 + 1ba0: 1ac0 subs r0, r0, r3 + 1ba2: 4152 adcs r2, r2 + 1ba4: 0b83 lsrs r3, r0, #14 + 1ba6: 428b cmp r3, r1 + 1ba8: d301 bcc.n 1bae <__udivsi3+0x52> + 1baa: 038b lsls r3, r1, #14 + 1bac: 1ac0 subs r0, r0, r3 + 1bae: 4152 adcs r2, r2 + 1bb0: 0b43 lsrs r3, r0, #13 + 1bb2: 428b cmp r3, r1 + 1bb4: d301 bcc.n 1bba <__udivsi3+0x5e> + 1bb6: 034b lsls r3, r1, #13 + 1bb8: 1ac0 subs r0, r0, r3 + 1bba: 4152 adcs r2, r2 + 1bbc: 0b03 lsrs r3, r0, #12 + 1bbe: 428b cmp r3, r1 + 1bc0: d301 bcc.n 1bc6 <__udivsi3+0x6a> + 1bc2: 030b lsls r3, r1, #12 + 1bc4: 1ac0 subs r0, r0, r3 + 1bc6: 4152 adcs r2, r2 + 1bc8: 0ac3 lsrs r3, r0, #11 + 1bca: 428b cmp r3, r1 + 1bcc: d301 bcc.n 1bd2 <__udivsi3+0x76> + 1bce: 02cb lsls r3, r1, #11 + 1bd0: 1ac0 subs r0, r0, r3 + 1bd2: 4152 adcs r2, r2 + 1bd4: 0a83 lsrs r3, r0, #10 + 1bd6: 428b cmp r3, r1 + 1bd8: d301 bcc.n 1bde <__udivsi3+0x82> + 1bda: 028b lsls r3, r1, #10 + 1bdc: 1ac0 subs r0, r0, r3 + 1bde: 4152 adcs r2, r2 + 1be0: 0a43 lsrs r3, r0, #9 + 1be2: 428b cmp r3, r1 + 1be4: d301 bcc.n 1bea <__udivsi3+0x8e> + 1be6: 024b lsls r3, r1, #9 + 1be8: 1ac0 subs r0, r0, r3 + 1bea: 4152 adcs r2, r2 + 1bec: 0a03 lsrs r3, r0, #8 + 1bee: 428b cmp r3, r1 + 1bf0: d301 bcc.n 1bf6 <__udivsi3+0x9a> + 1bf2: 020b lsls r3, r1, #8 + 1bf4: 1ac0 subs r0, r0, r3 + 1bf6: 4152 adcs r2, r2 + 1bf8: d2cd bcs.n 1b96 <__udivsi3+0x3a> + 1bfa: 09c3 lsrs r3, r0, #7 + 1bfc: 428b cmp r3, r1 + 1bfe: d301 bcc.n 1c04 <__udivsi3+0xa8> + 1c00: 01cb lsls r3, r1, #7 + 1c02: 1ac0 subs r0, r0, r3 + 1c04: 4152 adcs r2, r2 + 1c06: 0983 lsrs r3, r0, #6 + 1c08: 428b cmp r3, r1 + 1c0a: d301 bcc.n 1c10 <__udivsi3+0xb4> + 1c0c: 018b lsls r3, r1, #6 + 1c0e: 1ac0 subs r0, r0, r3 + 1c10: 4152 adcs r2, r2 + 1c12: 0943 lsrs r3, r0, #5 + 1c14: 428b cmp r3, r1 + 1c16: d301 bcc.n 1c1c <__udivsi3+0xc0> + 1c18: 014b lsls r3, r1, #5 + 1c1a: 1ac0 subs r0, r0, r3 + 1c1c: 4152 adcs r2, r2 + 1c1e: 0903 lsrs r3, r0, #4 + 1c20: 428b cmp r3, r1 + 1c22: d301 bcc.n 1c28 <__udivsi3+0xcc> + 1c24: 010b lsls r3, r1, #4 + 1c26: 1ac0 subs r0, r0, r3 + 1c28: 4152 adcs r2, r2 + 1c2a: 08c3 lsrs r3, r0, #3 + 1c2c: 428b cmp r3, r1 + 1c2e: d301 bcc.n 1c34 <__udivsi3+0xd8> + 1c30: 00cb lsls r3, r1, #3 + 1c32: 1ac0 subs r0, r0, r3 + 1c34: 4152 adcs r2, r2 + 1c36: 0883 lsrs r3, r0, #2 + 1c38: 428b cmp r3, r1 + 1c3a: d301 bcc.n 1c40 <__udivsi3+0xe4> + 1c3c: 008b lsls r3, r1, #2 + 1c3e: 1ac0 subs r0, r0, r3 + 1c40: 4152 adcs r2, r2 + 1c42: 0843 lsrs r3, r0, #1 + 1c44: 428b cmp r3, r1 + 1c46: d301 bcc.n 1c4c <__udivsi3+0xf0> + 1c48: 004b lsls r3, r1, #1 + 1c4a: 1ac0 subs r0, r0, r3 + 1c4c: 4152 adcs r2, r2 + 1c4e: 1a41 subs r1, r0, r1 + 1c50: d200 bcs.n 1c54 <__udivsi3+0xf8> + 1c52: 4601 mov r1, r0 + 1c54: 4152 adcs r2, r2 + 1c56: 4610 mov r0, r2 + 1c58: 4770 bx lr + 1c5a: e7ff b.n 1c5c <__udivsi3+0x100> + 1c5c: b501 push {r0, lr} + 1c5e: 2000 movs r0, #0 + 1c60: f000 f806 bl 1c70 <__aeabi_idiv0> + 1c64: bd02 pop {r1, pc} + 1c66: 46c0 nop ; (mov r8, r8) + +00001c68 <__aeabi_uidivmod>: + 1c68: 2900 cmp r1, #0 + 1c6a: d0f7 beq.n 1c5c <__udivsi3+0x100> + 1c6c: e776 b.n 1b5c <__udivsi3> + 1c6e: 4770 bx lr + +00001c70 <__aeabi_idiv0>: + 1c70: 4770 bx lr + 1c72: 46c0 nop ; (mov r8, r8) + +00001c74 <__aeabi_llsl>: + 1c74: 4091 lsls r1, r2 + 1c76: 1c03 adds r3, r0, #0 + 1c78: 4090 lsls r0, r2 + 1c7a: 469c mov ip, r3 + 1c7c: 3a20 subs r2, #32 + 1c7e: 4093 lsls r3, r2 + 1c80: 4319 orrs r1, r3 + 1c82: 4252 negs r2, r2 + 1c84: 4663 mov r3, ip + 1c86: 40d3 lsrs r3, r2 + 1c88: 4319 orrs r1, r3 + 1c8a: 4770 bx lr + +00001c8c <__aeabi_lmul>: + 1c8c: b5f0 push {r4, r5, r6, r7, lr} + 1c8e: 46ce mov lr, r9 + 1c90: 4647 mov r7, r8 + 1c92: 0415 lsls r5, r2, #16 + 1c94: 0c2d lsrs r5, r5, #16 + 1c96: 002e movs r6, r5 + 1c98: b580 push {r7, lr} + 1c9a: 0407 lsls r7, r0, #16 + 1c9c: 0c14 lsrs r4, r2, #16 + 1c9e: 0c3f lsrs r7, r7, #16 + 1ca0: 4699 mov r9, r3 + 1ca2: 0c03 lsrs r3, r0, #16 + 1ca4: 437e muls r6, r7 + 1ca6: 435d muls r5, r3 + 1ca8: 4367 muls r7, r4 + 1caa: 4363 muls r3, r4 + 1cac: 197f adds r7, r7, r5 + 1cae: 0c34 lsrs r4, r6, #16 + 1cb0: 19e4 adds r4, r4, r7 + 1cb2: 469c mov ip, r3 + 1cb4: 42a5 cmp r5, r4 + 1cb6: d903 bls.n 1cc0 <__aeabi_lmul+0x34> + 1cb8: 2380 movs r3, #128 ; 0x80 + 1cba: 025b lsls r3, r3, #9 + 1cbc: 4698 mov r8, r3 + 1cbe: 44c4 add ip, r8 + 1cc0: 464b mov r3, r9 + 1cc2: 4351 muls r1, r2 + 1cc4: 4343 muls r3, r0 + 1cc6: 0436 lsls r6, r6, #16 + 1cc8: 0c36 lsrs r6, r6, #16 + 1cca: 0c25 lsrs r5, r4, #16 + 1ccc: 0424 lsls r4, r4, #16 + 1cce: 4465 add r5, ip + 1cd0: 19a4 adds r4, r4, r6 + 1cd2: 1859 adds r1, r3, r1 + 1cd4: 1949 adds r1, r1, r5 + 1cd6: 0020 movs r0, r4 + 1cd8: bc0c pop {r2, r3} + 1cda: 4690 mov r8, r2 + 1cdc: 4699 mov r9, r3 + 1cde: bdf0 pop {r4, r5, r6, r7, pc} + +00001ce0 <__aeabi_fmul>: + 1ce0: b5f8 push {r3, r4, r5, r6, r7, lr} + 1ce2: 4657 mov r7, sl + 1ce4: 464e mov r6, r9 + 1ce6: 4645 mov r5, r8 + 1ce8: 46de mov lr, fp + 1cea: b5e0 push {r5, r6, r7, lr} + 1cec: 0247 lsls r7, r0, #9 + 1cee: 0046 lsls r6, r0, #1 + 1cf0: 4688 mov r8, r1 + 1cf2: 0a7f lsrs r7, r7, #9 + 1cf4: 0e36 lsrs r6, r6, #24 + 1cf6: 0fc4 lsrs r4, r0, #31 + 1cf8: 2e00 cmp r6, #0 + 1cfa: d047 beq.n 1d8c <__aeabi_fmul+0xac> + 1cfc: 2eff cmp r6, #255 ; 0xff + 1cfe: d024 beq.n 1d4a <__aeabi_fmul+0x6a> + 1d00: 00fb lsls r3, r7, #3 + 1d02: 2780 movs r7, #128 ; 0x80 + 1d04: 04ff lsls r7, r7, #19 + 1d06: 431f orrs r7, r3 + 1d08: 2300 movs r3, #0 + 1d0a: 4699 mov r9, r3 + 1d0c: 469a mov sl, r3 + 1d0e: 3e7f subs r6, #127 ; 0x7f + 1d10: 4643 mov r3, r8 + 1d12: 025d lsls r5, r3, #9 + 1d14: 0058 lsls r0, r3, #1 + 1d16: 0fdb lsrs r3, r3, #31 + 1d18: 0a6d lsrs r5, r5, #9 + 1d1a: 0e00 lsrs r0, r0, #24 + 1d1c: 4698 mov r8, r3 + 1d1e: d043 beq.n 1da8 <__aeabi_fmul+0xc8> + 1d20: 28ff cmp r0, #255 ; 0xff + 1d22: d03b beq.n 1d9c <__aeabi_fmul+0xbc> + 1d24: 00eb lsls r3, r5, #3 + 1d26: 2580 movs r5, #128 ; 0x80 + 1d28: 2200 movs r2, #0 + 1d2a: 04ed lsls r5, r5, #19 + 1d2c: 431d orrs r5, r3 + 1d2e: 387f subs r0, #127 ; 0x7f + 1d30: 1836 adds r6, r6, r0 + 1d32: 1c73 adds r3, r6, #1 + 1d34: 4641 mov r1, r8 + 1d36: 469b mov fp, r3 + 1d38: 464b mov r3, r9 + 1d3a: 4061 eors r1, r4 + 1d3c: 4313 orrs r3, r2 + 1d3e: 2b0f cmp r3, #15 + 1d40: d864 bhi.n 1e0c <__aeabi_fmul+0x12c> + 1d42: 4875 ldr r0, [pc, #468] ; (1f18 <__aeabi_fmul+0x238>) + 1d44: 009b lsls r3, r3, #2 + 1d46: 58c3 ldr r3, [r0, r3] + 1d48: 469f mov pc, r3 + 1d4a: 2f00 cmp r7, #0 + 1d4c: d142 bne.n 1dd4 <__aeabi_fmul+0xf4> + 1d4e: 2308 movs r3, #8 + 1d50: 4699 mov r9, r3 + 1d52: 3b06 subs r3, #6 + 1d54: 26ff movs r6, #255 ; 0xff + 1d56: 469a mov sl, r3 + 1d58: e7da b.n 1d10 <__aeabi_fmul+0x30> + 1d5a: 4641 mov r1, r8 + 1d5c: 2a02 cmp r2, #2 + 1d5e: d028 beq.n 1db2 <__aeabi_fmul+0xd2> + 1d60: 2a03 cmp r2, #3 + 1d62: d100 bne.n 1d66 <__aeabi_fmul+0x86> + 1d64: e0ce b.n 1f04 <__aeabi_fmul+0x224> + 1d66: 2a01 cmp r2, #1 + 1d68: d000 beq.n 1d6c <__aeabi_fmul+0x8c> + 1d6a: e0ac b.n 1ec6 <__aeabi_fmul+0x1e6> + 1d6c: 4011 ands r1, r2 + 1d6e: 2000 movs r0, #0 + 1d70: 2200 movs r2, #0 + 1d72: b2cc uxtb r4, r1 + 1d74: 0240 lsls r0, r0, #9 + 1d76: 05d2 lsls r2, r2, #23 + 1d78: 0a40 lsrs r0, r0, #9 + 1d7a: 07e4 lsls r4, r4, #31 + 1d7c: 4310 orrs r0, r2 + 1d7e: 4320 orrs r0, r4 + 1d80: bc3c pop {r2, r3, r4, r5} + 1d82: 4690 mov r8, r2 + 1d84: 4699 mov r9, r3 + 1d86: 46a2 mov sl, r4 + 1d88: 46ab mov fp, r5 + 1d8a: bdf8 pop {r3, r4, r5, r6, r7, pc} + 1d8c: 2f00 cmp r7, #0 + 1d8e: d115 bne.n 1dbc <__aeabi_fmul+0xdc> + 1d90: 2304 movs r3, #4 + 1d92: 4699 mov r9, r3 + 1d94: 3b03 subs r3, #3 + 1d96: 2600 movs r6, #0 + 1d98: 469a mov sl, r3 + 1d9a: e7b9 b.n 1d10 <__aeabi_fmul+0x30> + 1d9c: 20ff movs r0, #255 ; 0xff + 1d9e: 2202 movs r2, #2 + 1da0: 2d00 cmp r5, #0 + 1da2: d0c5 beq.n 1d30 <__aeabi_fmul+0x50> + 1da4: 2203 movs r2, #3 + 1da6: e7c3 b.n 1d30 <__aeabi_fmul+0x50> + 1da8: 2d00 cmp r5, #0 + 1daa: d119 bne.n 1de0 <__aeabi_fmul+0x100> + 1dac: 2000 movs r0, #0 + 1dae: 2201 movs r2, #1 + 1db0: e7be b.n 1d30 <__aeabi_fmul+0x50> + 1db2: 2401 movs r4, #1 + 1db4: 22ff movs r2, #255 ; 0xff + 1db6: 400c ands r4, r1 + 1db8: 2000 movs r0, #0 + 1dba: e7db b.n 1d74 <__aeabi_fmul+0x94> + 1dbc: 0038 movs r0, r7 + 1dbe: f000 fb01 bl 23c4 <__clzsi2> + 1dc2: 2676 movs r6, #118 ; 0x76 + 1dc4: 1f43 subs r3, r0, #5 + 1dc6: 409f lsls r7, r3 + 1dc8: 2300 movs r3, #0 + 1dca: 4276 negs r6, r6 + 1dcc: 1a36 subs r6, r6, r0 + 1dce: 4699 mov r9, r3 + 1dd0: 469a mov sl, r3 + 1dd2: e79d b.n 1d10 <__aeabi_fmul+0x30> + 1dd4: 230c movs r3, #12 + 1dd6: 4699 mov r9, r3 + 1dd8: 3b09 subs r3, #9 + 1dda: 26ff movs r6, #255 ; 0xff + 1ddc: 469a mov sl, r3 + 1dde: e797 b.n 1d10 <__aeabi_fmul+0x30> + 1de0: 0028 movs r0, r5 + 1de2: f000 faef bl 23c4 <__clzsi2> + 1de6: 1f43 subs r3, r0, #5 + 1de8: 409d lsls r5, r3 + 1dea: 2376 movs r3, #118 ; 0x76 + 1dec: 425b negs r3, r3 + 1dee: 1a18 subs r0, r3, r0 + 1df0: 2200 movs r2, #0 + 1df2: e79d b.n 1d30 <__aeabi_fmul+0x50> + 1df4: 2080 movs r0, #128 ; 0x80 + 1df6: 2400 movs r4, #0 + 1df8: 03c0 lsls r0, r0, #15 + 1dfa: 22ff movs r2, #255 ; 0xff + 1dfc: e7ba b.n 1d74 <__aeabi_fmul+0x94> + 1dfe: 003d movs r5, r7 + 1e00: 4652 mov r2, sl + 1e02: e7ab b.n 1d5c <__aeabi_fmul+0x7c> + 1e04: 003d movs r5, r7 + 1e06: 0021 movs r1, r4 + 1e08: 4652 mov r2, sl + 1e0a: e7a7 b.n 1d5c <__aeabi_fmul+0x7c> + 1e0c: 0c3b lsrs r3, r7, #16 + 1e0e: 469c mov ip, r3 + 1e10: 042a lsls r2, r5, #16 + 1e12: 0c12 lsrs r2, r2, #16 + 1e14: 0c2b lsrs r3, r5, #16 + 1e16: 0014 movs r4, r2 + 1e18: 4660 mov r0, ip + 1e1a: 4665 mov r5, ip + 1e1c: 043f lsls r7, r7, #16 + 1e1e: 0c3f lsrs r7, r7, #16 + 1e20: 437c muls r4, r7 + 1e22: 4342 muls r2, r0 + 1e24: 435d muls r5, r3 + 1e26: 437b muls r3, r7 + 1e28: 0c27 lsrs r7, r4, #16 + 1e2a: 189b adds r3, r3, r2 + 1e2c: 18ff adds r7, r7, r3 + 1e2e: 42ba cmp r2, r7 + 1e30: d903 bls.n 1e3a <__aeabi_fmul+0x15a> + 1e32: 2380 movs r3, #128 ; 0x80 + 1e34: 025b lsls r3, r3, #9 + 1e36: 469c mov ip, r3 + 1e38: 4465 add r5, ip + 1e3a: 0424 lsls r4, r4, #16 + 1e3c: 043a lsls r2, r7, #16 + 1e3e: 0c24 lsrs r4, r4, #16 + 1e40: 1912 adds r2, r2, r4 + 1e42: 0193 lsls r3, r2, #6 + 1e44: 1e5c subs r4, r3, #1 + 1e46: 41a3 sbcs r3, r4 + 1e48: 0c3f lsrs r7, r7, #16 + 1e4a: 0e92 lsrs r2, r2, #26 + 1e4c: 197d adds r5, r7, r5 + 1e4e: 431a orrs r2, r3 + 1e50: 01ad lsls r5, r5, #6 + 1e52: 4315 orrs r5, r2 + 1e54: 012b lsls r3, r5, #4 + 1e56: d504 bpl.n 1e62 <__aeabi_fmul+0x182> + 1e58: 2301 movs r3, #1 + 1e5a: 465e mov r6, fp + 1e5c: 086a lsrs r2, r5, #1 + 1e5e: 401d ands r5, r3 + 1e60: 4315 orrs r5, r2 + 1e62: 0032 movs r2, r6 + 1e64: 327f adds r2, #127 ; 0x7f + 1e66: 2a00 cmp r2, #0 + 1e68: dd25 ble.n 1eb6 <__aeabi_fmul+0x1d6> + 1e6a: 076b lsls r3, r5, #29 + 1e6c: d004 beq.n 1e78 <__aeabi_fmul+0x198> + 1e6e: 230f movs r3, #15 + 1e70: 402b ands r3, r5 + 1e72: 2b04 cmp r3, #4 + 1e74: d000 beq.n 1e78 <__aeabi_fmul+0x198> + 1e76: 3504 adds r5, #4 + 1e78: 012b lsls r3, r5, #4 + 1e7a: d503 bpl.n 1e84 <__aeabi_fmul+0x1a4> + 1e7c: 0032 movs r2, r6 + 1e7e: 4b27 ldr r3, [pc, #156] ; (1f1c <__aeabi_fmul+0x23c>) + 1e80: 3280 adds r2, #128 ; 0x80 + 1e82: 401d ands r5, r3 + 1e84: 2afe cmp r2, #254 ; 0xfe + 1e86: dc94 bgt.n 1db2 <__aeabi_fmul+0xd2> + 1e88: 2401 movs r4, #1 + 1e8a: 01a8 lsls r0, r5, #6 + 1e8c: 0a40 lsrs r0, r0, #9 + 1e8e: b2d2 uxtb r2, r2 + 1e90: 400c ands r4, r1 + 1e92: e76f b.n 1d74 <__aeabi_fmul+0x94> + 1e94: 2080 movs r0, #128 ; 0x80 + 1e96: 03c0 lsls r0, r0, #15 + 1e98: 4207 tst r7, r0 + 1e9a: d007 beq.n 1eac <__aeabi_fmul+0x1cc> + 1e9c: 4205 tst r5, r0 + 1e9e: d105 bne.n 1eac <__aeabi_fmul+0x1cc> + 1ea0: 4328 orrs r0, r5 + 1ea2: 0240 lsls r0, r0, #9 + 1ea4: 0a40 lsrs r0, r0, #9 + 1ea6: 4644 mov r4, r8 + 1ea8: 22ff movs r2, #255 ; 0xff + 1eaa: e763 b.n 1d74 <__aeabi_fmul+0x94> + 1eac: 4338 orrs r0, r7 + 1eae: 0240 lsls r0, r0, #9 + 1eb0: 0a40 lsrs r0, r0, #9 + 1eb2: 22ff movs r2, #255 ; 0xff + 1eb4: e75e b.n 1d74 <__aeabi_fmul+0x94> + 1eb6: 2401 movs r4, #1 + 1eb8: 1aa3 subs r3, r4, r2 + 1eba: 2b1b cmp r3, #27 + 1ebc: dd05 ble.n 1eca <__aeabi_fmul+0x1ea> + 1ebe: 400c ands r4, r1 + 1ec0: 2200 movs r2, #0 + 1ec2: 2000 movs r0, #0 + 1ec4: e756 b.n 1d74 <__aeabi_fmul+0x94> + 1ec6: 465e mov r6, fp + 1ec8: e7cb b.n 1e62 <__aeabi_fmul+0x182> + 1eca: 002a movs r2, r5 + 1ecc: 2020 movs r0, #32 + 1ece: 40da lsrs r2, r3 + 1ed0: 1ac3 subs r3, r0, r3 + 1ed2: 409d lsls r5, r3 + 1ed4: 002b movs r3, r5 + 1ed6: 1e5d subs r5, r3, #1 + 1ed8: 41ab sbcs r3, r5 + 1eda: 4313 orrs r3, r2 + 1edc: 075a lsls r2, r3, #29 + 1ede: d004 beq.n 1eea <__aeabi_fmul+0x20a> + 1ee0: 220f movs r2, #15 + 1ee2: 401a ands r2, r3 + 1ee4: 2a04 cmp r2, #4 + 1ee6: d000 beq.n 1eea <__aeabi_fmul+0x20a> + 1ee8: 3304 adds r3, #4 + 1eea: 015a lsls r2, r3, #5 + 1eec: d504 bpl.n 1ef8 <__aeabi_fmul+0x218> + 1eee: 2401 movs r4, #1 + 1ef0: 2201 movs r2, #1 + 1ef2: 400c ands r4, r1 + 1ef4: 2000 movs r0, #0 + 1ef6: e73d b.n 1d74 <__aeabi_fmul+0x94> + 1ef8: 2401 movs r4, #1 + 1efa: 019b lsls r3, r3, #6 + 1efc: 0a58 lsrs r0, r3, #9 + 1efe: 400c ands r4, r1 + 1f00: 2200 movs r2, #0 + 1f02: e737 b.n 1d74 <__aeabi_fmul+0x94> + 1f04: 2080 movs r0, #128 ; 0x80 + 1f06: 2401 movs r4, #1 + 1f08: 03c0 lsls r0, r0, #15 + 1f0a: 4328 orrs r0, r5 + 1f0c: 0240 lsls r0, r0, #9 + 1f0e: 0a40 lsrs r0, r0, #9 + 1f10: 400c ands r4, r1 + 1f12: 22ff movs r2, #255 ; 0xff + 1f14: e72e b.n 1d74 <__aeabi_fmul+0x94> + 1f16: 46c0 nop ; (mov r8, r8) + 1f18: 000035f0 .word 0x000035f0 + 1f1c: f7ffffff .word 0xf7ffffff + +00001f20 <__aeabi_fsub>: + 1f20: b5f0 push {r4, r5, r6, r7, lr} + 1f22: 464f mov r7, r9 + 1f24: 46d6 mov lr, sl + 1f26: 4646 mov r6, r8 + 1f28: 0044 lsls r4, r0, #1 + 1f2a: b5c0 push {r6, r7, lr} + 1f2c: 0fc2 lsrs r2, r0, #31 + 1f2e: 0247 lsls r7, r0, #9 + 1f30: 0248 lsls r0, r1, #9 + 1f32: 0a40 lsrs r0, r0, #9 + 1f34: 4684 mov ip, r0 + 1f36: 4666 mov r6, ip + 1f38: 0a7b lsrs r3, r7, #9 + 1f3a: 0048 lsls r0, r1, #1 + 1f3c: 0fc9 lsrs r1, r1, #31 + 1f3e: 469a mov sl, r3 + 1f40: 0e24 lsrs r4, r4, #24 + 1f42: 0015 movs r5, r2 + 1f44: 00db lsls r3, r3, #3 + 1f46: 0e00 lsrs r0, r0, #24 + 1f48: 4689 mov r9, r1 + 1f4a: 00f6 lsls r6, r6, #3 + 1f4c: 28ff cmp r0, #255 ; 0xff + 1f4e: d100 bne.n 1f52 <__aeabi_fsub+0x32> + 1f50: e08f b.n 2072 + 1f52: 2101 movs r1, #1 + 1f54: 464f mov r7, r9 + 1f56: 404f eors r7, r1 + 1f58: 0039 movs r1, r7 + 1f5a: 4291 cmp r1, r2 + 1f5c: d066 beq.n 202c + 1f5e: 1a22 subs r2, r4, r0 + 1f60: 2a00 cmp r2, #0 + 1f62: dc00 bgt.n 1f66 <__aeabi_fsub+0x46> + 1f64: e09d b.n 20a2 + 1f66: 2800 cmp r0, #0 + 1f68: d13d bne.n 1fe6 <__aeabi_fsub+0xc6> + 1f6a: 2e00 cmp r6, #0 + 1f6c: d100 bne.n 1f70 <__aeabi_fsub+0x50> + 1f6e: e08b b.n 2088 + 1f70: 1e51 subs r1, r2, #1 + 1f72: 2900 cmp r1, #0 + 1f74: d000 beq.n 1f78 <__aeabi_fsub+0x58> + 1f76: e0b5 b.n 20e4 + 1f78: 2401 movs r4, #1 + 1f7a: 1b9b subs r3, r3, r6 + 1f7c: 015a lsls r2, r3, #5 + 1f7e: d544 bpl.n 200a + 1f80: 019b lsls r3, r3, #6 + 1f82: 099f lsrs r7, r3, #6 + 1f84: 0038 movs r0, r7 + 1f86: f000 fa1d bl 23c4 <__clzsi2> + 1f8a: 3805 subs r0, #5 + 1f8c: 4087 lsls r7, r0 + 1f8e: 4284 cmp r4, r0 + 1f90: dd00 ble.n 1f94 <__aeabi_fsub+0x74> + 1f92: e096 b.n 20c2 + 1f94: 1b04 subs r4, r0, r4 + 1f96: 003a movs r2, r7 + 1f98: 2020 movs r0, #32 + 1f9a: 3401 adds r4, #1 + 1f9c: 40e2 lsrs r2, r4 + 1f9e: 1b04 subs r4, r0, r4 + 1fa0: 40a7 lsls r7, r4 + 1fa2: 003b movs r3, r7 + 1fa4: 1e5f subs r7, r3, #1 + 1fa6: 41bb sbcs r3, r7 + 1fa8: 2400 movs r4, #0 + 1faa: 4313 orrs r3, r2 + 1fac: 075a lsls r2, r3, #29 + 1fae: d004 beq.n 1fba <__aeabi_fsub+0x9a> + 1fb0: 220f movs r2, #15 + 1fb2: 401a ands r2, r3 + 1fb4: 2a04 cmp r2, #4 + 1fb6: d000 beq.n 1fba <__aeabi_fsub+0x9a> + 1fb8: 3304 adds r3, #4 + 1fba: 015a lsls r2, r3, #5 + 1fbc: d527 bpl.n 200e + 1fbe: 3401 adds r4, #1 + 1fc0: 2cff cmp r4, #255 ; 0xff + 1fc2: d100 bne.n 1fc6 <__aeabi_fsub+0xa6> + 1fc4: e079 b.n 20ba + 1fc6: 2201 movs r2, #1 + 1fc8: 019b lsls r3, r3, #6 + 1fca: 0a5b lsrs r3, r3, #9 + 1fcc: b2e4 uxtb r4, r4 + 1fce: 402a ands r2, r5 + 1fd0: 025b lsls r3, r3, #9 + 1fd2: 05e4 lsls r4, r4, #23 + 1fd4: 0a58 lsrs r0, r3, #9 + 1fd6: 07d2 lsls r2, r2, #31 + 1fd8: 4320 orrs r0, r4 + 1fda: 4310 orrs r0, r2 + 1fdc: bc1c pop {r2, r3, r4} + 1fde: 4690 mov r8, r2 + 1fe0: 4699 mov r9, r3 + 1fe2: 46a2 mov sl, r4 + 1fe4: bdf0 pop {r4, r5, r6, r7, pc} + 1fe6: 2cff cmp r4, #255 ; 0xff + 1fe8: d0e0 beq.n 1fac <__aeabi_fsub+0x8c> + 1fea: 2180 movs r1, #128 ; 0x80 + 1fec: 04c9 lsls r1, r1, #19 + 1fee: 430e orrs r6, r1 + 1ff0: 2a1b cmp r2, #27 + 1ff2: dc7b bgt.n 20ec + 1ff4: 0031 movs r1, r6 + 1ff6: 2020 movs r0, #32 + 1ff8: 40d1 lsrs r1, r2 + 1ffa: 1a82 subs r2, r0, r2 + 1ffc: 4096 lsls r6, r2 + 1ffe: 1e72 subs r2, r6, #1 + 2000: 4196 sbcs r6, r2 + 2002: 430e orrs r6, r1 + 2004: 1b9b subs r3, r3, r6 + 2006: 015a lsls r2, r3, #5 + 2008: d4ba bmi.n 1f80 <__aeabi_fsub+0x60> + 200a: 075a lsls r2, r3, #29 + 200c: d1d0 bne.n 1fb0 <__aeabi_fsub+0x90> + 200e: 2201 movs r2, #1 + 2010: 08df lsrs r7, r3, #3 + 2012: 402a ands r2, r5 + 2014: 2cff cmp r4, #255 ; 0xff + 2016: d133 bne.n 2080 + 2018: 2f00 cmp r7, #0 + 201a: d100 bne.n 201e + 201c: e0a8 b.n 2170 + 201e: 2380 movs r3, #128 ; 0x80 + 2020: 03db lsls r3, r3, #15 + 2022: 433b orrs r3, r7 + 2024: 025b lsls r3, r3, #9 + 2026: 0a5b lsrs r3, r3, #9 + 2028: 24ff movs r4, #255 ; 0xff + 202a: e7d1 b.n 1fd0 <__aeabi_fsub+0xb0> + 202c: 1a21 subs r1, r4, r0 + 202e: 2900 cmp r1, #0 + 2030: dd4c ble.n 20cc + 2032: 2800 cmp r0, #0 + 2034: d02a beq.n 208c + 2036: 2cff cmp r4, #255 ; 0xff + 2038: d0b8 beq.n 1fac <__aeabi_fsub+0x8c> + 203a: 2080 movs r0, #128 ; 0x80 + 203c: 04c0 lsls r0, r0, #19 + 203e: 4306 orrs r6, r0 + 2040: 291b cmp r1, #27 + 2042: dd00 ble.n 2046 + 2044: e0af b.n 21a6 + 2046: 0030 movs r0, r6 + 2048: 2720 movs r7, #32 + 204a: 40c8 lsrs r0, r1 + 204c: 1a79 subs r1, r7, r1 + 204e: 408e lsls r6, r1 + 2050: 1e71 subs r1, r6, #1 + 2052: 418e sbcs r6, r1 + 2054: 4306 orrs r6, r0 + 2056: 199b adds r3, r3, r6 + 2058: 0159 lsls r1, r3, #5 + 205a: d5d6 bpl.n 200a + 205c: 3401 adds r4, #1 + 205e: 2cff cmp r4, #255 ; 0xff + 2060: d100 bne.n 2064 + 2062: e085 b.n 2170 + 2064: 2201 movs r2, #1 + 2066: 497a ldr r1, [pc, #488] ; (2250 ) + 2068: 401a ands r2, r3 + 206a: 085b lsrs r3, r3, #1 + 206c: 400b ands r3, r1 + 206e: 4313 orrs r3, r2 + 2070: e79c b.n 1fac <__aeabi_fsub+0x8c> + 2072: 2e00 cmp r6, #0 + 2074: d000 beq.n 2078 + 2076: e770 b.n 1f5a <__aeabi_fsub+0x3a> + 2078: e76b b.n 1f52 <__aeabi_fsub+0x32> + 207a: 1e3b subs r3, r7, #0 + 207c: d1c5 bne.n 200a + 207e: 2200 movs r2, #0 + 2080: 027b lsls r3, r7, #9 + 2082: 0a5b lsrs r3, r3, #9 + 2084: b2e4 uxtb r4, r4 + 2086: e7a3 b.n 1fd0 <__aeabi_fsub+0xb0> + 2088: 0014 movs r4, r2 + 208a: e78f b.n 1fac <__aeabi_fsub+0x8c> + 208c: 2e00 cmp r6, #0 + 208e: d04d beq.n 212c + 2090: 1e48 subs r0, r1, #1 + 2092: 2800 cmp r0, #0 + 2094: d157 bne.n 2146 + 2096: 199b adds r3, r3, r6 + 2098: 2401 movs r4, #1 + 209a: 015a lsls r2, r3, #5 + 209c: d5b5 bpl.n 200a + 209e: 2402 movs r4, #2 + 20a0: e7e0 b.n 2064 + 20a2: 2a00 cmp r2, #0 + 20a4: d125 bne.n 20f2 + 20a6: 1c62 adds r2, r4, #1 + 20a8: b2d2 uxtb r2, r2 + 20aa: 2a01 cmp r2, #1 + 20ac: dd72 ble.n 2194 + 20ae: 1b9f subs r7, r3, r6 + 20b0: 017a lsls r2, r7, #5 + 20b2: d535 bpl.n 2120 + 20b4: 1af7 subs r7, r6, r3 + 20b6: 000d movs r5, r1 + 20b8: e764 b.n 1f84 <__aeabi_fsub+0x64> + 20ba: 2201 movs r2, #1 + 20bc: 2300 movs r3, #0 + 20be: 402a ands r2, r5 + 20c0: e786 b.n 1fd0 <__aeabi_fsub+0xb0> + 20c2: 003b movs r3, r7 + 20c4: 4a63 ldr r2, [pc, #396] ; (2254 ) + 20c6: 1a24 subs r4, r4, r0 + 20c8: 4013 ands r3, r2 + 20ca: e76f b.n 1fac <__aeabi_fsub+0x8c> + 20cc: 2900 cmp r1, #0 + 20ce: d16c bne.n 21aa + 20d0: 1c61 adds r1, r4, #1 + 20d2: b2c8 uxtb r0, r1 + 20d4: 2801 cmp r0, #1 + 20d6: dd4e ble.n 2176 + 20d8: 29ff cmp r1, #255 ; 0xff + 20da: d049 beq.n 2170 + 20dc: 199b adds r3, r3, r6 + 20de: 085b lsrs r3, r3, #1 + 20e0: 000c movs r4, r1 + 20e2: e763 b.n 1fac <__aeabi_fsub+0x8c> + 20e4: 2aff cmp r2, #255 ; 0xff + 20e6: d041 beq.n 216c + 20e8: 000a movs r2, r1 + 20ea: e781 b.n 1ff0 <__aeabi_fsub+0xd0> + 20ec: 2601 movs r6, #1 + 20ee: 1b9b subs r3, r3, r6 + 20f0: e789 b.n 2006 + 20f2: 2c00 cmp r4, #0 + 20f4: d01c beq.n 2130 + 20f6: 28ff cmp r0, #255 ; 0xff + 20f8: d021 beq.n 213e + 20fa: 2480 movs r4, #128 ; 0x80 + 20fc: 04e4 lsls r4, r4, #19 + 20fe: 4252 negs r2, r2 + 2100: 4323 orrs r3, r4 + 2102: 2a1b cmp r2, #27 + 2104: dd00 ble.n 2108 + 2106: e096 b.n 2236 + 2108: 001c movs r4, r3 + 210a: 2520 movs r5, #32 + 210c: 40d4 lsrs r4, r2 + 210e: 1aaa subs r2, r5, r2 + 2110: 4093 lsls r3, r2 + 2112: 1e5a subs r2, r3, #1 + 2114: 4193 sbcs r3, r2 + 2116: 4323 orrs r3, r4 + 2118: 1af3 subs r3, r6, r3 + 211a: 0004 movs r4, r0 + 211c: 000d movs r5, r1 + 211e: e72d b.n 1f7c <__aeabi_fsub+0x5c> + 2120: 2f00 cmp r7, #0 + 2122: d000 beq.n 2126 + 2124: e72e b.n 1f84 <__aeabi_fsub+0x64> + 2126: 2200 movs r2, #0 + 2128: 2400 movs r4, #0 + 212a: e7a9 b.n 2080 + 212c: 000c movs r4, r1 + 212e: e73d b.n 1fac <__aeabi_fsub+0x8c> + 2130: 2b00 cmp r3, #0 + 2132: d058 beq.n 21e6 + 2134: 43d2 mvns r2, r2 + 2136: 2a00 cmp r2, #0 + 2138: d0ee beq.n 2118 + 213a: 28ff cmp r0, #255 ; 0xff + 213c: d1e1 bne.n 2102 + 213e: 0033 movs r3, r6 + 2140: 24ff movs r4, #255 ; 0xff + 2142: 000d movs r5, r1 + 2144: e732 b.n 1fac <__aeabi_fsub+0x8c> + 2146: 29ff cmp r1, #255 ; 0xff + 2148: d010 beq.n 216c + 214a: 0001 movs r1, r0 + 214c: e778 b.n 2040 + 214e: 2b00 cmp r3, #0 + 2150: d06e beq.n 2230 + 2152: 24ff movs r4, #255 ; 0xff + 2154: 2e00 cmp r6, #0 + 2156: d100 bne.n 215a + 2158: e728 b.n 1fac <__aeabi_fsub+0x8c> + 215a: 2280 movs r2, #128 ; 0x80 + 215c: 4651 mov r1, sl + 215e: 03d2 lsls r2, r2, #15 + 2160: 4211 tst r1, r2 + 2162: d003 beq.n 216c + 2164: 4661 mov r1, ip + 2166: 4211 tst r1, r2 + 2168: d100 bne.n 216c + 216a: 0033 movs r3, r6 + 216c: 24ff movs r4, #255 ; 0xff + 216e: e71d b.n 1fac <__aeabi_fsub+0x8c> + 2170: 24ff movs r4, #255 ; 0xff + 2172: 2300 movs r3, #0 + 2174: e72c b.n 1fd0 <__aeabi_fsub+0xb0> + 2176: 2c00 cmp r4, #0 + 2178: d1e9 bne.n 214e + 217a: 2b00 cmp r3, #0 + 217c: d063 beq.n 2246 + 217e: 2e00 cmp r6, #0 + 2180: d100 bne.n 2184 + 2182: e713 b.n 1fac <__aeabi_fsub+0x8c> + 2184: 199b adds r3, r3, r6 + 2186: 015a lsls r2, r3, #5 + 2188: d400 bmi.n 218c + 218a: e73e b.n 200a + 218c: 4a31 ldr r2, [pc, #196] ; (2254 ) + 218e: 000c movs r4, r1 + 2190: 4013 ands r3, r2 + 2192: e70b b.n 1fac <__aeabi_fsub+0x8c> + 2194: 2c00 cmp r4, #0 + 2196: d11e bne.n 21d6 + 2198: 2b00 cmp r3, #0 + 219a: d12f bne.n 21fc + 219c: 2e00 cmp r6, #0 + 219e: d04f beq.n 2240 + 21a0: 0033 movs r3, r6 + 21a2: 000d movs r5, r1 + 21a4: e702 b.n 1fac <__aeabi_fsub+0x8c> + 21a6: 2601 movs r6, #1 + 21a8: e755 b.n 2056 + 21aa: 2c00 cmp r4, #0 + 21ac: d11f bne.n 21ee + 21ae: 2b00 cmp r3, #0 + 21b0: d043 beq.n 223a + 21b2: 43c9 mvns r1, r1 + 21b4: 2900 cmp r1, #0 + 21b6: d00b beq.n 21d0 + 21b8: 28ff cmp r0, #255 ; 0xff + 21ba: d039 beq.n 2230 + 21bc: 291b cmp r1, #27 + 21be: dc44 bgt.n 224a + 21c0: 001c movs r4, r3 + 21c2: 2720 movs r7, #32 + 21c4: 40cc lsrs r4, r1 + 21c6: 1a79 subs r1, r7, r1 + 21c8: 408b lsls r3, r1 + 21ca: 1e59 subs r1, r3, #1 + 21cc: 418b sbcs r3, r1 + 21ce: 4323 orrs r3, r4 + 21d0: 199b adds r3, r3, r6 + 21d2: 0004 movs r4, r0 + 21d4: e740 b.n 2058 + 21d6: 2b00 cmp r3, #0 + 21d8: d11a bne.n 2210 + 21da: 2e00 cmp r6, #0 + 21dc: d124 bne.n 2228 + 21de: 2780 movs r7, #128 ; 0x80 + 21e0: 2200 movs r2, #0 + 21e2: 03ff lsls r7, r7, #15 + 21e4: e71b b.n 201e + 21e6: 0033 movs r3, r6 + 21e8: 0004 movs r4, r0 + 21ea: 000d movs r5, r1 + 21ec: e6de b.n 1fac <__aeabi_fsub+0x8c> + 21ee: 28ff cmp r0, #255 ; 0xff + 21f0: d01e beq.n 2230 + 21f2: 2480 movs r4, #128 ; 0x80 + 21f4: 04e4 lsls r4, r4, #19 + 21f6: 4249 negs r1, r1 + 21f8: 4323 orrs r3, r4 + 21fa: e7df b.n 21bc + 21fc: 2e00 cmp r6, #0 + 21fe: d100 bne.n 2202 + 2200: e6d4 b.n 1fac <__aeabi_fsub+0x8c> + 2202: 1b9f subs r7, r3, r6 + 2204: 017a lsls r2, r7, #5 + 2206: d400 bmi.n 220a + 2208: e737 b.n 207a + 220a: 1af3 subs r3, r6, r3 + 220c: 000d movs r5, r1 + 220e: e6cd b.n 1fac <__aeabi_fsub+0x8c> + 2210: 24ff movs r4, #255 ; 0xff + 2212: 2e00 cmp r6, #0 + 2214: d100 bne.n 2218 + 2216: e6c9 b.n 1fac <__aeabi_fsub+0x8c> + 2218: 2280 movs r2, #128 ; 0x80 + 221a: 4650 mov r0, sl + 221c: 03d2 lsls r2, r2, #15 + 221e: 4210 tst r0, r2 + 2220: d0a4 beq.n 216c + 2222: 4660 mov r0, ip + 2224: 4210 tst r0, r2 + 2226: d1a1 bne.n 216c + 2228: 0033 movs r3, r6 + 222a: 000d movs r5, r1 + 222c: 24ff movs r4, #255 ; 0xff + 222e: e6bd b.n 1fac <__aeabi_fsub+0x8c> + 2230: 0033 movs r3, r6 + 2232: 24ff movs r4, #255 ; 0xff + 2234: e6ba b.n 1fac <__aeabi_fsub+0x8c> + 2236: 2301 movs r3, #1 + 2238: e76e b.n 2118 + 223a: 0033 movs r3, r6 + 223c: 0004 movs r4, r0 + 223e: e6b5 b.n 1fac <__aeabi_fsub+0x8c> + 2240: 2700 movs r7, #0 + 2242: 2200 movs r2, #0 + 2244: e71c b.n 2080 + 2246: 0033 movs r3, r6 + 2248: e6b0 b.n 1fac <__aeabi_fsub+0x8c> + 224a: 2301 movs r3, #1 + 224c: e7c0 b.n 21d0 + 224e: 46c0 nop ; (mov r8, r8) + 2250: 7dffffff .word 0x7dffffff + 2254: fbffffff .word 0xfbffffff + +00002258 <__aeabi_f2iz>: + 2258: 0241 lsls r1, r0, #9 + 225a: 0043 lsls r3, r0, #1 + 225c: 0fc2 lsrs r2, r0, #31 + 225e: 0a49 lsrs r1, r1, #9 + 2260: 0e1b lsrs r3, r3, #24 + 2262: 2000 movs r0, #0 + 2264: 2b7e cmp r3, #126 ; 0x7e + 2266: dd0d ble.n 2284 <__aeabi_f2iz+0x2c> + 2268: 2b9d cmp r3, #157 ; 0x9d + 226a: dc0c bgt.n 2286 <__aeabi_f2iz+0x2e> + 226c: 2080 movs r0, #128 ; 0x80 + 226e: 0400 lsls r0, r0, #16 + 2270: 4301 orrs r1, r0 + 2272: 2b95 cmp r3, #149 ; 0x95 + 2274: dc0a bgt.n 228c <__aeabi_f2iz+0x34> + 2276: 2096 movs r0, #150 ; 0x96 + 2278: 1ac3 subs r3, r0, r3 + 227a: 40d9 lsrs r1, r3 + 227c: 4248 negs r0, r1 + 227e: 2a00 cmp r2, #0 + 2280: d100 bne.n 2284 <__aeabi_f2iz+0x2c> + 2282: 0008 movs r0, r1 + 2284: 4770 bx lr + 2286: 4b03 ldr r3, [pc, #12] ; (2294 <__aeabi_f2iz+0x3c>) + 2288: 18d0 adds r0, r2, r3 + 228a: e7fb b.n 2284 <__aeabi_f2iz+0x2c> + 228c: 3b96 subs r3, #150 ; 0x96 + 228e: 4099 lsls r1, r3 + 2290: e7f4 b.n 227c <__aeabi_f2iz+0x24> + 2292: 46c0 nop ; (mov r8, r8) + 2294: 7fffffff .word 0x7fffffff + +00002298 <__aeabi_i2f>: + 2298: b570 push {r4, r5, r6, lr} + 229a: 2800 cmp r0, #0 + 229c: d030 beq.n 2300 <__aeabi_i2f+0x68> + 229e: 17c3 asrs r3, r0, #31 + 22a0: 18c4 adds r4, r0, r3 + 22a2: 405c eors r4, r3 + 22a4: 0fc5 lsrs r5, r0, #31 + 22a6: 0020 movs r0, r4 + 22a8: f000 f88c bl 23c4 <__clzsi2> + 22ac: 239e movs r3, #158 ; 0x9e + 22ae: 1a1b subs r3, r3, r0 + 22b0: 2b96 cmp r3, #150 ; 0x96 + 22b2: dc0d bgt.n 22d0 <__aeabi_i2f+0x38> + 22b4: 2296 movs r2, #150 ; 0x96 + 22b6: 1ad2 subs r2, r2, r3 + 22b8: 4094 lsls r4, r2 + 22ba: 002a movs r2, r5 + 22bc: 0264 lsls r4, r4, #9 + 22be: 0a64 lsrs r4, r4, #9 + 22c0: b2db uxtb r3, r3 + 22c2: 0264 lsls r4, r4, #9 + 22c4: 05db lsls r3, r3, #23 + 22c6: 0a60 lsrs r0, r4, #9 + 22c8: 07d2 lsls r2, r2, #31 + 22ca: 4318 orrs r0, r3 + 22cc: 4310 orrs r0, r2 + 22ce: bd70 pop {r4, r5, r6, pc} + 22d0: 2b99 cmp r3, #153 ; 0x99 + 22d2: dc19 bgt.n 2308 <__aeabi_i2f+0x70> + 22d4: 2299 movs r2, #153 ; 0x99 + 22d6: 1ad2 subs r2, r2, r3 + 22d8: 2a00 cmp r2, #0 + 22da: dd29 ble.n 2330 <__aeabi_i2f+0x98> + 22dc: 4094 lsls r4, r2 + 22de: 0022 movs r2, r4 + 22e0: 4c14 ldr r4, [pc, #80] ; (2334 <__aeabi_i2f+0x9c>) + 22e2: 4014 ands r4, r2 + 22e4: 0751 lsls r1, r2, #29 + 22e6: d004 beq.n 22f2 <__aeabi_i2f+0x5a> + 22e8: 210f movs r1, #15 + 22ea: 400a ands r2, r1 + 22ec: 2a04 cmp r2, #4 + 22ee: d000 beq.n 22f2 <__aeabi_i2f+0x5a> + 22f0: 3404 adds r4, #4 + 22f2: 0162 lsls r2, r4, #5 + 22f4: d413 bmi.n 231e <__aeabi_i2f+0x86> + 22f6: 01a4 lsls r4, r4, #6 + 22f8: 0a64 lsrs r4, r4, #9 + 22fa: b2db uxtb r3, r3 + 22fc: 002a movs r2, r5 + 22fe: e7e0 b.n 22c2 <__aeabi_i2f+0x2a> + 2300: 2200 movs r2, #0 + 2302: 2300 movs r3, #0 + 2304: 2400 movs r4, #0 + 2306: e7dc b.n 22c2 <__aeabi_i2f+0x2a> + 2308: 2205 movs r2, #5 + 230a: 0021 movs r1, r4 + 230c: 1a12 subs r2, r2, r0 + 230e: 40d1 lsrs r1, r2 + 2310: 22b9 movs r2, #185 ; 0xb9 + 2312: 1ad2 subs r2, r2, r3 + 2314: 4094 lsls r4, r2 + 2316: 1e62 subs r2, r4, #1 + 2318: 4194 sbcs r4, r2 + 231a: 430c orrs r4, r1 + 231c: e7da b.n 22d4 <__aeabi_i2f+0x3c> + 231e: 4b05 ldr r3, [pc, #20] ; (2334 <__aeabi_i2f+0x9c>) + 2320: 002a movs r2, r5 + 2322: 401c ands r4, r3 + 2324: 239f movs r3, #159 ; 0x9f + 2326: 01a4 lsls r4, r4, #6 + 2328: 1a1b subs r3, r3, r0 + 232a: 0a64 lsrs r4, r4, #9 + 232c: b2db uxtb r3, r3 + 232e: e7c8 b.n 22c2 <__aeabi_i2f+0x2a> + 2330: 0022 movs r2, r4 + 2332: e7d5 b.n 22e0 <__aeabi_i2f+0x48> + 2334: fbffffff .word 0xfbffffff + +00002338 <__aeabi_ui2f>: + 2338: b510 push {r4, lr} + 233a: 1e04 subs r4, r0, #0 + 233c: d027 beq.n 238e <__aeabi_ui2f+0x56> + 233e: f000 f841 bl 23c4 <__clzsi2> + 2342: 239e movs r3, #158 ; 0x9e + 2344: 1a1b subs r3, r3, r0 + 2346: 2b96 cmp r3, #150 ; 0x96 + 2348: dc0a bgt.n 2360 <__aeabi_ui2f+0x28> + 234a: 2296 movs r2, #150 ; 0x96 + 234c: 1ad2 subs r2, r2, r3 + 234e: 4094 lsls r4, r2 + 2350: 0264 lsls r4, r4, #9 + 2352: 0a64 lsrs r4, r4, #9 + 2354: b2db uxtb r3, r3 + 2356: 0264 lsls r4, r4, #9 + 2358: 05db lsls r3, r3, #23 + 235a: 0a60 lsrs r0, r4, #9 + 235c: 4318 orrs r0, r3 + 235e: bd10 pop {r4, pc} + 2360: 2b99 cmp r3, #153 ; 0x99 + 2362: dc17 bgt.n 2394 <__aeabi_ui2f+0x5c> + 2364: 2299 movs r2, #153 ; 0x99 + 2366: 1ad2 subs r2, r2, r3 + 2368: 2a00 cmp r2, #0 + 236a: dd27 ble.n 23bc <__aeabi_ui2f+0x84> + 236c: 4094 lsls r4, r2 + 236e: 0022 movs r2, r4 + 2370: 4c13 ldr r4, [pc, #76] ; (23c0 <__aeabi_ui2f+0x88>) + 2372: 4014 ands r4, r2 + 2374: 0751 lsls r1, r2, #29 + 2376: d004 beq.n 2382 <__aeabi_ui2f+0x4a> + 2378: 210f movs r1, #15 + 237a: 400a ands r2, r1 + 237c: 2a04 cmp r2, #4 + 237e: d000 beq.n 2382 <__aeabi_ui2f+0x4a> + 2380: 3404 adds r4, #4 + 2382: 0162 lsls r2, r4, #5 + 2384: d412 bmi.n 23ac <__aeabi_ui2f+0x74> + 2386: 01a4 lsls r4, r4, #6 + 2388: 0a64 lsrs r4, r4, #9 + 238a: b2db uxtb r3, r3 + 238c: e7e3 b.n 2356 <__aeabi_ui2f+0x1e> + 238e: 2300 movs r3, #0 + 2390: 2400 movs r4, #0 + 2392: e7e0 b.n 2356 <__aeabi_ui2f+0x1e> + 2394: 22b9 movs r2, #185 ; 0xb9 + 2396: 0021 movs r1, r4 + 2398: 1ad2 subs r2, r2, r3 + 239a: 4091 lsls r1, r2 + 239c: 000a movs r2, r1 + 239e: 1e51 subs r1, r2, #1 + 23a0: 418a sbcs r2, r1 + 23a2: 2105 movs r1, #5 + 23a4: 1a09 subs r1, r1, r0 + 23a6: 40cc lsrs r4, r1 + 23a8: 4314 orrs r4, r2 + 23aa: e7db b.n 2364 <__aeabi_ui2f+0x2c> + 23ac: 4b04 ldr r3, [pc, #16] ; (23c0 <__aeabi_ui2f+0x88>) + 23ae: 401c ands r4, r3 + 23b0: 239f movs r3, #159 ; 0x9f + 23b2: 01a4 lsls r4, r4, #6 + 23b4: 1a1b subs r3, r3, r0 + 23b6: 0a64 lsrs r4, r4, #9 + 23b8: b2db uxtb r3, r3 + 23ba: e7cc b.n 2356 <__aeabi_ui2f+0x1e> + 23bc: 0022 movs r2, r4 + 23be: e7d7 b.n 2370 <__aeabi_ui2f+0x38> + 23c0: fbffffff .word 0xfbffffff + +000023c4 <__clzsi2>: + 23c4: 211c movs r1, #28 + 23c6: 2301 movs r3, #1 + 23c8: 041b lsls r3, r3, #16 + 23ca: 4298 cmp r0, r3 + 23cc: d301 bcc.n 23d2 <__clzsi2+0xe> + 23ce: 0c00 lsrs r0, r0, #16 + 23d0: 3910 subs r1, #16 + 23d2: 0a1b lsrs r3, r3, #8 + 23d4: 4298 cmp r0, r3 + 23d6: d301 bcc.n 23dc <__clzsi2+0x18> + 23d8: 0a00 lsrs r0, r0, #8 + 23da: 3908 subs r1, #8 + 23dc: 091b lsrs r3, r3, #4 + 23de: 4298 cmp r0, r3 + 23e0: d301 bcc.n 23e6 <__clzsi2+0x22> + 23e2: 0900 lsrs r0, r0, #4 + 23e4: 3904 subs r1, #4 + 23e6: a202 add r2, pc, #8 ; (adr r2, 23f0 <__clzsi2+0x2c>) + 23e8: 5c10 ldrb r0, [r2, r0] + 23ea: 1840 adds r0, r0, r1 + 23ec: 4770 bx lr + 23ee: 46c0 nop ; (mov r8, r8) + 23f0: 02020304 .word 0x02020304 + 23f4: 01010101 .word 0x01010101 + ... + +00002400 <__libc_init_array>: + 2400: b570 push {r4, r5, r6, lr} + 2402: 2600 movs r6, #0 + 2404: 4d0c ldr r5, [pc, #48] ; (2438 <__libc_init_array+0x38>) + 2406: 4c0d ldr r4, [pc, #52] ; (243c <__libc_init_array+0x3c>) + 2408: 1b64 subs r4, r4, r5 + 240a: 10a4 asrs r4, r4, #2 + 240c: 42a6 cmp r6, r4 + 240e: d109 bne.n 2424 <__libc_init_array+0x24> + 2410: 2600 movs r6, #0 + 2412: f001 f959 bl 36c8 <_init> + 2416: 4d0a ldr r5, [pc, #40] ; (2440 <__libc_init_array+0x40>) + 2418: 4c0a ldr r4, [pc, #40] ; (2444 <__libc_init_array+0x44>) + 241a: 1b64 subs r4, r4, r5 + 241c: 10a4 asrs r4, r4, #2 + 241e: 42a6 cmp r6, r4 + 2420: d105 bne.n 242e <__libc_init_array+0x2e> + 2422: bd70 pop {r4, r5, r6, pc} + 2424: 00b3 lsls r3, r6, #2 + 2426: 58eb ldr r3, [r5, r3] + 2428: 4798 blx r3 + 242a: 3601 adds r6, #1 + 242c: e7ee b.n 240c <__libc_init_array+0xc> + 242e: 00b3 lsls r3, r6, #2 + 2430: 58eb ldr r3, [r5, r3] + 2432: 4798 blx r3 + 2434: 3601 adds r6, #1 + 2436: e7f2 b.n 241e <__libc_init_array+0x1e> + 2438: 000036d4 .word 0x000036d4 + 243c: 000036d4 .word 0x000036d4 + 2440: 000036d4 .word 0x000036d4 + 2444: 000036d8 .word 0x000036d8 + +00002448 : + 2448: 2300 movs r3, #0 + 244a: b510 push {r4, lr} + 244c: 429a cmp r2, r3 + 244e: d100 bne.n 2452 + 2450: bd10 pop {r4, pc} + 2452: 5ccc ldrb r4, [r1, r3] + 2454: 54c4 strb r4, [r0, r3] + 2456: 3301 adds r3, #1 + 2458: e7f8 b.n 244c + +0000245a : + 245a: 0003 movs r3, r0 + 245c: 1882 adds r2, r0, r2 + 245e: 4293 cmp r3, r2 + 2460: d100 bne.n 2464 + 2462: 4770 bx lr + 2464: 7019 strb r1, [r3, #0] + 2466: 3301 adds r3, #1 + 2468: e7f9 b.n 245e + ... + +0000246c : + 246c: b40f push {r0, r1, r2, r3} + 246e: 4b0b ldr r3, [pc, #44] ; (249c ) + 2470: b513 push {r0, r1, r4, lr} + 2472: 681c ldr r4, [r3, #0] + 2474: 2c00 cmp r4, #0 + 2476: d005 beq.n 2484 + 2478: 69a3 ldr r3, [r4, #24] + 247a: 2b00 cmp r3, #0 + 247c: d102 bne.n 2484 + 247e: 0020 movs r0, r4 + 2480: f000 faf2 bl 2a68 <__sinit> + 2484: ab05 add r3, sp, #20 + 2486: 9a04 ldr r2, [sp, #16] + 2488: 68a1 ldr r1, [r4, #8] + 248a: 0020 movs r0, r4 + 248c: 9301 str r3, [sp, #4] + 248e: f000 fcc7 bl 2e20 <_vfiprintf_r> + 2492: bc16 pop {r1, r2, r4} + 2494: bc08 pop {r3} + 2496: b004 add sp, #16 + 2498: 4718 bx r3 + 249a: 46c0 nop ; (mov r8, r8) + 249c: 20000018 .word 0x20000018 + +000024a0 <_puts_r>: + 24a0: b570 push {r4, r5, r6, lr} + 24a2: 0005 movs r5, r0 + 24a4: 000e movs r6, r1 + 24a6: 2800 cmp r0, #0 + 24a8: d004 beq.n 24b4 <_puts_r+0x14> + 24aa: 6983 ldr r3, [r0, #24] + 24ac: 2b00 cmp r3, #0 + 24ae: d101 bne.n 24b4 <_puts_r+0x14> + 24b0: f000 fada bl 2a68 <__sinit> + 24b4: 69ab ldr r3, [r5, #24] + 24b6: 68ac ldr r4, [r5, #8] + 24b8: 2b00 cmp r3, #0 + 24ba: d102 bne.n 24c2 <_puts_r+0x22> + 24bc: 0028 movs r0, r5 + 24be: f000 fad3 bl 2a68 <__sinit> + 24c2: 4b24 ldr r3, [pc, #144] ; (2554 <_puts_r+0xb4>) + 24c4: 429c cmp r4, r3 + 24c6: d10f bne.n 24e8 <_puts_r+0x48> + 24c8: 686c ldr r4, [r5, #4] + 24ca: 89a3 ldrh r3, [r4, #12] + 24cc: 071b lsls r3, r3, #28 + 24ce: d502 bpl.n 24d6 <_puts_r+0x36> + 24d0: 6923 ldr r3, [r4, #16] + 24d2: 2b00 cmp r3, #0 + 24d4: d120 bne.n 2518 <_puts_r+0x78> + 24d6: 0021 movs r1, r4 + 24d8: 0028 movs r0, r5 + 24da: f000 f957 bl 278c <__swsetup_r> + 24de: 2800 cmp r0, #0 + 24e0: d01a beq.n 2518 <_puts_r+0x78> + 24e2: 2001 movs r0, #1 + 24e4: 4240 negs r0, r0 + 24e6: bd70 pop {r4, r5, r6, pc} + 24e8: 4b1b ldr r3, [pc, #108] ; (2558 <_puts_r+0xb8>) + 24ea: 429c cmp r4, r3 + 24ec: d101 bne.n 24f2 <_puts_r+0x52> + 24ee: 68ac ldr r4, [r5, #8] + 24f0: e7eb b.n 24ca <_puts_r+0x2a> + 24f2: 4b1a ldr r3, [pc, #104] ; (255c <_puts_r+0xbc>) + 24f4: 429c cmp r4, r3 + 24f6: d1e8 bne.n 24ca <_puts_r+0x2a> + 24f8: 68ec ldr r4, [r5, #12] + 24fa: e7e6 b.n 24ca <_puts_r+0x2a> + 24fc: 3b01 subs r3, #1 + 24fe: 3601 adds r6, #1 + 2500: 60a3 str r3, [r4, #8] + 2502: 2b00 cmp r3, #0 + 2504: da04 bge.n 2510 <_puts_r+0x70> + 2506: 69a2 ldr r2, [r4, #24] + 2508: 4293 cmp r3, r2 + 250a: db16 blt.n 253a <_puts_r+0x9a> + 250c: 290a cmp r1, #10 + 250e: d014 beq.n 253a <_puts_r+0x9a> + 2510: 6823 ldr r3, [r4, #0] + 2512: 1c5a adds r2, r3, #1 + 2514: 6022 str r2, [r4, #0] + 2516: 7019 strb r1, [r3, #0] + 2518: 7831 ldrb r1, [r6, #0] + 251a: 68a3 ldr r3, [r4, #8] + 251c: 2900 cmp r1, #0 + 251e: d1ed bne.n 24fc <_puts_r+0x5c> + 2520: 3b01 subs r3, #1 + 2522: 60a3 str r3, [r4, #8] + 2524: 2b00 cmp r3, #0 + 2526: da0f bge.n 2548 <_puts_r+0xa8> + 2528: 0022 movs r2, r4 + 252a: 310a adds r1, #10 + 252c: 0028 movs r0, r5 + 252e: f000 f8d7 bl 26e0 <__swbuf_r> + 2532: 1c43 adds r3, r0, #1 + 2534: d0d5 beq.n 24e2 <_puts_r+0x42> + 2536: 200a movs r0, #10 + 2538: e7d5 b.n 24e6 <_puts_r+0x46> + 253a: 0022 movs r2, r4 + 253c: 0028 movs r0, r5 + 253e: f000 f8cf bl 26e0 <__swbuf_r> + 2542: 1c43 adds r3, r0, #1 + 2544: d1e8 bne.n 2518 <_puts_r+0x78> + 2546: e7cc b.n 24e2 <_puts_r+0x42> + 2548: 200a movs r0, #10 + 254a: 6823 ldr r3, [r4, #0] + 254c: 1c5a adds r2, r3, #1 + 254e: 6022 str r2, [r4, #0] + 2550: 7018 strb r0, [r3, #0] + 2552: e7c8 b.n 24e6 <_puts_r+0x46> + 2554: 00003654 .word 0x00003654 + 2558: 00003674 .word 0x00003674 + 255c: 00003634 .word 0x00003634 + +00002560 : + 2560: b510 push {r4, lr} + 2562: 4b03 ldr r3, [pc, #12] ; (2570 ) + 2564: 0001 movs r1, r0 + 2566: 6818 ldr r0, [r3, #0] + 2568: f7ff ff9a bl 24a0 <_puts_r> + 256c: bd10 pop {r4, pc} + 256e: 46c0 nop ; (mov r8, r8) + 2570: 20000018 .word 0x20000018 + +00002574 : + 2574: 424a negs r2, r1 + 2576: 414a adcs r2, r1 + 2578: 2380 movs r3, #128 ; 0x80 + 257a: b510 push {r4, lr} + 257c: 0052 lsls r2, r2, #1 + 257e: 00db lsls r3, r3, #3 + 2580: f000 f802 bl 2588 + 2584: bd10 pop {r4, pc} + ... + +00002588 : + 2588: b5f0 push {r4, r5, r6, r7, lr} + 258a: 001d movs r5, r3 + 258c: 4b4f ldr r3, [pc, #316] ; (26cc ) + 258e: b085 sub sp, #20 + 2590: 681e ldr r6, [r3, #0] + 2592: 0004 movs r4, r0 + 2594: 000f movs r7, r1 + 2596: 9200 str r2, [sp, #0] + 2598: 2e00 cmp r6, #0 + 259a: d005 beq.n 25a8 + 259c: 69b3 ldr r3, [r6, #24] + 259e: 2b00 cmp r3, #0 + 25a0: d102 bne.n 25a8 + 25a2: 0030 movs r0, r6 + 25a4: f000 fa60 bl 2a68 <__sinit> + 25a8: 4b49 ldr r3, [pc, #292] ; (26d0 ) + 25aa: 429c cmp r4, r3 + 25ac: d150 bne.n 2650 + 25ae: 6874 ldr r4, [r6, #4] + 25b0: 9b00 ldr r3, [sp, #0] + 25b2: 2b02 cmp r3, #2 + 25b4: d005 beq.n 25c2 + 25b6: 2b01 cmp r3, #1 + 25b8: d900 bls.n 25bc + 25ba: e084 b.n 26c6 + 25bc: 2d00 cmp r5, #0 + 25be: da00 bge.n 25c2 + 25c0: e081 b.n 26c6 + 25c2: 0021 movs r1, r4 + 25c4: 0030 movs r0, r6 + 25c6: f000 f9e1 bl 298c <_fflush_r> + 25ca: 6b61 ldr r1, [r4, #52] ; 0x34 + 25cc: 2900 cmp r1, #0 + 25ce: d008 beq.n 25e2 + 25d0: 0023 movs r3, r4 + 25d2: 3344 adds r3, #68 ; 0x44 + 25d4: 4299 cmp r1, r3 + 25d6: d002 beq.n 25de + 25d8: 0030 movs r0, r6 + 25da: f000 fb51 bl 2c80 <_free_r> + 25de: 2300 movs r3, #0 + 25e0: 6363 str r3, [r4, #52] ; 0x34 + 25e2: 2300 movs r3, #0 + 25e4: 61a3 str r3, [r4, #24] + 25e6: 6063 str r3, [r4, #4] + 25e8: 89a3 ldrh r3, [r4, #12] + 25ea: 061b lsls r3, r3, #24 + 25ec: d503 bpl.n 25f6 + 25ee: 6921 ldr r1, [r4, #16] + 25f0: 0030 movs r0, r6 + 25f2: f000 fb45 bl 2c80 <_free_r> + 25f6: 89a3 ldrh r3, [r4, #12] + 25f8: 4a36 ldr r2, [pc, #216] ; (26d4 ) + 25fa: 4013 ands r3, r2 + 25fc: 81a3 strh r3, [r4, #12] + 25fe: 9b00 ldr r3, [sp, #0] + 2600: 2b02 cmp r3, #2 + 2602: d05a beq.n 26ba + 2604: ab03 add r3, sp, #12 + 2606: aa02 add r2, sp, #8 + 2608: 0021 movs r1, r4 + 260a: 0030 movs r0, r6 + 260c: f000 fac2 bl 2b94 <__swhatbuf_r> + 2610: 89a3 ldrh r3, [r4, #12] + 2612: 4318 orrs r0, r3 + 2614: 81a0 strh r0, [r4, #12] + 2616: 2d00 cmp r5, #0 + 2618: d124 bne.n 2664 + 261a: 9d02 ldr r5, [sp, #8] + 261c: 0028 movs r0, r5 + 261e: f000 fb25 bl 2c6c + 2622: 9501 str r5, [sp, #4] + 2624: 1e07 subs r7, r0, #0 + 2626: d142 bne.n 26ae + 2628: 9b02 ldr r3, [sp, #8] + 262a: 9301 str r3, [sp, #4] + 262c: 42ab cmp r3, r5 + 262e: d139 bne.n 26a4 + 2630: 2001 movs r0, #1 + 2632: 4240 negs r0, r0 + 2634: 2302 movs r3, #2 + 2636: 89a2 ldrh r2, [r4, #12] + 2638: 4313 orrs r3, r2 + 263a: 81a3 strh r3, [r4, #12] + 263c: 2300 movs r3, #0 + 263e: 60a3 str r3, [r4, #8] + 2640: 0023 movs r3, r4 + 2642: 3347 adds r3, #71 ; 0x47 + 2644: 6023 str r3, [r4, #0] + 2646: 6123 str r3, [r4, #16] + 2648: 2301 movs r3, #1 + 264a: 6163 str r3, [r4, #20] + 264c: b005 add sp, #20 + 264e: bdf0 pop {r4, r5, r6, r7, pc} + 2650: 4b21 ldr r3, [pc, #132] ; (26d8 ) + 2652: 429c cmp r4, r3 + 2654: d101 bne.n 265a + 2656: 68b4 ldr r4, [r6, #8] + 2658: e7aa b.n 25b0 + 265a: 4b20 ldr r3, [pc, #128] ; (26dc ) + 265c: 429c cmp r4, r3 + 265e: d1a7 bne.n 25b0 + 2660: 68f4 ldr r4, [r6, #12] + 2662: e7a5 b.n 25b0 + 2664: 2f00 cmp r7, #0 + 2666: d0d9 beq.n 261c + 2668: 69b3 ldr r3, [r6, #24] + 266a: 2b00 cmp r3, #0 + 266c: d102 bne.n 2674 + 266e: 0030 movs r0, r6 + 2670: f000 f9fa bl 2a68 <__sinit> + 2674: 9b00 ldr r3, [sp, #0] + 2676: 2b01 cmp r3, #1 + 2678: d103 bne.n 2682 + 267a: 89a3 ldrh r3, [r4, #12] + 267c: 9a00 ldr r2, [sp, #0] + 267e: 431a orrs r2, r3 + 2680: 81a2 strh r2, [r4, #12] + 2682: 2008 movs r0, #8 + 2684: 89a3 ldrh r3, [r4, #12] + 2686: 6027 str r7, [r4, #0] + 2688: 6127 str r7, [r4, #16] + 268a: 6165 str r5, [r4, #20] + 268c: 4018 ands r0, r3 + 268e: d018 beq.n 26c2 + 2690: 2001 movs r0, #1 + 2692: 4018 ands r0, r3 + 2694: 2300 movs r3, #0 + 2696: 4298 cmp r0, r3 + 2698: d011 beq.n 26be + 269a: 426d negs r5, r5 + 269c: 60a3 str r3, [r4, #8] + 269e: 61a5 str r5, [r4, #24] + 26a0: 0018 movs r0, r3 + 26a2: e7d3 b.n 264c + 26a4: 9801 ldr r0, [sp, #4] + 26a6: f000 fae1 bl 2c6c + 26aa: 1e07 subs r7, r0, #0 + 26ac: d0c0 beq.n 2630 + 26ae: 2380 movs r3, #128 ; 0x80 + 26b0: 89a2 ldrh r2, [r4, #12] + 26b2: 9d01 ldr r5, [sp, #4] + 26b4: 4313 orrs r3, r2 + 26b6: 81a3 strh r3, [r4, #12] + 26b8: e7d6 b.n 2668 + 26ba: 2000 movs r0, #0 + 26bc: e7ba b.n 2634 + 26be: 60a5 str r5, [r4, #8] + 26c0: e7c4 b.n 264c + 26c2: 60a0 str r0, [r4, #8] + 26c4: e7c2 b.n 264c + 26c6: 2001 movs r0, #1 + 26c8: 4240 negs r0, r0 + 26ca: e7bf b.n 264c + 26cc: 20000018 .word 0x20000018 + 26d0: 00003654 .word 0x00003654 + 26d4: fffff35c .word 0xfffff35c + 26d8: 00003674 .word 0x00003674 + 26dc: 00003634 .word 0x00003634 + +000026e0 <__swbuf_r>: + 26e0: b5f8 push {r3, r4, r5, r6, r7, lr} + 26e2: 0005 movs r5, r0 + 26e4: 000e movs r6, r1 + 26e6: 0014 movs r4, r2 + 26e8: 2800 cmp r0, #0 + 26ea: d004 beq.n 26f6 <__swbuf_r+0x16> + 26ec: 6983 ldr r3, [r0, #24] + 26ee: 2b00 cmp r3, #0 + 26f0: d101 bne.n 26f6 <__swbuf_r+0x16> + 26f2: f000 f9b9 bl 2a68 <__sinit> + 26f6: 4b22 ldr r3, [pc, #136] ; (2780 <__swbuf_r+0xa0>) + 26f8: 429c cmp r4, r3 + 26fa: d12d bne.n 2758 <__swbuf_r+0x78> + 26fc: 686c ldr r4, [r5, #4] + 26fe: 69a3 ldr r3, [r4, #24] + 2700: 60a3 str r3, [r4, #8] + 2702: 89a3 ldrh r3, [r4, #12] + 2704: 071b lsls r3, r3, #28 + 2706: d531 bpl.n 276c <__swbuf_r+0x8c> + 2708: 6923 ldr r3, [r4, #16] + 270a: 2b00 cmp r3, #0 + 270c: d02e beq.n 276c <__swbuf_r+0x8c> + 270e: 6823 ldr r3, [r4, #0] + 2710: 6922 ldr r2, [r4, #16] + 2712: b2f7 uxtb r7, r6 + 2714: 1a98 subs r0, r3, r2 + 2716: 6963 ldr r3, [r4, #20] + 2718: b2f6 uxtb r6, r6 + 271a: 4298 cmp r0, r3 + 271c: db05 blt.n 272a <__swbuf_r+0x4a> + 271e: 0021 movs r1, r4 + 2720: 0028 movs r0, r5 + 2722: f000 f933 bl 298c <_fflush_r> + 2726: 2800 cmp r0, #0 + 2728: d126 bne.n 2778 <__swbuf_r+0x98> + 272a: 68a3 ldr r3, [r4, #8] + 272c: 3001 adds r0, #1 + 272e: 3b01 subs r3, #1 + 2730: 60a3 str r3, [r4, #8] + 2732: 6823 ldr r3, [r4, #0] + 2734: 1c5a adds r2, r3, #1 + 2736: 6022 str r2, [r4, #0] + 2738: 701f strb r7, [r3, #0] + 273a: 6963 ldr r3, [r4, #20] + 273c: 4298 cmp r0, r3 + 273e: d004 beq.n 274a <__swbuf_r+0x6a> + 2740: 89a3 ldrh r3, [r4, #12] + 2742: 07db lsls r3, r3, #31 + 2744: d51a bpl.n 277c <__swbuf_r+0x9c> + 2746: 2e0a cmp r6, #10 + 2748: d118 bne.n 277c <__swbuf_r+0x9c> + 274a: 0021 movs r1, r4 + 274c: 0028 movs r0, r5 + 274e: f000 f91d bl 298c <_fflush_r> + 2752: 2800 cmp r0, #0 + 2754: d012 beq.n 277c <__swbuf_r+0x9c> + 2756: e00f b.n 2778 <__swbuf_r+0x98> + 2758: 4b0a ldr r3, [pc, #40] ; (2784 <__swbuf_r+0xa4>) + 275a: 429c cmp r4, r3 + 275c: d101 bne.n 2762 <__swbuf_r+0x82> + 275e: 68ac ldr r4, [r5, #8] + 2760: e7cd b.n 26fe <__swbuf_r+0x1e> + 2762: 4b09 ldr r3, [pc, #36] ; (2788 <__swbuf_r+0xa8>) + 2764: 429c cmp r4, r3 + 2766: d1ca bne.n 26fe <__swbuf_r+0x1e> + 2768: 68ec ldr r4, [r5, #12] + 276a: e7c8 b.n 26fe <__swbuf_r+0x1e> + 276c: 0021 movs r1, r4 + 276e: 0028 movs r0, r5 + 2770: f000 f80c bl 278c <__swsetup_r> + 2774: 2800 cmp r0, #0 + 2776: d0ca beq.n 270e <__swbuf_r+0x2e> + 2778: 2601 movs r6, #1 + 277a: 4276 negs r6, r6 + 277c: 0030 movs r0, r6 + 277e: bdf8 pop {r3, r4, r5, r6, r7, pc} + 2780: 00003654 .word 0x00003654 + 2784: 00003674 .word 0x00003674 + 2788: 00003634 .word 0x00003634 + +0000278c <__swsetup_r>: + 278c: 4b36 ldr r3, [pc, #216] ; (2868 <__swsetup_r+0xdc>) + 278e: b570 push {r4, r5, r6, lr} + 2790: 681d ldr r5, [r3, #0] + 2792: 0006 movs r6, r0 + 2794: 000c movs r4, r1 + 2796: 2d00 cmp r5, #0 + 2798: d005 beq.n 27a6 <__swsetup_r+0x1a> + 279a: 69ab ldr r3, [r5, #24] + 279c: 2b00 cmp r3, #0 + 279e: d102 bne.n 27a6 <__swsetup_r+0x1a> + 27a0: 0028 movs r0, r5 + 27a2: f000 f961 bl 2a68 <__sinit> + 27a6: 4b31 ldr r3, [pc, #196] ; (286c <__swsetup_r+0xe0>) + 27a8: 429c cmp r4, r3 + 27aa: d10f bne.n 27cc <__swsetup_r+0x40> + 27ac: 686c ldr r4, [r5, #4] + 27ae: 230c movs r3, #12 + 27b0: 5ee2 ldrsh r2, [r4, r3] + 27b2: b293 uxth r3, r2 + 27b4: 0719 lsls r1, r3, #28 + 27b6: d42d bmi.n 2814 <__swsetup_r+0x88> + 27b8: 06d9 lsls r1, r3, #27 + 27ba: d411 bmi.n 27e0 <__swsetup_r+0x54> + 27bc: 2309 movs r3, #9 + 27be: 2001 movs r0, #1 + 27c0: 6033 str r3, [r6, #0] + 27c2: 3337 adds r3, #55 ; 0x37 + 27c4: 4313 orrs r3, r2 + 27c6: 81a3 strh r3, [r4, #12] + 27c8: 4240 negs r0, r0 + 27ca: bd70 pop {r4, r5, r6, pc} + 27cc: 4b28 ldr r3, [pc, #160] ; (2870 <__swsetup_r+0xe4>) + 27ce: 429c cmp r4, r3 + 27d0: d101 bne.n 27d6 <__swsetup_r+0x4a> + 27d2: 68ac ldr r4, [r5, #8] + 27d4: e7eb b.n 27ae <__swsetup_r+0x22> + 27d6: 4b27 ldr r3, [pc, #156] ; (2874 <__swsetup_r+0xe8>) + 27d8: 429c cmp r4, r3 + 27da: d1e8 bne.n 27ae <__swsetup_r+0x22> + 27dc: 68ec ldr r4, [r5, #12] + 27de: e7e6 b.n 27ae <__swsetup_r+0x22> + 27e0: 075b lsls r3, r3, #29 + 27e2: d513 bpl.n 280c <__swsetup_r+0x80> + 27e4: 6b61 ldr r1, [r4, #52] ; 0x34 + 27e6: 2900 cmp r1, #0 + 27e8: d008 beq.n 27fc <__swsetup_r+0x70> + 27ea: 0023 movs r3, r4 + 27ec: 3344 adds r3, #68 ; 0x44 + 27ee: 4299 cmp r1, r3 + 27f0: d002 beq.n 27f8 <__swsetup_r+0x6c> + 27f2: 0030 movs r0, r6 + 27f4: f000 fa44 bl 2c80 <_free_r> + 27f8: 2300 movs r3, #0 + 27fa: 6363 str r3, [r4, #52] ; 0x34 + 27fc: 2224 movs r2, #36 ; 0x24 + 27fe: 89a3 ldrh r3, [r4, #12] + 2800: 4393 bics r3, r2 + 2802: 81a3 strh r3, [r4, #12] + 2804: 2300 movs r3, #0 + 2806: 6063 str r3, [r4, #4] + 2808: 6923 ldr r3, [r4, #16] + 280a: 6023 str r3, [r4, #0] + 280c: 2308 movs r3, #8 + 280e: 89a2 ldrh r2, [r4, #12] + 2810: 4313 orrs r3, r2 + 2812: 81a3 strh r3, [r4, #12] + 2814: 6923 ldr r3, [r4, #16] + 2816: 2b00 cmp r3, #0 + 2818: d10b bne.n 2832 <__swsetup_r+0xa6> + 281a: 21a0 movs r1, #160 ; 0xa0 + 281c: 2280 movs r2, #128 ; 0x80 + 281e: 89a3 ldrh r3, [r4, #12] + 2820: 0089 lsls r1, r1, #2 + 2822: 0092 lsls r2, r2, #2 + 2824: 400b ands r3, r1 + 2826: 4293 cmp r3, r2 + 2828: d003 beq.n 2832 <__swsetup_r+0xa6> + 282a: 0021 movs r1, r4 + 282c: 0030 movs r0, r6 + 282e: f000 f9d9 bl 2be4 <__smakebuf_r> + 2832: 2301 movs r3, #1 + 2834: 89a2 ldrh r2, [r4, #12] + 2836: 4013 ands r3, r2 + 2838: d011 beq.n 285e <__swsetup_r+0xd2> + 283a: 2300 movs r3, #0 + 283c: 60a3 str r3, [r4, #8] + 283e: 6963 ldr r3, [r4, #20] + 2840: 425b negs r3, r3 + 2842: 61a3 str r3, [r4, #24] + 2844: 2000 movs r0, #0 + 2846: 6923 ldr r3, [r4, #16] + 2848: 4283 cmp r3, r0 + 284a: d1be bne.n 27ca <__swsetup_r+0x3e> + 284c: 230c movs r3, #12 + 284e: 5ee2 ldrsh r2, [r4, r3] + 2850: 0613 lsls r3, r2, #24 + 2852: d5ba bpl.n 27ca <__swsetup_r+0x3e> + 2854: 2340 movs r3, #64 ; 0x40 + 2856: 4313 orrs r3, r2 + 2858: 81a3 strh r3, [r4, #12] + 285a: 3801 subs r0, #1 + 285c: e7b5 b.n 27ca <__swsetup_r+0x3e> + 285e: 0792 lsls r2, r2, #30 + 2860: d400 bmi.n 2864 <__swsetup_r+0xd8> + 2862: 6963 ldr r3, [r4, #20] + 2864: 60a3 str r3, [r4, #8] + 2866: e7ed b.n 2844 <__swsetup_r+0xb8> + 2868: 20000018 .word 0x20000018 + 286c: 00003654 .word 0x00003654 + 2870: 00003674 .word 0x00003674 + 2874: 00003634 .word 0x00003634 + +00002878 <__sflush_r>: + 2878: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 287a: 898a ldrh r2, [r1, #12] + 287c: 0005 movs r5, r0 + 287e: 000c movs r4, r1 + 2880: 0713 lsls r3, r2, #28 + 2882: d460 bmi.n 2946 <__sflush_r+0xce> + 2884: 684b ldr r3, [r1, #4] + 2886: 2b00 cmp r3, #0 + 2888: dc04 bgt.n 2894 <__sflush_r+0x1c> + 288a: 6c0b ldr r3, [r1, #64] ; 0x40 + 288c: 2b00 cmp r3, #0 + 288e: dc01 bgt.n 2894 <__sflush_r+0x1c> + 2890: 2000 movs r0, #0 + 2892: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 2894: 6ae7 ldr r7, [r4, #44] ; 0x2c + 2896: 2f00 cmp r7, #0 + 2898: d0fa beq.n 2890 <__sflush_r+0x18> + 289a: 2300 movs r3, #0 + 289c: 682e ldr r6, [r5, #0] + 289e: 602b str r3, [r5, #0] + 28a0: 2380 movs r3, #128 ; 0x80 + 28a2: 015b lsls r3, r3, #5 + 28a4: 401a ands r2, r3 + 28a6: d034 beq.n 2912 <__sflush_r+0x9a> + 28a8: 6d60 ldr r0, [r4, #84] ; 0x54 + 28aa: 89a3 ldrh r3, [r4, #12] + 28ac: 075b lsls r3, r3, #29 + 28ae: d506 bpl.n 28be <__sflush_r+0x46> + 28b0: 6863 ldr r3, [r4, #4] + 28b2: 1ac0 subs r0, r0, r3 + 28b4: 6b63 ldr r3, [r4, #52] ; 0x34 + 28b6: 2b00 cmp r3, #0 + 28b8: d001 beq.n 28be <__sflush_r+0x46> + 28ba: 6c23 ldr r3, [r4, #64] ; 0x40 + 28bc: 1ac0 subs r0, r0, r3 + 28be: 0002 movs r2, r0 + 28c0: 6a21 ldr r1, [r4, #32] + 28c2: 2300 movs r3, #0 + 28c4: 0028 movs r0, r5 + 28c6: 6ae7 ldr r7, [r4, #44] ; 0x2c + 28c8: 47b8 blx r7 + 28ca: 89a1 ldrh r1, [r4, #12] + 28cc: 1c43 adds r3, r0, #1 + 28ce: d106 bne.n 28de <__sflush_r+0x66> + 28d0: 682b ldr r3, [r5, #0] + 28d2: 2b1d cmp r3, #29 + 28d4: d831 bhi.n 293a <__sflush_r+0xc2> + 28d6: 4a2c ldr r2, [pc, #176] ; (2988 <__sflush_r+0x110>) + 28d8: 40da lsrs r2, r3 + 28da: 07d3 lsls r3, r2, #31 + 28dc: d52d bpl.n 293a <__sflush_r+0xc2> + 28de: 2300 movs r3, #0 + 28e0: 6063 str r3, [r4, #4] + 28e2: 6923 ldr r3, [r4, #16] + 28e4: 6023 str r3, [r4, #0] + 28e6: 04cb lsls r3, r1, #19 + 28e8: d505 bpl.n 28f6 <__sflush_r+0x7e> + 28ea: 1c43 adds r3, r0, #1 + 28ec: d102 bne.n 28f4 <__sflush_r+0x7c> + 28ee: 682b ldr r3, [r5, #0] + 28f0: 2b00 cmp r3, #0 + 28f2: d100 bne.n 28f6 <__sflush_r+0x7e> + 28f4: 6560 str r0, [r4, #84] ; 0x54 + 28f6: 6b61 ldr r1, [r4, #52] ; 0x34 + 28f8: 602e str r6, [r5, #0] + 28fa: 2900 cmp r1, #0 + 28fc: d0c8 beq.n 2890 <__sflush_r+0x18> + 28fe: 0023 movs r3, r4 + 2900: 3344 adds r3, #68 ; 0x44 + 2902: 4299 cmp r1, r3 + 2904: d002 beq.n 290c <__sflush_r+0x94> + 2906: 0028 movs r0, r5 + 2908: f000 f9ba bl 2c80 <_free_r> + 290c: 2000 movs r0, #0 + 290e: 6360 str r0, [r4, #52] ; 0x34 + 2910: e7bf b.n 2892 <__sflush_r+0x1a> + 2912: 2301 movs r3, #1 + 2914: 6a21 ldr r1, [r4, #32] + 2916: 0028 movs r0, r5 + 2918: 47b8 blx r7 + 291a: 1c43 adds r3, r0, #1 + 291c: d1c5 bne.n 28aa <__sflush_r+0x32> + 291e: 682b ldr r3, [r5, #0] + 2920: 2b00 cmp r3, #0 + 2922: d0c2 beq.n 28aa <__sflush_r+0x32> + 2924: 2b1d cmp r3, #29 + 2926: d001 beq.n 292c <__sflush_r+0xb4> + 2928: 2b16 cmp r3, #22 + 292a: d101 bne.n 2930 <__sflush_r+0xb8> + 292c: 602e str r6, [r5, #0] + 292e: e7af b.n 2890 <__sflush_r+0x18> + 2930: 2340 movs r3, #64 ; 0x40 + 2932: 89a2 ldrh r2, [r4, #12] + 2934: 4313 orrs r3, r2 + 2936: 81a3 strh r3, [r4, #12] + 2938: e7ab b.n 2892 <__sflush_r+0x1a> + 293a: 2340 movs r3, #64 ; 0x40 + 293c: 430b orrs r3, r1 + 293e: 2001 movs r0, #1 + 2940: 81a3 strh r3, [r4, #12] + 2942: 4240 negs r0, r0 + 2944: e7a5 b.n 2892 <__sflush_r+0x1a> + 2946: 690f ldr r7, [r1, #16] + 2948: 2f00 cmp r7, #0 + 294a: d0a1 beq.n 2890 <__sflush_r+0x18> + 294c: 680b ldr r3, [r1, #0] + 294e: 600f str r7, [r1, #0] + 2950: 1bdb subs r3, r3, r7 + 2952: 9301 str r3, [sp, #4] + 2954: 2300 movs r3, #0 + 2956: 0792 lsls r2, r2, #30 + 2958: d100 bne.n 295c <__sflush_r+0xe4> + 295a: 694b ldr r3, [r1, #20] + 295c: 60a3 str r3, [r4, #8] + 295e: 9b01 ldr r3, [sp, #4] + 2960: 2b00 cmp r3, #0 + 2962: dc00 bgt.n 2966 <__sflush_r+0xee> + 2964: e794 b.n 2890 <__sflush_r+0x18> + 2966: 9b01 ldr r3, [sp, #4] + 2968: 003a movs r2, r7 + 296a: 6a21 ldr r1, [r4, #32] + 296c: 0028 movs r0, r5 + 296e: 6aa6 ldr r6, [r4, #40] ; 0x28 + 2970: 47b0 blx r6 + 2972: 2800 cmp r0, #0 + 2974: dc03 bgt.n 297e <__sflush_r+0x106> + 2976: 2340 movs r3, #64 ; 0x40 + 2978: 89a2 ldrh r2, [r4, #12] + 297a: 4313 orrs r3, r2 + 297c: e7df b.n 293e <__sflush_r+0xc6> + 297e: 9b01 ldr r3, [sp, #4] + 2980: 183f adds r7, r7, r0 + 2982: 1a1b subs r3, r3, r0 + 2984: 9301 str r3, [sp, #4] + 2986: e7ea b.n 295e <__sflush_r+0xe6> + 2988: 20400001 .word 0x20400001 + +0000298c <_fflush_r>: + 298c: 690b ldr r3, [r1, #16] + 298e: b570 push {r4, r5, r6, lr} + 2990: 0005 movs r5, r0 + 2992: 000c movs r4, r1 + 2994: 2b00 cmp r3, #0 + 2996: d101 bne.n 299c <_fflush_r+0x10> + 2998: 2000 movs r0, #0 + 299a: bd70 pop {r4, r5, r6, pc} + 299c: 2800 cmp r0, #0 + 299e: d004 beq.n 29aa <_fflush_r+0x1e> + 29a0: 6983 ldr r3, [r0, #24] + 29a2: 2b00 cmp r3, #0 + 29a4: d101 bne.n 29aa <_fflush_r+0x1e> + 29a6: f000 f85f bl 2a68 <__sinit> + 29aa: 4b0b ldr r3, [pc, #44] ; (29d8 <_fflush_r+0x4c>) + 29ac: 429c cmp r4, r3 + 29ae: d109 bne.n 29c4 <_fflush_r+0x38> + 29b0: 686c ldr r4, [r5, #4] + 29b2: 220c movs r2, #12 + 29b4: 5ea3 ldrsh r3, [r4, r2] + 29b6: 2b00 cmp r3, #0 + 29b8: d0ee beq.n 2998 <_fflush_r+0xc> + 29ba: 0021 movs r1, r4 + 29bc: 0028 movs r0, r5 + 29be: f7ff ff5b bl 2878 <__sflush_r> + 29c2: e7ea b.n 299a <_fflush_r+0xe> + 29c4: 4b05 ldr r3, [pc, #20] ; (29dc <_fflush_r+0x50>) + 29c6: 429c cmp r4, r3 + 29c8: d101 bne.n 29ce <_fflush_r+0x42> + 29ca: 68ac ldr r4, [r5, #8] + 29cc: e7f1 b.n 29b2 <_fflush_r+0x26> + 29ce: 4b04 ldr r3, [pc, #16] ; (29e0 <_fflush_r+0x54>) + 29d0: 429c cmp r4, r3 + 29d2: d1ee bne.n 29b2 <_fflush_r+0x26> + 29d4: 68ec ldr r4, [r5, #12] + 29d6: e7ec b.n 29b2 <_fflush_r+0x26> + 29d8: 00003654 .word 0x00003654 + 29dc: 00003674 .word 0x00003674 + 29e0: 00003634 .word 0x00003634 + +000029e4 <_cleanup_r>: + 29e4: b510 push {r4, lr} + 29e6: 4902 ldr r1, [pc, #8] ; (29f0 <_cleanup_r+0xc>) + 29e8: f000 f8b2 bl 2b50 <_fwalk_reent> + 29ec: bd10 pop {r4, pc} + 29ee: 46c0 nop ; (mov r8, r8) + 29f0: 0000298d .word 0x0000298d + +000029f4 : + 29f4: 2300 movs r3, #0 + 29f6: b510 push {r4, lr} + 29f8: 0004 movs r4, r0 + 29fa: 6003 str r3, [r0, #0] + 29fc: 6043 str r3, [r0, #4] + 29fe: 6083 str r3, [r0, #8] + 2a00: 8181 strh r1, [r0, #12] + 2a02: 6643 str r3, [r0, #100] ; 0x64 + 2a04: 81c2 strh r2, [r0, #14] + 2a06: 6103 str r3, [r0, #16] + 2a08: 6143 str r3, [r0, #20] + 2a0a: 6183 str r3, [r0, #24] + 2a0c: 0019 movs r1, r3 + 2a0e: 2208 movs r2, #8 + 2a10: 305c adds r0, #92 ; 0x5c + 2a12: f7ff fd22 bl 245a + 2a16: 4b05 ldr r3, [pc, #20] ; (2a2c ) + 2a18: 6224 str r4, [r4, #32] + 2a1a: 6263 str r3, [r4, #36] ; 0x24 + 2a1c: 4b04 ldr r3, [pc, #16] ; (2a30 ) + 2a1e: 62a3 str r3, [r4, #40] ; 0x28 + 2a20: 4b04 ldr r3, [pc, #16] ; (2a34 ) + 2a22: 62e3 str r3, [r4, #44] ; 0x2c + 2a24: 4b04 ldr r3, [pc, #16] ; (2a38 ) + 2a26: 6323 str r3, [r4, #48] ; 0x30 + 2a28: bd10 pop {r4, pc} + 2a2a: 46c0 nop ; (mov r8, r8) + 2a2c: 0000338d .word 0x0000338d + 2a30: 000033b5 .word 0x000033b5 + 2a34: 000033ed .word 0x000033ed + 2a38: 00003419 .word 0x00003419 + +00002a3c <__sfmoreglue>: + 2a3c: b570 push {r4, r5, r6, lr} + 2a3e: 2568 movs r5, #104 ; 0x68 + 2a40: 1e4a subs r2, r1, #1 + 2a42: 4355 muls r5, r2 + 2a44: 000e movs r6, r1 + 2a46: 0029 movs r1, r5 + 2a48: 3174 adds r1, #116 ; 0x74 + 2a4a: f000 f963 bl 2d14 <_malloc_r> + 2a4e: 1e04 subs r4, r0, #0 + 2a50: d008 beq.n 2a64 <__sfmoreglue+0x28> + 2a52: 2100 movs r1, #0 + 2a54: 002a movs r2, r5 + 2a56: 6001 str r1, [r0, #0] + 2a58: 6046 str r6, [r0, #4] + 2a5a: 300c adds r0, #12 + 2a5c: 60a0 str r0, [r4, #8] + 2a5e: 3268 adds r2, #104 ; 0x68 + 2a60: f7ff fcfb bl 245a + 2a64: 0020 movs r0, r4 + 2a66: bd70 pop {r4, r5, r6, pc} + +00002a68 <__sinit>: + 2a68: 6983 ldr r3, [r0, #24] + 2a6a: b513 push {r0, r1, r4, lr} + 2a6c: 0004 movs r4, r0 + 2a6e: 2b00 cmp r3, #0 + 2a70: d128 bne.n 2ac4 <__sinit+0x5c> + 2a72: 6483 str r3, [r0, #72] ; 0x48 + 2a74: 64c3 str r3, [r0, #76] ; 0x4c + 2a76: 6503 str r3, [r0, #80] ; 0x50 + 2a78: 4b13 ldr r3, [pc, #76] ; (2ac8 <__sinit+0x60>) + 2a7a: 4a14 ldr r2, [pc, #80] ; (2acc <__sinit+0x64>) + 2a7c: 681b ldr r3, [r3, #0] + 2a7e: 6282 str r2, [r0, #40] ; 0x28 + 2a80: 9301 str r3, [sp, #4] + 2a82: 4298 cmp r0, r3 + 2a84: d101 bne.n 2a8a <__sinit+0x22> + 2a86: 2301 movs r3, #1 + 2a88: 6183 str r3, [r0, #24] + 2a8a: 0020 movs r0, r4 + 2a8c: f000 f820 bl 2ad0 <__sfp> + 2a90: 6060 str r0, [r4, #4] + 2a92: 0020 movs r0, r4 + 2a94: f000 f81c bl 2ad0 <__sfp> + 2a98: 60a0 str r0, [r4, #8] + 2a9a: 0020 movs r0, r4 + 2a9c: f000 f818 bl 2ad0 <__sfp> + 2aa0: 2200 movs r2, #0 + 2aa2: 60e0 str r0, [r4, #12] + 2aa4: 2104 movs r1, #4 + 2aa6: 6860 ldr r0, [r4, #4] + 2aa8: f7ff ffa4 bl 29f4 + 2aac: 2201 movs r2, #1 + 2aae: 2109 movs r1, #9 + 2ab0: 68a0 ldr r0, [r4, #8] + 2ab2: f7ff ff9f bl 29f4 + 2ab6: 2202 movs r2, #2 + 2ab8: 2112 movs r1, #18 + 2aba: 68e0 ldr r0, [r4, #12] + 2abc: f7ff ff9a bl 29f4 + 2ac0: 2301 movs r3, #1 + 2ac2: 61a3 str r3, [r4, #24] + 2ac4: bd13 pop {r0, r1, r4, pc} + 2ac6: 46c0 nop ; (mov r8, r8) + 2ac8: 00003630 .word 0x00003630 + 2acc: 000029e5 .word 0x000029e5 + +00002ad0 <__sfp>: + 2ad0: b5f8 push {r3, r4, r5, r6, r7, lr} + 2ad2: 4b1e ldr r3, [pc, #120] ; (2b4c <__sfp+0x7c>) + 2ad4: 0007 movs r7, r0 + 2ad6: 681e ldr r6, [r3, #0] + 2ad8: 69b3 ldr r3, [r6, #24] + 2ada: 2b00 cmp r3, #0 + 2adc: d102 bne.n 2ae4 <__sfp+0x14> + 2ade: 0030 movs r0, r6 + 2ae0: f7ff ffc2 bl 2a68 <__sinit> + 2ae4: 3648 adds r6, #72 ; 0x48 + 2ae6: 68b4 ldr r4, [r6, #8] + 2ae8: 6873 ldr r3, [r6, #4] + 2aea: 3b01 subs r3, #1 + 2aec: d504 bpl.n 2af8 <__sfp+0x28> + 2aee: 6833 ldr r3, [r6, #0] + 2af0: 2b00 cmp r3, #0 + 2af2: d007 beq.n 2b04 <__sfp+0x34> + 2af4: 6836 ldr r6, [r6, #0] + 2af6: e7f6 b.n 2ae6 <__sfp+0x16> + 2af8: 220c movs r2, #12 + 2afa: 5ea5 ldrsh r5, [r4, r2] + 2afc: 2d00 cmp r5, #0 + 2afe: d00d beq.n 2b1c <__sfp+0x4c> + 2b00: 3468 adds r4, #104 ; 0x68 + 2b02: e7f2 b.n 2aea <__sfp+0x1a> + 2b04: 2104 movs r1, #4 + 2b06: 0038 movs r0, r7 + 2b08: f7ff ff98 bl 2a3c <__sfmoreglue> + 2b0c: 6030 str r0, [r6, #0] + 2b0e: 2800 cmp r0, #0 + 2b10: d1f0 bne.n 2af4 <__sfp+0x24> + 2b12: 230c movs r3, #12 + 2b14: 0004 movs r4, r0 + 2b16: 603b str r3, [r7, #0] + 2b18: 0020 movs r0, r4 + 2b1a: bdf8 pop {r3, r4, r5, r6, r7, pc} + 2b1c: 2301 movs r3, #1 + 2b1e: 0020 movs r0, r4 + 2b20: 425b negs r3, r3 + 2b22: 81e3 strh r3, [r4, #14] + 2b24: 3302 adds r3, #2 + 2b26: 81a3 strh r3, [r4, #12] + 2b28: 6665 str r5, [r4, #100] ; 0x64 + 2b2a: 6025 str r5, [r4, #0] + 2b2c: 60a5 str r5, [r4, #8] + 2b2e: 6065 str r5, [r4, #4] + 2b30: 6125 str r5, [r4, #16] + 2b32: 6165 str r5, [r4, #20] + 2b34: 61a5 str r5, [r4, #24] + 2b36: 2208 movs r2, #8 + 2b38: 0029 movs r1, r5 + 2b3a: 305c adds r0, #92 ; 0x5c + 2b3c: f7ff fc8d bl 245a + 2b40: 6365 str r5, [r4, #52] ; 0x34 + 2b42: 63a5 str r5, [r4, #56] ; 0x38 + 2b44: 64a5 str r5, [r4, #72] ; 0x48 + 2b46: 64e5 str r5, [r4, #76] ; 0x4c + 2b48: e7e6 b.n 2b18 <__sfp+0x48> + 2b4a: 46c0 nop ; (mov r8, r8) + 2b4c: 00003630 .word 0x00003630 + +00002b50 <_fwalk_reent>: + 2b50: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 2b52: 0004 movs r4, r0 + 2b54: 0007 movs r7, r0 + 2b56: 2600 movs r6, #0 + 2b58: 9101 str r1, [sp, #4] + 2b5a: 3448 adds r4, #72 ; 0x48 + 2b5c: 2c00 cmp r4, #0 + 2b5e: d101 bne.n 2b64 <_fwalk_reent+0x14> + 2b60: 0030 movs r0, r6 + 2b62: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 2b64: 6863 ldr r3, [r4, #4] + 2b66: 68a5 ldr r5, [r4, #8] + 2b68: 9300 str r3, [sp, #0] + 2b6a: 9b00 ldr r3, [sp, #0] + 2b6c: 3b01 subs r3, #1 + 2b6e: 9300 str r3, [sp, #0] + 2b70: d501 bpl.n 2b76 <_fwalk_reent+0x26> + 2b72: 6824 ldr r4, [r4, #0] + 2b74: e7f2 b.n 2b5c <_fwalk_reent+0xc> + 2b76: 89ab ldrh r3, [r5, #12] + 2b78: 2b01 cmp r3, #1 + 2b7a: d908 bls.n 2b8e <_fwalk_reent+0x3e> + 2b7c: 220e movs r2, #14 + 2b7e: 5eab ldrsh r3, [r5, r2] + 2b80: 3301 adds r3, #1 + 2b82: d004 beq.n 2b8e <_fwalk_reent+0x3e> + 2b84: 0029 movs r1, r5 + 2b86: 0038 movs r0, r7 + 2b88: 9b01 ldr r3, [sp, #4] + 2b8a: 4798 blx r3 + 2b8c: 4306 orrs r6, r0 + 2b8e: 3568 adds r5, #104 ; 0x68 + 2b90: e7eb b.n 2b6a <_fwalk_reent+0x1a> + ... + +00002b94 <__swhatbuf_r>: + 2b94: b570 push {r4, r5, r6, lr} + 2b96: 000e movs r6, r1 + 2b98: 001d movs r5, r3 + 2b9a: 230e movs r3, #14 + 2b9c: 5ec9 ldrsh r1, [r1, r3] + 2b9e: b090 sub sp, #64 ; 0x40 + 2ba0: 0014 movs r4, r2 + 2ba2: 2900 cmp r1, #0 + 2ba4: da07 bge.n 2bb6 <__swhatbuf_r+0x22> + 2ba6: 2300 movs r3, #0 + 2ba8: 602b str r3, [r5, #0] + 2baa: 89b3 ldrh r3, [r6, #12] + 2bac: 061b lsls r3, r3, #24 + 2bae: d411 bmi.n 2bd4 <__swhatbuf_r+0x40> + 2bb0: 2380 movs r3, #128 ; 0x80 + 2bb2: 00db lsls r3, r3, #3 + 2bb4: e00f b.n 2bd6 <__swhatbuf_r+0x42> + 2bb6: aa01 add r2, sp, #4 + 2bb8: f000 fc5a bl 3470 <_fstat_r> + 2bbc: 2800 cmp r0, #0 + 2bbe: dbf2 blt.n 2ba6 <__swhatbuf_r+0x12> + 2bc0: 22f0 movs r2, #240 ; 0xf0 + 2bc2: 9b02 ldr r3, [sp, #8] + 2bc4: 0212 lsls r2, r2, #8 + 2bc6: 4013 ands r3, r2 + 2bc8: 4a05 ldr r2, [pc, #20] ; (2be0 <__swhatbuf_r+0x4c>) + 2bca: 189b adds r3, r3, r2 + 2bcc: 425a negs r2, r3 + 2bce: 4153 adcs r3, r2 + 2bd0: 602b str r3, [r5, #0] + 2bd2: e7ed b.n 2bb0 <__swhatbuf_r+0x1c> + 2bd4: 2340 movs r3, #64 ; 0x40 + 2bd6: 2000 movs r0, #0 + 2bd8: 6023 str r3, [r4, #0] + 2bda: b010 add sp, #64 ; 0x40 + 2bdc: bd70 pop {r4, r5, r6, pc} + 2bde: 46c0 nop ; (mov r8, r8) + 2be0: ffffe000 .word 0xffffe000 + +00002be4 <__smakebuf_r>: + 2be4: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 2be6: 2602 movs r6, #2 + 2be8: 898b ldrh r3, [r1, #12] + 2bea: 0005 movs r5, r0 + 2bec: 000c movs r4, r1 + 2bee: 4233 tst r3, r6 + 2bf0: d006 beq.n 2c00 <__smakebuf_r+0x1c> + 2bf2: 0023 movs r3, r4 + 2bf4: 3347 adds r3, #71 ; 0x47 + 2bf6: 6023 str r3, [r4, #0] + 2bf8: 6123 str r3, [r4, #16] + 2bfa: 2301 movs r3, #1 + 2bfc: 6163 str r3, [r4, #20] + 2bfe: bdf7 pop {r0, r1, r2, r4, r5, r6, r7, pc} + 2c00: ab01 add r3, sp, #4 + 2c02: 466a mov r2, sp + 2c04: f7ff ffc6 bl 2b94 <__swhatbuf_r> + 2c08: 9900 ldr r1, [sp, #0] + 2c0a: 0007 movs r7, r0 + 2c0c: 0028 movs r0, r5 + 2c0e: f000 f881 bl 2d14 <_malloc_r> + 2c12: 2800 cmp r0, #0 + 2c14: d108 bne.n 2c28 <__smakebuf_r+0x44> + 2c16: 220c movs r2, #12 + 2c18: 5ea3 ldrsh r3, [r4, r2] + 2c1a: 059a lsls r2, r3, #22 + 2c1c: d4ef bmi.n 2bfe <__smakebuf_r+0x1a> + 2c1e: 2203 movs r2, #3 + 2c20: 4393 bics r3, r2 + 2c22: 431e orrs r6, r3 + 2c24: 81a6 strh r6, [r4, #12] + 2c26: e7e4 b.n 2bf2 <__smakebuf_r+0xe> + 2c28: 4b0f ldr r3, [pc, #60] ; (2c68 <__smakebuf_r+0x84>) + 2c2a: 62ab str r3, [r5, #40] ; 0x28 + 2c2c: 2380 movs r3, #128 ; 0x80 + 2c2e: 89a2 ldrh r2, [r4, #12] + 2c30: 6020 str r0, [r4, #0] + 2c32: 4313 orrs r3, r2 + 2c34: 81a3 strh r3, [r4, #12] + 2c36: 9b00 ldr r3, [sp, #0] + 2c38: 6120 str r0, [r4, #16] + 2c3a: 6163 str r3, [r4, #20] + 2c3c: 9b01 ldr r3, [sp, #4] + 2c3e: 2b00 cmp r3, #0 + 2c40: d00d beq.n 2c5e <__smakebuf_r+0x7a> + 2c42: 230e movs r3, #14 + 2c44: 5ee1 ldrsh r1, [r4, r3] + 2c46: 0028 movs r0, r5 + 2c48: f000 fc24 bl 3494 <_isatty_r> + 2c4c: 2800 cmp r0, #0 + 2c4e: d006 beq.n 2c5e <__smakebuf_r+0x7a> + 2c50: 2203 movs r2, #3 + 2c52: 89a3 ldrh r3, [r4, #12] + 2c54: 4393 bics r3, r2 + 2c56: 001a movs r2, r3 + 2c58: 2301 movs r3, #1 + 2c5a: 4313 orrs r3, r2 + 2c5c: 81a3 strh r3, [r4, #12] + 2c5e: 89a0 ldrh r0, [r4, #12] + 2c60: 4338 orrs r0, r7 + 2c62: 81a0 strh r0, [r4, #12] + 2c64: e7cb b.n 2bfe <__smakebuf_r+0x1a> + 2c66: 46c0 nop ; (mov r8, r8) + 2c68: 000029e5 .word 0x000029e5 + +00002c6c : + 2c6c: b510 push {r4, lr} + 2c6e: 4b03 ldr r3, [pc, #12] ; (2c7c ) + 2c70: 0001 movs r1, r0 + 2c72: 6818 ldr r0, [r3, #0] + 2c74: f000 f84e bl 2d14 <_malloc_r> + 2c78: bd10 pop {r4, pc} + 2c7a: 46c0 nop ; (mov r8, r8) + 2c7c: 20000018 .word 0x20000018 + +00002c80 <_free_r>: + 2c80: b570 push {r4, r5, r6, lr} + 2c82: 0005 movs r5, r0 + 2c84: 2900 cmp r1, #0 + 2c86: d010 beq.n 2caa <_free_r+0x2a> + 2c88: 1f0c subs r4, r1, #4 + 2c8a: 6823 ldr r3, [r4, #0] + 2c8c: 2b00 cmp r3, #0 + 2c8e: da00 bge.n 2c92 <_free_r+0x12> + 2c90: 18e4 adds r4, r4, r3 + 2c92: 0028 movs r0, r5 + 2c94: f000 fc2f bl 34f6 <__malloc_lock> + 2c98: 4a1d ldr r2, [pc, #116] ; (2d10 <_free_r+0x90>) + 2c9a: 6813 ldr r3, [r2, #0] + 2c9c: 2b00 cmp r3, #0 + 2c9e: d105 bne.n 2cac <_free_r+0x2c> + 2ca0: 6063 str r3, [r4, #4] + 2ca2: 6014 str r4, [r2, #0] + 2ca4: 0028 movs r0, r5 + 2ca6: f000 fc27 bl 34f8 <__malloc_unlock> + 2caa: bd70 pop {r4, r5, r6, pc} + 2cac: 42a3 cmp r3, r4 + 2cae: d909 bls.n 2cc4 <_free_r+0x44> + 2cb0: 6821 ldr r1, [r4, #0] + 2cb2: 1860 adds r0, r4, r1 + 2cb4: 4283 cmp r3, r0 + 2cb6: d1f3 bne.n 2ca0 <_free_r+0x20> + 2cb8: 6818 ldr r0, [r3, #0] + 2cba: 685b ldr r3, [r3, #4] + 2cbc: 1841 adds r1, r0, r1 + 2cbe: 6021 str r1, [r4, #0] + 2cc0: e7ee b.n 2ca0 <_free_r+0x20> + 2cc2: 0013 movs r3, r2 + 2cc4: 685a ldr r2, [r3, #4] + 2cc6: 2a00 cmp r2, #0 + 2cc8: d001 beq.n 2cce <_free_r+0x4e> + 2cca: 42a2 cmp r2, r4 + 2ccc: d9f9 bls.n 2cc2 <_free_r+0x42> + 2cce: 6819 ldr r1, [r3, #0] + 2cd0: 1858 adds r0, r3, r1 + 2cd2: 42a0 cmp r0, r4 + 2cd4: d10b bne.n 2cee <_free_r+0x6e> + 2cd6: 6820 ldr r0, [r4, #0] + 2cd8: 1809 adds r1, r1, r0 + 2cda: 1858 adds r0, r3, r1 + 2cdc: 6019 str r1, [r3, #0] + 2cde: 4282 cmp r2, r0 + 2ce0: d1e0 bne.n 2ca4 <_free_r+0x24> + 2ce2: 6810 ldr r0, [r2, #0] + 2ce4: 6852 ldr r2, [r2, #4] + 2ce6: 1841 adds r1, r0, r1 + 2ce8: 6019 str r1, [r3, #0] + 2cea: 605a str r2, [r3, #4] + 2cec: e7da b.n 2ca4 <_free_r+0x24> + 2cee: 42a0 cmp r0, r4 + 2cf0: d902 bls.n 2cf8 <_free_r+0x78> + 2cf2: 230c movs r3, #12 + 2cf4: 602b str r3, [r5, #0] + 2cf6: e7d5 b.n 2ca4 <_free_r+0x24> + 2cf8: 6821 ldr r1, [r4, #0] + 2cfa: 1860 adds r0, r4, r1 + 2cfc: 4282 cmp r2, r0 + 2cfe: d103 bne.n 2d08 <_free_r+0x88> + 2d00: 6810 ldr r0, [r2, #0] + 2d02: 6852 ldr r2, [r2, #4] + 2d04: 1841 adds r1, r0, r1 + 2d06: 6021 str r1, [r4, #0] + 2d08: 6062 str r2, [r4, #4] + 2d0a: 605c str r4, [r3, #4] + 2d0c: e7ca b.n 2ca4 <_free_r+0x24> + 2d0e: 46c0 nop ; (mov r8, r8) + 2d10: 200001cc .word 0x200001cc + +00002d14 <_malloc_r>: + 2d14: 2303 movs r3, #3 + 2d16: b570 push {r4, r5, r6, lr} + 2d18: 1ccd adds r5, r1, #3 + 2d1a: 439d bics r5, r3 + 2d1c: 3508 adds r5, #8 + 2d1e: 0006 movs r6, r0 + 2d20: 2d0c cmp r5, #12 + 2d22: d21e bcs.n 2d62 <_malloc_r+0x4e> + 2d24: 250c movs r5, #12 + 2d26: 42a9 cmp r1, r5 + 2d28: d81d bhi.n 2d66 <_malloc_r+0x52> + 2d2a: 0030 movs r0, r6 + 2d2c: f000 fbe3 bl 34f6 <__malloc_lock> + 2d30: 4a25 ldr r2, [pc, #148] ; (2dc8 <_malloc_r+0xb4>) + 2d32: 6814 ldr r4, [r2, #0] + 2d34: 0021 movs r1, r4 + 2d36: 2900 cmp r1, #0 + 2d38: d119 bne.n 2d6e <_malloc_r+0x5a> + 2d3a: 4c24 ldr r4, [pc, #144] ; (2dcc <_malloc_r+0xb8>) + 2d3c: 6823 ldr r3, [r4, #0] + 2d3e: 2b00 cmp r3, #0 + 2d40: d103 bne.n 2d4a <_malloc_r+0x36> + 2d42: 0030 movs r0, r6 + 2d44: f000 fb10 bl 3368 <_sbrk_r> + 2d48: 6020 str r0, [r4, #0] + 2d4a: 0029 movs r1, r5 + 2d4c: 0030 movs r0, r6 + 2d4e: f000 fb0b bl 3368 <_sbrk_r> + 2d52: 1c43 adds r3, r0, #1 + 2d54: d12c bne.n 2db0 <_malloc_r+0x9c> + 2d56: 230c movs r3, #12 + 2d58: 0030 movs r0, r6 + 2d5a: 6033 str r3, [r6, #0] + 2d5c: f000 fbcc bl 34f8 <__malloc_unlock> + 2d60: e003 b.n 2d6a <_malloc_r+0x56> + 2d62: 2d00 cmp r5, #0 + 2d64: dadf bge.n 2d26 <_malloc_r+0x12> + 2d66: 230c movs r3, #12 + 2d68: 6033 str r3, [r6, #0] + 2d6a: 2000 movs r0, #0 + 2d6c: bd70 pop {r4, r5, r6, pc} + 2d6e: 680b ldr r3, [r1, #0] + 2d70: 1b5b subs r3, r3, r5 + 2d72: d41a bmi.n 2daa <_malloc_r+0x96> + 2d74: 2b0b cmp r3, #11 + 2d76: d903 bls.n 2d80 <_malloc_r+0x6c> + 2d78: 600b str r3, [r1, #0] + 2d7a: 18cc adds r4, r1, r3 + 2d7c: 6025 str r5, [r4, #0] + 2d7e: e003 b.n 2d88 <_malloc_r+0x74> + 2d80: 428c cmp r4, r1 + 2d82: d10e bne.n 2da2 <_malloc_r+0x8e> + 2d84: 6863 ldr r3, [r4, #4] + 2d86: 6013 str r3, [r2, #0] + 2d88: 0030 movs r0, r6 + 2d8a: f000 fbb5 bl 34f8 <__malloc_unlock> + 2d8e: 0020 movs r0, r4 + 2d90: 2207 movs r2, #7 + 2d92: 300b adds r0, #11 + 2d94: 1d23 adds r3, r4, #4 + 2d96: 4390 bics r0, r2 + 2d98: 1ac3 subs r3, r0, r3 + 2d9a: d0e7 beq.n 2d6c <_malloc_r+0x58> + 2d9c: 425a negs r2, r3 + 2d9e: 50e2 str r2, [r4, r3] + 2da0: e7e4 b.n 2d6c <_malloc_r+0x58> + 2da2: 684b ldr r3, [r1, #4] + 2da4: 6063 str r3, [r4, #4] + 2da6: 000c movs r4, r1 + 2da8: e7ee b.n 2d88 <_malloc_r+0x74> + 2daa: 000c movs r4, r1 + 2dac: 6849 ldr r1, [r1, #4] + 2dae: e7c2 b.n 2d36 <_malloc_r+0x22> + 2db0: 2303 movs r3, #3 + 2db2: 1cc4 adds r4, r0, #3 + 2db4: 439c bics r4, r3 + 2db6: 42a0 cmp r0, r4 + 2db8: d0e0 beq.n 2d7c <_malloc_r+0x68> + 2dba: 1a21 subs r1, r4, r0 + 2dbc: 0030 movs r0, r6 + 2dbe: f000 fad3 bl 3368 <_sbrk_r> + 2dc2: 1c43 adds r3, r0, #1 + 2dc4: d1da bne.n 2d7c <_malloc_r+0x68> + 2dc6: e7c6 b.n 2d56 <_malloc_r+0x42> + 2dc8: 200001cc .word 0x200001cc + 2dcc: 200001d0 .word 0x200001d0 + +00002dd0 <__sfputc_r>: + 2dd0: 6893 ldr r3, [r2, #8] + 2dd2: b510 push {r4, lr} + 2dd4: 3b01 subs r3, #1 + 2dd6: 6093 str r3, [r2, #8] + 2dd8: 2b00 cmp r3, #0 + 2dda: da05 bge.n 2de8 <__sfputc_r+0x18> + 2ddc: 6994 ldr r4, [r2, #24] + 2dde: 42a3 cmp r3, r4 + 2de0: db08 blt.n 2df4 <__sfputc_r+0x24> + 2de2: b2cb uxtb r3, r1 + 2de4: 2b0a cmp r3, #10 + 2de6: d005 beq.n 2df4 <__sfputc_r+0x24> + 2de8: 6813 ldr r3, [r2, #0] + 2dea: 1c58 adds r0, r3, #1 + 2dec: 6010 str r0, [r2, #0] + 2dee: 7019 strb r1, [r3, #0] + 2df0: b2c8 uxtb r0, r1 + 2df2: bd10 pop {r4, pc} + 2df4: f7ff fc74 bl 26e0 <__swbuf_r> + 2df8: e7fb b.n 2df2 <__sfputc_r+0x22> + +00002dfa <__sfputs_r>: + 2dfa: b5f8 push {r3, r4, r5, r6, r7, lr} + 2dfc: 0006 movs r6, r0 + 2dfe: 000f movs r7, r1 + 2e00: 0014 movs r4, r2 + 2e02: 18d5 adds r5, r2, r3 + 2e04: 42ac cmp r4, r5 + 2e06: d101 bne.n 2e0c <__sfputs_r+0x12> + 2e08: 2000 movs r0, #0 + 2e0a: e007 b.n 2e1c <__sfputs_r+0x22> + 2e0c: 7821 ldrb r1, [r4, #0] + 2e0e: 003a movs r2, r7 + 2e10: 0030 movs r0, r6 + 2e12: f7ff ffdd bl 2dd0 <__sfputc_r> + 2e16: 3401 adds r4, #1 + 2e18: 1c43 adds r3, r0, #1 + 2e1a: d1f3 bne.n 2e04 <__sfputs_r+0xa> + 2e1c: bdf8 pop {r3, r4, r5, r6, r7, pc} + ... + +00002e20 <_vfiprintf_r>: + 2e20: b5f0 push {r4, r5, r6, r7, lr} + 2e22: b09f sub sp, #124 ; 0x7c + 2e24: 0006 movs r6, r0 + 2e26: 000f movs r7, r1 + 2e28: 0014 movs r4, r2 + 2e2a: 9305 str r3, [sp, #20] + 2e2c: 2800 cmp r0, #0 + 2e2e: d004 beq.n 2e3a <_vfiprintf_r+0x1a> + 2e30: 6983 ldr r3, [r0, #24] + 2e32: 2b00 cmp r3, #0 + 2e34: d101 bne.n 2e3a <_vfiprintf_r+0x1a> + 2e36: f7ff fe17 bl 2a68 <__sinit> + 2e3a: 4b7f ldr r3, [pc, #508] ; (3038 <_vfiprintf_r+0x218>) + 2e3c: 429f cmp r7, r3 + 2e3e: d15c bne.n 2efa <_vfiprintf_r+0xda> + 2e40: 6877 ldr r7, [r6, #4] + 2e42: 89bb ldrh r3, [r7, #12] + 2e44: 071b lsls r3, r3, #28 + 2e46: d562 bpl.n 2f0e <_vfiprintf_r+0xee> + 2e48: 693b ldr r3, [r7, #16] + 2e4a: 2b00 cmp r3, #0 + 2e4c: d05f beq.n 2f0e <_vfiprintf_r+0xee> + 2e4e: 2300 movs r3, #0 + 2e50: ad06 add r5, sp, #24 + 2e52: 616b str r3, [r5, #20] + 2e54: 3320 adds r3, #32 + 2e56: 766b strb r3, [r5, #25] + 2e58: 3310 adds r3, #16 + 2e5a: 76ab strb r3, [r5, #26] + 2e5c: 9402 str r4, [sp, #8] + 2e5e: 9c02 ldr r4, [sp, #8] + 2e60: 7823 ldrb r3, [r4, #0] + 2e62: 2b00 cmp r3, #0 + 2e64: d15d bne.n 2f22 <_vfiprintf_r+0x102> + 2e66: 9b02 ldr r3, [sp, #8] + 2e68: 1ae3 subs r3, r4, r3 + 2e6a: 9304 str r3, [sp, #16] + 2e6c: d00d beq.n 2e8a <_vfiprintf_r+0x6a> + 2e6e: 9b04 ldr r3, [sp, #16] + 2e70: 9a02 ldr r2, [sp, #8] + 2e72: 0039 movs r1, r7 + 2e74: 0030 movs r0, r6 + 2e76: f7ff ffc0 bl 2dfa <__sfputs_r> + 2e7a: 1c43 adds r3, r0, #1 + 2e7c: d100 bne.n 2e80 <_vfiprintf_r+0x60> + 2e7e: e0cc b.n 301a <_vfiprintf_r+0x1fa> + 2e80: 696a ldr r2, [r5, #20] + 2e82: 9b04 ldr r3, [sp, #16] + 2e84: 4694 mov ip, r2 + 2e86: 4463 add r3, ip + 2e88: 616b str r3, [r5, #20] + 2e8a: 7823 ldrb r3, [r4, #0] + 2e8c: 2b00 cmp r3, #0 + 2e8e: d100 bne.n 2e92 <_vfiprintf_r+0x72> + 2e90: e0c3 b.n 301a <_vfiprintf_r+0x1fa> + 2e92: 2201 movs r2, #1 + 2e94: 2300 movs r3, #0 + 2e96: 4252 negs r2, r2 + 2e98: 606a str r2, [r5, #4] + 2e9a: a902 add r1, sp, #8 + 2e9c: 3254 adds r2, #84 ; 0x54 + 2e9e: 1852 adds r2, r2, r1 + 2ea0: 3401 adds r4, #1 + 2ea2: 602b str r3, [r5, #0] + 2ea4: 60eb str r3, [r5, #12] + 2ea6: 60ab str r3, [r5, #8] + 2ea8: 7013 strb r3, [r2, #0] + 2eaa: 65ab str r3, [r5, #88] ; 0x58 + 2eac: 7821 ldrb r1, [r4, #0] + 2eae: 2205 movs r2, #5 + 2eb0: 4862 ldr r0, [pc, #392] ; (303c <_vfiprintf_r+0x21c>) + 2eb2: f000 fb15 bl 34e0 + 2eb6: 1c63 adds r3, r4, #1 + 2eb8: 469c mov ip, r3 + 2eba: 2800 cmp r0, #0 + 2ebc: d135 bne.n 2f2a <_vfiprintf_r+0x10a> + 2ebe: 6829 ldr r1, [r5, #0] + 2ec0: 06cb lsls r3, r1, #27 + 2ec2: d504 bpl.n 2ece <_vfiprintf_r+0xae> + 2ec4: 2353 movs r3, #83 ; 0x53 + 2ec6: aa02 add r2, sp, #8 + 2ec8: 3020 adds r0, #32 + 2eca: 189b adds r3, r3, r2 + 2ecc: 7018 strb r0, [r3, #0] + 2ece: 070b lsls r3, r1, #28 + 2ed0: d504 bpl.n 2edc <_vfiprintf_r+0xbc> + 2ed2: 2353 movs r3, #83 ; 0x53 + 2ed4: 202b movs r0, #43 ; 0x2b + 2ed6: aa02 add r2, sp, #8 + 2ed8: 189b adds r3, r3, r2 + 2eda: 7018 strb r0, [r3, #0] + 2edc: 7823 ldrb r3, [r4, #0] + 2ede: 2b2a cmp r3, #42 ; 0x2a + 2ee0: d02c beq.n 2f3c <_vfiprintf_r+0x11c> + 2ee2: 2000 movs r0, #0 + 2ee4: 210a movs r1, #10 + 2ee6: 9b09 ldr r3, [sp, #36] ; 0x24 + 2ee8: 7822 ldrb r2, [r4, #0] + 2eea: 3a30 subs r2, #48 ; 0x30 + 2eec: 2a09 cmp r2, #9 + 2eee: d800 bhi.n 2ef2 <_vfiprintf_r+0xd2> + 2ef0: e06b b.n 2fca <_vfiprintf_r+0x1aa> + 2ef2: 2800 cmp r0, #0 + 2ef4: d02a beq.n 2f4c <_vfiprintf_r+0x12c> + 2ef6: 9309 str r3, [sp, #36] ; 0x24 + 2ef8: e028 b.n 2f4c <_vfiprintf_r+0x12c> + 2efa: 4b51 ldr r3, [pc, #324] ; (3040 <_vfiprintf_r+0x220>) + 2efc: 429f cmp r7, r3 + 2efe: d101 bne.n 2f04 <_vfiprintf_r+0xe4> + 2f00: 68b7 ldr r7, [r6, #8] + 2f02: e79e b.n 2e42 <_vfiprintf_r+0x22> + 2f04: 4b4f ldr r3, [pc, #316] ; (3044 <_vfiprintf_r+0x224>) + 2f06: 429f cmp r7, r3 + 2f08: d19b bne.n 2e42 <_vfiprintf_r+0x22> + 2f0a: 68f7 ldr r7, [r6, #12] + 2f0c: e799 b.n 2e42 <_vfiprintf_r+0x22> + 2f0e: 0039 movs r1, r7 + 2f10: 0030 movs r0, r6 + 2f12: f7ff fc3b bl 278c <__swsetup_r> + 2f16: 2800 cmp r0, #0 + 2f18: d099 beq.n 2e4e <_vfiprintf_r+0x2e> + 2f1a: 2001 movs r0, #1 + 2f1c: 4240 negs r0, r0 + 2f1e: b01f add sp, #124 ; 0x7c + 2f20: bdf0 pop {r4, r5, r6, r7, pc} + 2f22: 2b25 cmp r3, #37 ; 0x25 + 2f24: d09f beq.n 2e66 <_vfiprintf_r+0x46> + 2f26: 3401 adds r4, #1 + 2f28: e79a b.n 2e60 <_vfiprintf_r+0x40> + 2f2a: 4b44 ldr r3, [pc, #272] ; (303c <_vfiprintf_r+0x21c>) + 2f2c: 6829 ldr r1, [r5, #0] + 2f2e: 1ac0 subs r0, r0, r3 + 2f30: 2301 movs r3, #1 + 2f32: 4083 lsls r3, r0 + 2f34: 430b orrs r3, r1 + 2f36: 602b str r3, [r5, #0] + 2f38: 4664 mov r4, ip + 2f3a: e7b7 b.n 2eac <_vfiprintf_r+0x8c> + 2f3c: 9b05 ldr r3, [sp, #20] + 2f3e: 1d18 adds r0, r3, #4 + 2f40: 681b ldr r3, [r3, #0] + 2f42: 9005 str r0, [sp, #20] + 2f44: 2b00 cmp r3, #0 + 2f46: db3a blt.n 2fbe <_vfiprintf_r+0x19e> + 2f48: 9309 str r3, [sp, #36] ; 0x24 + 2f4a: 4664 mov r4, ip + 2f4c: 7823 ldrb r3, [r4, #0] + 2f4e: 2b2e cmp r3, #46 ; 0x2e + 2f50: d10b bne.n 2f6a <_vfiprintf_r+0x14a> + 2f52: 7863 ldrb r3, [r4, #1] + 2f54: 1c62 adds r2, r4, #1 + 2f56: 2b2a cmp r3, #42 ; 0x2a + 2f58: d13f bne.n 2fda <_vfiprintf_r+0x1ba> + 2f5a: 9b05 ldr r3, [sp, #20] + 2f5c: 3402 adds r4, #2 + 2f5e: 1d1a adds r2, r3, #4 + 2f60: 681b ldr r3, [r3, #0] + 2f62: 9205 str r2, [sp, #20] + 2f64: 2b00 cmp r3, #0 + 2f66: db35 blt.n 2fd4 <_vfiprintf_r+0x1b4> + 2f68: 9307 str r3, [sp, #28] + 2f6a: 7821 ldrb r1, [r4, #0] + 2f6c: 2203 movs r2, #3 + 2f6e: 4836 ldr r0, [pc, #216] ; (3048 <_vfiprintf_r+0x228>) + 2f70: f000 fab6 bl 34e0 + 2f74: 2800 cmp r0, #0 + 2f76: d007 beq.n 2f88 <_vfiprintf_r+0x168> + 2f78: 4b33 ldr r3, [pc, #204] ; (3048 <_vfiprintf_r+0x228>) + 2f7a: 682a ldr r2, [r5, #0] + 2f7c: 1ac0 subs r0, r0, r3 + 2f7e: 2340 movs r3, #64 ; 0x40 + 2f80: 4083 lsls r3, r0 + 2f82: 4313 orrs r3, r2 + 2f84: 602b str r3, [r5, #0] + 2f86: 3401 adds r4, #1 + 2f88: 7821 ldrb r1, [r4, #0] + 2f8a: 1c63 adds r3, r4, #1 + 2f8c: 2206 movs r2, #6 + 2f8e: 482f ldr r0, [pc, #188] ; (304c <_vfiprintf_r+0x22c>) + 2f90: 9302 str r3, [sp, #8] + 2f92: 7629 strb r1, [r5, #24] + 2f94: f000 faa4 bl 34e0 + 2f98: 2800 cmp r0, #0 + 2f9a: d044 beq.n 3026 <_vfiprintf_r+0x206> + 2f9c: 4b2c ldr r3, [pc, #176] ; (3050 <_vfiprintf_r+0x230>) + 2f9e: 2b00 cmp r3, #0 + 2fa0: d12f bne.n 3002 <_vfiprintf_r+0x1e2> + 2fa2: 6829 ldr r1, [r5, #0] + 2fa4: 9b05 ldr r3, [sp, #20] + 2fa6: 2207 movs r2, #7 + 2fa8: 05c9 lsls r1, r1, #23 + 2faa: d528 bpl.n 2ffe <_vfiprintf_r+0x1de> + 2fac: 189b adds r3, r3, r2 + 2fae: 4393 bics r3, r2 + 2fb0: 3308 adds r3, #8 + 2fb2: 9305 str r3, [sp, #20] + 2fb4: 696b ldr r3, [r5, #20] + 2fb6: 9a03 ldr r2, [sp, #12] + 2fb8: 189b adds r3, r3, r2 + 2fba: 616b str r3, [r5, #20] + 2fbc: e74f b.n 2e5e <_vfiprintf_r+0x3e> + 2fbe: 425b negs r3, r3 + 2fc0: 60eb str r3, [r5, #12] + 2fc2: 2302 movs r3, #2 + 2fc4: 430b orrs r3, r1 + 2fc6: 602b str r3, [r5, #0] + 2fc8: e7bf b.n 2f4a <_vfiprintf_r+0x12a> + 2fca: 434b muls r3, r1 + 2fcc: 3401 adds r4, #1 + 2fce: 189b adds r3, r3, r2 + 2fd0: 2001 movs r0, #1 + 2fd2: e789 b.n 2ee8 <_vfiprintf_r+0xc8> + 2fd4: 2301 movs r3, #1 + 2fd6: 425b negs r3, r3 + 2fd8: e7c6 b.n 2f68 <_vfiprintf_r+0x148> + 2fda: 2300 movs r3, #0 + 2fdc: 0014 movs r4, r2 + 2fde: 200a movs r0, #10 + 2fe0: 001a movs r2, r3 + 2fe2: 606b str r3, [r5, #4] + 2fe4: 7821 ldrb r1, [r4, #0] + 2fe6: 3930 subs r1, #48 ; 0x30 + 2fe8: 2909 cmp r1, #9 + 2fea: d903 bls.n 2ff4 <_vfiprintf_r+0x1d4> + 2fec: 2b00 cmp r3, #0 + 2fee: d0bc beq.n 2f6a <_vfiprintf_r+0x14a> + 2ff0: 9207 str r2, [sp, #28] + 2ff2: e7ba b.n 2f6a <_vfiprintf_r+0x14a> + 2ff4: 4342 muls r2, r0 + 2ff6: 3401 adds r4, #1 + 2ff8: 1852 adds r2, r2, r1 + 2ffa: 2301 movs r3, #1 + 2ffc: e7f2 b.n 2fe4 <_vfiprintf_r+0x1c4> + 2ffe: 3307 adds r3, #7 + 3000: e7d5 b.n 2fae <_vfiprintf_r+0x18e> + 3002: ab05 add r3, sp, #20 + 3004: 9300 str r3, [sp, #0] + 3006: 003a movs r2, r7 + 3008: 4b12 ldr r3, [pc, #72] ; (3054 <_vfiprintf_r+0x234>) + 300a: 0029 movs r1, r5 + 300c: 0030 movs r0, r6 + 300e: e000 b.n 3012 <_vfiprintf_r+0x1f2> + 3010: bf00 nop + 3012: 9003 str r0, [sp, #12] + 3014: 9b03 ldr r3, [sp, #12] + 3016: 3301 adds r3, #1 + 3018: d1cc bne.n 2fb4 <_vfiprintf_r+0x194> + 301a: 89bb ldrh r3, [r7, #12] + 301c: 065b lsls r3, r3, #25 + 301e: d500 bpl.n 3022 <_vfiprintf_r+0x202> + 3020: e77b b.n 2f1a <_vfiprintf_r+0xfa> + 3022: 980b ldr r0, [sp, #44] ; 0x2c + 3024: e77b b.n 2f1e <_vfiprintf_r+0xfe> + 3026: ab05 add r3, sp, #20 + 3028: 9300 str r3, [sp, #0] + 302a: 003a movs r2, r7 + 302c: 4b09 ldr r3, [pc, #36] ; (3054 <_vfiprintf_r+0x234>) + 302e: 0029 movs r1, r5 + 3030: 0030 movs r0, r6 + 3032: f000 f87f bl 3134 <_printf_i> + 3036: e7ec b.n 3012 <_vfiprintf_r+0x1f2> + 3038: 00003654 .word 0x00003654 + 303c: 00003694 .word 0x00003694 + 3040: 00003674 .word 0x00003674 + 3044: 00003634 .word 0x00003634 + 3048: 0000369a .word 0x0000369a + 304c: 0000369e .word 0x0000369e + 3050: 00000000 .word 0x00000000 + 3054: 00002dfb .word 0x00002dfb + +00003058 <_printf_common>: + 3058: b5f7 push {r0, r1, r2, r4, r5, r6, r7, lr} + 305a: 0015 movs r5, r2 + 305c: 9301 str r3, [sp, #4] + 305e: 688a ldr r2, [r1, #8] + 3060: 690b ldr r3, [r1, #16] + 3062: 9000 str r0, [sp, #0] + 3064: 000c movs r4, r1 + 3066: 4293 cmp r3, r2 + 3068: da00 bge.n 306c <_printf_common+0x14> + 306a: 0013 movs r3, r2 + 306c: 0022 movs r2, r4 + 306e: 602b str r3, [r5, #0] + 3070: 3243 adds r2, #67 ; 0x43 + 3072: 7812 ldrb r2, [r2, #0] + 3074: 2a00 cmp r2, #0 + 3076: d001 beq.n 307c <_printf_common+0x24> + 3078: 3301 adds r3, #1 + 307a: 602b str r3, [r5, #0] + 307c: 6823 ldr r3, [r4, #0] + 307e: 069b lsls r3, r3, #26 + 3080: d502 bpl.n 3088 <_printf_common+0x30> + 3082: 682b ldr r3, [r5, #0] + 3084: 3302 adds r3, #2 + 3086: 602b str r3, [r5, #0] + 3088: 2706 movs r7, #6 + 308a: 6823 ldr r3, [r4, #0] + 308c: 401f ands r7, r3 + 308e: d027 beq.n 30e0 <_printf_common+0x88> + 3090: 0023 movs r3, r4 + 3092: 3343 adds r3, #67 ; 0x43 + 3094: 781b ldrb r3, [r3, #0] + 3096: 1e5a subs r2, r3, #1 + 3098: 4193 sbcs r3, r2 + 309a: 6822 ldr r2, [r4, #0] + 309c: 0692 lsls r2, r2, #26 + 309e: d430 bmi.n 3102 <_printf_common+0xaa> + 30a0: 0022 movs r2, r4 + 30a2: 9901 ldr r1, [sp, #4] + 30a4: 3243 adds r2, #67 ; 0x43 + 30a6: 9800 ldr r0, [sp, #0] + 30a8: 9e08 ldr r6, [sp, #32] + 30aa: 47b0 blx r6 + 30ac: 1c43 adds r3, r0, #1 + 30ae: d025 beq.n 30fc <_printf_common+0xa4> + 30b0: 2306 movs r3, #6 + 30b2: 6820 ldr r0, [r4, #0] + 30b4: 682a ldr r2, [r5, #0] + 30b6: 68e1 ldr r1, [r4, #12] + 30b8: 4003 ands r3, r0 + 30ba: 2500 movs r5, #0 + 30bc: 2b04 cmp r3, #4 + 30be: d103 bne.n 30c8 <_printf_common+0x70> + 30c0: 1a8d subs r5, r1, r2 + 30c2: 43eb mvns r3, r5 + 30c4: 17db asrs r3, r3, #31 + 30c6: 401d ands r5, r3 + 30c8: 68a3 ldr r3, [r4, #8] + 30ca: 6922 ldr r2, [r4, #16] + 30cc: 4293 cmp r3, r2 + 30ce: dd01 ble.n 30d4 <_printf_common+0x7c> + 30d0: 1a9b subs r3, r3, r2 + 30d2: 18ed adds r5, r5, r3 + 30d4: 2700 movs r7, #0 + 30d6: 42bd cmp r5, r7 + 30d8: d120 bne.n 311c <_printf_common+0xc4> + 30da: 2000 movs r0, #0 + 30dc: e010 b.n 3100 <_printf_common+0xa8> + 30de: 3701 adds r7, #1 + 30e0: 68e3 ldr r3, [r4, #12] + 30e2: 682a ldr r2, [r5, #0] + 30e4: 1a9b subs r3, r3, r2 + 30e6: 429f cmp r7, r3 + 30e8: dad2 bge.n 3090 <_printf_common+0x38> + 30ea: 0022 movs r2, r4 + 30ec: 2301 movs r3, #1 + 30ee: 3219 adds r2, #25 + 30f0: 9901 ldr r1, [sp, #4] + 30f2: 9800 ldr r0, [sp, #0] + 30f4: 9e08 ldr r6, [sp, #32] + 30f6: 47b0 blx r6 + 30f8: 1c43 adds r3, r0, #1 + 30fa: d1f0 bne.n 30de <_printf_common+0x86> + 30fc: 2001 movs r0, #1 + 30fe: 4240 negs r0, r0 + 3100: bdfe pop {r1, r2, r3, r4, r5, r6, r7, pc} + 3102: 2030 movs r0, #48 ; 0x30 + 3104: 18e1 adds r1, r4, r3 + 3106: 3143 adds r1, #67 ; 0x43 + 3108: 7008 strb r0, [r1, #0] + 310a: 0021 movs r1, r4 + 310c: 1c5a adds r2, r3, #1 + 310e: 3145 adds r1, #69 ; 0x45 + 3110: 7809 ldrb r1, [r1, #0] + 3112: 18a2 adds r2, r4, r2 + 3114: 3243 adds r2, #67 ; 0x43 + 3116: 3302 adds r3, #2 + 3118: 7011 strb r1, [r2, #0] + 311a: e7c1 b.n 30a0 <_printf_common+0x48> + 311c: 0022 movs r2, r4 + 311e: 2301 movs r3, #1 + 3120: 321a adds r2, #26 + 3122: 9901 ldr r1, [sp, #4] + 3124: 9800 ldr r0, [sp, #0] + 3126: 9e08 ldr r6, [sp, #32] + 3128: 47b0 blx r6 + 312a: 1c43 adds r3, r0, #1 + 312c: d0e6 beq.n 30fc <_printf_common+0xa4> + 312e: 3701 adds r7, #1 + 3130: e7d1 b.n 30d6 <_printf_common+0x7e> + ... + +00003134 <_printf_i>: + 3134: b5f0 push {r4, r5, r6, r7, lr} + 3136: b08b sub sp, #44 ; 0x2c + 3138: 9206 str r2, [sp, #24] + 313a: 000a movs r2, r1 + 313c: 3243 adds r2, #67 ; 0x43 + 313e: 9307 str r3, [sp, #28] + 3140: 9005 str r0, [sp, #20] + 3142: 9204 str r2, [sp, #16] + 3144: 7e0a ldrb r2, [r1, #24] + 3146: 000c movs r4, r1 + 3148: 9b10 ldr r3, [sp, #64] ; 0x40 + 314a: 2a6e cmp r2, #110 ; 0x6e + 314c: d100 bne.n 3150 <_printf_i+0x1c> + 314e: e08f b.n 3270 <_printf_i+0x13c> + 3150: d817 bhi.n 3182 <_printf_i+0x4e> + 3152: 2a63 cmp r2, #99 ; 0x63 + 3154: d02c beq.n 31b0 <_printf_i+0x7c> + 3156: d808 bhi.n 316a <_printf_i+0x36> + 3158: 2a00 cmp r2, #0 + 315a: d100 bne.n 315e <_printf_i+0x2a> + 315c: e099 b.n 3292 <_printf_i+0x15e> + 315e: 2a58 cmp r2, #88 ; 0x58 + 3160: d054 beq.n 320c <_printf_i+0xd8> + 3162: 0026 movs r6, r4 + 3164: 3642 adds r6, #66 ; 0x42 + 3166: 7032 strb r2, [r6, #0] + 3168: e029 b.n 31be <_printf_i+0x8a> + 316a: 2a64 cmp r2, #100 ; 0x64 + 316c: d001 beq.n 3172 <_printf_i+0x3e> + 316e: 2a69 cmp r2, #105 ; 0x69 + 3170: d1f7 bne.n 3162 <_printf_i+0x2e> + 3172: 6821 ldr r1, [r4, #0] + 3174: 681a ldr r2, [r3, #0] + 3176: 0608 lsls r0, r1, #24 + 3178: d523 bpl.n 31c2 <_printf_i+0x8e> + 317a: 1d11 adds r1, r2, #4 + 317c: 6019 str r1, [r3, #0] + 317e: 6815 ldr r5, [r2, #0] + 3180: e025 b.n 31ce <_printf_i+0x9a> + 3182: 2a73 cmp r2, #115 ; 0x73 + 3184: d100 bne.n 3188 <_printf_i+0x54> + 3186: e088 b.n 329a <_printf_i+0x166> + 3188: d808 bhi.n 319c <_printf_i+0x68> + 318a: 2a6f cmp r2, #111 ; 0x6f + 318c: d029 beq.n 31e2 <_printf_i+0xae> + 318e: 2a70 cmp r2, #112 ; 0x70 + 3190: d1e7 bne.n 3162 <_printf_i+0x2e> + 3192: 2220 movs r2, #32 + 3194: 6809 ldr r1, [r1, #0] + 3196: 430a orrs r2, r1 + 3198: 6022 str r2, [r4, #0] + 319a: e003 b.n 31a4 <_printf_i+0x70> + 319c: 2a75 cmp r2, #117 ; 0x75 + 319e: d020 beq.n 31e2 <_printf_i+0xae> + 31a0: 2a78 cmp r2, #120 ; 0x78 + 31a2: d1de bne.n 3162 <_printf_i+0x2e> + 31a4: 0022 movs r2, r4 + 31a6: 2178 movs r1, #120 ; 0x78 + 31a8: 3245 adds r2, #69 ; 0x45 + 31aa: 7011 strb r1, [r2, #0] + 31ac: 4a6c ldr r2, [pc, #432] ; (3360 <_printf_i+0x22c>) + 31ae: e030 b.n 3212 <_printf_i+0xde> + 31b0: 000e movs r6, r1 + 31b2: 681a ldr r2, [r3, #0] + 31b4: 3642 adds r6, #66 ; 0x42 + 31b6: 1d11 adds r1, r2, #4 + 31b8: 6019 str r1, [r3, #0] + 31ba: 6813 ldr r3, [r2, #0] + 31bc: 7033 strb r3, [r6, #0] + 31be: 2301 movs r3, #1 + 31c0: e079 b.n 32b6 <_printf_i+0x182> + 31c2: 0649 lsls r1, r1, #25 + 31c4: d5d9 bpl.n 317a <_printf_i+0x46> + 31c6: 1d11 adds r1, r2, #4 + 31c8: 6019 str r1, [r3, #0] + 31ca: 2300 movs r3, #0 + 31cc: 5ed5 ldrsh r5, [r2, r3] + 31ce: 2d00 cmp r5, #0 + 31d0: da03 bge.n 31da <_printf_i+0xa6> + 31d2: 232d movs r3, #45 ; 0x2d + 31d4: 9a04 ldr r2, [sp, #16] + 31d6: 426d negs r5, r5 + 31d8: 7013 strb r3, [r2, #0] + 31da: 4b62 ldr r3, [pc, #392] ; (3364 <_printf_i+0x230>) + 31dc: 270a movs r7, #10 + 31de: 9303 str r3, [sp, #12] + 31e0: e02f b.n 3242 <_printf_i+0x10e> + 31e2: 6820 ldr r0, [r4, #0] + 31e4: 6819 ldr r1, [r3, #0] + 31e6: 0605 lsls r5, r0, #24 + 31e8: d503 bpl.n 31f2 <_printf_i+0xbe> + 31ea: 1d08 adds r0, r1, #4 + 31ec: 6018 str r0, [r3, #0] + 31ee: 680d ldr r5, [r1, #0] + 31f0: e005 b.n 31fe <_printf_i+0xca> + 31f2: 0640 lsls r0, r0, #25 + 31f4: d5f9 bpl.n 31ea <_printf_i+0xb6> + 31f6: 680d ldr r5, [r1, #0] + 31f8: 1d08 adds r0, r1, #4 + 31fa: 6018 str r0, [r3, #0] + 31fc: b2ad uxth r5, r5 + 31fe: 4b59 ldr r3, [pc, #356] ; (3364 <_printf_i+0x230>) + 3200: 2708 movs r7, #8 + 3202: 9303 str r3, [sp, #12] + 3204: 2a6f cmp r2, #111 ; 0x6f + 3206: d018 beq.n 323a <_printf_i+0x106> + 3208: 270a movs r7, #10 + 320a: e016 b.n 323a <_printf_i+0x106> + 320c: 3145 adds r1, #69 ; 0x45 + 320e: 700a strb r2, [r1, #0] + 3210: 4a54 ldr r2, [pc, #336] ; (3364 <_printf_i+0x230>) + 3212: 9203 str r2, [sp, #12] + 3214: 681a ldr r2, [r3, #0] + 3216: 6821 ldr r1, [r4, #0] + 3218: 1d10 adds r0, r2, #4 + 321a: 6018 str r0, [r3, #0] + 321c: 6815 ldr r5, [r2, #0] + 321e: 0608 lsls r0, r1, #24 + 3220: d522 bpl.n 3268 <_printf_i+0x134> + 3222: 07cb lsls r3, r1, #31 + 3224: d502 bpl.n 322c <_printf_i+0xf8> + 3226: 2320 movs r3, #32 + 3228: 4319 orrs r1, r3 + 322a: 6021 str r1, [r4, #0] + 322c: 2710 movs r7, #16 + 322e: 2d00 cmp r5, #0 + 3230: d103 bne.n 323a <_printf_i+0x106> + 3232: 2320 movs r3, #32 + 3234: 6822 ldr r2, [r4, #0] + 3236: 439a bics r2, r3 + 3238: 6022 str r2, [r4, #0] + 323a: 0023 movs r3, r4 + 323c: 2200 movs r2, #0 + 323e: 3343 adds r3, #67 ; 0x43 + 3240: 701a strb r2, [r3, #0] + 3242: 6863 ldr r3, [r4, #4] + 3244: 60a3 str r3, [r4, #8] + 3246: 2b00 cmp r3, #0 + 3248: db5c blt.n 3304 <_printf_i+0x1d0> + 324a: 2204 movs r2, #4 + 324c: 6821 ldr r1, [r4, #0] + 324e: 4391 bics r1, r2 + 3250: 6021 str r1, [r4, #0] + 3252: 2d00 cmp r5, #0 + 3254: d158 bne.n 3308 <_printf_i+0x1d4> + 3256: 9e04 ldr r6, [sp, #16] + 3258: 2b00 cmp r3, #0 + 325a: d064 beq.n 3326 <_printf_i+0x1f2> + 325c: 0026 movs r6, r4 + 325e: 9b03 ldr r3, [sp, #12] + 3260: 3642 adds r6, #66 ; 0x42 + 3262: 781b ldrb r3, [r3, #0] + 3264: 7033 strb r3, [r6, #0] + 3266: e05e b.n 3326 <_printf_i+0x1f2> + 3268: 0648 lsls r0, r1, #25 + 326a: d5da bpl.n 3222 <_printf_i+0xee> + 326c: b2ad uxth r5, r5 + 326e: e7d8 b.n 3222 <_printf_i+0xee> + 3270: 6809 ldr r1, [r1, #0] + 3272: 681a ldr r2, [r3, #0] + 3274: 0608 lsls r0, r1, #24 + 3276: d505 bpl.n 3284 <_printf_i+0x150> + 3278: 1d11 adds r1, r2, #4 + 327a: 6019 str r1, [r3, #0] + 327c: 6813 ldr r3, [r2, #0] + 327e: 6962 ldr r2, [r4, #20] + 3280: 601a str r2, [r3, #0] + 3282: e006 b.n 3292 <_printf_i+0x15e> + 3284: 0649 lsls r1, r1, #25 + 3286: d5f7 bpl.n 3278 <_printf_i+0x144> + 3288: 1d11 adds r1, r2, #4 + 328a: 6019 str r1, [r3, #0] + 328c: 6813 ldr r3, [r2, #0] + 328e: 8aa2 ldrh r2, [r4, #20] + 3290: 801a strh r2, [r3, #0] + 3292: 2300 movs r3, #0 + 3294: 9e04 ldr r6, [sp, #16] + 3296: 6123 str r3, [r4, #16] + 3298: e054 b.n 3344 <_printf_i+0x210> + 329a: 681a ldr r2, [r3, #0] + 329c: 1d11 adds r1, r2, #4 + 329e: 6019 str r1, [r3, #0] + 32a0: 6816 ldr r6, [r2, #0] + 32a2: 2100 movs r1, #0 + 32a4: 6862 ldr r2, [r4, #4] + 32a6: 0030 movs r0, r6 + 32a8: f000 f91a bl 34e0 + 32ac: 2800 cmp r0, #0 + 32ae: d001 beq.n 32b4 <_printf_i+0x180> + 32b0: 1b80 subs r0, r0, r6 + 32b2: 6060 str r0, [r4, #4] + 32b4: 6863 ldr r3, [r4, #4] + 32b6: 6123 str r3, [r4, #16] + 32b8: 2300 movs r3, #0 + 32ba: 9a04 ldr r2, [sp, #16] + 32bc: 7013 strb r3, [r2, #0] + 32be: e041 b.n 3344 <_printf_i+0x210> + 32c0: 6923 ldr r3, [r4, #16] + 32c2: 0032 movs r2, r6 + 32c4: 9906 ldr r1, [sp, #24] + 32c6: 9805 ldr r0, [sp, #20] + 32c8: 9d07 ldr r5, [sp, #28] + 32ca: 47a8 blx r5 + 32cc: 1c43 adds r3, r0, #1 + 32ce: d043 beq.n 3358 <_printf_i+0x224> + 32d0: 6823 ldr r3, [r4, #0] + 32d2: 2500 movs r5, #0 + 32d4: 079b lsls r3, r3, #30 + 32d6: d40f bmi.n 32f8 <_printf_i+0x1c4> + 32d8: 9b09 ldr r3, [sp, #36] ; 0x24 + 32da: 68e0 ldr r0, [r4, #12] + 32dc: 4298 cmp r0, r3 + 32de: da3d bge.n 335c <_printf_i+0x228> + 32e0: 0018 movs r0, r3 + 32e2: e03b b.n 335c <_printf_i+0x228> + 32e4: 0022 movs r2, r4 + 32e6: 2301 movs r3, #1 + 32e8: 3219 adds r2, #25 + 32ea: 9906 ldr r1, [sp, #24] + 32ec: 9805 ldr r0, [sp, #20] + 32ee: 9e07 ldr r6, [sp, #28] + 32f0: 47b0 blx r6 + 32f2: 1c43 adds r3, r0, #1 + 32f4: d030 beq.n 3358 <_printf_i+0x224> + 32f6: 3501 adds r5, #1 + 32f8: 68e3 ldr r3, [r4, #12] + 32fa: 9a09 ldr r2, [sp, #36] ; 0x24 + 32fc: 1a9b subs r3, r3, r2 + 32fe: 429d cmp r5, r3 + 3300: dbf0 blt.n 32e4 <_printf_i+0x1b0> + 3302: e7e9 b.n 32d8 <_printf_i+0x1a4> + 3304: 2d00 cmp r5, #0 + 3306: d0a9 beq.n 325c <_printf_i+0x128> + 3308: 9e04 ldr r6, [sp, #16] + 330a: 0028 movs r0, r5 + 330c: 0039 movs r1, r7 + 330e: f7fe fcab bl 1c68 <__aeabi_uidivmod> + 3312: 9b03 ldr r3, [sp, #12] + 3314: 3e01 subs r6, #1 + 3316: 5c5b ldrb r3, [r3, r1] + 3318: 0028 movs r0, r5 + 331a: 7033 strb r3, [r6, #0] + 331c: 0039 movs r1, r7 + 331e: f7fe fc1d bl 1b5c <__udivsi3> + 3322: 1e05 subs r5, r0, #0 + 3324: d1f1 bne.n 330a <_printf_i+0x1d6> + 3326: 2f08 cmp r7, #8 + 3328: d109 bne.n 333e <_printf_i+0x20a> + 332a: 6823 ldr r3, [r4, #0] + 332c: 07db lsls r3, r3, #31 + 332e: d506 bpl.n 333e <_printf_i+0x20a> + 3330: 6863 ldr r3, [r4, #4] + 3332: 6922 ldr r2, [r4, #16] + 3334: 4293 cmp r3, r2 + 3336: dc02 bgt.n 333e <_printf_i+0x20a> + 3338: 2330 movs r3, #48 ; 0x30 + 333a: 3e01 subs r6, #1 + 333c: 7033 strb r3, [r6, #0] + 333e: 9b04 ldr r3, [sp, #16] + 3340: 1b9b subs r3, r3, r6 + 3342: 6123 str r3, [r4, #16] + 3344: 9b07 ldr r3, [sp, #28] + 3346: aa09 add r2, sp, #36 ; 0x24 + 3348: 9300 str r3, [sp, #0] + 334a: 0021 movs r1, r4 + 334c: 9b06 ldr r3, [sp, #24] + 334e: 9805 ldr r0, [sp, #20] + 3350: f7ff fe82 bl 3058 <_printf_common> + 3354: 1c43 adds r3, r0, #1 + 3356: d1b3 bne.n 32c0 <_printf_i+0x18c> + 3358: 2001 movs r0, #1 + 335a: 4240 negs r0, r0 + 335c: b00b add sp, #44 ; 0x2c + 335e: bdf0 pop {r4, r5, r6, r7, pc} + 3360: 000036b6 .word 0x000036b6 + 3364: 000036a5 .word 0x000036a5 + +00003368 <_sbrk_r>: + 3368: 2300 movs r3, #0 + 336a: b570 push {r4, r5, r6, lr} + 336c: 4c06 ldr r4, [pc, #24] ; (3388 <_sbrk_r+0x20>) + 336e: 0005 movs r5, r0 + 3370: 0008 movs r0, r1 + 3372: 6023 str r3, [r4, #0] + 3374: f7fe fb62 bl 1a3c <_sbrk> + 3378: 1c43 adds r3, r0, #1 + 337a: d103 bne.n 3384 <_sbrk_r+0x1c> + 337c: 6823 ldr r3, [r4, #0] + 337e: 2b00 cmp r3, #0 + 3380: d000 beq.n 3384 <_sbrk_r+0x1c> + 3382: 602b str r3, [r5, #0] + 3384: bd70 pop {r4, r5, r6, pc} + 3386: 46c0 nop ; (mov r8, r8) + 3388: 2000029c .word 0x2000029c + +0000338c <__sread>: + 338c: b570 push {r4, r5, r6, lr} + 338e: 000c movs r4, r1 + 3390: 250e movs r5, #14 + 3392: 5f49 ldrsh r1, [r1, r5] + 3394: f000 f8b2 bl 34fc <_read_r> + 3398: 2800 cmp r0, #0 + 339a: db03 blt.n 33a4 <__sread+0x18> + 339c: 6d63 ldr r3, [r4, #84] ; 0x54 + 339e: 181b adds r3, r3, r0 + 33a0: 6563 str r3, [r4, #84] ; 0x54 + 33a2: bd70 pop {r4, r5, r6, pc} + 33a4: 89a3 ldrh r3, [r4, #12] + 33a6: 4a02 ldr r2, [pc, #8] ; (33b0 <__sread+0x24>) + 33a8: 4013 ands r3, r2 + 33aa: 81a3 strh r3, [r4, #12] + 33ac: e7f9 b.n 33a2 <__sread+0x16> + 33ae: 46c0 nop ; (mov r8, r8) + 33b0: ffffefff .word 0xffffefff + +000033b4 <__swrite>: + 33b4: b5f8 push {r3, r4, r5, r6, r7, lr} + 33b6: 001f movs r7, r3 + 33b8: 898b ldrh r3, [r1, #12] + 33ba: 0005 movs r5, r0 + 33bc: 000c movs r4, r1 + 33be: 0016 movs r6, r2 + 33c0: 05db lsls r3, r3, #23 + 33c2: d505 bpl.n 33d0 <__swrite+0x1c> + 33c4: 230e movs r3, #14 + 33c6: 5ec9 ldrsh r1, [r1, r3] + 33c8: 2200 movs r2, #0 + 33ca: 2302 movs r3, #2 + 33cc: f000 f874 bl 34b8 <_lseek_r> + 33d0: 89a3 ldrh r3, [r4, #12] + 33d2: 4a05 ldr r2, [pc, #20] ; (33e8 <__swrite+0x34>) + 33d4: 0028 movs r0, r5 + 33d6: 4013 ands r3, r2 + 33d8: 81a3 strh r3, [r4, #12] + 33da: 0032 movs r2, r6 + 33dc: 230e movs r3, #14 + 33de: 5ee1 ldrsh r1, [r4, r3] + 33e0: 003b movs r3, r7 + 33e2: f000 f81f bl 3424 <_write_r> + 33e6: bdf8 pop {r3, r4, r5, r6, r7, pc} + 33e8: ffffefff .word 0xffffefff + +000033ec <__sseek>: + 33ec: b570 push {r4, r5, r6, lr} + 33ee: 000c movs r4, r1 + 33f0: 250e movs r5, #14 + 33f2: 5f49 ldrsh r1, [r1, r5] + 33f4: f000 f860 bl 34b8 <_lseek_r> + 33f8: 89a3 ldrh r3, [r4, #12] + 33fa: 1c42 adds r2, r0, #1 + 33fc: d103 bne.n 3406 <__sseek+0x1a> + 33fe: 4a05 ldr r2, [pc, #20] ; (3414 <__sseek+0x28>) + 3400: 4013 ands r3, r2 + 3402: 81a3 strh r3, [r4, #12] + 3404: bd70 pop {r4, r5, r6, pc} + 3406: 2280 movs r2, #128 ; 0x80 + 3408: 0152 lsls r2, r2, #5 + 340a: 4313 orrs r3, r2 + 340c: 81a3 strh r3, [r4, #12] + 340e: 6560 str r0, [r4, #84] ; 0x54 + 3410: e7f8 b.n 3404 <__sseek+0x18> + 3412: 46c0 nop ; (mov r8, r8) + 3414: ffffefff .word 0xffffefff + +00003418 <__sclose>: + 3418: b510 push {r4, lr} + 341a: 230e movs r3, #14 + 341c: 5ec9 ldrsh r1, [r1, r3] + 341e: f000 f815 bl 344c <_close_r> + 3422: bd10 pop {r4, pc} + +00003424 <_write_r>: + 3424: b570 push {r4, r5, r6, lr} + 3426: 0005 movs r5, r0 + 3428: 0008 movs r0, r1 + 342a: 0011 movs r1, r2 + 342c: 2200 movs r2, #0 + 342e: 4c06 ldr r4, [pc, #24] ; (3448 <_write_r+0x24>) + 3430: 6022 str r2, [r4, #0] + 3432: 001a movs r2, r3 + 3434: f7fd fb56 bl ae4 <_write> + 3438: 1c43 adds r3, r0, #1 + 343a: d103 bne.n 3444 <_write_r+0x20> + 343c: 6823 ldr r3, [r4, #0] + 343e: 2b00 cmp r3, #0 + 3440: d000 beq.n 3444 <_write_r+0x20> + 3442: 602b str r3, [r5, #0] + 3444: bd70 pop {r4, r5, r6, pc} + 3446: 46c0 nop ; (mov r8, r8) + 3448: 2000029c .word 0x2000029c + +0000344c <_close_r>: + 344c: 2300 movs r3, #0 + 344e: b570 push {r4, r5, r6, lr} + 3450: 4c06 ldr r4, [pc, #24] ; (346c <_close_r+0x20>) + 3452: 0005 movs r5, r0 + 3454: 0008 movs r0, r1 + 3456: 6023 str r3, [r4, #0] + 3458: f7fe fb00 bl 1a5c <_close> + 345c: 1c43 adds r3, r0, #1 + 345e: d103 bne.n 3468 <_close_r+0x1c> + 3460: 6823 ldr r3, [r4, #0] + 3462: 2b00 cmp r3, #0 + 3464: d000 beq.n 3468 <_close_r+0x1c> + 3466: 602b str r3, [r5, #0] + 3468: bd70 pop {r4, r5, r6, pc} + 346a: 46c0 nop ; (mov r8, r8) + 346c: 2000029c .word 0x2000029c + +00003470 <_fstat_r>: + 3470: 2300 movs r3, #0 + 3472: b570 push {r4, r5, r6, lr} + 3474: 4c06 ldr r4, [pc, #24] ; (3490 <_fstat_r+0x20>) + 3476: 0005 movs r5, r0 + 3478: 0008 movs r0, r1 + 347a: 0011 movs r1, r2 + 347c: 6023 str r3, [r4, #0] + 347e: f7fe faf0 bl 1a62 <_fstat> + 3482: 1c43 adds r3, r0, #1 + 3484: d103 bne.n 348e <_fstat_r+0x1e> + 3486: 6823 ldr r3, [r4, #0] + 3488: 2b00 cmp r3, #0 + 348a: d000 beq.n 348e <_fstat_r+0x1e> + 348c: 602b str r3, [r5, #0] + 348e: bd70 pop {r4, r5, r6, pc} + 3490: 2000029c .word 0x2000029c + +00003494 <_isatty_r>: + 3494: 2300 movs r3, #0 + 3496: b570 push {r4, r5, r6, lr} + 3498: 4c06 ldr r4, [pc, #24] ; (34b4 <_isatty_r+0x20>) + 349a: 0005 movs r5, r0 + 349c: 0008 movs r0, r1 + 349e: 6023 str r3, [r4, #0] + 34a0: f7fe fae4 bl 1a6c <_isatty> + 34a4: 1c43 adds r3, r0, #1 + 34a6: d103 bne.n 34b0 <_isatty_r+0x1c> + 34a8: 6823 ldr r3, [r4, #0] + 34aa: 2b00 cmp r3, #0 + 34ac: d000 beq.n 34b0 <_isatty_r+0x1c> + 34ae: 602b str r3, [r5, #0] + 34b0: bd70 pop {r4, r5, r6, pc} + 34b2: 46c0 nop ; (mov r8, r8) + 34b4: 2000029c .word 0x2000029c + +000034b8 <_lseek_r>: + 34b8: b570 push {r4, r5, r6, lr} + 34ba: 0005 movs r5, r0 + 34bc: 0008 movs r0, r1 + 34be: 0011 movs r1, r2 + 34c0: 2200 movs r2, #0 + 34c2: 4c06 ldr r4, [pc, #24] ; (34dc <_lseek_r+0x24>) + 34c4: 6022 str r2, [r4, #0] + 34c6: 001a movs r2, r3 + 34c8: f7fe fad2 bl 1a70 <_lseek> + 34cc: 1c43 adds r3, r0, #1 + 34ce: d103 bne.n 34d8 <_lseek_r+0x20> + 34d0: 6823 ldr r3, [r4, #0] + 34d2: 2b00 cmp r3, #0 + 34d4: d000 beq.n 34d8 <_lseek_r+0x20> + 34d6: 602b str r3, [r5, #0] + 34d8: bd70 pop {r4, r5, r6, pc} + 34da: 46c0 nop ; (mov r8, r8) + 34dc: 2000029c .word 0x2000029c + +000034e0 : + 34e0: b2c9 uxtb r1, r1 + 34e2: 1882 adds r2, r0, r2 + 34e4: 4290 cmp r0, r2 + 34e6: d101 bne.n 34ec + 34e8: 2000 movs r0, #0 + 34ea: 4770 bx lr + 34ec: 7803 ldrb r3, [r0, #0] + 34ee: 428b cmp r3, r1 + 34f0: d0fb beq.n 34ea + 34f2: 3001 adds r0, #1 + 34f4: e7f6 b.n 34e4 + +000034f6 <__malloc_lock>: + 34f6: 4770 bx lr + +000034f8 <__malloc_unlock>: + 34f8: 4770 bx lr + ... + +000034fc <_read_r>: + 34fc: b570 push {r4, r5, r6, lr} + 34fe: 0005 movs r5, r0 + 3500: 0008 movs r0, r1 + 3502: 0011 movs r1, r2 + 3504: 2200 movs r2, #0 + 3506: 4c06 ldr r4, [pc, #24] ; (3520 <_read_r+0x24>) + 3508: 6022 str r2, [r4, #0] + 350a: 001a movs r2, r3 + 350c: f7fd face bl aac <_read> + 3510: 1c43 adds r3, r0, #1 + 3512: d103 bne.n 351c <_read_r+0x20> + 3514: 6823 ldr r3, [r4, #0] + 3516: 2b00 cmp r3, #0 + 3518: d000 beq.n 351c <_read_r+0x20> + 351a: 602b str r3, [r5, #0] + 351c: bd70 pop {r4, r5, r6, pc} + 351e: 46c0 nop ; (mov r8, r8) + 3520: 2000029c .word 0x2000029c + 3524: 00000002 .word 0x00000002 + 3528: 00000003 .word 0x00000003 + 352c: 00000028 .word 0x00000028 + 3530: 00000029 .word 0x00000029 + 3534: 00000004 .word 0x00000004 + 3538: 00000005 .word 0x00000005 + 353c: 00000006 .word 0x00000006 + 3540: 00000007 .word 0x00000007 + 3544: 00000020 .word 0x00000020 + 3548: 00000021 .word 0x00000021 + 354c: 00000022 .word 0x00000022 + 3550: 00000023 .word 0x00000023 + 3554: 00000024 .word 0x00000024 + 3558: 00000025 .word 0x00000025 + 355c: 00000026 .word 0x00000026 + 3560: 00000027 .word 0x00000027 + 3564: 00000008 .word 0x00000008 + 3568: 00000009 .word 0x00000009 + 356c: 0000000a .word 0x0000000a + 3570: 0000000b .word 0x0000000b + 3574: 42000800 .word 0x42000800 + 3578: 42000c00 .word 0x42000c00 + 357c: 42001000 .word 0x42001000 + 3580: 42001400 .word 0x42001400 + 3584: 42001800 .word 0x42001800 + 3588: 42001c00 .word 0x42001c00 + 358c: 325b6325 .word 0x325b6325 + 3590: 6325004a .word 0x6325004a + 3594: 2000755b .word 0x2000755b + 3598: 5b1b2d2d .word 0x5b1b2d2d + 359c: 313b3133 .word 0x313b3133 + 35a0: 6550206d .word 0x6550206d + 35a4: 6975676e .word 0x6975676e + 35a8: 1b73276e .word 0x1b73276e + 35ac: 3b3b305b .word 0x3b3b305b + 35b0: 206d3034 .word 0x206d3034 + 35b4: 444d4153 .word 0x444d4153 + 35b8: 41203132 .word 0x41203132 + 35bc: 44204344 .word 0x44204344 + 35c0: 206f6d65 .word 0x206f6d65 + 35c4: 6e697375 .word 0x6e697375 + 35c8: 4d442067 .word 0x4d442067 + 35cc: 2d2d2041 .word 0x2d2d2041 + 35d0: 56000d20 .word 0x56000d20 + 35d4: 61746c6f .word 0x61746c6f + 35d8: 203a6567 .word 0x203a6567 + 35dc: 252e6425 .word 0x252e6425 + 35e0: 00643330 .word 0x00643330 + 35e4: 325b6325 .word 0x325b6325 + 35e8: 6325004b .word 0x6325004b + 35ec: 0042315b .word 0x0042315b + 35f0: 00001e0c .word 0x00001e0c + 35f4: 00001d5c .word 0x00001d5c + 35f8: 00001d5c .word 0x00001d5c + 35fc: 00001d5a .word 0x00001d5a + 3600: 00001dfe .word 0x00001dfe + 3604: 00001dfe .word 0x00001dfe + 3608: 00001df4 .word 0x00001df4 + 360c: 00001d5a .word 0x00001d5a + 3610: 00001dfe .word 0x00001dfe + 3614: 00001df4 .word 0x00001df4 + 3618: 00001dfe .word 0x00001dfe + 361c: 00001d5a .word 0x00001d5a + 3620: 00001e04 .word 0x00001e04 + 3624: 00001e04 .word 0x00001e04 + 3628: 00001e04 .word 0x00001e04 + 362c: 00001e94 .word 0x00001e94 + +00003630 <_global_impure_ptr>: + 3630: 2000001c ... + +00003634 <__sf_fake_stderr>: + ... + +00003654 <__sf_fake_stdin>: + ... + +00003674 <__sf_fake_stdout>: + ... + 3694: 2b302d23 6c680020 6665004c 47464567 #-0+ .hlL.efgEFG + 36a4: 32313000 36353433 41393837 45444342 .0123456789ABCDE + 36b4: 31300046 35343332 39383736 64636261 F.0123456789abcd + 36c4: 00006665 ef.. + +000036c8 <_init>: + 36c8: b5f8 push {r3, r4, r5, r6, r7, lr} + 36ca: 46c0 nop ; (mov r8, r8) + 36cc: bcf8 pop {r3, r4, r5, r6, r7} + 36ce: bc08 pop {r3} + 36d0: 469e mov lr, r3 + 36d2: 4770 bx lr + +000036d4 <__init_array_start>: + 36d4: 000000dd .word 0x000000dd + +000036d8 <_fini>: + 36d8: b5f8 push {r3, r4, r5, r6, r7, lr} + 36da: 46c0 nop ; (mov r8, r8) + 36dc: bcf8 pop {r3, r4, r5, r6, r7} + 36de: bc08 pop {r3} + 36e0: 469e mov lr, r3 + 36e2: 4770 bx lr + +000036e4 <__fini_array_start>: + 36e4: 000000b5 .word 0x000000b5 diff --git a/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.map b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.map new file mode 100644 index 0000000..50616bb --- /dev/null +++ b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.map @@ -0,0 +1,1868 @@ +Archive member included to satisfy reference by file (symbol) + +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + src/ASF/sam0/drivers/sercom/sercom.o (__gnu_thumb1_case_uqi) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + src/ASF/common2/services/delay/sam0/systick_counter.o (__aeabi_uidiv) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) (__aeabi_idiv0) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o (__aeabi_llsl) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o (__aeabi_lmul) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + src/drivers/padc.o (__aeabi_fmul) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + src/main.o (__aeabi_fsub) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + src/main.o (__aeabi_f2iz) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + src/main.o (__aeabi_i2f) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + src/drivers/padc.o (__aeabi_ui2f) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) (__clzsi2) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (exit) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) (_global_impure_ptr) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (__libc_init_array) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o (memcpy) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o (memset) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/main.o (printf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + src/main.o (puts) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + src/drivers/pusart.o (setbuf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) (setvbuf) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) (__swbuf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) (__swsetup_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (_fflush_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) (__sinit) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (_fwalk) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (__swhatbuf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (malloc) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) (_free_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (_malloc_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) (_vfprintf_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (_printf_i) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) (_sbrk_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) (__sread) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_write_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_close_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) (_fstat_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (__sfvwrite_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) (_isatty_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_lseek_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) (memchr) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (memmove) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) (__malloc_lock) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (_realloc_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) (_read_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) (errno) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) (_malloc_usable_size_r) +c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) (__aeabi_idiv) + +Allocating common symbols +Common symbol size file + +descriptor_section 0x50 src/ASF/sam0/drivers/dma/dma.o +errno 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +adc_descriptor 0x10 src/drivers/padc.o +ptr_get 0x4 src/ASF/sam0/utils/stdio/read.o +umod 0x34 src/drivers/pusart.o +ptr_put 0x4 src/ASF/sam0/utils/stdio/write.o +_sercom_instances 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o +stdio_base 0x4 src/ASF/sam0/utils/stdio/write.o + +Discarded input sections + + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .data 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .text 0x00000000 0x78 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.extab 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.exidx 0x00000000 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .ARM.attributes + 0x00000000 0x1b c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text.adc_set_window_mode + 0x00000000 0x2c src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text.adc_regular_ain_channel + 0x00000000 0x20 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/dma/dma.o + .text.dma_free + 0x00000000 0x54 src/ASF/sam0/drivers/dma/dma.o + .text.dma_abort_job + 0x00000000 0x50 src/ASF/sam0/drivers/dma/dma.o + .text.dma_suspend_job + 0x00000000 0x34 src/ASF/sam0/drivers/dma/dma.o + .text.dma_resume_job + 0x00000000 0x5c src/ASF/sam0/drivers/dma/dma.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/dma/dma.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/dma/dma.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/sercom.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/sercom.o + .text 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .data 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/stdio/read.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/utils/stdio/read.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/utils/stdio/read.o + .text 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .data 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/stdio/write.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/utils/stdio/write.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/utils/stdio/write.o + .text 0x00000000 0x0 src/drivers/padc.o + .data 0x00000000 0x0 src/drivers/padc.o + .bss 0x00000000 0x0 src/drivers/padc.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/drivers/padc.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/drivers/padc.o + .text 0x00000000 0x0 src/drivers/pusart.o + .data 0x00000000 0x0 src/drivers/pusart.o + .bss 0x00000000 0x0 src/drivers/pusart.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/drivers/pusart.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/drivers/pusart.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart.o + .text.usart_write_buffer_wait + 0x00000000 0x7c src/ASF/sam0/drivers/sercom/usart/usart.o + .text.usart_read_buffer_wait + 0x00000000 0x78 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/usart/usart.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/usart/usart.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text._usart_write_buffer + 0x00000000 0x40 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text._usart_read_buffer + 0x00000000 0x50 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_register_callback + 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_unregister_callback + 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_write_job + 0x00000000 0x1c src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_read_job + 0x00000000 0x10 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_write_buffer_job + 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_read_buffer_job + 0x00000000 0x20 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_abort_job + 0x00000000 0x1e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text.usart_get_job_status + 0x00000000 0x18 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .text 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .data 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .bss 0x00000000 0x0 src/ASF/common2/services/delay/sam0/systick_counter.o + .text.delay_cycles_us + 0x00000000 0x2c src/ASF/common2/services/delay/sam0/systick_counter.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/common2/services/delay/sam0/systick_counter.o + .text 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .data 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .bss 0x00000000 0x0 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .text 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .data 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss 0x00000000 0x0 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/port/port.o + .text.port_group_set_config + 0x00000000 0x20 src/ASF/sam0/drivers/port/port.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/port/port.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/port/port.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_osc32k_set_config + 0x00000000 0x70 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_xosc_set_config + 0x00000000 0xcc src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_xosc32k_set_config + 0x00000000 0x9c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_dfll_set_config + 0x00000000 0x7c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_dpll_set_config + 0x00000000 0xa8 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_write_calibration + 0x00000000 0x84 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_disable + 0x00000000 0x78 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_is_ready + 0x00000000 0x54 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_gen_disable + 0x00000000 0x4c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_gen_is_enabled + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_is_enabled + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_lock + 0x00000000 0x30 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_chan_is_locked + 0x00000000 0x2c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_is_pending + 0x00000000 0x30 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_set_pending + 0x00000000 0x38 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_clear_pending + 0x00000000 0x30 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_set_priority + 0x00000000 0x44 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text.system_interrupt_get_priority + 0x00000000 0x34 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .comment 0x00000000 0x5a src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .ARM.attributes + 0x00000000 0x2e src/ASF/sam0/drivers/system/interrupt/system_interrupt.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_config + 0x00000000 0x2c src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_input_sample_mode + 0x00000000 0x12 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_group_set_output_strength + 0x00000000 0x2a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .data 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .bss 0x00000000 0x0 src/ASF/sam0/drivers/system/system.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/ASF/sam0/drivers/system/system.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/ASF/sam0/drivers/system/system.o + .text 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .data 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .text 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .data 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text.SystemInit + 0x00000000 0x10 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text.SystemCoreClockUpdate + 0x00000000 0x10 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .data.SystemCoreClock + 0x00000000 0x4 src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .comment 0x00000000 0x5a src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .ARM.attributes + 0x00000000 0x2e src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o + .text 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .data 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .bss 0x00000000 0x0 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text.link 0x00000000 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._exit 0x00000000 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._kill 0x00000000 0x2 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text._getpid 0x00000000 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .text 0x00000000 0x0 src/main.o + .data 0x00000000 0x0 src/main.o + .bss 0x00000000 0x0 src/main.o + .bss.cpu_irq_critical_section_counter + 0x00000000 0x4 src/main.o + .bss.cpu_irq_prev_interrupt_state + 0x00000000 0x1 src/main.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .text.exit 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .debug_frame 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .text._printf_r + 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .text.__swbuf 0x00000000 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .text.fflush 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_unlock + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_lock + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text._cleanup + 0x00000000 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfp_lock_acquire + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfp_lock_release + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sinit_lock_acquire + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sinit_lock_release + 0x00000000 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_lock_all + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__fp_unlock_all + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .text._fwalk 0x00000000 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .text.free 0x00000000 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.__sprint_r + 0x00000000 0x1c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.vfprintf + 0x00000000 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .text.__seofread + 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .text.__sfvwrite_r + 0x00000000 0x2c4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .debug_frame 0x00000000 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .text.memmove 0x00000000 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .debug_frame 0x00000000 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .text._realloc_r + 0x00000000 0x4c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .debug_frame 0x00000000 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text.cleanup_glue + 0x00000000 0x1a c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text._reclaim_reent + 0x00000000 0xcc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .text._malloc_usable_size_r + 0x00000000 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .debug_frame 0x00000000 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + .text 0x00000000 0x1d4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .debug_frame 0x00000000 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .ARM.attributes + 0x00000000 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .eh_frame 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .jcr 0x00000000 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .ARM.attributes + 0x00000000 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o + .text 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + .data 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + +Memory Configuration + +Name Origin Length Attributes +rom 0x00000000 0x00040000 xr +ram 0x20000000 0x00008000 xrw +*default* 0x00000000 0xffffffff + +Linker script and memory map + +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +LOAD src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +LOAD src/ASF/sam0/drivers/dma/dma.o +LOAD src/ASF/sam0/drivers/sercom/sercom.o +LOAD src/ASF/sam0/utils/stdio/read.o +LOAD src/ASF/sam0/utils/stdio/write.o +LOAD src/drivers/padc.o +LOAD src/drivers/pusart.o +LOAD src/ASF/sam0/drivers/sercom/usart/usart.o +LOAD src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +LOAD src/ASF/sam0/drivers/sercom/sercom_interrupt.o +LOAD src/ASF/common2/services/delay/sam0/systick_counter.o +LOAD src/ASF/common/utils/interrupt/interrupt_sam_nvic.o +LOAD src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +LOAD src/ASF/sam0/drivers/port/port.o +LOAD src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +LOAD src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +LOAD src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +LOAD src/ASF/sam0/drivers/system/pinmux/pinmux.o +LOAD src/ASF/sam0/drivers/system/system.o +LOAD src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +LOAD src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +LOAD src/ASF/sam0/utils/syscalls/gcc/syscalls.o +LOAD src/main.o +START GROUP +LOAD ../src/ASF/thirdparty/CMSIS/Lib/GCC\libarm_cortexM0l_math.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libm.a +END GROUP +START GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a +END GROUP +START GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a +END GROUP +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtend.o +LOAD c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x00002000 STACK_SIZE = DEFINED (STACK_SIZE)?STACK_SIZE:DEFINED (__stack_size__)?__stack_size__:0x2000 + +.text 0x00000000 0x36e8 + 0x00000000 . = ALIGN (0x4) + 0x00000000 _sfixed = . + *(.vectors .vectors.*) + .vectors 0x00000000 0xb4 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x00000000 exception_table + *(.text .text.* .gnu.linkonce.t.*) + .text 0x000000b4 0x60 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .text._adc_configure_ain_pin + 0x00000114 0x40 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .text.adc_get_config_defaults + 0x00000154 0x42 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x00000154 adc_get_config_defaults + *fill* 0x00000196 0x2 + .text.adc_init + 0x00000198 0x2e0 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x00000198 adc_init + .text.DMAC_Handler + 0x00000478 0xa4 src/ASF/sam0/drivers/dma/dma.o + 0x00000478 DMAC_Handler + .text.dma_get_config_defaults + 0x0000051c 0x10 src/ASF/sam0/drivers/dma/dma.o + 0x0000051c dma_get_config_defaults + .text.dma_allocate + 0x0000052c 0x12c src/ASF/sam0/drivers/dma/dma.o + 0x0000052c dma_allocate + .text.dma_start_transfer_job + 0x00000658 0x8c src/ASF/sam0/drivers/dma/dma.o + 0x00000658 dma_start_transfer_job + .text.dma_descriptor_create + 0x000006e4 0xa8 src/ASF/sam0/drivers/dma/dma.o + 0x000006e4 dma_descriptor_create + .text.dma_add_descriptor + 0x0000078c 0x22 src/ASF/sam0/drivers/dma/dma.o + 0x0000078c dma_add_descriptor + *fill* 0x000007ae 0x2 + .text.long_division + 0x000007b0 0x6c src/ASF/sam0/drivers/sercom/sercom.o + .text._sercom_get_sync_baud_val + 0x0000081c 0x28 src/ASF/sam0/drivers/sercom/sercom.o + 0x0000081c _sercom_get_sync_baud_val + .text._sercom_get_async_baud_val + 0x00000844 0x98 src/ASF/sam0/drivers/sercom/sercom.o + 0x00000844 _sercom_get_async_baud_val + .text.sercom_set_gclk_generator + 0x000008dc 0x44 src/ASF/sam0/drivers/sercom/sercom.o + 0x000008dc sercom_set_gclk_generator + .text._sercom_get_default_pad + 0x00000920 0x15c src/ASF/sam0/drivers/sercom/sercom.o + 0x00000920 _sercom_get_default_pad + .text._sercom_get_sercom_inst_index + 0x00000a7c 0x30 src/ASF/sam0/drivers/sercom/sercom.o + 0x00000a7c _sercom_get_sercom_inst_index + .text._read 0x00000aac 0x38 src/ASF/sam0/utils/stdio/read.o + 0x00000aac _read + .text._write 0x00000ae4 0x34 src/ASF/sam0/utils/stdio/write.o + 0x00000ae4 _write + .text.padc_init + 0x00000b18 0xd8 src/drivers/padc.o + 0x00000b18 padc_init + .text.padc_start + 0x00000bf0 0x34 src/drivers/padc.o + 0x00000bf0 padc_start + .text.padc_get_raw + 0x00000c24 0x1c src/drivers/padc.o + 0x00000c24 padc_get_raw + .text.padc_get_voltage + 0x00000c40 0x24 src/drivers/padc.o + 0x00000c40 padc_get_voltage + .text.usart_serial_getchar + 0x00000c64 0x24 src/drivers/pusart.o + .text.usart_serial_putchar + 0x00000c88 0x18 src/drivers/pusart.o + .text.pusart_init + 0x00000ca0 0xf8 src/drivers/pusart.o + 0x00000ca0 pusart_init + .text.usart_init + 0x00000d98 0x2b8 src/ASF/sam0/drivers/sercom/usart/usart.o + 0x00000d98 usart_init + .text.usart_write_wait + 0x00001050 0x22 src/ASF/sam0/drivers/sercom/usart/usart.o + 0x00001050 usart_write_wait + .text.usart_read_wait + 0x00001072 0x68 src/ASF/sam0/drivers/sercom/usart/usart.o + 0x00001072 usart_read_wait + *fill* 0x000010da 0x2 + .text._usart_interrupt_handler + 0x000010dc 0x168 src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + 0x000010dc _usart_interrupt_handler + .text._sercom_default_handler + 0x00001244 0x2 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + *fill* 0x00001246 0x2 + .text._sercom_set_handler + 0x00001248 0x38 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001248 _sercom_set_handler + .text._sercom_get_interrupt_vector + 0x00001280 0x2c src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x00001280 _sercom_get_interrupt_vector + .text.SERCOM0_Handler + 0x000012ac 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012ac SERCOM0_Handler + .text.SERCOM1_Handler + 0x000012bc 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012bc SERCOM1_Handler + .text.SERCOM2_Handler + 0x000012cc 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012cc SERCOM2_Handler + .text.SERCOM3_Handler + 0x000012dc 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012dc SERCOM3_Handler + .text.SERCOM4_Handler + 0x000012ec 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012ec SERCOM4_Handler + .text.SERCOM5_Handler + 0x000012fc 0x10 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x000012fc SERCOM5_Handler + .text.delay_init + 0x0000130c 0x40 src/ASF/common2/services/delay/sam0/systick_counter.o + 0x0000130c delay_init + .text.delay_cycles_ms + 0x0000134c 0x2c src/ASF/common2/services/delay/sam0/systick_counter.o + 0x0000134c delay_cycles_ms + .text.cpu_irq_enter_critical + 0x00001378 0x34 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x00001378 cpu_irq_enter_critical + .text.cpu_irq_leave_critical + 0x000013ac 0x30 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x000013ac cpu_irq_leave_critical + .text.system_board_init + 0x000013dc 0x34 src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + 0x000013dc board_init + 0x000013dc system_board_init + .text.port_pin_set_config + 0x00001410 0x20 src/ASF/sam0/drivers/port/port.o + 0x00001410 port_pin_set_config + .text._system_dfll_wait_for_sync + 0x00001430 0x10 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .text.system_clock_source_get_hz + 0x00001440 0x90 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x00001440 system_clock_source_get_hz + .text.system_clock_source_osc8m_set_config + 0x000014d0 0x3c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x000014d0 system_clock_source_osc8m_set_config + .text.system_clock_source_enable + 0x0000150c 0x90 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x0000150c system_clock_source_enable + .text.system_clock_init + 0x0000159c 0x90 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + 0x0000159c system_clock_init + .text.system_gclk_is_syncing + 0x0000162c 0xc src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .text.system_gclk_init + 0x00001638 0x20 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001638 system_gclk_init + .text.system_gclk_gen_set_config + 0x00001658 0xa4 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001658 system_gclk_gen_set_config + .text.system_gclk_gen_enable + 0x000016fc 0x40 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000016fc system_gclk_gen_enable + .text.system_gclk_gen_get_hz + 0x0000173c 0x88 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x0000173c system_gclk_gen_get_hz + .text.system_gclk_chan_enable + 0x000017c4 0x30 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000017c4 system_gclk_chan_enable + .text.system_gclk_chan_disable + 0x000017f4 0x58 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x000017f4 system_gclk_chan_disable + .text.system_gclk_chan_set_config + 0x0000184c 0x1c src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x0000184c system_gclk_chan_set_config + .text.system_gclk_chan_get_hz + 0x00001868 0x34 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + 0x00001868 system_gclk_chan_get_hz + .text._system_pinmux_config + 0x0000189c 0x84 src/ASF/sam0/drivers/system/pinmux/pinmux.o + .text.system_pinmux_pin_set_config + 0x00001920 0x2c src/ASF/sam0/drivers/system/pinmux/pinmux.o + 0x00001920 system_pinmux_pin_set_config + .text._system_dummy_init + 0x0000194c 0x2 src/ASF/sam0/drivers/system/system.o + 0x0000194c _system_extint_init + 0x0000194c _system_events_init + 0x0000194c _system_dummy_init + 0x0000194c _system_divas_init + *fill* 0x0000194e 0x2 + .text.system_init + 0x00001950 0x2c src/ASF/sam0/drivers/system/system.o + 0x00001950 system_init + .text.Dummy_Handler + 0x0000197c 0x2 src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x0000197c HardFault_Handler + 0x0000197c AC_Handler + 0x0000197c SysTick_Handler + 0x0000197c PendSV_Handler + 0x0000197c NMI_Handler + 0x0000197c TC7_Handler + 0x0000197c ADC_Handler + 0x0000197c TCC1_Handler + 0x0000197c TCC0_Handler + 0x0000197c RTC_Handler + 0x0000197c EIC_Handler + 0x0000197c TC6_Handler + 0x0000197c WDT_Handler + 0x0000197c TC4_Handler + 0x0000197c USB_Handler + 0x0000197c TC3_Handler + 0x0000197c Dummy_Handler + 0x0000197c PM_Handler + 0x0000197c SVC_Handler + 0x0000197c TCC2_Handler + 0x0000197c EVSYS_Handler + 0x0000197c I2S_Handler + 0x0000197c NVMCTRL_Handler + 0x0000197c DAC_Handler + 0x0000197c PTC_Handler + 0x0000197c TC5_Handler + 0x0000197c SYSCTRL_Handler + *fill* 0x0000197e 0x2 + .text.Reset_Handler + 0x00001980 0xbc src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + 0x00001980 Reset_Handler + .text._sbrk 0x00001a3c 0x20 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001a3c _sbrk + .text._close 0x00001a5c 0x6 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001a5c _close + .text._fstat 0x00001a62 0xa src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001a62 _fstat + .text._isatty 0x00001a6c 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001a6c _isatty + .text._lseek 0x00001a70 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + 0x00001a70 _lseek + .text.startup.main + 0x00001a74 0xd4 src/main.o + 0x00001a74 main + .text 0x00001b48 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + 0x00001b48 __gnu_thumb1_case_uqi + .text 0x00001b5c 0x114 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + 0x00001b5c __aeabi_uidiv + 0x00001b5c __udivsi3 + 0x00001c68 __aeabi_uidivmod + .text 0x00001c70 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + 0x00001c70 __aeabi_idiv0 + 0x00001c70 __aeabi_ldiv0 + .text 0x00001c74 0x18 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + 0x00001c74 __aeabi_llsl + 0x00001c74 __ashldi3 + .text 0x00001c8c 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + 0x00001c8c __muldi3 + 0x00001c8c __aeabi_lmul + .text 0x00001ce0 0x240 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + 0x00001ce0 __aeabi_fmul + .text 0x00001f20 0x338 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + 0x00001f20 __aeabi_fsub + .text 0x00002258 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + 0x00002258 __aeabi_f2iz + .text 0x00002298 0xa0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + 0x00002298 __aeabi_i2f + .text 0x00002338 0x8c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + 0x00002338 __aeabi_ui2f + .text 0x000023c4 0x3c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + 0x000023c4 __clzsi2 + .text.__libc_init_array + 0x00002400 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + 0x00002400 __libc_init_array + .text.memcpy 0x00002448 0x12 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + 0x00002448 memcpy + .text.memset 0x0000245a 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + 0x0000245a memset + *fill* 0x0000246a 0x2 + .text.printf 0x0000246c 0x34 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + 0x0000246c iprintf + 0x0000246c printf + .text._puts_r 0x000024a0 0xc0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + 0x000024a0 _puts_r + .text.puts 0x00002560 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + 0x00002560 puts + .text.setbuf 0x00002574 0x12 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + 0x00002574 setbuf + *fill* 0x00002586 0x2 + .text.setvbuf 0x00002588 0x158 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + 0x00002588 setvbuf + .text.__swbuf_r + 0x000026e0 0xac c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + 0x000026e0 __swbuf_r + .text.__swsetup_r + 0x0000278c 0xec c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + 0x0000278c __swsetup_r + .text.__sflush_r + 0x00002878 0x114 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + 0x00002878 __sflush_r + .text._fflush_r + 0x0000298c 0x58 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + 0x0000298c _fflush_r + .text._cleanup_r + 0x000029e4 0x10 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x000029e4 _cleanup_r + .text.std.isra.0 + 0x000029f4 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .text.__sfmoreglue + 0x00002a3c 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002a3c __sfmoreglue + .text.__sinit 0x00002a68 0x68 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002a68 __sinit + .text.__sfp 0x00002ad0 0x80 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00002ad0 __sfp + .text._fwalk_reent + 0x00002b50 0x42 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + 0x00002b50 _fwalk_reent + *fill* 0x00002b92 0x2 + .text.__swhatbuf_r + 0x00002b94 0x50 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + 0x00002b94 __swhatbuf_r + .text.__smakebuf_r + 0x00002be4 0x88 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + 0x00002be4 __smakebuf_r + .text.malloc 0x00002c6c 0x14 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + 0x00002c6c malloc + .text._free_r 0x00002c80 0x94 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + 0x00002c80 _free_r + .text._malloc_r + 0x00002d14 0xbc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x00002d14 _malloc_r + .text.__sfputc_r + 0x00002dd0 0x2a c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .text.__sfputs_r + 0x00002dfa 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + 0x00002dfa __sfputs_r + *fill* 0x00002e1e 0x2 + .text._vfprintf_r + 0x00002e20 0x238 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + 0x00002e20 _vfprintf_r + 0x00002e20 _vfiprintf_r + .text._printf_common + 0x00003058 0xda c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x00003058 _printf_common + *fill* 0x00003132 0x2 + .text._printf_i + 0x00003134 0x234 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x00003134 _printf_i + .text._sbrk_r 0x00003368 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + 0x00003368 _sbrk_r + .text.__sread 0x0000338c 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x0000338c __sread + .text.__swrite + 0x000033b4 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x000033b4 __swrite + .text.__sseek 0x000033ec 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x000033ec __sseek + .text.__sclose + 0x00003418 0xc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + 0x00003418 __sclose + .text._write_r + 0x00003424 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + 0x00003424 _write_r + .text._close_r + 0x0000344c 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + 0x0000344c _close_r + .text._fstat_r + 0x00003470 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + 0x00003470 _fstat_r + .text._isatty_r + 0x00003494 0x24 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + 0x00003494 _isatty_r + .text._lseek_r + 0x000034b8 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + 0x000034b8 _lseek_r + .text.memchr 0x000034e0 0x16 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + 0x000034e0 memchr + .text.__malloc_lock + 0x000034f6 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + 0x000034f6 __malloc_lock + .text.__malloc_unlock + 0x000034f8 0x2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + 0x000034f8 __malloc_unlock + *fill* 0x000034fa 0x2 + .text._read_r 0x000034fc 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + 0x000034fc _read_r + *(.glue_7t) + .glue_7t 0x00003524 0x0 linker stubs + *(.glue_7) + .glue_7 0x00003524 0x0 linker stubs + *(.rodata .rodata* .gnu.linkonce.r.*) + .rodata 0x00003524 0x50 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .rodata 0x00003574 0x18 src/ASF/sam0/drivers/sercom/sercom.o + .rodata.main.str1.1 + 0x0000358c 0x64 src/main.o + .rodata 0x000035f0 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .rodata._global_impure_ptr + 0x00003630 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x00003630 _global_impure_ptr + .rodata.__sf_fake_stderr + 0x00003634 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00003634 __sf_fake_stderr + .rodata.__sf_fake_stdin + 0x00003654 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00003654 __sf_fake_stdin + .rodata.__sf_fake_stdout + 0x00003674 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + 0x00003674 __sf_fake_stdout + .rodata._vfprintf_r.str1.1 + 0x00003694 0x11 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .rodata._printf_i.str1.1 + 0x000036a5 0x22 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + *(.ARM.extab* .gnu.linkonce.armextab.*) + 0x000036c8 . = ALIGN (0x4) + *fill* 0x000036c7 0x1 + *(.init) + .init 0x000036c8 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + 0x000036c8 _init + .init 0x000036cc 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x000036d4 . = ALIGN (0x4) + 0x000036d4 __preinit_array_start = . + *(.preinit_array) + 0x000036d4 __preinit_array_end = . + 0x000036d4 . = ALIGN (0x4) + 0x000036d4 __init_array_start = . + *(SORT(.init_array.*)) + *(.init_array) + .init_array 0x000036d4 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + 0x000036d8 __init_array_end = . + 0x000036d8 . = ALIGN (0x4) + *crtbegin.o(.ctors) + *(EXCLUDE_FILE(*crtend.o) .ctors) + *(SORT(.ctors.*)) + *crtend.o(.ctors) + 0x000036d8 . = ALIGN (0x4) + *(.fini) + .fini 0x000036d8 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + 0x000036d8 _fini + .fini 0x000036dc 0x8 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + 0x000036e4 . = ALIGN (0x4) + 0x000036e4 __fini_array_start = . + *(.fini_array) + .fini_array 0x000036e4 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + *(SORT(.fini_array.*)) + 0x000036e8 __fini_array_end = . + *crtbegin.o(.dtors) + *(EXCLUDE_FILE(*crtend.o) .dtors) + *(SORT(.dtors.*)) + *crtend.o(.dtors) + 0x000036e8 . = ALIGN (0x4) + 0x000036e8 _efixed = . + [!provide] PROVIDE (__exidx_start, .) + +.vfp11_veneer 0x000036e8 0x0 + .vfp11_veneer 0x000036e8 0x0 linker stubs + +.v4_bx 0x000036e8 0x0 + .v4_bx 0x000036e8 0x0 linker stubs + +.iplt 0x000036e8 0x0 + .iplt 0x000036e8 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.eh_frame 0x000036e8 0x0 + .eh_frame 0x000036e8 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.rel.dyn 0x000036e8 0x0 + .rel.iplt 0x000036e8 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.jcr 0x000036e8 0x0 + .jcr 0x000036e8 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.igot.plt 0x000036e8 0x0 + .igot.plt 0x000036e8 0x0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + +.ARM.exidx + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + [!provide] PROVIDE (__exidx_end, .) + 0x000036e8 . = ALIGN (0x4) + 0x000036e8 _etext = . + +.relocate 0x20000000 0x7c load address 0x000036e8 + 0x20000000 . = ALIGN (0x4) + 0x20000000 _srelocate = . + *(.ramfunc .ramfunc.*) + *(.data .data.*) + .data._dma_inst + 0x20000000 0xc src/ASF/sam0/drivers/dma/dma.o + 0x20000000 _dma_inst + .data.cycles_per_ms + 0x2000000c 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .data.cycles_per_us + 0x20000010 0x4 src/ASF/common2/services/delay/sam0/systick_counter.o + .data.g_interrupt_enabled + 0x20000014 0x1 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + 0x20000014 g_interrupt_enabled + *fill* 0x20000015 0x3 + .data._impure_ptr + 0x20000018 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x20000018 _impure_ptr + .data.impure_data + 0x2000001c 0x60 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + 0x2000007c . = ALIGN (0x4) + 0x2000007c _erelocate = . + +.bss 0x20000080 0x220 load address 0x00003770 + 0x20000080 . = ALIGN (0x4) + 0x20000080 _sbss = . + 0x20000080 _szero = . + *(.bss .bss.*) + .bss 0x20000080 0x1c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .bss._dma_active_resource + 0x2000009c 0x14 src/ASF/sam0/drivers/dma/dma.o + .bss._write_back_section + 0x200000b0 0x50 src/ASF/sam0/drivers/dma/dma.o + .bss.g_chan_interrupt_flag + 0x20000100 0x5 src/ASF/sam0/drivers/dma/dma.o + 0x20000100 g_chan_interrupt_flag + .bss._sercom_config + 0x20000105 0x2 src/ASF/sam0/drivers/sercom/sercom.o + *fill* 0x20000107 0x1 + .bss.adc_mod 0x20000108 0x8 src/drivers/padc.o + .bss.adc_resource + 0x20000110 0x1c src/drivers/padc.o + .bss.raw_adc_values + 0x2000012c 0x20 src/drivers/padc.o + .bss.uconf.12999 + 0x2000014c 0x40 src/drivers/pusart.o + .bss._handler_table_initialized + 0x2000018c 0x1 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + *fill* 0x2000018d 0x3 + .bss._sercom_interrupt_handlers + 0x20000190 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .bss.cpu_irq_critical_section_counter + 0x200001a8 0x4 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .bss.cpu_irq_prev_interrupt_state + 0x200001ac 0x1 src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + *fill* 0x200001ad 0x3 + .bss._system_clock_inst + 0x200001b0 0x18 src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .bss.heap.5296 + 0x200001c8 0x4 src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .bss.__malloc_free_list + 0x200001cc 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x200001cc __malloc_free_list + .bss.__malloc_sbrk_start + 0x200001d0 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + 0x200001d0 __malloc_sbrk_start + *(COMMON) + *fill* 0x200001d4 0xc + COMMON 0x200001e0 0x50 src/ASF/sam0/drivers/dma/dma.o + 0x200001e0 descriptor_section + COMMON 0x20000230 0x4 src/ASF/sam0/utils/stdio/read.o + 0x20000230 ptr_get + COMMON 0x20000234 0x8 src/ASF/sam0/utils/stdio/write.o + 0x20000234 ptr_put + 0x20000238 stdio_base + *fill* 0x2000023c 0x4 + COMMON 0x20000240 0x10 src/drivers/padc.o + 0x20000240 adc_descriptor + COMMON 0x20000250 0x34 src/drivers/pusart.o + 0x20000250 umod + COMMON 0x20000284 0x18 src/ASF/sam0/drivers/sercom/sercom_interrupt.o + 0x20000284 _sercom_instances + COMMON 0x2000029c 0x4 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + 0x2000029c errno + 0x200002a0 . = ALIGN (0x4) + 0x200002a0 _ebss = . + 0x200002a0 _ezero = . + +.stack 0x200002a0 0x2000 load address 0x00003990 + 0x200002a0 . = ALIGN (0x8) + 0x200002a0 _sstack = . + 0x200022a0 . = (. + STACK_SIZE) + *fill* 0x200002a0 0x2000 + 0x200022a0 . = ALIGN (0x8) + 0x200022a0 _estack = . + 0x200022a0 . = ALIGN (0x4) + 0x200022a0 _end = . +OUTPUT(D21_ADC_with_DMA.elf elf32-littlearm) + +.ARM.attributes + 0x00000000 0x28 + .ARM.attributes + 0x00000000 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + .ARM.attributes + 0x0000001e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o + .ARM.attributes + 0x0000004a 0x2e src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + .ARM.attributes + 0x00000078 0x2e src/ASF/sam0/drivers/dma/dma.o + .ARM.attributes + 0x000000a6 0x2e src/ASF/sam0/drivers/sercom/sercom.o + .ARM.attributes + 0x000000d4 0x2e src/ASF/sam0/utils/stdio/read.o + .ARM.attributes + 0x00000102 0x2e src/ASF/sam0/utils/stdio/write.o + .ARM.attributes + 0x00000130 0x2e src/drivers/padc.o + .ARM.attributes + 0x0000015e 0x2e src/drivers/pusart.o + .ARM.attributes + 0x0000018c 0x2e src/ASF/sam0/drivers/sercom/usart/usart.o + .ARM.attributes + 0x000001ba 0x2e src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .ARM.attributes + 0x000001e8 0x2e src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .ARM.attributes + 0x00000216 0x2e src/ASF/common2/services/delay/sam0/systick_counter.o + .ARM.attributes + 0x00000244 0x2e src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .ARM.attributes + 0x00000272 0x2e src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .ARM.attributes + 0x000002a0 0x2e src/ASF/sam0/drivers/port/port.o + .ARM.attributes + 0x000002ce 0x2e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .ARM.attributes + 0x000002fc 0x2e src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .ARM.attributes + 0x0000032a 0x2e src/ASF/sam0/drivers/system/pinmux/pinmux.o + .ARM.attributes + 0x00000358 0x2e src/ASF/sam0/drivers/system/system.o + .ARM.attributes + 0x00000386 0x2e src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .ARM.attributes + 0x000003b4 0x2e src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .ARM.attributes + 0x000003e2 0x2e src/main.o + .ARM.attributes + 0x00000410 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + .ARM.attributes + 0x0000042e 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .ARM.attributes + 0x0000044c 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + .ARM.attributes + 0x0000046a 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + .ARM.attributes + 0x00000488 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .ARM.attributes + 0x000004b4 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .ARM.attributes + 0x000004e0 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .ARM.attributes + 0x0000050c 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .ARM.attributes + 0x00000538 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .ARM.attributes + 0x00000564 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .ARM.attributes + 0x00000590 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + .ARM.attributes + 0x000005ae 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + .ARM.attributes + 0x000005da 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .ARM.attributes + 0x00000606 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .ARM.attributes + 0x00000632 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .ARM.attributes + 0x0000065e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .ARM.attributes + 0x0000068a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + .ARM.attributes + 0x000006b6 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .ARM.attributes + 0x000006e2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .ARM.attributes + 0x0000070e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .ARM.attributes + 0x0000073a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .ARM.attributes + 0x00000766 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .ARM.attributes + 0x00000792 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .ARM.attributes + 0x000007be 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .ARM.attributes + 0x000007ea 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .ARM.attributes + 0x00000816 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .ARM.attributes + 0x00000842 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .ARM.attributes + 0x0000086e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .ARM.attributes + 0x0000089a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .ARM.attributes + 0x000008c6 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .ARM.attributes + 0x000008f2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .ARM.attributes + 0x0000091e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .ARM.attributes + 0x0000094a 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .ARM.attributes + 0x00000976 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .ARM.attributes + 0x000009a2 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .ARM.attributes + 0x000009ce 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .ARM.attributes + 0x000009fa 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .ARM.attributes + 0x00000a26 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .ARM.attributes + 0x00000a52 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .ARM.attributes + 0x00000a7e 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .ARM.attributes + 0x00000aaa 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + .ARM.attributes + 0x00000ad6 0x1e c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtn.o + +.comment 0x00000000 0x59 + .comment 0x00000000 0x59 src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + 0x5a (size before relaxing) + .comment 0x00000059 0x5a src/ASF/sam0/drivers/dma/dma.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/sercom.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/stdio/read.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/stdio/write.o + .comment 0x00000059 0x5a src/drivers/padc.o + .comment 0x00000059 0x5a src/drivers/pusart.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/usart/usart.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/sercom/sercom_interrupt.o + .comment 0x00000059 0x5a src/ASF/common2/services/delay/sam0/systick_counter.o + .comment 0x00000059 0x5a src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + .comment 0x00000059 0x5a src/ASF/sam0/boards/samd21_xplained_pro/board_init.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/port/port.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/pinmux/pinmux.o + .comment 0x00000059 0x5a src/ASF/sam0/drivers/system/system.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + .comment 0x00000059 0x5a src/ASF/sam0/utils/syscalls/gcc/syscalls.o + .comment 0x00000059 0x5a src/main.o + +.debug_frame 0x00000000 0x948 + .debug_frame 0x00000000 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + .debug_frame 0x00000020 0x34 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + .debug_frame 0x00000054 0x3c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + .debug_frame 0x00000090 0x38 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + .debug_frame 0x000000c8 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + .debug_frame 0x000000e8 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + .debug_frame 0x00000114 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + .debug_frame 0x0000013c 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + .debug_frame 0x00000168 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + .debug_frame 0x00000190 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + .debug_frame 0x000001b0 0x50 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + .debug_frame 0x00000200 0x44 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + .debug_frame 0x00000244 0x28 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + .debug_frame 0x0000026c 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + .debug_frame 0x0000029c 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + .debug_frame 0x000002e4 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + .debug_frame 0x00000310 0x68 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + .debug_frame 0x00000378 0x140 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + .debug_frame 0x000004b8 0x58 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + .debug_frame 0x00000510 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + .debug_frame 0x00000564 0x40 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + .debug_frame 0x000005a4 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + .debug_frame 0x000005d0 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + .debug_frame 0x000005fc 0x9c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + .debug_frame 0x00000698 0x54 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + .debug_frame 0x000006ec 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + .debug_frame 0x00000718 0x90 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + .debug_frame 0x000007a8 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + .debug_frame 0x000007d4 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + .debug_frame 0x00000800 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + .debug_frame 0x0000082c 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + .debug_frame 0x00000858 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + .debug_frame 0x00000884 0x20 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + .debug_frame 0x000008a4 0x30 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + .debug_frame 0x000008d4 0x2c c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + .debug_frame 0x00000900 0x48 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + +Cross Reference Table + +Symbol File +AC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +ADC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +DAC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +DMAC_Handler src/ASF/sam0/drivers/dma/dma.o +Dummy_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +EIC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +EVSYS_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +HardFault_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +I2S_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +NMI_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +NVMCTRL_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PM_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PTC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +PendSV_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +RTC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +Reset_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SERCOM0_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM1_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM2_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM3_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM4_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SERCOM5_Handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o +SVC_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SYSCTRL_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SysTick_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +SystemCoreClock src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +SystemCoreClockUpdate src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +SystemInit src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o +TC3_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC4_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC5_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC6_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TC7_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC0_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC1_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +TCC2_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +USB_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +WDT_Handler src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_Jv_RegisterClasses c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__aeabi_f2iz c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(fixsfsi.o) + src/main.o +__aeabi_fmul c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) + src/main.o + src/drivers/padc.o +__aeabi_fsub c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + src/main.o +__aeabi_i2f c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + src/main.o +__aeabi_idiv c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +__aeabi_idiv0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) +__aeabi_idivmod c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) +__aeabi_ldiv0 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_dvmd_tls.o) +__aeabi_llsl c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o +__aeabi_lmul c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) + src/ASF/sam0/drivers/sercom/sercom.o +__aeabi_ui2f c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + src/drivers/padc.o +__aeabi_uidiv c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/common2/services/delay/sam0/systick_counter.o +__aeabi_uidivmod c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) +__ashldi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_ashldi3.o) +__bss_end__ c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__bss_start__ c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__call_exitprocs c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +__clzsi2 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_clzsi2.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatunsisf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(floatsisf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(subsf3.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(mulsf3.o) +__deregister_frame_info c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__divsi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_divsi3.o) +__dso_handle c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__fp_lock_all c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__fp_unlock_all c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__gnu_thumb1_case_uqi c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_thumb1_case_uqi.o) + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/sercom/sercom.o +__init_array_end c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__init_array_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__libc_fini_array c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__libc_init_array c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__malloc_free_list c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__malloc_lock c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__malloc_sbrk_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) +__malloc_unlock c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-mlock.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) +__muldi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_muldi3.o) +__preinit_array_end c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__preinit_array_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +__register_frame_info c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crtbegin.o +__sclose c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__seofread c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +__sf_fake_stderr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sf_fake_stdin c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sf_fake_stdout c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) +__sflush_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +__sfmoreglue c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp_lock_acquire c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfp_lock_release c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sfputs_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sfvwrite_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sinit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +__sinit_lock_acquire c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sinit_lock_release c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__smakebuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) +__sprint_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +__sread c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__sseek c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__stack c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +__swbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) +__swbuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) +__swhatbuf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +__swrite c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +__swsetup_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) +__udivsi3 c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m\libgcc.a(_udivsi3.o) +_cleanup c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_cleanup_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_close src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) +_close_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_dma_inst src/ASF/sam0/drivers/dma/dma.o +_end src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_erelocate src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_estack src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_etext src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_exit src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +_ezero src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_fflush_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +_fini c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o +_free_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-freer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +_fstat src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) +_fstat_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_fwalk c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_fwalk_reent c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fwalk.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +_getpid src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_global_impure_ptr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) +_impure_ptr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-impure.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wsetup.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-wbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/drivers/pusart.o +_init c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/thumb/v6-m/crti.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-init.o) +_iprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_isatty src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) +_isatty_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) +_kill src/ASF/sam0/utils/syscalls/gcc/syscalls.o +_lseek src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) +_lseek_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_mainCRTStartup c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +_malloc_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-makebuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) +_malloc_usable_size_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-msizer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) +_printf_common c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) +_printf_float c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_printf_i c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_printf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_puts_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) +_read src/ASF/sam0/utils/stdio/read.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) +_read_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +_realloc_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +_reclaim_reent c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +_sbrk src/ASF/sam0/utils/syscalls/gcc/syscalls.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) +_sbrk_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-mallocr.o) +_sercom_get_async_baud_val src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_default_pad src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_interrupt_vector src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/drivers/pusart.o +_sercom_get_sercom_inst_index src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_get_sync_baud_val src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_instances src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sercom_set_handler src/ASF/sam0/drivers/sercom/sercom_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_sfixed src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_srelocate src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_start c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +_system_divas_init src/ASF/sam0/drivers/system/system.o +_system_dummy_init src/ASF/sam0/drivers/system/system.o +_system_events_init src/ASF/sam0/drivers/system/system.o +_system_extint_init src/ASF/sam0/drivers/system/system.o +_szero src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +_usart_interrupt_handler src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/sercom/usart/usart.o +_usart_read_buffer src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +_usart_write_buffer src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +_vfiprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +_vfprintf_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +_write src/ASF/sam0/utils/stdio/write.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) +_write_r c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-stdio.o) +adc_descriptor src/drivers/padc.o +adc_get_config_defaults src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + src/drivers/padc.o +adc_init src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o + src/drivers/padc.o +adc_regular_ain_channel src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +adc_set_window_mode src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +atexit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +board_init src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +cleanup_glue c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) +cpu_irq_enter_critical src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/dma/dma.o +cpu_irq_leave_critical src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o + src/ASF/sam0/drivers/dma/dma.o +delay_cycles_ms src/ASF/common2/services/delay/sam0/systick_counter.o + src/main.o +delay_cycles_us src/ASF/common2/services/delay/sam0/systick_counter.o +delay_init src/ASF/common2/services/delay/sam0/systick_counter.o + src/main.o +descriptor_section src/ASF/sam0/drivers/dma/dma.o +dma_abort_job src/ASF/sam0/drivers/dma/dma.o +dma_add_descriptor src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_allocate src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_descriptor_create src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_free src/ASF/sam0/drivers/dma/dma.o +dma_get_config_defaults src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_resume_job src/ASF/sam0/drivers/dma/dma.o +dma_start_transfer_job src/ASF/sam0/drivers/dma/dma.o + src/drivers/padc.o +dma_suspend_job src/ASF/sam0/drivers/dma/dma.o +errno c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-reent.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-readr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-lseekr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-isattyr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fstatr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-closer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-writer.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-sbrkr.o) +exception_table src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o +exit c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-exit.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +fflush c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fflush.o) +free c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) +g_chan_interrupt_flag src/ASF/sam0/drivers/dma/dma.o +g_interrupt_enabled src/ASF/common/utils/interrupt/interrupt_sam_nvic.o + src/main.o +hardware_init_hook c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +iprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) +link src/ASF/sam0/utils/syscalls/gcc/syscalls.o +main src/main.o + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +malloc c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-malloc.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) +memchr c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memchr-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf_i.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +memcpy c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memcpy-stub.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-reallocr.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) + src/ASF/sam0/drivers/dma/dma.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +memmove c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memmove.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-fvwrite.o) +memset c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-memset.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-findfp.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +padc_get_raw src/drivers/padc.o +padc_get_voltage src/drivers/padc.o + src/main.o +padc_init src/drivers/padc.o + src/main.o +padc_start src/drivers/padc.o + src/main.o +port_group_set_config src/ASF/sam0/drivers/port/port.o +port_pin_set_config src/ASF/sam0/drivers/port/port.o + src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +printf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-printf.o) + src/main.o +ptr_get src/ASF/sam0/utils/stdio/read.o + src/drivers/pusart.o +ptr_put src/ASF/sam0/utils/stdio/write.o + src/drivers/pusart.o +pusart_init src/drivers/pusart.o + src/main.o +puts c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-puts.o) + src/main.o +sercom_set_gclk_generator src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/sercom/usart/usart.o +setbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) + src/drivers/pusart.o +setvbuf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setvbuf.o) + c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-setbuf.o) +software_init_hook c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m/crt0.o +stdio_base src/ASF/sam0/utils/stdio/write.o + src/drivers/pusart.o + src/ASF/sam0/utils/stdio/read.o +system_board_init src/ASF/sam0/boards/samd21_xplained_pro/board_init.o +system_clock_init src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_dfll_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_dpll_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_clock_source_is_ready src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_osc32k_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_osc8m_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_write_calibration src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_xosc32k_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_clock_source_xosc_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_chan_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +system_gclk_chan_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/sercom/usart/usart.o +system_gclk_chan_is_enabled src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_is_locked src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_lock src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_chan_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/sercom/sercom.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +system_gclk_gen_disable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_gen_enable src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_gen_get_hz src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/common2/services/delay/sam0/systick_counter.o +system_gclk_gen_is_enabled src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o +system_gclk_gen_set_config src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_gclk_init src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o +system_init src/ASF/sam0/drivers/system/system.o + src/main.o +system_interrupt_clear_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_get_priority src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_is_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_set_pending src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_interrupt_set_priority src/ASF/sam0/drivers/system/interrupt/system_interrupt.o +system_pinmux_group_set_config src/ASF/sam0/drivers/system/pinmux/pinmux.o + src/ASF/sam0/drivers/port/port.o +system_pinmux_group_set_input_sample_mode src/ASF/sam0/drivers/system/pinmux/pinmux.o +system_pinmux_group_set_output_strength src/ASF/sam0/drivers/system/pinmux/pinmux.o +system_pinmux_pin_set_config src/ASF/sam0/drivers/system/pinmux/pinmux.o + src/ASF/sam0/drivers/port/port.o + src/ASF/sam0/drivers/sercom/usart/usart.o + src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o +umod src/main.o + src/drivers/pusart.o +usart_abort_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_get_job_status src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_init src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +usart_read_buffer_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_read_buffer_wait src/ASF/sam0/drivers/sercom/usart/usart.o +usart_read_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_read_wait src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +usart_register_callback src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_unregister_callback src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_buffer_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_buffer_wait src/ASF/sam0/drivers/sercom/usart/usart.o +usart_write_job src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o +usart_write_wait src/ASF/sam0/drivers/sercom/usart/usart.o + src/drivers/pusart.o +vfiprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) +vfprintf c:/program files (x86)/atmel/studio/7.0/toolchain/arm/arm-gnu-toolchain/bin/../lib/gcc/arm-none-eabi/6.3.1/../../../../arm-none-eabi/lib/thumb/v6-m\libc_nano.a(lib_a-nano-vfprintf.o) diff --git a/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.srec b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.srec new file mode 100644 index 0000000..1714cb1 --- /dev/null +++ b/D21_ADC_with_DMA/Release/D21_ADC_with_DMA.srec @@ -0,0 +1,889 @@ +S01800004432315F4144435F776974685F444D412E73726563F2 +S1130000A0220020811900007D1900007D19000044 +S113001000000000000000000000000000000000DC +S11300200000000000000000000000007D19000036 +S113003000000000000000007D1900007D19000090 +S11300407D1900007D1900007D1900007D19000054 +S11300507D1900007D190000790400007D1900005D +S11300607D190000AD120000BD120000CD12000089 +S1130070DD120000ED120000FD1200007D190000E9 +S11300807D1900007D1900007D1900007D19000014 +S11300907D1900007D1900007D1900007D19000004 +S11300A07D1900007D1900007D1900007D190000F4 +S11300B00000000010B5064C2378002B07D1054B37 +S11300C0002B02D0044800E000BF0123237010BDC0 +S11300D08000002000000000E8360000084B10B546 +S11300E0002B03D00749084800E000BF0748036815 +S11300F0002B00D110BD064B002BFBD09847F9E72D +S11301000000000084000020E8360000E83600000B +S11301100000000030B597B002AD040050220A4937 +S113012028000A4B9847132C0BD80023A40001A9DC +S113013060594B70CB708B7001330B70C0B2044BA1 +S1130140984717B030BDC04624350000492400004C +S113015021190000C0225201C281020000232A3268 +S1130160037043704380037103760362C361836049 +S11301700373037443748374C37403751370421D4F +S113018043758375D377C3840385C37526322C30B6 +S113019013700370704700008023F0B51400AC4A5C +S11301A001600700106A5B02034313620B7887B097 +S11301B00520DB0700D5E7E00B7817309B0700D557 +S11301C0E2E063783B71002B04D1A24A0433116C42 +S11301D00B431364237805A90B701E209E4B3D68C6 +S11301E098479E4B1E20984723002C3302931B787C +S11301F0227B0192002B33D022002B321678019AF5 +S11302009619F6B29B19DBB20393019BF01A039B78 +S1130210C0B2B3421BD8E089914B9847637D9B00E1 +S1130220DBB22B70A37D6278DB011343DBB26B700E +S11302302379302B00D1B4E018D8102B00D1ADE0D5 +S1130240202B00D1ADE0002B1AD11A00A7E00F2318 +S11302501840237B0136C018814B9847F6B2D4E787 +S113026001987F4EB047E089B047D7E7322B09D0D9 +S113027000D298E0332B00D18CE0342B00D185E000 +S1130280172081E00422102302217020090101407B +S11302900A43AA70E27D3F2AF2D8EA7039684A7E9E +S11302A052B2002AFBDB6188E27C0A43611DC87FED +S11302B0C0000243207D80000243A07C4000024332 +S11302C01A43AA80227E9446002A07D0102B00D11C +S11302D0AFE000D969E0002B00D19BE03868437E91 +S11302E05BB2002BFBDB63462B723A68537E5BB236 +S11302F0002BFBDBA38BAB833A68537E5BB2002BF2 +S1130300FBDB238C2B84029B1A78002A03D0013A4E +S1130310D2B20F2AB4D823002B3318780F28AFD8C1 +S11303203E68737E5BB2002BFBDB267BE389000512 +S11303303343A66812043343034313432B6123005E +S11303402A331B782B750F23AB75CB7F002B0CD076 +S1130350E38C44498B4293D8AB848023228D1B01C8 +S1130360D3189BB28B428BD8EA84E0223E4B002008 +S11303701968D2004B0119001A403C4B1B68DB0E74 +S11303800B43DBB213432B8507B0F0BD617C227CA9 +S113039010237AE706221023012176E708220021A0 +S11303A073E70022FBE70222F5E7202B18D0302B5D +S11303B000D093E7E37CE069002B09D0030080338D +S11303C0FF2B00D95CE7236A8033FF2B00D957E762 +S11303D0FF2800DD54E7236AFF2B00DD50E77DE7AB +S11303E0E07CE669224B00280CD080208000301885 +S11303F0984200D944E78022206A9200101898425B +S113040000D93DE79E4200DD3AE7226A9A4200DDC8 +S113041036E763E7E07CE669124B0028F2D08022DD +S11304201201B018984200D92AE78020226A0001FC +S1130430E4E7E07CE6690F4B0028E3D08022120257 +S1130440B018984200D91BE78020226A0002D5E741 +S113045000040040000800404D180000C5170000CB +S113046015010000FF0F0000246080002060800060 +S1130470FF030000FFFF000070B5204B98470F22D8 +S11304801F4B20491B8C204C13409B0058581F4D78 +S1130490037813401E4A137001781E4A0901641838 +S11304A06918137864884988DBB2611A41611C00B9 +S11304B001210C400CD0102311704374037C0B42B7 +S11304C003D04368002B00D09847134B984770BD66 +S11304D002210B4206D01170037C44740B42F4D009 +S11304E08368EFE704210B42EFD0062311704374B5 +S11304F0037C0B42E9D0C368E4E7C04679130000EB +S1130500004800419C000020E0010020B0000020D1 +S11305103F4800414E480041AD1300000023032230 +S1130520037043708270C37003717047F8B53F4B1A +S113053005000E0098473E4F3B78002B16D1202231 +S11305403C4B59690A435A611022D9690A43022172 +S1130550DA61394B1A888A431A80012237491A8092 +S1130560596337499963374919803A702F4B984733 +S1130570002401237A681A424CD1A3407A681343B9 +S11305807B603B7A013B3B7201272F4B9847002F3E +S113059047D02E4B02212C701C7001242C4B264F6B +S11305A01A788A431A701C70204B98470F222B78B4 +S11305B0FC211340254A890113702A783B69944031 +S11305C06022A3433B6133785B01134072781202CB +S11305D00A40C0211343B278090492050A40134328 +S11305E0F278002A04D007210A4001310A43134358 +S11305F03279002A01D01022134300247B64124B69 +S113060098472B78134A9B009D50AC610E4B98473A +S11306102000F8BD0134E4B25208052CABD1002708 +S1130620B3E7094B98471420F3E7C0467913000059 +S1130630000000200004004000480041E0010020C8 +S1130640B0000020020F0000AD1300003F4800413D +S1130650404800419C00002070B50500184B9847A5 +S11306606C7C184EE4B2052C02D1B047200070BD5A +S1130670AB695B88002B02D1B0471720F7E7402213 +S1130680114B1A602B78313A1340104A13702B78AF +S11306900F4AD35C072213400E4A137005232878AF +S11306A06B740D4B0001C018A96910220B4B9847BD +S11306B002230B4A11780B431370B0470020D6E78E +S11306C079130000AD13000000E100E03F48004151 +S11306D0000100204D480041E001002049240000B1 +S11306E04048004170B50124032603880A78A343D7 +S11306F02240134303804A7806253240A2400388EF +S1130700AB43134303808B7818223340B3400588EE +S113071095431D430580CA780388184D3240120260 +S11307202B40134303800A790388154D224092021B +S11307302B40134303804A790388124D22402B40F7 +S1130740D202134303808A79038822400E4C120399 +S11307502340134303800723CA791A4003885203B2 +S1130760DB04DB0C134303800B894380CB684360B9 +S11307700B6983604B69C36070BDC046FFFCFFFF1B +S1130780FFFBFFFFFFF7FFFFFFEFFFFF0200437CCC +S11307900520834204D09369002B03D11800916192 +S11307A07047DB68D8680028FBD1D960F8E70000FF +S11307B0F0B5002489B0009201933F23002600275E +S11307C02500069007910393039A134B01200021FF +S11307D09847B6197F41069B079A03400A4004963E +S11307E00597134303D0012333431E00059F019B48 +S11307F0BB4209D802D1009BB34205D8009A019BA1 +S1130800B61A9F4104430D43039B5A1E0392DBD245 +S11308102000290009B0F0BD751C000010B549087E +S1130820040000234020A14201D210BD0300581C43 +S1130830091B80B28C42F9D94020FF2BF5D81380D4 +S11308400020F2E7F0B585B01E000AAB0D00197860 +S113085003004B4303924027AB4217D8002E18D114 +S11308600200330008001B4C3100A0472A0001009D +S11308703300194C3000A04700220123121A8B4187 +S1130880140C180404430027039B1C80380005B093 +S1130890F0BD0024012EF6D10A00230021000D4EE4 +S11308A0B047009001910B000200210028000A4C7F +S11308B0A047802306009B019842E7D8009A019B39 +S11308C0690FE800A047F400041BE4B26403344356 +S11308D0D9E7C0468D1C0000B107000037B50D4CA8 +S11308E005002378002B01D000290CD001A9132086 +S11308F0094B0D7098471320084B9847012300209B +S1130900657023703EBD63780800AB42FAD01D20A9 +S1130910F8E7C046050100204D180000C517000087 +S1130920394A030000B50800934233D007D8374A48 +S1130930934214D0364A93421FD0002317E0354A1D +S113094093423AD0344A934244D0344A9342F4D145 +S1130950002303290BD801F0F7F8502A2C2E00238A +S1130960032904D801F0F0F8430205072C4B1800C2 +S113097000BD2C4BFBE72C4BF9E700230329F6D8E9 +S113098001F0E2F837020406284BF0E7284BEEE7C3 +S1130990284BECE700230329E9D801F0D5F82C0211 +S11309A00406254BE3E7254BE1E7254BDFE7254B21 +S11309B0DDE7254BDBE7254BD9E700230329D6D810 +S11309C001F0C2F81D020406214BD0E7214BCEE70B +S11309D0214BCCE700230329C9D801F0B5F8120252 +S11309E004061E4BC3E71E4BC1E71E4BBFE71E4B5D +S11309F0BDE70323BBE71D4BB9E71D4BB7E71D4B11 +S1130A00B5E71D4BB3E7C0460010004200080042A2 +S1130A10000C004200180042001C00420014004276 +S1130A2003000500030006000300070003000100A3 +S1130A3003001E0003001F000300090003000A0056 +S1130A4003000B0003001100030012000300130055 +S1130A5003000D0003000E0003000F000300170045 +S1130A600300180003001900030004000300080039 +S1130A700300100003000C000300160070B586B0DC +S1130A806946094B6A4670CB70C170CB70C10023B4 +S1130A9099005158814202D1D8B206B070BD0133D9 +S1130AA0062BF5D10020F8E77435000070B50E0070 +S1130AB014001500002808D0012040420CE0074B28 +S1130AC0013D1868064B1B689847611B7118002D7F +S1130AD0F5DCE043C017204070BDC046380200205A +S1130AE030020020013870B50E0002280DD80C0029 +S1130AF08D18A01BAC420AD0054B21781868054B11 +S1130B0001341B6898470028F3DA0120404270BD85 +S1130B10380200203402002070B594B008AC2000E4 +S1130B20284B9847042180222173C0210023264D9D +S1130B30520062804901FF3AA36023716270E1812F +S1130B402275A3742200214B2149280098472B6861 +S1130B505A7E52B2002AFBDB0F229A751A76197854 +S1130B600D3A0A431A702A68537E5BB2002BFBDBF2 +S1130B706846184B98476A4627230024164E694650 +S1130B8053703000154B94709847012302A90B70E1 +S1130B904B71CB700F330B812B684C701A33CB60C5 +S1130BA00F4B8C708C71CC710C710E4C20330B611B +S1130BB020000D4B4C619847210030000B4B9847A7 +S1130BC014B070BD55010000080100209901000017 +S1130BD0004000421D050000100100202D0500000A +S1130BE02C01002040020020E50600008D070000D3 +S1130BF010B5094B1B685A7E52B2002AFBDB022255 +S1130C00197B0A431A735A7E52B2002AFBDB03484B +S1130C10034B984710BDC046080100201001002076 +S1130C20590600000023180004495A5A0233801858 +S1130C30202BFAD1000980B27047C0462C01002055 +S1130C4010B5044B9847044B98470449044B984704 +S1130C5010BDC046250C00003923000009F90C3AE8 +S1130C60E11C000073B56B469C1D002306000D00BB +S1130C70238021003000034B98470028F9D12388B2 +S1130C802B7073BD7310000070B504000D00A9B281 +S1130C902000024B98470028F9D170BD5110000084 +S1130CA0F8B580232D4ADB051360FF2301250024BA +S1130CB01381531DDD77931DDD77D31DDC7713007E +S1130CC00833DC7713002C331C7013002D331C7095 +S1130CD013239376224B234E1363234B54605363A5 +S1130CE001235B429363D36380235B03D360E123DB +S1130CF05B0213621D4B9472D47294625461148229 +S1130D00D476147614775476547719491E60194BA7 +S1130D10300019601849194B19601949194B984743 +S1130D20194F21003B689868184B98473B6821008D +S1130D305868164B98473468154B200098471F2372 +S1130D4018408540134B1D603268D369002BFCD1D9 +S1130D502268023313432360F8BDC0464C010020CF +S1130D600200160050020020020017003802002082 +S1130D70890C000034020020650C000030020020C1 +S1130D8000140042990D0000180000207525000091 +S1130D908112000000E100E0F0B5070093B09E4B23 +S1130DA0396008001400049198470122049B06004E +S1130DB01B680520134200D00AE1049B17301D680C +S1130DC002231D4000D003E1F3181B3898400300B0 +S1130DD0924A1436116AF6B20B43136223002D3380 +S1130DE002931B780CA90B7030008D4B9847300090 +S1130DF08C4B9847029B290018788B4B9847E37AD1 +S1130E0008267B71631DDB7FBB71A31DDB7FFB7138 +S1130E10E37E3B72237F7B723B68039318007E4B17 +S1130E2098470EAB1D80238A143002938023029AC4 +S1130E30DB019A4214D008D8802310269B019A42E1 +S1130E4000D1C8E0102600250AE0C023029ADB0185 +S1130E509A4200D1BEE0802303261B029A42F1D1BC +S1130E6023680693E368079363690893237E0993D1 +S1130E70E31DDB7F0A93636805932300059A083317 +S1130E80002A00D1A9E080220599520591420ED191 +S1130E90DB7F002B0BD1654BC0B2256A98470EAAA5 +S1130EA00100634B28009847002800D090E0637E3F +S1130EB0002B02D0A37E039A93730EAE3388039A59 +S1130EC09381079A069B1343089A1343059A134385 +S1130ED0029A1343099A120213430A9A52071343BC +S1130EE022000832D27F002A01D104321343627EE9 +S1130EF0217F920249020A43617FE07A09020A4390 +S1130F00611DC97F49040A43A11DC97F09040A431D +S1130F10A17A01430A432189FF2900D173E080208B +S1130F20400403430A4321002C310978002903D1EA +S1130F3040498978890701D580210B433868C16904 +S1130F400029FCD103994A603968CA69002AFCD196 +S1130F5003990DAD0B6080232B70236B6A700E9385 +S1130F60636BEA707360A36BAA70B360E36B1400E5 +S1130F70F360A300F058E1B2002802D104982E4B8C +S1130F809847431C05D02870000CC0B229002B4B95 +S1130F9098470134042CECD13B0000243033FC8509 +S1130FA0FC603C617C61BC61FC613C62BC627C6253 +S1130FB0BC859C701C705C70DC703868164B98475C +S1130FC005001F4B1F49984720001F4BAD00EF50F1 +S1130FD013B0F0BD0826012542E7DB7F002B07D0C4 +S1130FE02B000096A16A206A0EAA184DA8475BE759 +S1130FF0236AC0B20B930D4B9847009601002B0057 +S11310000EAA0B98F1E7E17E002900D18BE780213D +S1131010C9040B4387E7C0467D0A00000004004072 +S11310204D180000C5170000DD0800006918000015 +S11310301D080000002000412109000021190000C2 +S113104049120000DD100000840200204508000061 +S1131050C2791C23002A0AD0C28D173B002A06D16C +S1131060036802321985197E1142FCD0002318004E +S1131070704710B582791C23002A11D0828D173B4A +S1131080002A0DD102680420147E044208D0538B38 +S1131090DBB29C061DD00224234203D01A235483BE +S11310A0180010BD034202D050831E23F8E701202C +S11310B0034202D050831323F2E71020034202D0EC +S11310C050834223ECE72020034202D05083412383 +S11310D0E6E7138D0B800023E2E70000F8B5584BD8 +S11310E08000C4582568EB69002BFCD12B7EAF7DB2 +S11310F01F40230030331A785E78012316401F42C4 +S113110019D0E28D002A00D172E0A26A511C137832 +S1131110A1626179DBB2012964D09BB2DB05DB0DEE +S11311202B85E38D013B9BB2E385002B03D1013377 +S11311302B750133AB7502231F4209D02B75230095 +S1131140002233331A70F30702D52000E36898476E +S113115004231F4227D0A28D002A00D16DE06B8B9F +S1131160DBB21A0746D43F22134045D002221A218B +S113117013420FD104221E2113420BD10122132149 +S1131180134207D110224221134203D120221342D9 +S113119004D041212300323319706A83730702D5C6 +S11311A020006369984710231F4206D02B752B76C5 +S11311B01E4202D02000E369984720231F4206D034 +S11311C02B752B76330702D52000A3699847082393 +S11311D01F4206D02B752B76B30602D5236A200056 +S11311E09847F8BD5178023209020B43A26295E791 +S11311F02B75A0E73722B7E72B8D616ADB05DB0D82 +S1131200DAB20A70626A511C61626179012904D1FF +S11312101B0A5370636A01336362A38D013B9BB263 +S1131220A385002BBFD104222A7522003232137009 +S1131230B307B8D520002369B4E72B75B3E7C046DC +S11312408402002070470000F0B5094C094A237855 +S1131250002B09D11E00084F084DD750EE5004331F +S1131260182BFAD1173B237080001150F0BDC046F3 +S11312708C0100209001002045120000840200200F +S113128007B509236A461370013353700133937011 +S11312900133D3700133137101335371024B9847F7 +S11312A06B4618560EBDC0467D0A000010B5024BB1 +S11312B000201B68984710BD9001002010B5024B18 +S11312C001205B68984710BD9001002010B5024BC7 +S11312D002209B68984710BD9001002010B5024B76 +S11312E00320DB68984710BD9001002010B5024B25 +S11312F004201B69984710BD9001002010B5024BD3 +S113130005205B69984710BD9001002070B5094B1A +S113131000209847FA21084C89000500A047074B94 +S1131320074918602800A0470522064B1860064BA1 +S11313301A6070BD3D1700005D1B00000C0000200A +S113134040420F001000002010E000E030B580247F +S11313500021074B64021A68064B013800D230BDE5 +S1131360002AFAD05A6099601D682542FCD0F4E73F +S11313700C00002010E000E0094B196800290BD193 +S1131380EFF31080074A002805D172B6BFF35F8FD0 +S113139005490870012111701A6801321A607047FA +S11313A0A8010020AC01002014000020084B1A689A +S11313B0013A1A601B68002B09D1064B1B78002BDD +S11313C005D00122044B1A70BFF35F8F62B67047D9 +S11313D0A8010020AC01002014000020F7B501256D +S11313E0002701AC084E21003E206570A7702570CF +S11313F0B0478022054BD2051A6021000F202770C8 +S11314006570B047F7BDC046111400009844004110 +S113141007B5802201AB1A700A785A704A789A701C +S11314208A781900DA70014B984707BD211900002A +S113143010230249CA681A42FCD0704700080040D1 +S113144070B5082806D800F07FFB08050530301277 +S11314500B1528000024200070BD164B1C69FAE708 +S1131460154B164C1B6A9B059B0FDC40F3E7114B95 +S11314705C69F0E70F4D00242B689B07EBD5104BFC +S1131480984724222B681340042B11D120000D4BC4 +S11314909847AC68A4B24443DDE70B4B00241B78A7 +S11314A05B07D8D5034BDC68D5E780242402D2E758 +S11314B0064CD0E7B00100200008004000127A007A +S11314C0311400006918000050080040006CDC0270 +S11314D070B5847802784578012040260540204084 +S11314E080240849AD010B6AC001B3432B43A343D5 +S11314F003430320024004481202034013430B62D7 +S113150070BDC04600080040FFFCFFFFF8B5082886 +S113151006D800F019FB1405050C0E1A0720340038 +S11315201720F8BD0223194A116A0B4313620020E5 +S1131530F7E70223154A91690B439361F7E7022306 +S1131540124A118A0B431382F1E702230F4A918A4C +S11315500B439382EBE7022200240D4E0B4D3368BC +S11315600C4F13433360AA84B847B368EB627368C3 +S1131570AB62AC84B84733689BB2AB84D7E7022331 +S1131580054A11780B431370D1E7C04600080040A8 +S1131590B001002031140000440800407FB5C2228D +S11315A01E21194BD2009A60184A0024536801ADD9 +S11315B08B43536001232B70E0B22900144B013498 +S11315C09847252CF8D100246B4601265C709E7048 +S11315D01C7068460F4B98470F4B062098470F4BDB +S11315E098470F4B29001C725C729C72DC720623B4 +S11315F020002B700B4B6E606C702C726C729847D1 +S11316002000094B98477FBD00080040004000417E +S11316104D180000D11400000D150000391600000B +S11316200004004059160000FD160000014B5878D4 +S1131630C0097047000C00400823054A91690B4318 +S113164093610123034A137011781942FCD1704746 +S113165000040040000C0040F8B50C784B782402DC +S1131660060005000443002B02D08023DB021C4348 +S11316704B7A002B02D080231B031C434B68012BA5 +S113168012D95D1E1D400222002D06D01D028023AA +S113169035439B0207E0013552009A42FBD3802375 +S11316A02D0235435B031C430B7A002B02D08023AD +S11316B09B031C430C4FB8470028FBD10B4B9847A6 +S11316C00B4B1E70B8470028FCD10A4B9D601D00CF +S11316D0B8470028FCD180226B68520213401C4397 +S11316E06C60054B9847F8BD2D1600007913000077 +S11316F0080C0040000C0040AD13000070B505005C +S1131700094CA0470028FBD1084B9847084B1D7093 +S1131710A0470028FCD18023064A5B0251680B4392 +S11317205360054B984770BD2D16000079130000D7 +S1131730040C0040000C0040AD130000F7B5070096 +S1131740184EB0470028FBD1174B9847174B1F7012 +S11317500193B0470028FCD1154D164B6868C004AE +S1131760C00E98470400019B1F706B68DB02DB0FFF +S11317700193114B1F70B0470028FCD1AD680F4B8B +S11317809847019B2D022D0C002B08D1012D04D963 +S1131790200029000A4B984704002000FEBD0135B3 +S11317A0EC40FAE72D16000079130000040C004009 +S11317B0000C004041140000080C0040AD13000070 +S11317C05D1B000010B50400064B9847064B074A02 +S11317D01C7080235188DB010B435380044B9847D2 +S11317E010BDC04679130000020C0040000C0040FC +S11317F0AD13000010B504000E4B98470E4B0F4A72 +S11318001C700F4B0F4C58885988000511405980A3 +S11318105988000F2140598011008022D2015C8830 +S11318201442FCD15A8800020A4002435A80064BF3 +S1131830984710BD79130000020C0040FFF0FFFF31 +S1131840000C0040FFBFFFFFAD13000010B50C7883 +S1131850034B240204439847024BA4B25C8010BD9E +S1131860F5170000000C004010B50400064B984723 +S1131870064B1C70064B5C88064B2405240F9847C6 +S11318802000054B984710BD79130000020C00405E +S1131890000C0040AD1300003D170000D37830B5B4 +S11318A0002B35D11478802C03D0802324065B02CE +S11318B0234302255478AC4306D19478002C24D1D8 +S11318C08024A402234341605478013C012C01D8B4 +S11318D0124C2340A0248DB2E4052C431C438462A3 +S11318E0D0240D0C24062C431C438462D478002C91 +S11318F00AD15B0303D59378012B0CD18161537812 +S1131900013B012B00D8816030BDC024E402D9E73B +S113191041600023DEE74161F1E7C046FFFFFBFFC2 +S113192010B503000A004409C1090020814202D114 +S11319300449E00140181F210B401E399940024B15 +S1131940984710BD004400419D18000070470000F6 +S113195010B5054B9847054B9847054B9847054BE1 +S11319609847054B984710BD9D150000DD130000F6 +S11319704D1900004D1900004D190000FEE700004C +S11319802148224970B5884204D00023204CCA184B +S1131990A24232D300211F4B1F4A934231D3FF216D +S11319A01E4B1F4A8B43936002220C2508243026C9 +S11319B01C4B1D485A62C378FC398B431343C370D4 +S11319C0C378AB432343C370184B987BB0430600E2 +S11319D0202030439873987BA84304439C73987BDE +S11319E0884302439A738023114A51680B4353601E +S11319F0104B9847104B9847FEE7C55804331560C1 +S1131A00C5E702C3C9E7C046E8360000000000206D +S1131A107C00002080000020A002002000000000C4 +S1131A2000ED00E0FC70004100500041004800411E +S1131A300040004101240000751A0000054B02001B +S1131A401968002901D104491960186882181A60BC +S1131A507047C046C8010020A02200200120404257 +S1131A60704780239B0100204B6070470120704722 +S1131A7000207047F8B51F4B98471F4B9847012229 +S1131A801E4B1A70BFF35F8F62B61D4B98471D4BF8 +S1131A9098471D4B98471B211C4C1D48A0471B21F0 +S1131AA01C48A0471C481D4B98471B251C4B9847B6 +S1131AB01C4B071C98471C4B060098471B4B011CEA +S1131AC0381C98471A491B4B9847164B98473100C6 +S1131AD002001948A047FA20184B400098472900F3 +S1131AE01748A04729000B48A04729001548A047DC +S1131AF0DCE7C046511900000D130000140000205B +S1131B00A10C0000190B0000F10B00006D24000073 +S1131B108C350000923500009735000061250000E7 +S1131B20410C00005922000099220000211F0000EE +S1131B3000007A44E11C0000D33500004D1300007E +S1131B40E4350000EA35000002B471464908490052 +S1131B50095C49008E4402BC7047C0460022430819 +S1131B608B4274D303098B425FD3030A8B4244D361 +S1131B70030B8B4228D3030C8B420DD3FF220902A3 +S1131B8012BA030C8B4202D31212090265D0030B62 +S1131B908B4219D300E0090AC30B8B4201D3CB0358 +S1131BA0C01A5241830B8B4201D38B03C01A52419A +S1131BB0430B8B4201D34B03C01A5241030B8B429C +S1131BC001D30B03C01A5241C30A8B4201D3CB0287 +S1131BD0C01A5241830A8B4201D38B02C01A52416C +S1131BE0430A8B4201D34B02C01A5241030A8B426F +S1131BF001D30B02C01A5241CDD2C3098B4201D387 +S1131C00CB01C01A524183098B4201D38B01C01A04 +S1131C10524143098B4201D34B01C01A524103097B +S1131C208B4201D30B01C01A5241C3088B4201D32A +S1131C30CB00C01A524183088B4201D38B00C01AD7 +S1131C40524143088B4201D34B00C01A5241411AFE +S1131C5000D20146524110467047FFE701B500200B +S1131C6000F006F802BDC0460029F7D076E77047B9 +S1131C707047C0469140031C90409C46203A9340D4 +S1131C80194352426346D34019437047F0B5CE46D8 +S1131C90474615042D0C2E0080B50704140C3F0C88 +S1131CA09946030C7E435D43674363437F19340CB9 +S1131CB0E4199C46A54203D980235B029846C44498 +S1131CC04B46514343433604360C250C24046544E7 +S1131CD0A4195918491920000CBC90469946F0BD26 +S1131CE0F8B557464E464546DE46E0B5470246003F +S1131CF088467F0A360EC40F002E47D0FF2E24D00C +S1131D00FB008027FF041F43002399469A467F3E29 +S1131D1043465D025800DB0F6D0A000E984643D01F +S1131D20FF283BD0EB0080250022ED041D437F38C3 +S1131D303618731C41469B464B46614013430F2B98 +S1131D4064D875489B00C3589F46002F42D108238E +S1131D509946063BFF269A46DAE74146022A28D0EE +S1131D60032A00D1CEE0012A00D0ACE011400020CB +S1131D700022CCB24002D205400AE40710432043BB +S1131D803CBC90469946A246AB46F8BD002F15D1FF +S1131D9004239946033B00269A46B9E7FF20022212 +S1131DA0002DC5D00322C3E7002D19D10020012244 +S1131DB0BEE70124FF220C400020DBE7380000F0DE +S1131DC001FB7626431F9F4000237642361A99462C +S1131DD09A469DE70C239946093BFF269A4697E7C6 +S1131DE0280000F0EFFA431F9D4076235B42181A47 +S1131DF000229DE780200024C003FF22BAE73D00B3 +S1131E005246ABE73D0021005246A7E73B0C9C46F7 +S1131E102A04120C2B0C1400604665463F043F0C48 +S1131E207C4342435D437B43270C9B18FF18BA4213 +S1131E3003D980235B029C46654424043A04240CA1 +S1131E40121993015C1EA3413F0C920E7D191A4393 +S1131E50AD0115432B0104D501235E466A081D40DC +S1131E60154332007F32002A25DD6B0704D00F238F +S1131E702B40042B00D004352B0103D53200274B13 +S1131E8080321D40FE2A94DC0124A801400AD2B20B +S1131E900C406FE78020C003074207D0054205D1FC +S1131EA028434002400A4446FF2263E73843400285 +S1131EB0400AFF225EE70124A31A1B2B05DD0C4018 +S1131EC00022002056E75E46CBE72A002020DA40B5 +S1131ED0C31A9D402B005D1EAB4113435A0704D027 +S1131EE00F221A40042A00D004335A0104D50124D5 +S1131EF001220C4000203DE701249B01580A0C40BC +S1131F00002237E780200124C00328434002400A0E +S1131F100C40FF222EE7C046F0350000FFFFFFF71C +S1131F20F0B54F46D64646464400C0B5C20F4702F8 +S1131F304802400A844666467B0A4800C90F9A460E +S1131F40240E1500DB00000E8946F600FF2800D1A0 +S1131F508FE001214F464F403900914266D0221A4A +S1131F60002A00DC9DE000283DD1002E00D18BE04A +S1131F70511E002900D0B5E001249B1B5A0144D511 +S1131F809B019F09380000F01DFA05388740844200 +S1131F9000DD96E0041B3A0020200134E240041BDB +S1131FA0A7403B005F1EBB41002413435A0704D0E3 +S1131FB00F221A40042A00D004335A0127D50134D1 +S1131FC0FF2C00D179E001229B015B0AE4B22A4094 +S1131FD05B02E405580AD207204310431CBC904618 +S1131FE09946A246F0BDFF2CE0D08021C9040E43DF +S1131FF01B2A7BDC31002020D140821A9640721EBD +S113200096410E439B1B5A01BAD45A07D0D10122E0 +S1132010DF082A40FF2C33D1002F00D1A8E0802311 +S1132020DB033B435B025B0AFF24D1E7211A00294F +S11320304CDD00282AD0FF2CB8D08020C0040643F1 +S11320401B2900DDAFE030002027C840791A8E40FC +S1132050711E8E4106439B195901D6D50134FF2CBC +S113206000D185E001227A491A405B080B401343F2 +S11320709CE7002E00D070E76BE73B1EC5D1002221 +S11320807B025B0AE4B2A3E714008FE7002E4DD075 +S1132090481E002857D19B1901245A01B5D50224A2 +S11320A0E0E7002A25D1621CD2B2012A72DD9F1B0F +S11320B07A0135D5F71A0D0064E7012200232A407E +S11320C086E73B00634A241A13406FE700296CD16A +S11320D0611CC8B201284EDDFF2949D09B195B0859 +S11320E00C0063E7FF2A41D00A0081E701269B1B0D +S11320F089E7002C1CD0FF2821D08024E40452421C +S113210023431B2A00DD96E01C002025D440AA1A94 +S113211093405A1E93412343F31A04000D002DE704 +S1132120002F00D02EE700220024A9E70C003DE791 +S1132130002B58D0D243002AEED0FF28E1D133003F +S1132140FF240D0032E7FF2910D0010078E7002BAF +S11321506ED0FF24002E00D128E780225146D203FE +S1132160114203D06146114200D13300FF241DE720 +S1132170FF2400232CE7002CE9D1002B63D0002E90 +S113218000D113E79B195A0100D43EE7314A0C00F1 +S113219013400BE7002C1ED1002B2FD1002E4FD063 +S11321A033000D0002E7012655E7002C1FD1002B58 +S11321B043D0C94300290BD0FF2839D01B2944DC64 +S11321C01C002027CC40791A8B40591E8B41234395 +S11321D09B19040040E7002B1AD1002E24D180273C +S11321E00022FF031BE7330004000D00DEE6FF2896 +S11321F01ED08024E40449422343DFE7002E00D1AB +S1132200D4E69F1B7A0100D437E7F31A0D00CDE61C +S1132210FF24002E00D1C9E680225046D20310428A +S1132220A4D060461042A1D133000D00FF24BDE6C6 +S11322303300FF24BAE601236EE733000400B5E659 +S1132240002700221CE73300B0E60123C0E7C046A4 +S1132250FFFFFF7DFFFFFFFB41024300C20F490A5E +S11322601B0E00207E2B0DDD9D2B0CDC802000043A +S11322700143952B0ADC9620C31AD9404842002A10 +S113228000D108007047034BD018FBE7963B9940F8 +S1132290F4E7C046FFFFFF7F70B5002830D0C317B6 +S11322A0C4185C40C50F200000F08CF89E231B1A54 +S11322B0962B0DDC9622D21A94402A006402640AFA +S11322C0DBB26402DB05600AD2071843104370BD19 +S11322D0992B19DC9922D21A002A29DD9440220074 +S11322E0144C1440510704D00F210A40042A00D092 +S11322F00434620113D4A401640ADBB22A00E0E7C7 +S1132300002200230024DCE705222100121AD14018 +S1132310B922D21A9440621E94410C43DAE7054B69 +S11323202A001C409F23A4011B1A640ADBB2C8E7DD +S11323302200D5E7FFFFFFFB10B5041E27D000F0F5 +S113234041F89E231B1A962B0ADC9622D21A94403B +S11323506402640ADBB26402DB05600A184310BD40 +S1132360992B17DC9922D21A002A27DD94402200E7 +S1132370134C1440510704D00F210A40042A00D002 +S11323800434620112D4A401640ADBB2E3E700233B +S11323900024E0E7B9222100D21A91400A00511E1C +S11323A08A410521091ACC401443DBE7044B1C4045 +S11323B09F23A4011B1A640ADBB2CCE72200D7E7EF +S11323C0FFFFFFFB1C2101231B04984201D3000CD7 +S11323D010391B0A984201D3000A08391B09984294 +S11323E001D30009043902A2105C40187047C046AA +S11323F004030202010101010000000000000000CA +S113240070B500260C4D0D4C641BA410A64209D1D6 +S1132410002601F059F90A4D0A4C641BA410A64287 +S113242005D170BDB300EB5898470136EEE7B30011 +S1132430EB5898470136F2E7D4360000D436000052 +S1132440D4360000D8360000002310B59A4200D1DB +S113245010BDCC5CC4540133F8E7030082189342E6 +S113246000D1704719700133F9E700000FB40B4B2A +S113247013B51C68002C05D0A369002B02D12000E1 +S113248000F0F2FA05AB049AA1682000019300F071 +S1132490C7FC16BC08BC04B01847C046180000208E +S11324A070B505000E00002804D08369002B01D10B +S11324B000F0DAFAAB69AC68002B02D1280000F016 +S11324C0D3FA244B9C420FD16C68A3891B0702D515 +S11324D02369002B20D12100280000F057F900289F +S11324E01AD00120404270BD1B4B9C4201D1AC6804 +S11324F0EBE71A4B9C42E8D1EC68E6E7013B013676 +S1132500A360002B04DAA269934216DB0A2914D0D3 +S113251023685A1C226019703178A3680029EDD110 +S1132520013BA360002B0FDA22000A31280000F0DF +S1132530D7F8431CD5D00A20D5E72200280000F0A4 +S1132540CFF8431CE8D1CCE70A2023685A1C226048 +S11325501870C8E7543600007436000034360000A2 +S113256010B5034B01001868FFF79AFF10BDC04671 +S1132570180000204A424A41802310B55200DB0073 +S113258000F002F810BD0000F0B51D004F4B85B0FF +S11325901E6804000F000092002E05D0B369002BC2 +S11325A002D1300000F060FA494B9C4250D174686B +S11325B0009B022B05D0012B00D984E0002D00DA0A +S11325C081E02100300000F0E1F9616B002908D0BE +S11325D023004433994202D0300000F051FB002321 +S11325E063630023A3616360A3891B0603D5216988 +S11325F0300000F045FBA389364A1340A381009BB9 +S1132600022B5AD003AB02AA2100300000F0C2FA18 +S1132610A3891843A081002D24D1029D280000F035 +S113262025FB0195071E42D1029B0193AB4239D190 +S1132630012040420223A2891343A3810023A36003 +S113264023004733236023610123636105B0F0BD98 +S1132650214B9C4201D1B468AAE7204B9C42A7D1EC +S1132660F468A5E7002FD9D0B369002B02D130005C +S113267000F0FAF9009B012B03D1A389009A1A43B5 +S1132680A2810820A389276027616561184018D0BA +S1132690012018400023984211D06D42A360A56127 +S11326A01800D3E7019800F0E1FA071EC0D0802398 +S11326B0A289019D1343A381D6E70020BAE7A56050 +S11326C0C4E7A060C2E701204042BFE71800002031 +S11326D0543600005CF3FFFF74360000343600000B +S11326E0F8B505000E001400002804D08369002BFF +S11326F001D100F0B9F9224B9C422DD16C68A36939 +S1132700A360A3891B0731D52369002B2ED023682E +S11327102269F7B2981A6369F6B2984205DB210080 +S1132720280000F033F9002826D1A3680130013BCA +S1132730A36023685A1C22601F706369984204D006 +S1132740A389DB071AD50A2E18D12100280000F02E +S11327501DF9002812D00FE00A4B9C4201D1AC684D +S1132760CDE7094B9C42CAD1EC68C8E72100280098 +S113277000F00CF80028CAD0012676423000F8BDDB +S1132780543600007436000034360000364B70B501 +S11327901D6806000C00002D05D0AB69002B02D18A +S11327A0280000F061F9314B9C420FD16C680C2376 +S11327B0E25E93B219072DD4D90611D4092301205E +S11327C0336037331343A381404270BD284B9C428E +S11327D001D1AC68EBE7274B9C42E8D1EC68E6E713 +S11327E05B0713D5616B002908D023004433994259 +S11327F002D0300000F044FA002363632422A3894A +S11328009343A38100236360236923600823A2897F +S11328101343A3812369002B0BD1A0218022A38918 +S1132820890092000B40934203D02100300000F055 +S1132830D9F90123A289134011D00023A36063694D +S11328405B42A361002023698342BED10C23E25E74 +S11328501306BAD540231343A3810138B5E7920781 +S113286000D46369A360EDE718000020543600002B +S11328707436000034360000F7B58A8905000C0070 +S1132880130760D44B68002B04DC0B6C002B01DCB9 +S11328900020FEBDE76A002FFAD000232E682B60CB +S11328A080235B011A4034D0606DA3895B0706D591 +S11328B06368C01A636B002B01D0236CC01A02003A +S11328C0216A00232800E76AB847A189431C06D17E +S11328D02B681D2B31D82C4ADA40D3072DD5002381 +S11328E0636023692360CB0405D5431C02D12B68A4 +S11328F0002B00D16065616B2E600029C8D02300D5 +S11329004433994202D0280000F0BAF900206063F1 +S1132910BFE70123216A2800B847431CC5D12B68AF +S1132920002BC2D01D2B01D0162B01D12E60AFE796 +S11329304023A2891343A381ABE740230B43012027 +S1132940A3814042A5E70F69002FA1D00B680F6057 +S1132950DB1B01930023920700D14B69A360019B09 +S1132960002B00DC94E7019B3A00216A2800A66A48 +S1132970B047002803DC4023A2891343DFE7019B0F +S11329803F181B1A0193EAE7010040200B6970B558 +S113299005000C00002B01D1002070BD002804D0DC +S11329A08369002B01D100F05FF80B4B9C4209D1E5 +S11329B06C680C22A35E002BEED021002800FFF7E8 +S11329C05BFFEAE7054B9C4201D1AC68F1E7044B9D +S11329D09C42EED1EC68ECE75436000074360000FB +S11329E03436000010B5024900F0B2F810BDC046FC +S11329F08D290000002310B5040003604360836048 +S1132A0081814366C28103614361836119000822A5 +S1132A105C30FFF722FD054B24626362044BA36222 +S1132A20044BE362044B236310BDC0468D330000A6 +S1132A30B5330000ED3300001934000070B568258B +S1132A404A1E55430E002900743100F063F9041E38 +S1132A5008D000212A00016046600C30A060683272 +S1132A60FFF7FBFC200070BD836913B50400002B45 +S1132A7028D18364C3640365134B144A1B688262C0 +S1132A800193984201D101238361200000F020F8D2 +S1132A906060200000F01CF8A060200000F018F82E +S1132AA00022E06004216068FFF7A4FF01220921ED +S1132AB0A068FFF79FFF02221221E068FFF79AFF48 +S1132AC00123A36113BDC04630360000E529000090 +S1132AD0F8B51E4B07001E68B369002B02D1300005 +S1132AE0FFF7C2FF4836B4687368013B04D5336806 +S1132AF0002B07D03668F6E70C22A55E002D0DD01A +S1132B006834F2E704213800FFF798FF30600028AA +S1132B10F0D10C2304003B602000F8BD0123200009 +S1132B205B42E3810233A38165662560A56065602D +S1132B3025616561A561082229005C30FFF78DFCE1 +S1132B406563A563A564E564E6E7C0463036000026 +S1132B50F7B504000700002601914834002C01D188 +S1132B603000FEBD6368A5680093009B013B0093A1 +S1132B7001D52468F2E7AB89012B08D90E22AB5E9C +S1132B80013304D029003800019B98470643683577 +S1132B90EBE7000070B50E001D000E23C95E90B077 +S1132BA01400002907DA00232B60B3891B0611D413 +S1132BB08023DB000FE001AA00F05AFC0028F2DBBE +S1132BC0F022029B12021340054A9B185A425341B9 +S1132BD02B60EDE740230020236010B070BDC04699 +S1132BE000E0FFFFF7B502268B8905000C00334295 +S1132BF006D0230047332360236101236361F7BDBB +S1132C0001AB6A46FFF7C6FF00990700280000F0F1 +S1132C1081F8002808D10C22A35E9A05EFD4032280 +S1132C2093431E43A681E4E70F4BAB628023A28942 +S1132C3020601343A381009B20616361019B002BEF +S1132C400DD00E23E15E280000F024FC002806D0FD +S1132C500322A38993431A0001231343A381A08968 +S1132C603843A081CBE7C046E529000010B5034BEB +S1132C700100186800F04EF810BDC046180000208E +S1132C8070B50500002910D00C1F2368002B00DA52 +S1132C90E418280000F02FFC1D4A1368002B05D10E +S1132CA063601460280000F027FC70BDA34209D9BA +S1132CB0216860188342F3D118685B684118216069 +S1132CC0EEE713005A68002A01D0A242F9D9196824 +S1132CD05818A0420BD1206809185818196082426C +S1132CE0E0D110685268411819605A60DAE7A042CE +S1132CF002D90C232B60D5E721686018824203D1E6 +S1132D00106852684118216062605C60CAE7C0467E +S1132D10CC010020032370B5CD1C9D43083506006B +S1132D200C2D1ED20C25A9421DD8300000F0E3FB67 +S1132D30254A14682100002919D1244C2368002B4A +S1132D4003D1300000F010FB20602900300000F0B7 +S1132D500BFB431C2CD10C233000336000F0CCFB64 +S1132D6003E0002DDFDA0C233360002070BD0B6814 +S1132D705B1B1AD40B2B03D90B60CC18256003E022 +S1132D808C420ED163681360300000F0B5FB200064 +S1132D9007220B30231D9043C31AE7D05A42E25056 +S1132DA0E4E74B6863600C00EEE70C004968C2E797 +S1132DB00323C41C9C43A042E0D0211A300000F03D +S1132DC0D3FA431CDAD1C6E7CC010020D00100209D +S1132DD0936810B5013B9360002B05DA9469A34214 +S1132DE008DBCBB20A2B05D01368581C106019708D +S1132DF0C8B210BDFFF774FCFBE7F8B506000F007E +S1132E001400D518AC4201D1002007E021783A0023 +S1132E103000FFF7DDFF0134431CF3D1F8BD00009F +S1132E20F0B59FB006000F0014000593002804D0ED +S1132E308369002B01D1FFF717FE7F4B9F425CD1C2 +S1132E407768BB891B0762D53B69002B5FD00023E1 +S1132E5006AD6B6120336B761033AB760294029C23 +S1132E602378002B5DD1029BE31A04930DD0049BBD +S1132E70029A39003000FFF7C0FF431C00D1CCE0B8 +S1132E806A69049B944663446B612378002B00D1E8 +S1132E90C3E00122002352426A6002A9543252184C +S1132EA001342B60EB60AB601370AB6521780522B5 +S1132EB0624800F015FB631C9C46002835D1296844 +S1132EC0CB0604D5532302AA20309B1818700B0795 +S1132ED004D553232B2002AA9B18187023782A2B7D +S1132EE02CD000200A21099B2278303A092A00D8E4 +S1132EF06BE000282AD0099328E0514B9F4201D16E +S1132F00B7689EE74F4B9F429BD1F76899E739001A +S1132F103000FFF73BFC002899D0012040421FB04D +S1132F20F0BD252B9FD001349AE7444B2968C01A81 +S1132F30012383400B432B606446B7E7059B181DB0 +S1132F401B680590002B3ADB0993644623782E2BEB +S1132F500BD16378621C2A2B3FD1059B02341A1DC6 +S1132F601B680592002B35DB079321780322364832 +S1132F7000F0B6FA002807D0334B2A68C01A402361 +S1132F80834013432B6001342178631C06222F48AD +S1132F900293297600F0A4FA002844D02C4B002B8D +S1132FA02FD12968059B0722C90528D59B1893436F +S1132FB0083305936B69039A9B186B614FE75B4277 +S1132FC0EB6002230B432B60BFE74B4301349B1898 +S1132FD0012089E701235B42C6E7002314000A208D +S1132FE01A006B6021783039092903D9002BBCD031 +S1132FF00792BAE74243013452180123F2E7073338 +S1133000D5E705AB00933A00124B2900300000E0ED +S113301000BF0390039B0133CCD1BB895B0600D571 +S11330207BE70B987BE705AB00933A00094B29003B +S1133030300000F07FF8ECE75436000094360000CE +S113304074360000343600009A3600009E360000C4 +S113305000000000FB2D0000F7B5150001938A68FD +S11330600B6900900C00934200DA130022002B60DD +S113307043321278002A01D001332B6023689B0667 +S113308002D52B6802332B60062723681F4027D004 +S1133090230043331B785A1E93412268920630D48E +S11330A02200019943320098089EB047431C25D062 +S11330B0062320682A68E16803400025042B03D115 +S11330C08D1AEB43DB171D40A3682269934201DD8F +S11330D09B1AED180027BD4220D1002010E00137D3 +S11330E0E3682A689B1A9F42D2DA2200012319322C +S11330F001990098089EB047431CF0D1012040423A +S1133100FEBD3020E1184331087021005A1C4531BE +S11331100978A218433202331170C1E72200012357 +S11331201A3201990098089EB047431CE6D0013733 +S1133130D1E70000F0B58BB006920A004332079342 +S1133140059004920A7E0C00109B6E2A00D18FE039 +S113315017D8632A2CD008D8002A00D199E0582A1D +S113316054D026004236327029E0642A01D0692AFC +S1133170F7D121681A68080623D5111D196015684E +S113318025E0732A00D188E008D86F2A29D0702A54 +S1133190E7D1202209680A43226003E0752A20D07F +S11331A0782ADED122007821453211706C4A30E051 +S11331B00E001A684236111D19601368337001231A +S11331C079E04906D9D5111D19600023D55E002D7B +S11331D003DA2D23049A6D421370624B0A2703937A +S11331E02FE020681968050603D5081D18600D68CE +S11331F005E04006F9D50D68081D1860ADB2594BBD +S1133200082703936F2A18D00A2716E045310A705D +S1133210544A03921A682168101D1860156808063C +S113322022D5CB0702D52023194321601027002D76 +S113323003D1202322689A432260230000224333CF +S11332401A706368A360002B5CDB0422216891433D +S11332502160002D58D1049E002B64D02600039BCE +S113326042361B7833705EE04806DAD5ADB2D8E753 +S113327009681A68080605D5111D19601368626982 +S11332801A6006E04906F7D5111D19601368A28A71 +S11332901A800023049E236154E01A68111D1960EA +S11332A0166800216268300000F01AF9002801D085 +S11332B0801B6060636823610023049A137041E0FB +S11332C02369320006990598079DA847431C43D0FB +S11332D0236800259B070FD4099BE06898423DDAD8 +S11332E018003BE022000123193206990598079E35 +S11332F0B047431C30D00135E368099A9B1A9D42BC +S1133300F0DBE9E7002DA9D0049E28003900FEF780 +S1133310ABFC039B013E5B5C280033703900FEF775 +S11333201DFC051EF1D1082F09D12368DB0706D542 +S113333063682269934202DC3023013E3370049BAC +S11333409B1B2361079B09AA00932100069B0598F8 +S1133350FFF782FE431CB3D1012040420BB0F0BD05 +S1133360B6360000A5360000002370B5064C0500F3 +S113337008002360FEF762FB431C03D12368002B83 +S113338000D02B6070BDC0469C02002070B50C00BC +S11333900E25495F00F0B2F8002803DB636D1B18AB +S11333A0636570BDA389024A1340A381F9E7C0464F +S11333B0FFEFFFFFF8B51F008B8905000C00160016 +S11333C0DB0505D50E23C95E0022022300F074F844 +S11333D0A389054A28001340A38132000E23E15E2D +S11333E03B0000F01FF8F8BDFFEFFFFF70B50C00C5 +S11333F00E25495F00F060F8A389421C03D1054AF9 +S11334001340A38170BD802252011343A3816065E0 +S1133410F8E7C046FFEFFFFF10B50E23C95E00F0CA +S113342015F810BD70B50500080011000022064C07 +S113343022601A00FDF756FB431C03D12368002BBE +S113344000D02B6070BDC0469C020020002370B5E4 +S1133450064C050008002360FEF700FB431C03D163 +S11334602368002B00D02B6070BDC0469C02002056 +S1133470002370B5064C0500080011002360FEF718 +S1133480F0FA431C03D12368002B00D02B6070BDDD +S11334909C020020002370B5064C05000800236040 +S11334A0FEF7E4FA431C03D12368002B00D02B6001 +S11334B070BDC0469C02002070B5050008001100D4 +S11334C00022064C22601A00FEF7D2FA431C03D1F4 +S11334D02368002B00D02B6070BDC0469C020020E6 +S11334E0C9B28218904201D10020704703788B4200 +S11334F0FBD00130F6E770477047000070B5050057 +S1133500080011000022064C22601A00FDF7CEFAD2 +S1133510431C03D12368002B00D02B6070BDC04630 +S11335209C020020020000000300000028000000AC +S1133530290000000400000005000000060000004F +S1133540070000002000000021000000220000000D +S113355023000000240000002500000026000000D5 +S11335602700000008000000090000000A00000015 +S11335700B00000000080042000C00420010004252 +S11335800014004200180042001C004225635B3214 +S11335904A0025635B7500202D2D1B5B33313B31C5 +S11335A06D2050656E6775696E27731B5B303B3BFE +S11335B034306D2053414D44323120414443204442 +S11335C0656D6F207573696E6720444D41202D2D04 +S11335D0200D00566F6C746167653A2025642E25B2 +S11335E03033640025635B324B0025635B3142005A +S11335F00C1E00005C1D00005C1D00005A1D000034 +S1133600FE1D0000FE1D0000F41D00005A1D0000F8 +S1133610FE1D0000F41D0000FE1D00005A1D0000E8 +S1133620041E0000041E0000041E0000941E00007E +S11336301C0000200000000000000000000000004A +S11336400000000000000000000000000000000076 +S11336500000000000000000000000000000000066 +S11336600000000000000000000000000000000056 +S11336700000000000000000000000000000000046 +S11336800000000000000000000000000000000036 +S113369000000000232D302B2000686C4C00656670 +S11336A0674546470030313233343536373839418F +S11336B042434445460030313233343536373839A5 +S11336C06162636465660000F8B5C046F8BC08BC76 +S11336D09E467047DD000000F8B5C046F8BC08BC43 +S10B36E09E467047B50000008E +S11336E8000000000000000005000000401F00006A +S11336F808000000010000001C0000200000000079 +S1133708543600007436000034360000000000000F +S1133718000000000000000000000000000000009D +S1133728000000000000000000000000000000008D +S1133738000000000000000000000000000000007D +S1133748000000000000000000000000000000006D +S10F375800000000000000000000000061 +S903198162 diff --git a/D21_ADC_with_DMA/Release/Makefile b/D21_ADC_with_DMA/Release/Makefile new file mode 100644 index 0000000..dfae8e3 --- /dev/null +++ b/D21_ADC_with_DMA/Release/Makefile @@ -0,0 +1,459 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL := cmd.exe +RM := rm -rf + +USER_OBJS := + +LIBS := +PROJ := + +O_SRCS := +C_SRCS := +S_SRCS := +S_UPPER_SRCS := +OBJ_SRCS := +ASM_SRCS := +PREPROCESSING_SRCS := +OBJS := +OBJS_AS_ARGS := +C_DEPS := +C_DEPS_AS_ARGS := +EXECUTABLES := +OUTPUT_FILE_PATH := +OUTPUT_FILE_PATH_AS_ARGS := +AVR_APP_PATH :=$$$AVR_APP_PATH$$$ +QUOTE := " +ADDITIONAL_DEPENDENCIES:= +OUTPUT_FILE_DEP:= +LIB_DEP:= +LINKER_SCRIPT_DEP:= + +# Every subdirectory with source files must be described here +SUBDIRS := \ +../src/ \ +../src/ASF/ \ +../src/ASF/common2/ \ +../src/ASF/common2/services/ \ +../src/ASF/common2/services/delay/ \ +../src/ASF/common2/services/delay/sam0/ \ +../src/ASF/common/ \ +../src/ASF/common/boards/ \ +../src/ASF/common/services/ \ +../src/ASF/common/services/serial/ \ +../src/ASF/common/services/serial/sam0_usart/ \ +../src/ASF/common/utils/ \ +../src/ASF/common/utils/interrupt/ \ +../src/ASF/sam0/ \ +../src/ASF/sam0/boards/ \ +../src/ASF/sam0/boards/samd21_xplained_pro/ \ +../src/ASF/sam0/drivers/ \ +../src/ASF/sam0/drivers/adc/ \ +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/ \ +../src/ASF/sam0/drivers/dma/ \ +../src/ASF/sam0/drivers/dma/quick_start/ \ +../src/ASF/sam0/drivers/port/ \ +../src/ASF/sam0/drivers/port/quick_start/ \ +../src/ASF/sam0/drivers/sercom/ \ +../src/ASF/sam0/drivers/sercom/usart/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_callback/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_dma/ \ +../src/ASF/sam0/drivers/sercom/usart/quick_start_lin/ \ +../src/ASF/sam0/drivers/system/ \ +../src/ASF/sam0/drivers/system/clock/ \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/ \ +../src/ASF/sam0/drivers/system/interrupt/ \ +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/ \ +../src/ASF/sam0/drivers/system/pinmux/ \ +../src/ASF/sam0/drivers/system/pinmux/quick_start/ \ +../src/ASF/sam0/drivers/system/power/ \ +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/ \ +../src/ASF/sam0/drivers/system/reset/ \ +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/ \ +../src/ASF/sam0/utils/ \ +../src/ASF/sam0/utils/cmsis/ \ +../src/ASF/sam0/utils/cmsis/samd21/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/component/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ \ +../src/ASF/sam0/utils/cmsis/samd21/include/pio/ \ +../src/ASF/sam0/utils/cmsis/samd21/source/ \ +../src/ASF/sam0/utils/cmsis/samd21/source/gcc/ \ +../src/ASF/sam0/utils/header_files/ \ +../src/ASF/sam0/utils/linker_scripts/ \ +../src/ASF/sam0/utils/linker_scripts/samd21/ \ +../src/ASF/sam0/utils/linker_scripts/samd21/gcc/ \ +../src/ASF/sam0/utils/make/ \ +../src/ASF/sam0/utils/preprocessor/ \ +../src/ASF/sam0/utils/stdio/ \ +../src/ASF/sam0/utils/stdio/stdio_serial/ \ +../src/ASF/sam0/utils/syscalls/ \ +../src/ASF/sam0/utils/syscalls/gcc/ \ +../src/ASF/thirdparty/ \ +../src/ASF/thirdparty/CMSIS/ \ +../src/ASF/thirdparty/CMSIS/Include/ \ +../src/ASF/thirdparty/CMSIS/Lib/ \ +../src/ASF/thirdparty/CMSIS/Lib/GCC/ \ +../src/config/ \ +../src/drivers + + +# Add inputs and outputs from these tool invocations to the build variables +C_SRCS += \ +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c \ +../src/ASF/sam0/drivers/dma/dma.c \ +../src/ASF/sam0/drivers/sercom/sercom.c \ +../src/ASF/sam0/utils/stdio/read.c \ +../src/ASF/sam0/utils/stdio/write.c \ +../src/drivers/padc.c \ +../src/drivers/pusart.c \ +../src/ASF/sam0/drivers/sercom/usart/usart.c \ +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c \ +../src/ASF/sam0/drivers/sercom/sercom_interrupt.c \ +../src/ASF/common2/services/delay/sam0/systick_counter.c \ +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c \ +../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c \ +../src/ASF/sam0/drivers/port/port.c \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c \ +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c \ +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c \ +../src/ASF/sam0/drivers/system/pinmux/pinmux.c \ +../src/ASF/sam0/drivers/system/system.c \ +../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c \ +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c \ +../src/ASF/sam0/utils/syscalls/gcc/syscalls.c \ +../src/main.c + + +PREPROCESSING_SRCS += + + +ASM_SRCS += + + +OBJS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o \ +src/ASF/sam0/drivers/dma/dma.o \ +src/ASF/sam0/drivers/sercom/sercom.o \ +src/ASF/sam0/utils/stdio/read.o \ +src/ASF/sam0/utils/stdio/write.o \ +src/drivers/padc.o \ +src/drivers/pusart.o \ +src/ASF/sam0/drivers/sercom/usart/usart.o \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.o \ +src/ASF/common2/services/delay/sam0/systick_counter.o \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o \ +src/ASF/sam0/drivers/port/port.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o \ +src/ASF/sam0/drivers/system/pinmux/pinmux.o \ +src/ASF/sam0/drivers/system/system.o \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.o \ +src/main.o + +OBJS_AS_ARGS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o \ +src/ASF/sam0/drivers/dma/dma.o \ +src/ASF/sam0/drivers/sercom/sercom.o \ +src/ASF/sam0/utils/stdio/read.o \ +src/ASF/sam0/utils/stdio/write.o \ +src/drivers/padc.o \ +src/drivers/pusart.o \ +src/ASF/sam0/drivers/sercom/usart/usart.o \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.o \ +src/ASF/common2/services/delay/sam0/systick_counter.o \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o \ +src/ASF/sam0/drivers/port/port.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o \ +src/ASF/sam0/drivers/system/pinmux/pinmux.o \ +src/ASF/sam0/drivers/system/system.o \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.o \ +src/main.o + +C_DEPS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d \ +src/ASF/sam0/drivers/dma/dma.d \ +src/ASF/sam0/drivers/sercom/sercom.d \ +src/ASF/sam0/utils/stdio/read.d \ +src/ASF/sam0/utils/stdio/write.d \ +src/drivers/padc.d \ +src/drivers/pusart.d \ +src/ASF/sam0/drivers/sercom/usart/usart.d \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ +src/ASF/common2/services/delay/sam0/systick_counter.d \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ +src/ASF/sam0/drivers/port/port.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ +src/ASF/sam0/drivers/system/system.d \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.d \ +src/main.d + +C_DEPS_AS_ARGS += \ +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.d \ +src/ASF/sam0/drivers/dma/dma.d \ +src/ASF/sam0/drivers/sercom/sercom.d \ +src/ASF/sam0/utils/stdio/read.d \ +src/ASF/sam0/utils/stdio/write.d \ +src/drivers/padc.d \ +src/drivers/pusart.d \ +src/ASF/sam0/drivers/sercom/usart/usart.d \ +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.d \ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ +src/ASF/common2/services/delay/sam0/systick_counter.d \ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ +src/ASF/sam0/drivers/port/port.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.d \ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ +src/ASF/sam0/drivers/system/system.d \ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d \ +src/ASF/sam0/utils/syscalls/gcc/syscalls.d \ +src/main.d + +OUTPUT_FILE_PATH +=D21_ADC_with_DMA.elf + +OUTPUT_FILE_PATH_AS_ARGS +=D21_ADC_with_DMA.elf + +ADDITIONAL_DEPENDENCIES:= + +OUTPUT_FILE_DEP:= ./makedep.mk + +LIB_DEP+= + +LINKER_SCRIPT_DEP+= \ +../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + + +# AVR32/GNU C Compiler +src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.o: ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/dma/dma.o: ../src/ASF/sam0/drivers/dma/dma.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/sercom.o: ../src/ASF/sam0/drivers/sercom/sercom.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/stdio/read.o: ../src/ASF/sam0/utils/stdio/read.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/stdio/write.o: ../src/ASF/sam0/utils/stdio/write.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/drivers/padc.o: ../src/drivers/padc.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/drivers/pusart.o: ../src/drivers/pusart.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/usart/usart.o: ../src/ASF/sam0/drivers/sercom/usart/usart.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/usart/usart_interrupt.o: ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/sercom/sercom_interrupt.o: ../src/ASF/sam0/drivers/sercom/sercom_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/common2/services/delay/sam0/systick_counter.o: ../src/ASF/common2/services/delay/sam0/systick_counter.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/common/utils/interrupt/interrupt_sam_nvic.o: ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/boards/samd21_xplained_pro/board_init.o: ../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/port/port.o: ../src/ASF/sam0/drivers/port/port.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.o: ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o: ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/interrupt/system_interrupt.o: ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/pinmux/pinmux.o: ../src/ASF/sam0/drivers/system/pinmux/pinmux.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/drivers/system/system.o: ../src/ASF/sam0/drivers/system/system.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o: ../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o: ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/ASF/sam0/utils/syscalls/gcc/syscalls.o: ../src/ASF/sam0/utils/syscalls/gcc/syscalls.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + +src/main.o: ../src/main.c + @echo Building file: $< + @echo Invoking: ARM/GNU C Compiler : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -x c -mthumb -D__SAMD21J18A__ -DNDEBUG -DBOARD=SAMD21_XPLAINED_PRO -D__SAMD21J18A__ -DARM_MATH_CM0PLUS=true -DSYSTICK_MODE -DADC_CALLBACK_MODE=false -DUSART_CALLBACK_MODE=true -I"../src/ASF/common/boards" -I"../src/ASF/sam0/utils" -I"../src/ASF/sam0/utils/header_files" -I"../src/ASF/sam0/utils/preprocessor" -I"../src/ASF/thirdparty/CMSIS/Include" -I"../src/ASF/thirdparty/CMSIS/Lib/GCC" -I"../src/ASF/common/utils" -I"../src/ASF/sam0/utils/cmsis/samd21/include" -I"../src/ASF/sam0/utils/cmsis/samd21/source" -I"../src/ASF/sam0/drivers/port" -I"../src/ASF/sam0/drivers/system/pinmux" -I"../src/ASF/sam0/drivers/system" -I"../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1" -I"../src/ASF/sam0/drivers/system/clock" -I"../src/ASF/sam0/drivers/system/interrupt" -I"../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21" -I"../src/ASF/sam0/drivers/system/power" -I"../src/ASF/sam0/drivers/system/power/power_sam_d_r_h" -I"../src/ASF/sam0/drivers/system/reset" -I"../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h" -I"../src/ASF/sam0/boards/samd21_xplained_pro" -I"../src/ASF/sam0/boards" -I"../src" -I"../src/config" -I"../src/ASF/sam0/utils/stdio/stdio_serial" -I"../src/ASF/common/services/serial" -I"../src/ASF/common2/services/delay" -I"../src/ASF/common2/services/delay/sam0" -I"../src/ASF/sam0/drivers/adc" -I"../src/ASF/sam0/drivers/adc/adc_sam_d_r_h" -I"../src/ASF/sam0/drivers/dma" -I"../src/ASF/sam0/drivers/sercom" -I"../src/ASF/sam0/drivers/sercom/usart" -I"../src/drivers" -Os -fdata-sections -ffunction-sections -ffast-math -mlong-calls -Wall -mcpu=cortex-m0plus -c -pipe -fno-strict-aliasing -Wall -Wstrict-prototypes -Wmissing-prototypes -Werror-implicit-function-declaration -Wpointer-arith -std=gnu99 -ffunction-sections -fdata-sections -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int -Wmain -Wparentheses -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef -Wshadow -Wbad-function-cast -Wwrite-strings -Wsign-compare -Waggregate-return -Wmissing-declarations -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long -Wunreachable-code -Wcast-align --param max-inline-insns-single=500 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + + + + +# AVR32/GNU Preprocessing Assembler + + + +# AVR32/GNU Assembler + + + + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +endif + +# Add inputs and outputs from these tool invocations to the build variables + +# All Target +all: $(OUTPUT_FILE_PATH) $(ADDITIONAL_DEPENDENCIES) + +$(OUTPUT_FILE_PATH): $(OBJS) $(USER_OBJS) $(OUTPUT_FILE_DEP) $(LIB_DEP) $(LINKER_SCRIPT_DEP) + @echo Building target: $@ + @echo Invoking: ARM/GNU Linker : 6.3.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-gcc.exe$(QUOTE) -o$(OUTPUT_FILE_PATH_AS_ARGS) $(OBJS_AS_ARGS) $(USER_OBJS) $(LIBS) -mthumb -Wl,-Map="D21_ADC_with_DMA.map" --specs=nano.specs -Wl,--start-group -larm_cortexM0l_math -lm -Wl,--end-group -L"../src/ASF/thirdparty/CMSIS/Lib/GCC" -Wl,--gc-sections -mcpu=cortex-m0plus -Wl,--entry=Reset_Handler -Wl,--cref -mthumb -T../src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld + @echo Finished building target: $@ + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O binary "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.bin" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O ihex -R .eeprom -R .fuse -R .lock -R .signature "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.hex" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -j .eeprom --set-section-flags=.eeprom=alloc,load --change-section-lma .eeprom=0 --no-change-warnings -O binary "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.eep" || exit 0 + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objdump.exe" -h -S "D21_ADC_with_DMA.elf" > "D21_ADC_with_DMA.lss" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-objcopy.exe" -O srec -R .eeprom -R .fuse -R .lock -R .signature "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.srec" + "C:\Program Files (x86)\Atmel\Studio\7.0\toolchain\arm\arm-gnu-toolchain\bin\arm-none-eabi-size.exe" "D21_ADC_with_DMA.elf" + + + + + + + +# Other Targets +clean: + -$(RM) $(OBJS_AS_ARGS) $(EXECUTABLES) + -$(RM) $(C_DEPS_AS_ARGS) + rm -rf "D21_ADC_with_DMA.elf" "D21_ADC_with_DMA.a" "D21_ADC_with_DMA.hex" "D21_ADC_with_DMA.bin" "D21_ADC_with_DMA.lss" "D21_ADC_with_DMA.eep" "D21_ADC_with_DMA.map" "D21_ADC_with_DMA.srec" + \ No newline at end of file diff --git a/D21_ADC_with_DMA/Release/makedep.mk b/D21_ADC_with_DMA/Release/makedep.mk new file mode 100644 index 0000000..7b7c3e4 --- /dev/null +++ b/D21_ADC_with_DMA/Release/makedep.mk @@ -0,0 +1,50 @@ +################################################################################ +# Automatically-generated file. Do not edit or delete the file +################################################################################ + +src\ASF\sam0\drivers\adc\adc_sam_d_r_h\adc.c + +src\ASF\sam0\drivers\dma\dma.c + +src\ASF\sam0\drivers\sercom\sercom.c + +src\ASF\sam0\utils\stdio\read.c + +src\ASF\sam0\utils\stdio\write.c + +src\drivers\padc.c + +src\drivers\pusart.c + +src\ASF\sam0\drivers\sercom\usart\usart.c + +src\ASF\sam0\drivers\sercom\usart\usart_interrupt.c + +src\ASF\sam0\drivers\sercom\sercom_interrupt.c + +src\ASF\common2\services\delay\sam0\systick_counter.c + +src\ASF\common\utils\interrupt\interrupt_sam_nvic.c + +src\ASF\sam0\boards\samd21_xplained_pro\board_init.c + +src\ASF\sam0\drivers\port\port.c + +src\ASF\sam0\drivers\system\clock\clock_samd21_r21_da_ha1\clock.c + +src\ASF\sam0\drivers\system\clock\clock_samd21_r21_da_ha1\gclk.c + +src\ASF\sam0\drivers\system\interrupt\system_interrupt.c + +src\ASF\sam0\drivers\system\pinmux\pinmux.c + +src\ASF\sam0\drivers\system\system.c + +src\ASF\sam0\utils\cmsis\samd21\source\gcc\startup_samd21.c + +src\ASF\sam0\utils\cmsis\samd21\source\system_samd21.c + +src\ASF\sam0\utils\syscalls\gcc\syscalls.c + +src\main.c + diff --git a/D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d b/D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d new file mode 100644 index 0000000..c53da4c --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.d @@ -0,0 +1,341 @@ +src/ASF/common/utils/interrupt/interrupt_sam_nvic.d \ + src/ASF/common/utils/interrupt/interrupt_sam_nvic.o: \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.c \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o b/D21_ADC_with_DMA/Release/src/ASF/common/utils/interrupt/interrupt_sam_nvic.o new file mode 100644 index 0000000000000000000000000000000000000000..1f9a1d29b82303013cbd5deb2136f271d47aef75 GIT binary patch literal 1740 zcma)6OHb5L6h3!e%m^wFqc9j#bP|W)T+4uh)UN_&UkN|)~3 zu+YTc!PdV3OMikZWB37jPU&qs6(c7(Ij`@2J?B39{_*w`#uzAJP=_P}*e-;X;!0@h zFbS8T(wgnS!uavelgSTb&!JvC_7A_E7@vyI${w4a@~R!ytnPSQij!=)h1vD$Ρ zE61hQ+&(N8kJ!yZtLo8O>u?m$U~6-8U8`@%p6F`U(Cs?w+CBaLg0{++`I6Sum)7*v zrmod}(G_MO7PQwJFWYxT&k~L!oW^Ry^3A^8(R{Jz2JWD*HCG<2EU&$RjRy8(3e$3d z-9opxIq;>}Z?yGAC{2vDD{Q)Ojm@xGR%JI%$EOJrN$*bqe*I1=0Qr&Kqd1o?W1P># z1-LqrDZnho^*A@$K^{sOd@01&Vtgs~$jL)FtS8AbT#o``W>`;49xCuKO3<7PW9lc7 zO5&oIhYOi_JQGjAE6flV-JxkHz-|=lVO)ZbXh{e&MSN~w3f~`k(g@6+(cg1zZbMB% z%>mmR8m_-<*uE=W+w2;FuqB?ZVGoA1Dh7CX?na78no`6?LC}~ZPUA(|6G{4QYQ5;2 zR#!OTx#V!fJJ@UP5&+GRn*yNyr#zh-<-6uBOcN`8OcbG10_}|G7mYs5AE|Y>J;XKeq?H9<~J>UmG{2^j&KxMSzo@Tjmf+ zXRU7P>Axjeu3pT1gi|jjiz5z_+o*Jl|A(Wq%6>P^sa_hL9o_Ors3bZP&!D}CN*Gf5 z-V4+;Uk79Qh7!fs#zdO$X^M~bK=Jj_PtWl=s-X!*@f~24#i_j@pz;qRU+oL=sahI$ z6y;U#UofUOtAy5&en&+vPvuY0;VM9@xXG$Bq;d@t9x6TyxPnS^Orp}BsNT?p16=f# UoJbTOJ)<;k2ZJ99gjV7H0Fr~`BLDyZ literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.d b/D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.d new file mode 100644 index 0000000..328aef8 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.d @@ -0,0 +1,353 @@ +src/ASF/common2/services/delay/sam0/systick_counter.d \ + src/ASF/common2/services/delay/sam0/systick_counter.o: \ + ../src/ASF/common2/services/delay/sam0/systick_counter.c \ + ../src/ASF/common2/services/delay/delay.h \ + ../src/ASF/common2/services/delay/sam0/systick_counter.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h + +../src/ASF/common2/services/delay/delay.h: + +../src/ASF/common2/services/delay/sam0/systick_counter.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.o b/D21_ADC_with_DMA/Release/src/ASF/common2/services/delay/sam0/systick_counter.o new file mode 100644 index 0000000000000000000000000000000000000000..4ba36477779e8ec27685db44e889f999e4318951 GIT binary patch literal 2136 zcmb7FOK%fb6h7lul9DtbR80tvPD&64n(2^)kY?o&rBP)fRoze}pz(O-Djvml+?ngB z>89$?RUm=?dxe$#F38A>$~5*bLQOf&uh27 zHVlK57*wQQL{!PgmHa|%igb#`sr7WIOy>Rd!|B0WzfqnZtq+t3zL~5P;pIOslqV~N z@>HeuOmVU;-5ia>vDft4e)`J{O%Ig)%v7yVHkRn6{fw?09&A<~RL<2d-h6lP*INdE zD7N$&(I78eUtL``iz~7r>Snba)cs}iy1jJST(st`Yv!DN?S{QLXPd=P)P);~%jVX~ zcN<@dMpgK}@MjlitD)QUYGx?*f+*N+nsWC(Ik7gvz_~fvhj?WPl%S-P7v`tbuIE_Mf(luR$*n>u{Gu4 z=18)9SGr_XqlhfrM~jn|cF=UPceZ0HI3=@L?`>)y>d4NK1msx4k9mYcQSQ)Yl-5V-A)}P`;t>!}0q|?^{An z3EwRip6MZI3Cd!lV8Zg;GlrG-TZ8I+5;3n;qWD&j(D}Yaf!8x1_dxME@O8dNh>zmi zLSEKWit9l`JH TB%=6uosO&EA^uh%ScUr+1N9bK literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d new file mode 100644 index 0000000..9542e11 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d @@ -0,0 +1,352 @@ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ + src/ASF/sam0/boards/samd21_xplained_pro/board_init.o: \ + ../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o new file mode 100644 index 0000000000000000000000000000000000000000..2ae49c6015033aad6c903e5ea8e6c7c8d3f3af22 GIT binary patch literal 1364 zcmah|&1(};5TDmgnntVEBB&MQrM4tR^W3Issy&Dy+6uNk^rj-~emu=WvRQXuq&?}M z;IZDkiQvJDM?w4({7dxIqt0yhC7U2*U@~97`Pes;w-5Jr7-OJ`K?Oz@z@Cvr^7TYi z;2g}u={L3tW%gz6pal203_pdd;n&@F%lXH@@=GsDaDkUYiJsH%KXxeXEp5jKb_3OXs~0JGRNXgk!t8uuzsMGIm)R9|l`XOB zIhrR+*>BCkpTF6dG4>Jw2Fzr`Xb&DoI}OOed2J*z2NzM^8v4fD8!(&1hhelYr3N7x z+F3H70Gp|U=4NP9{Sg&LDryGIP1r>^L=WZCJ{NIbFN0V>{Ry&;FJl~>o*j9XANWeR zu%cka12^nje)QUMBVYNh-LYcnDqM->_WFU6(GU>gvM?20Q9o2xtZXGAK8}^_TBEuU z;=-)Z53r8H8o^8dRq|#t^l>6S?kd|ssiJ{wYYn%oKzO#YK{#;?0&ho<6LThe5I{^F zd<^y9>4n?tc4dHl@jQjCROCB-CF9I9PF1g^Z>+aF_-~EU^p%xToW8P29PNpyj7*!J zhBFaEIoZD1n)*8FUW>^0k%>-lAgX@`nJ~m;KT4(U+fHLB64LbovDdXW_4lbx za}rU#r-?TBAsq1sWULhqyZ}E@5!yhh>ygxNN#WnfCOXfeTtKGzNYc0J*-!4i*wspeUfwHZtmM-4gjgEm)B)o z&hiKNgM8?cGP##e@rGi|8SCv?wHt}hpvTUE-y{_F5($3tbm6A)}IeRQNMT=?!N56 zzPP@7R;jP7boat)IKPA2TMNx2dmOy%3N>WjoUIXxweX!~He}ZLa;V)h!L}!N146hSrh9v0rJ5IM}#3S4(@c0WNczXYk;og6@ z^$6;_Q%lVs_}iRwa6>ZzwVb&*Do^amgJ+=_-sVXTME_K_&Q713tXR@X z&y*wAmVqw5zztl>H+6kAFCj$kOjG9<^Up?8$O}dfi%qcyJvDtvV4pQWl5{mP7p65QE4Fa6c2<{_6~qzX?%2^LjNYcGqZDl~MIGN+ z;DkY_L$0F5CVc37vmhWZ@&JpA0QjW=s`zCCY(jP-*C4Mzu0+SrnmySudS0Nr6?Rdr0SW!{qabnW>D)f;3F32X}Ihv?d#KS6hzHAdl9NNp* zud2$X`uI?*h=*nfRoTs`Yxmi2piQ*{$SsKPnX=t&+qIA{+k$P^!N1G4i5RpXKG)G^ zMD_p243uPp5(#_5u-Wi3L+? z*uW=@+3AF#CYKU)eB42eT*S4&sJ1Vu?n}p%q}^mVsw5I>%=T*u&0st23&-{cDOkNx zxr<6nO(>z5T6)tC7ryxys5)+LkXcY zk|h~s8h*tFcq59TfH#y%ffr|kmz>^T!MYUuH83jC`yr_mrnL_OXL*JVlQ%iK)SCA< zub2+7l=Z-S_J&8}aW#P_#=+-R3?r$9(uSHsjD9DzV;;SAHZ>}9rc?kSw~4+n%A@&C zbblVjh&CeA#Q3c|ALiV@?;!dZv7t-f9Q`K@mIJG?xEu9{ktv6m_S=bEUC%%}fXs-U zV?R2o^ZtQ0?ZJrUoj^x*-W{|BmV}b^cO3QV{<^Ul>WBIx$@)8odUZV~8Z<8$v3j4N zqq<%n7GdY5$;#^e6LqS`O58!fccIOQ)%zA5)W_0&V1UIam+3z|Adl)ZVtPF)q|^No z^%O WmKK+Zsf2rV-V1nmi3Ksn)L#H1`Em{b literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.d new file mode 100644 index 0000000..2b6b8cc --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.d @@ -0,0 +1,365 @@ +src/ASF/sam0/drivers/dma/dma.d src/ASF/sam0/drivers/dma/dma.o: \ + ../src/ASF/sam0/drivers/dma/dma.c \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\string.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\xlocale.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\string.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/ASF/sam0/utils/compiler.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/config/conf_dma.h ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\string.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\xlocale.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\string.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/ASF/sam0/utils/compiler.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/dma/dma.o new file mode 100644 index 0000000000000000000000000000000000000000..9744a9ae4063d9282b406b1d61afd76e36faaf0f GIT binary patch literal 4788 zcma)9e{2)i9si!sPKX^6#}~jczb@w#QXEW3QdmhfF1eJDn6w$HG$@^%i+x~&lU(gf zchE@729w+tXb}y;`~LX6&-=djzVG?+gQpK2;5ZJLaL^4k3*h%_tw>bgOMt)Sl!Qx`^C zrL8G(Y~y+HkRV@#BS*GQ@TMSd85eZU+%n$8Wuy71U}no>59QGgogQwl7j?PE{qDur!VF+#&%R?g-g-1npJL8ZYN^N-4{+`aoZ z4_N6OgdvtU_50C&hWX;W#OsRwBmI`nzo?Hsy0JeMfEKtg`t4^2O-QU?7Nw_BNAp4D zc{MD3ioLJ%Gxf<-to*7HTjZp@W3k1fnZ0Abz}B(2Pv3TD~No$qCBK zQ-f!?!NDT8-HPrStt3;v&K`Y}&UN*qwmlm&kqfQrZY9jGI|cc%@mDxO-(e2s-;}4M zOUj_yZyw_WxoAdwLHTBon!VZ6>u`?)hyMv0L^qqzsTqP_C3Us}k zjbKIzQy9MpznZ+NwxA`#qa|+O-u1(40zFrjVf%aco6y@X{)g=sZIT~kV0(n7ua%tD zy_0Rfej@;_II^(NI(F}RpvSjdM(#CtIjP4CrQVT`!Nsd8RJPJQW0{#Y3jzU6D98^nkAWvML{n@X6w_X%om-{!+o2>E>R(0H2}QUv|lD2RWq&HGolOZ7k* zOt{udYYgA;!&)5M8kfwN+??uw{kwxYNOFHnryYx%P2){t8@b!jkD`am$I2haGO9nG zF~Uo$*B$Y#arhc-zD4P1;jka;E6ZcdJ62iHaa2QM^D?)MH(MrtEz=3MN^!hH&A8UZ z_{qXWzo4AT2GJi({qAI+{MN9)!VN;=(Q+gXcLSIa?!Ub@w$|`=!28K{@!R-v(7dEb zSV#QgxY_VnpZt!@m#<(Q@r$pSQK?^kPY#rSu54BUiyxYNIXclNM|=qjA3V*M*G)+B z8@4@;b_DH&eA`FkUqxGzTMk9|xP@y?$2udqGD_F!ej2g*V~Jd3OPSsoJnauj~5>Z5mZpX8wXtL0Cp9@S100(6k0 zin34a9x`UMf;c^&FJ$+LPxOB4adA(wKe=1%>)pM#cTZoh*j>~Lnp)Bx7mp1cp4g?$ zOl#S!mfg8$=X6n>&E&+Q_ENr-pPLo?2A&+~-}^ln+KKF{rcUR9i=!G)=8A@9?wsgN zKtprmL=zYBZ{VU_2N&bE_$d{)a4y3S|6XyKz}LN|fVR&aMw|E8erT-o_#ue)ImcH& zJr4~Ye1n4|g~w<;U!%oWORGN0Lz4&JWZ_2~)+R@*@`pV5kcH1VsF0&o@oPQ!wHE#< z2UY#SVI~i)9(=2X?`8muyM>1~55CR9A9O%%jz$U(f(I{H_zMn7aI`AE-GguU#MfEl zYtD7RRzye+*409&@vz>5Uk{Rvn6&WgJ@^eC{07)#Bd9Vs*xXd`1XMEIy z@9@MsJn@((9`nREdhAVLpoivu7h42>fPUyggi)uxrk>W@24AdP!JY~E-^WJdNsnN? ze`eb~=#1cL&@(~t=d5@W{L8k_TQ=4w1#KaY7PQGVi7kSsv&psxEV~tmm*Ov2b{h=Z z_GQZ!;5)WW=M;f<$PyTZ-l#U{fHSt;Y}vR!*%>vNfi45OvXDk2t!9k;OIo_9mFDJ) z84c3kFXjy`J*{R=rArzH=Vl?J&!_Xn7tV&TRM(&o}8P88Eqz`pRSIX#`o27(xB3ON!Mnx zj*Z(gKchLOE6q$%V>7AQEat%$04LI!oI2~;hT{eGM0KR1>~VfY+&i z0^m*Ry8zy%o-Pue9pU`c@4}j+9&zo|BaUi?aNX2*0*uf&#MApi{zKH`II1m*=j?dF zj+@luugxO$$a{|ZK>&LHh{xhX9*Ym-7GD?6XUBV~XY(NbIopP0>GX`DPGfHrt9_1X z_)BI$GOHRYB&SOyNaF8flAOu9Dyh2u*HPC75yCn2dbf4_^{!P4p&p<*wNUSOS4Dlq zRUfsSYqfx7YgI`t=BX9hgygFCuo_wAGFpLeypeUNRj;LmSiM%Q1FEKFRctadH#37b z7Lxc8lT;0(n4g|Ev=Scp|0(nmW0~TMSrsgoI$>WTSf&<^eTjH+#6hwh+Zt@&grgeu z&igLO{LrB~-;9kg)M!2ho15!bi9$Kky!181=3PMD&DV|-(S2jW_~=f%`DEl1(MNpbXM8_F-OZOnzVql~!uVc8 zhnsH-`Pjao>hN7d-OcwU@=Y=_8%O3O#zQ!2e-%xk+naD@KsZ1E(U(n&s?+-3M`dVXr4^emX{R#OlGcp@Trhp(fZUG4- z7Y?_{5~__gs3DCdZzHbV)~RFp9ashD-e+1nNqQ9==>R|ATBK z!@Z9R>F#wjJ8ZRiZ`-(DJ9ZTldftU24r+Js4|3@&)PR#FnPFqdBrMxYvIh{?i=10f l@5e^#rM;oEWx1gWS#+GE!L~4!&f1Op0PEnNEQm3t{uex8^bG(2 literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.d new file mode 100644 index 0000000..73cd629 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.d @@ -0,0 +1,342 @@ +src/ASF/sam0/drivers/port/port.d src/ASF/sam0/drivers/port/port.o: \ + ../src/ASF/sam0/drivers/port/port.c ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/port/port.o new file mode 100644 index 0000000000000000000000000000000000000000..62b5c6404ff10420848b6f83b710368e077b394c GIT binary patch literal 1620 zcmah}OHb5L6h4;@!&5~dDoD&#NgSe6%0p0A5~3t1E(|Nw)V6bln(557y)|WFWbMl6 z#u)zrI}=vMUtr=7;9s~W(R12f=oAenIdfj$`R?QN&b#}M9x%p03xgUQM*xRLTIrro zO%2Y$S?Jl{y~g&&Wq-0M*OD*teKH0Il0E#q+Vg{8Bm29PY;Qu2CeP$X@>PCJ4&*pc zeI%{J>dMLzuPv)UG`So5&BhYHZQYsT3+9|T!)L9TMQdT!;{&e}t`m_jK z(P)T9eWC7#PRrZop?Kp*e!InI=kLzXEk1|kIxg=B$Mu0-N4I;W9V(I3*R7jS9qd`} zW5bn;>=GMe_F9zUxhwGdPqAin+zNmJUBxoS*+uE30Tt-gL24^7fOg_2*SWj_ z)e^p1!dH(v$uSU3e89?>;VMptwzXj1wq(ng3AWq6fTHD?V+p+wA%ZYL}uddPD zUcfV$(N3To7p)32yRAL^(iE5tM>$}+Q3NJ_za}Nkbn((|OVtx=6NP!|C3T?wc~hp> z4g%4_o#7)g9i>9wjg^S>WKOr25khm-UtsoMaNMU~!qpt7UqTs293(eT>1~{bvk+5W zmt#(genGb|L0|8^yugu|_yu)FR&o#vE0P=3B!=k*`+ZqdU-iuh1`^L*+Fz zsc60r7?pAQE(oaoZ@EwBCLzAf)XRPdNBSKVwxijEB|H@CA>S!w;iYJB&9h1i z&qjS~bW&M(Zu6;eZ>%k*F5J|k9)JHGjru9Lw7$Y!wlDv_$x9R-)1HVb@3gO|bmP|G zmZN7LjDCA|Ogq2p%e9W9q{c?U2&WCjntlX4qT%WEjQ7L=uk1R zHhRs8=%(TbezzAX-1*;q@D3J-@q4BFdv2A&YJbbx`LBOCf8C`6I!9hNig|p20i%m( zWx)8pG+=yN;@nqmZq(!TM~wAS#P|&O)XjY2W(JViVf??e!}uTYAK*jaec-Ii`nSv4 z23D_8EcF_118=#Rf4Z3vGCjr{r5@uo;1yt{q=sKAZ8Kf~o(GnJXL-);6vakGsTJq~ zwgQ_ds*;aX@&f@t0eXP#KoDpKT7Zo}2HYWoKF_iH2ikiJLTJGf_baAfbG7RlLZ+sfPh+RfuP9KOt+iQCDfo!mXL zJD#&LiD@lo-XrE|4g40Df3V6b=dX}rp3l`% z%>7MDG3S#~%=y~<%ldaW&S(`iG zfocWsUd{NvuwKnJ)LeLcZ+a>FyXlCv{bJdMFskV1=E=>%*6MY2|Sc$;f_=tyV5X~$vc>G z(w=kgn+FSg3~TJ!OY{hrcq;fE@a!PEoXdSgV_c44Pf#`^$$QM@EtT@FO1W4m`7Cgr z?~8|@UOj(g#s+bVZ*sX#o_+l)*V@UPhOMZP zetuJG99c+S>I*Y|y1aUBwmL6eh*eG~&sG1$V_L_$;91piCuZynmJnY>-Ey2GU=D+0K9jQEBH{=jOw3~%6*BK1i01_frRX;aU+(uUbkdGG?w7x&qTh$$ z%X+sU;IRl3diSG3*82c@U8rL{t`~ZLgD>kng@E5kVM6b(sF3x}L66sz^;!U-_bz-{ zk2i*S!i3(xP$BE}A%LW-hu62N_ceUh6YDt$J)Va!p~ruixV<44O#DIRC2wTBlmj~6Dr0zMiM_rWkvmy2y_utI2D%)a-vhn literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.d new file mode 100644 index 0000000..a97be0c --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.d @@ -0,0 +1,373 @@ +src/ASF/sam0/drivers/sercom/sercom_interrupt.d \ + src/ASF/sam0/drivers/sercom/sercom_interrupt.o: \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.c \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/sercom_interrupt.o new file mode 100644 index 0000000000000000000000000000000000000000..3b7b78f29f0af4f0052059ee7831ea64a553f00c GIT binary patch literal 3392 zcma)8O>7%Q6n^Wq>sV<>9Fy8@AX=14brrI8>@+FmLKHPYP2EPVR3su~t?i*+>c8x+ ztH6aGxF8_`Du+t+h>(!V335THghVASxl|lD6b>Ab5Gq`d0I9rZ@9fS#9JNoHo%hZA z=9}3!vorhdxeIHWrhzmK@(?0`k4E~D#A3h7!wftR-F1LRyQvGQ^RqiJmwGS*BbP?X zKW_fM887AL{(g{sdA55K(wmR&eY+-gWu4qj&Azpk>1w4bSZ-nY0ZV2Z@pf$E_WJd; z+|_6NeiOT~4KSt~x7R1`QGddku@%8FPd1f5O-bFs!exo;C6-UQ0BM zYR_)g&l;x-XHFVRX31PIiiL&c!cwtdrzmMcygTPv5#r$nnN>UB|{Uz)EvR=d_P z9C5>T?M~Y$F21x_T7Cmo=5e}~u&Op_v*;#PJB}xI=F5dSICMB!PHB_ztd`S`YR9yh zIK7){YX0N!$7407M^*`~;jrULMQ964L3{ zIwToC$@odePceR~&p#ZnQh`T~4x@}e%J`#rbPEro+(?pr^wz_#A8Mdj`uS?yqmKrm?7J8vcB|k2S?##4?Hd=~t|! zO`wy+AjQd_gcM{Wcoa@V@E9yd@HnhTa2j5VV9dWOoLZ+N1z=1kWBp6K7C1PFdz?E~C zS1*+dl~?`u9N-0piwu_-US#-mfcs}i%owb543EVEu4fF72fABgxGCw3i7f!oSwQip zt-*BPZsAH`?Ky+GAw4{GJuvH*XMtIDT`;M^lzHPzYvM^CWvJQye{^V;LmJO0kA%79 zkub|V66Tvn!p!qXxCWF1Q|`ziyLS)|_J;pG$PSo3>i@)j9AORJ%qD(sEz!oM!5h`I zJkPPKJrDQq)7mr=@iT@)euu&TN!VN7iZA#)c`I@rX^@@3Lccms;?Wt!$CYDYD7cL; zG9I0MRHVsU_dGVxjrWQlXyOYQ=+pbrO-nqQR}+gmZWl4lMVi#3vs~5t0QIOp>CsIr z^=_fB>fJ$1=c_cS_aQc@dY>qI)GqbDL|@gri+Gsc7fkPtqDMEm)cc<4?H!=^9nixp>_7Bkend#kC^yp7O>ixs?emX$!FQ)gkqDOxUQf~^Ar{?ds1N72JQrBZo z(W5^JsW*o_bwB@$G18YN{f!|!x|gMq9@+IFJQ<_7^gkZLlVcH2`d^_#KHULiG{4vT z-rzwoDtq|AZ<4lq->xDyCBT|EWK^r);d|I{6FWYK?Zl_~(SD@d$!{E8h~r0gO^P6v QF0GBqyN`L^mqCU1A0mXj6951J literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.d new file mode 100644 index 0000000..0d07ee9 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.d @@ -0,0 +1,383 @@ +src/ASF/sam0/drivers/sercom/usart/usart.d \ + src/ASF/sam0/drivers/sercom/usart/usart.o: \ + ../src/ASF/sam0/drivers/sercom/usart/usart.c \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/sercom/usart/usart.o new file mode 100644 index 0000000000000000000000000000000000000000..2c18e69316caa84e1c2959b2647d26503f2c5298 GIT binary patch literal 3576 zcma)8e@q+q6@T}`*x29@n-vluIXJ=q2hRbPhR|wYYegwTs!ls5+m+rCk>#P&J;DLMB?U29r!_k3p z2h;<5VR3|NW0$I+DQ4rmK~SA{*rkR*ON{1QhCpTRJZNk>usFoP#V70cZ=d+I=n8ow zJoNO@`KH;LH(aRzoEu#n3BXJErkA>)arP`qYbPBY&(DZ0x8{E_a~a=X%&cVxWq$s7 zc`(N08zGAr_nR#_{s;8|I-ld|!Y!&0tXCbs&+&B~ODKc(o7K7w*yCJXsdzzqcQwZ| z9Zhs0cwggVRr$4;Juk)`c|Kd6U&AcUyco0Pd9W26eb5cs8>_iC+oi*{3qiMbYaVc%M^&Cov=89EmP00idpc{>g6m`$fwQ$s2MMydfJtG(Ob@ z5A6*(3y1CAP#ZXUe>)G|l*aaYLy>Ij{6+c@?FJD#$0-Gd?5Bg9J+~I zd(}0b<9#W9aw6r+=%h7v(U1KNHQUtA6x6H~ zyTFG!)z9v|s|^CQ2m00**2fXqdjmW9UjfGcJ&8cTnw^IjVk09CxyGM5) zS{&h!Uxj}><-K7-#gbZ2;Eg06Qg@~ zEbPc`53Z}gH$rNp?)nQlX1n@=j;Yn?7|YdX*`P!H_Ssv-SF2i7x}6U)>Q4UY7aO7O zCKII9r(WE}e^#Qnvh3wQF4a}!Ab+>SRpep*FD36eR}T0;mjM2Gy$ZI$SD=A|?soOT zoGk!8PKEZq7=?HFC{49e1y9x)Y*6Jnv2m$5@GRB+h}sNiiqzu)aF1RsVwZaUs^PtF zL-eI;+(nHF+`n#8gW&s<=HWgseen$4-$Y+2?g)KUBG$ia4=^*}_q%Yd3gALHd#C!2 zp1s|aovmbVR_Ql?)B^kf4CY8ya@XrLxODKe*zEky%-m9u>e_}n-S2T;DSq%Y_4w5y z^!!n?;YpK>f519ED>cK%dR`a_g${C^!^)JD;3AoLB6^Vfdf-48x8L9A-^cX^_B|Td z-y7gMWho&EX{n1lI(&HSYtmFiibkbq&;Fi>EF{GkCrc;d>G*V#>+K)t?|bxF81BJs z36c|?f0Ltub5 zyHRH>It$fXEG*QZp4(WqH9Z4W7CfGei5Re3{C1NUFuTLzcj)(=oc;evPJ)uMZay)Kegy?(}f`Ud=~v17X64tpRni)s1s8gJ{~-89B#TE z({(%iq@thFbqBm#(a9Nl@Vp?C7G%XQLc0R(Q4mv^a9o}Vi*j6vi$WrtmP7@=hOjuD zNh*@O0Z8F@5{?s-oJlF+v?3@{ITl*u3gALnoSq6Fm(W=jAAEbp;Jsu zhQ*kWM2LbZlE>o5ANEN}A(D`w5``f3b;qToBn!&4{O}5rG|WU*OG4DFmjRK?v15{Kw(B^v8PAr?1iA^}G~vwvFA?4XaGvm805221 z8(@)e4&cXxw*p)tyba)W!g1WaMR+^FHNy7*{EYAp0CIomuL6*7o9@JyKRq|42odCp zT;?%D!fC01KPo5!_#^2w_;CjNiP3L#54UVKm^xuLZ}!6);o;6$5fAl zKi+vi-ts9ai5tM*6ThG+ay*hz@Vx(j!ei3ESz|hv{||4j$Cm4a$D`wnUj-`;KW&}x zSa5Qa`B$d@h9k3-tTE>$bI~B<*JLjm$nVZj#c>Liag@pYA{g?Je8c#d@=9oc7eMvN!>${B#sfW}@xKZEBD4XMbg7L^bHpqx~ z9u4MrADQBjUoa!yPf;fEF^oQ|IGg~fwk`=MR+^B)t|QSn950Td+F+K*2nUk9h}&*4jjqp=*(nYKXeq^ zF3*2}ZGGVIhQdb`PulLgkn^1YzmS*E0x5ptbnmTv71}>?dQ&ZD;abzvM+Dzg!~?;g zIOgsX)9EO9U)Ljtj|idHBIKXa{m!{B##?;QA?C$?!8;ulp$VMx#ql}k1h3FP9WCKT zWLD%C#vNhG(1x*celdP#mAIIMc3WO(x98JQyVo=N^2D7b8`j{vHu3usw2K&X$S>m*6I@gdHOr^E0~U(2e7-FV1=@cYpn;*B#lF$1$&W_ugNydt0$y zQxLpvtSbvnZ!gwjLGrqL?fISSeGm#arw2}Fb=7zZXA)H_us{E3Yp*SjJ)uuBePNw< z4t-Uo?^1>Bx^F_KgCRasmrKziS3_gUtf>Zwo@BY2PGw! z8cg&^TZ7x%rEP(5V2czAZs`tg3k9XNj5?^wS+!kyX6F<8HmgI5nn9eE%9ngyv7o*(feyMS;b3;K@n;#{92t~%Lo|fi-Mw}!C z#I*jU(pWOlqWg_6BC0=y4r&|0&jofkjx{SZ8?P{cHQ~4LBmM#WT)3Yu8km$_xL+2^ za5a2yU|JIw)WFXMCM_4P=idgFbX*7ZC{FrC?1T;jx9V{h^cc9h0=%?C-w<_%s!g`IE&WWuXoq_E5 zkR~fwYnfu5WES4M8UzxuCPP5UW+8z0d4QaOm6qtPC^rdEY_{4W@X?i)q9o044VrFi z#9SV0;I4(T61dUoOT>?gKCw+9`(-W!}AjfLqQLz~xIKul+e6*eyu z=-_$ZAzq31p@sJwVmgDYu<^Z*4t{*+aeR~?1v`I@x+4_>(CXJo83>ZU&&GA=jw@zYg z2b{L7resq|`Gclt3Zx)SMFI_ws<3P@6s=6-k4{5t*visTq)z-{P?^>WL_0w9&VBZ^ zUtZIc9qIa=bIaDoHOF24UyQG3rf z=MsHT0a9YNqD8lmzxLmJg;v|qVKuC50dR|un5*+O#lFg|^wkYC?wi(5n=VS9=PbU5 zV^?yf9pG+@PU|n9H~Xgg+|IUWjihO_%!^<|`L*avGh4|=IfD<}jhTn^3+Dyj#pwB5 z*6rrs&*=uv<>YX1Y_J|+p~F2?j$h;xv*Q^)vn|7k(rT?FN?CVB|LdG#-x=-=(nd}Z zfe0cmX|`K=L8>8!U}Ek}FP%sJn|%J-tZi3s=IvMm2pR5##?>>X8GAPalbrK8QsK&G z>Ljz2g$go7z62dvDZRpNfK8n*5G|>dKIXDwJB*ViqIYr686;hQBkzzJ2u$0h8bRZ& zlI-MnfopT}Sl9FU{J-gVCP#~JTjpf1QQ|U<69YYg?r`tf8946V)g9ff{dwkI6Zh;} zJsU4LcD>cJ;ev?2UGi_|g;mJIg2vfA(02e1I0BqQ<9@mqo;yXJ0s{&3R!Cdc9V6qM z4MM#lStT9roFf0>^iadscunLkj3(6EEPc+Kch*VGq)phMU2D9JaMQOP!5E!?&2i58 z9Pj)~PH?`LqcLa4n*l1n2XfBcUjS_XMBXZSI+ttt{FPa!uP39={Ot6}-suTp@<7jz zx_b_eXWq@|#<)rTAV2xULAy5_y(jP{Xx4Dfw}}mNx>A~x>ZC$GKeW9_HV`xBvkfG% zRtj@2!k>H+bNdoU2<$DxkG1R3r~AH*0>*cb9YNF z@_E?gnj%@-Hlp*bt^8>4I^FL`TWP(}26;V|&9j=8)#r3A#&h*9p=H&4y-RFuT3994 zc{!=(@ROv0SRSjnRJ*<2^+b<(L38_x1@rbwt+21U(%j!5IS3aN_8r$h50e#Dgb#wt z2IjeXSGM)51>L~MQ3213!_HH&DoNXK)ig*J^2@+y^1jNOFoHZ>$>+2A>!q`#R;#UE zVb_aYP%mDe<6RA2%RU3C34(Yn$GcW~kH-w;M1b;EuVn%?N3J4o@*YS2#F5LmbHQ!g zY1q*jt=?5bItPC>{$}4B(aXV9^wr>N$ahAkXI>ruJKAWymJHe_=IOf0`JmY-<_F`s zLq6NA9*?KmrfqHk-m%hKv+tN33(WBji}ytASRoe23UAE>YG^DDgZBjTax9KYpFKK( zd!sR`^G?s482=~gwceTxViOcxL3#+KIw$E}vfZ88{|wMM(i0veamdoF5|&e*Fi zfrul!zRa0jU*sx$wv6DiNx~-5^I-RxnX9=9*QYtomCJGB<)UADHXfe+gR|Mu;CmmQ zAGCSFeP5J&{TR7#kaM*qF3q$;t&i)P>ibUn^?7jb@8woMoOm(Ol!*44@I*HGbRzww ztreP*4<}D1FD7*^5!w<4-|%2O(VmPar}{*wM9kqr{YlVW$fV*|L-$*e`Uts8Z0Sx9 zMdD&`G!_rvC2r{0)GBWDbb8i_>pRxn*|BkbhuD&g#3O-Jq*Z*V``#UQM23Qqa5xfP zyK!wW85j;l#bo5sSSmI$EUxeRZdd1>KZfqLI6@#22*!YOA*&@L$#f*Mc1K4W=&JQQ zDmkmBp0jZcoSkdN3SCUf`%eQ`^GdC-=yn7UfLE$f7F4nZDi`%=pc-X5h8Y_V8d!s0 z+E<{(;Rv8r*=uEd6@#Om+NTwAiA6>Ly~f$oQvActeS!i~vRz-YDY}C6uwm74Rk%-X!CnEurwRQIG%@ z72YD_%lWh@&@>*a3U8J1<@G4Y*^*V^0mVcCYE|~ND*J*QX9kE@k^pro`#P0 z)s;BP2w+p$+hqIlcs3Q@F6+ykcDTJLP$UQTvI;o?8ddm4z!!r|bZ2v@SCRlt@RMQ( ztqBfgx}VEbkZVdEg?cDwrLh0Y`oj8@^@a5)>kIiW>kIiV>kIiU>(%+YtrQ7m1ZakX z_@%KwgUyQd_zV0pqBZ^+6YxvrKVqZ!yRliZ{yr_rbWdBc{!FN(`ajEZC3F_$ld^1p z-9`D3EF0mc6kH_g|LLNh)}R$kaJndu%d!PND9X>svK6it<%}%Xf)S52?1KAcxejhG z$_2a)?p7Rmbi4;ua!e(Ut7HhpM?(8OA#kU`pBhW0BSZf1o_O5;Y868eXk|jU_Zv`~LuHyrk6kO*I;dSF5eI&*V_J-p7{h?@Jn4y<) zG?EH!8p3$e7|ZaU*j{G5Xfyd-K*>3=F_~fI+pib+j{kv z4Mm1=7vh866G*3%vEXPrlEQuaEs4Go=p97sgHl)FQ~G6BiUWHnj=j3oII5#W-xL+t zZiQ<{jCx#p$1&0rnIGU+iQ9@YJrfu)+z#xZFJP&tFyr%6M1B=EEjEgyaV4=S{rwGP z`aWR9{Jnr3N}L%5dX_L^xc9I_iOZru-xG`&?ksjF`P+{E==#$6B3tq|u8OZ32O38`BNpGURk&wRvD7}GZ!qON9>l@u`(JjTIDZ`{@6aCFJR_xHLepv zOLh4f`dezgPQ)qadldb3pdFnroj3FMeZ< zjDHbvl&3LKqT}u^u<|d}(e^UpFt!9!eSkO*6N(rrQY=DCq`|vBfw>W}XDc=upBWp? c37adjkgf)pRv1!0lq}ekxHmC>zg+_NKe%Lo4*&oF literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d new file mode 100644 index 0000000..cfadc8a --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d @@ -0,0 +1,353 @@ +src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.d \ + src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o: \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.o new file mode 100644 index 0000000000000000000000000000000000000000..9f0b851934e8dbc917fc6c4b1ae63accb9df8aaf GIT binary patch literal 5080 zcma)8Uuav`8UOCRl5ESymQ~krUB^~zk2xgL+|*99Y%rzD>NeNuXfkNxq{y;#XNkzN zCS3=oFs6qMw5NHb4`HFKurS7;Pzr%QG}JH{d+0;F?WN?UgTV68!TPjr4e@^8x%XTh z9V_-eI6B{--}#;Iob%nI^V9DyzG4^#Nik@KS{6~PUqr%lqMM;_&@>tLrOoZ0>H_sq zx_c^o?pLMW%*~uJWu1GbI=K1V%a3;u3sIWmU)}B7v^S^C2!$z>p=0L##?%9IEMvdz z>Caoxi^j3|XK#%kPMedIjNCtLHm2q?x6Q84jrj}o>zBX&Yhx;D&S$Ow+v|_J4ZZIN zA1%<#*E_xTt%Y8Dyvo+&ov8ip@?rAy#^kl)1@oEoSf;!j2I{MOa-H4Cjq_u>dN)ix zi|+}pZlJpD!&SB(?=+?&=dPG<6nirl#rSG4KBSHB^~XmsJ{pYgv3p)yDn`>w%Z~4rS)37~O4ck|XtbcB855(?mzrt~K-hbciqLJ4>5P2U0 z?vOoEHEy!~c<0&k!*;Q_9op`{wGblX_C)ns@qzhZz_>XGl!tl0IDk>c?WCFPqhsAW zMl$qSf{qfeAw&s!a?hCmBK_Zg@VqSQ++zPcx5$}S6|uX{ja3^{Z!{km*s(?kKAE?v z>=&=GAF+OW0QdoWVDVD(a+AV?>B|lD14qygQe*Nj#?|H!c5JChW;AVPmKr=uOq>Dp z?fz?bm(Otb6ZDW6YR$5z%~0mnf@$CR@M^=uGDh3iX4o!-9uC}ENKlJU)&paCrtKpU zJAw=^Hhav2)}x#mxF7a<%-)&lYxnkQtQxVeHY4_Qcv|Ga4104CTfC6ToKDP~ao3!3 zVr8RLE}Txx&z?G(IGK7e^+Mvr>haQ<7)+KN*sIECcN zwJ$9 z4;?Z_jOUC|V>~2sQGV2WB1B(`Gmv4c?G_=j$dn<`Tkxo2;lk)v9k6?0^BjF>ChSS{ zElhhl7Vei;3_#e7A7NEUSSq5oD5Bvb0>2fYB7w$=1#~-qx4<6{Q0-BRq8dIb@ZLSj zgQCGmRxGTtouN_*YN!UUko7L#Vt*XVj4as@CgY(TosGr8a^)Y=>QZD zG*&Dc((pq9KNO%^nuj0O@WTS%TJLZ$(!-Bv_z^At)=7K#Q4K$;@sDc!V;X);<8Ni= z@sEpmi)0-Cjh=928Z_PtL9=Lr#04&59G}p}PiXjrW*?!vKb9kL)VJ%~cZ40GU!s@7 zr};Z+pl6#ePX3;ig=8& zzI{p9aoY54-pM%X><#Xk1-O0PS1GD+{jf(5k0zehFW46Su+D6sQ z*4>=zwDVR@Jk*9sO9O zH=;nK?&dRxX!u!;nWZ%cQ+yq>?7t*>v?@{Se~dzUZfI;JB0opKQYWfRn8 ziI|e;o){-1Giyzc5gc#|dqe(WaQ<6pW*5;r+@B_TkNfWsaV@c*Ymoil_5EBk>_6rE zU-kW5i;SD`ZN5JlSN8pz+@B$8a1Z{UasNG{1os#x=7T@&+dt$U_7L|OBAq|s^l;KUgFr_gO)~P`3TQ9esN5q z@g6Xa^Zx~!$`^u>hEIy*`#Bsc-+hITc_iN-psRdmVJu2AAIB#D*Q1-3 zzAYHMr&45oe}+TlaoazKPgzJ7pIzEetmoTucQ)A(HQ@q0vyq-fx*-1pCGND({UuP$UQ3fquLL z4pRgo_1A%8UG5q4Ed?kq#_>0SL#Qf4Phg~^fnM@)4`?9Ak=z#A6g;QUI9IM$u4PaJ Z@-Y_mA4vkQR0pA}xZh)6R+R}P?teu=|GEGG literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d new file mode 100644 index 0000000..a33accd --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.d @@ -0,0 +1,344 @@ +src/ASF/sam0/drivers/system/interrupt/system_interrupt.d \ + src/ASF/sam0/drivers/system/interrupt/system_interrupt.o: \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.c \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/interrupt/system_interrupt.o new file mode 100644 index 0000000000000000000000000000000000000000..9c5fb144cb5bdfe36aaab0d441de82f7f2ce3418 GIT binary patch literal 2184 zcma)7O=ufe5T4DhRf zeJb^-X-p0wmn4N!NXaRO&{HY&QYh%yLnyeXf=g*mz9ff~{yT_1bOHofRyTc60`o4hcpbqp7GSSq}?!+rSof9vpD|6eEXZ` zB4{gPPq*aK>Y6FFhyL1WFZ}ZWeuGCi^6Ivf+cXE>S-AkYEHoEo*k4e#E>C3CMCsgx zKX!(5Z;kzq_$MVAhwJ3p6Psr8^fFvO+leLa6XeRJTMEUt7a&;2_!jb+ZTaQRbl$vY zwkK8Ns`)9ddK+$M@51Q&Ulk7&N8Y0>#`m2pa_L%fr1EDdhdjP_`%1b!lRAA1&aTQ6 zFPNX1YT;)yZBm6&fhx>?czNjWPz)>2JtemyWPng;lGpIyE;{ajm+&rn&4R$8#EWZD#h3*}~BeV15d> z-C|b70V#)SqS$ab`*>=}coo!r$)y1)qYO(~X+#>8#ufjFLO)MVHj!~0+r zEfh<8lmP{JfRFh&0XGBO@vHE4fKU1UB>Wg)>eUR^3*LsuS*`5UIdk1slj}B2a+s`w z-E5T|_fpw*9q!oHdf8(($BS3C8!aMt2~E6`z4)@nEzWkOEjwPh$?8?7esQcU$D=-tuiJY|0K26lXJWi6V(K3uf_DiG;e1~r zepbHEW4!x_so#W%eBYrVoG*j+jU)Jjd)ySRJBW1w0uDjqiZdj99}Q_V>_>eJ2Yp`}2fcpc%ut125IiI$5XwVx R07sbj115M=IMFB6{{ZEVb436E literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.d new file mode 100644 index 0000000..6ff3783 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.d @@ -0,0 +1,341 @@ +src/ASF/sam0/drivers/system/pinmux/pinmux.d \ + src/ASF/sam0/drivers/system/pinmux/pinmux.o: \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.c \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/pinmux/pinmux.o new file mode 100644 index 0000000000000000000000000000000000000000..473f2cd5fa43701b2a664d35100d804d4e74b2d3 GIT binary patch literal 2288 zcma)7O>7%g5T57t4^CW2Eu=P3HCwzXPV8*mBu!~RXq_!Jh~xs5kcg18YcI(n|FM2X z?ukUo4RJtlDB@Bi#0d!rDN-+yg49FBDJzOpE(ocSd`)_wNIirZdtba>0_{lSH{Z+K)(sQXnLvWmN|e22dL%JnvTjb+*WoZ(KpRq_UwB&W5`{W zq~E@5vrNL>Fy3vmS6K$`Z>;3Dca*)*@16V#r2gpSjf2k4wZp^5ha+1O48hg-&vB49 z7D8_Ltv{J|dhFb=cJ|8GTIlVYg^_Kz3VAB~(N+{r0Vr&oeZjtYpk)>!yAv=E-)cD^ zCYzPB58X%G#MM7ylOcCh&zp_HXS!}a?(A>j%=6m#Fg(y^7NWb-s5Ud!W|I;3f0kX*|9#cn|8e>PtRVNow@u0;-lGdYEK5sksuP7AL;THLC3gXB!~t0u`WM-M2a0*WJnMX@Z*8_P#`|I8wrNH z`TLWG;k*ZECk*3$kstvD&p|z4h-v?QN%T#$q;9wRE(xB6GD4c0MNQ)VHwJJFZh1J_ zjmO~^4^MV6*59ye)mB?Afx@BKywT)Vwb=6&OZD1^_9`f4C^cF|+xfUya%^swj7qU- zl{kK6NNLr$ZB(pc zwO$Uc(oa-x@h+7+R&AB9;lAnoLwNLJ1hsji$_*1o?)1hrVc|{Tpq348fND0Ipprv9 zzELXP&0c(PQ-K}-7p-5i*ZAXd^-2Hk!2k1ueW2~APdwNG*0HCnmg?22Rl_dfgQOaq zJGR;4Ruh~2l=33-=o{|+T>$j{FZz?kt8&iciB~1aqZ}kJqdtTBBp%(F;JTaW6GC^E zWD(WRyN#IU3K6_F(LpbU<^^tJfa-V-m0l*|5vJDo^X(x%E?*t}{(L*WeDrdOd^ZF6 zMld@(;O@+iL$VZV+B**Pyj`Q8^K z94SUxz#m+*Awu6HRt4}l1c@tZC43JZ`=}#m)3-$Rkxji5dq5NJAb3cICzOZeB&wfx M7ZbcEf*2F#KeN$)=l}o! literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.d new file mode 100644 index 0000000..467fb4b --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.d @@ -0,0 +1,357 @@ +src/ASF/sam0/drivers/system/system.d src/ASF/sam0/drivers/system/system.o: \ + ../src/ASF/sam0/drivers/system/system.c \ + ../src/ASF/sam0/drivers/system/system.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/drivers/system/system.o new file mode 100644 index 0000000000000000000000000000000000000000..ded11e5e97b98a8f5c4363fbf50fc15937c24300 GIT binary patch literal 1628 zcma)6&2G~`5FR&4)0Tol_-XkOG7yPWG)t0(w)8|4m4F}|I8q^NZLd^|I0^P9Y9u7Y zjUz`6+_)lc+yLz%yWfAYXE4A5dg73K(FGap3? ztw>ej44j5h7ohaD@c2VFyGq}6o|Nu(I(ufdEq&29?XlN)_sqNX-3@b_ZLx;gtT%S* z+s(RJ4Mbn?P;8h7?Pt9^!ncL%3b(dhvjaYG4$VMJywDpC%x3FeYis8Pv}dQb(`RB4UH_^($ zat5!!Q}obY>a+}b;0b&KvCQCi8T>JWzhv-Fg*Q=`@jf7&hEn*01r$2OFraaM6^c@%00Irh02w;-F08k-)9&oBqpTi#(PJPL3 z)G~5Ji@#6eY5R08c`C*EO-b?iklXXyKQ6Tol^8J9Bf z?6DLf7XN>81!?q-78O1I@0jn^lXPA2^dx0@l!N3JE_%Hu@#tM;&(&vPXg0x*GQ3^H zv{#Fs+9Vo5qb5#sgBs$+)M9Dsgr+Xx#wm&JmPEHi! zJ&&yThj_F; QS;R@+2*Ec^LallK09^;xApigX literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d new file mode 100644 index 0000000..2765c20 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d @@ -0,0 +1,219 @@ +src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.d \ + src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o: \ + ../src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.o new file mode 100644 index 0000000000000000000000000000000000000000..a85f1edb40d7b395c8052a2eab934ada8292393f GIT binary patch literal 3120 zcmdUxOKcle6o!uG&8A^ z7C{yjic};j%ECgGiV%xPRH%z00qO!N>Y|7RQa7;ciikxQNGw3ATFx1J9==_`mMdNV z=ev({@0>ZlcRrX|oOQWeU=|nn!6s~NHF_FG4DrK$cm^Kt0rbZD=E~n}PkVoceqr%P z-xt%N`_S97&@+E+I(Yv{Z#P<3q-$&LzP4k{1A*g57LLZwrgt|Uow_0&kao+v8(&HN zsJ?~DrCU-X2tq)rXq8Jll2^Kpx-Ge-&$O-nj``QK`=rEL`$FY3)yI2xSH0nbYj^kD zyZ82fm^JtPls<$9kIE2%C9E;5Q&|7c<+LPC3jT;*V0j_Ep35tf!f0S(P#6=#Vo(SL zg5!a)P(bjjEYD<(4GM2Zmg6T`AEt|vyI_+U_+x*?1j#{mipy9(7^RKz(E|pZOv)0EHXUMWc1sOO}1(Dw{YAFK5U_9qMdev7mho) z4>`o~D;&Sd@jS;X9H%)ha(t2F4>|sX;~N~`y@H^(g)EHhpdpDvD1 za2)1%isL1Y&vBgPSm*dM#~*WigX6C`{+{EXIR2%^cQ6i}n2*09o24C%haCUKvB&Wj zpz*C7cW~Ur@es#>8sA1=9hmP^Tt8^Ktm^CKlqMIHP*BXkfDQu+MAr+2%~VV-DtV^D zTqt4LOG(MHqY>MVL~T1Mjay@q(wM^@b=V^gJM6HfkQ0JV2w0GqS(aXl+wQ7tyR5S9 zw#v5WD%;MhZ2O;CNxWr0^c#t3YvpL%@ziHl;!<*X(dwL;v!k%=h}4_Wq%{T|*Uedq z+g+PlGM71T@e(U4i4_YIE4KHTtSYnedS17B&&1~}dzop>?nyB`v2{pkc>0$zvd$pI zY*V|))DpH-MSC~5!4ycP^0{;-l`H1-l&q@qCKTjc5!gnCm36!yQo5YZlOIf=%z5LC zbq4`H!aQ^1A$XNE4Dcx_ejHRtM**&rjsZ|Uy2k;&C7r+@(n-J*0yO~8OnMTajZ_49 zi4<=l8tO)PnREzXiu6T*DCr>JRgUThSR_3Gc)g?IA)O>W2Czyx0C0{Jud_AMJ^+QZ z7a&V20OUz~0LrA@06OVWfDO_k0Pm5$0I)@R7~nE#SM{!O`)YAGRExv&wK(8qRH1Rt z)#7jf;B#WU)V?I`0N5dI2e?W4EdGevW&uNus;gDanwIfSMMc(S5Yw6lA~lHh|6CDY zbG5xbBaHS7ETflHoR}#U3aqGuh~EUFtm|qny{Wm!tw{e&XPpxaNMO!fKC^v2b`3?=J6>m+aQ0dkurQ&a{+WXL^uLl~3 zO-BCEUQPdhqJ0~ySxkSc*x>Y+#mPRLNdD++!}Q0{CV!avM%h6)TeC^1k1E~&7!yiM zqquPc`1i01jiEwn?v0r4fm=AS4ek9{XsnEZU6uP literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d new file mode 100644 index 0000000..1e3c64c --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d @@ -0,0 +1,219 @@ +src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.d \ + src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o: \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.o new file mode 100644 index 0000000000000000000000000000000000000000..dbef21e570104d0b2a1b32d9158fdaa74c98b560 GIT binary patch literal 1304 zcma)6ZEw>s5I#3uVK81cAq|Fv3{0XE)TCYM#y+i-vGGy~0h6X71WsdV5lveq9}$X7ym-PG11k*bPF*`(b;1;~jJxc&Jn|h=AQjbFMc|wEEKM z`*)$XaHc=cma5C_D!ay3*o~?w(D}H%RpIv^Cj{P1=YW3Jyv^|tam9lwEV=;tSI|E@ z#b%H9pjOJSmGW!Ton&~(M0|x5%!GL`UxbW*4wg|csy7@DKJHIKsZ|+;1>~!ohp7gUp2S)PsCAO* zBWvMnX%I*wK?G?E0%t%FD4b)?2Nzw-Q?&pnsk(2AX;dkE00Z|8wMEQt8=>dl){ z9{D@C+&&(oe;QvGahLCs$^;^GL>ywSf8olAXD54JWm&89tLWFN~%zgIW!0Lj0flJ^%? C0D{8+ literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.d new file mode 100644 index 0000000..c941e1d --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.d @@ -0,0 +1,336 @@ +src/ASF/sam0/utils/stdio/read.d src/ASF/sam0/utils/stdio/read.o: \ + ../src/ASF/sam0/utils/stdio/read.c ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/read.o new file mode 100644 index 0000000000000000000000000000000000000000..7c1d7b687b4c140fbe6d50015b9779915b899011 GIT binary patch literal 1348 zcma)5OK;Oa5T1?Gq=8V;3e-R(WFQi$AX_&LX{m~o5YZmmTzV|3thK!&mhy1z4T2LF zj&SDyKZ7GuPn`Jy9QqePa4s{BH!mTTk;e1-JTtp9`}XdCI@4E?URV?MUF*UUQK^+Ye7|H}sY7imn|=SK%;hcQEWL8IAz~j>-1P6%GSsM@lFOHsyjydH%q5 zaK;d*(0(ZumWuBvwG2SJmRi9NkKXi@a8RmnBs*He=_=r!Py)CUMZj@qI63*K0y+7m ztlan=5dsR=m9eA z*kw4{x%s*@b4_{^AE6_M+(-iNu_4-nE692esNOE}blq+eM-h|G=b$x@)4dZ=>+cd> zzYWTFOn`(4NBjX9&l)6d_=XDC21=ceq`pfE|3x literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.d b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.d new file mode 100644 index 0000000..4a1d644 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.d @@ -0,0 +1,336 @@ +src/ASF/sam0/utils/stdio/write.d src/ASF/sam0/utils/stdio/write.o: \ + ../src/ASF/sam0/utils/stdio/write.c ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: diff --git a/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.o b/D21_ADC_with_DMA/Release/src/ASF/sam0/utils/stdio/write.o new file mode 100644 index 0000000000000000000000000000000000000000..005913f573cb97935d435d9020a11cb2c6d7bd03 GIT binary patch literal 1344 zcma)5&2G~`5T1>bhLjX&F9aeX7b@WjvbbqTLnWl95%f@UL7YIy^3RG`iW6h6p`17& zae;GBhzH=xkqb}25%CoKJV2RoyonPbm61mC_jzV^X8iizgZqpzP{hE1nFY|%(nzV5 z3IkT43ak@;J_iM3<$D$0)jrlg?Js{T9j+hM2BkyRst=A2!1(in>3jR(7nK(ddc9rU z=*mD0btmzM-mZSz>}=|7zRkDvrn$9awwtDIL}DoHSZwM~x)1xeMBoU|6JDd;a3Xu; z4)jR8@MC{G(wnV2t?iws&~0E1TiA{d>>8@2-Z+xtsL?lXz*4o`udub^MOI^%*kyL5 zIPE7>@^3A|uakUSo0|lH28DbW?a6SIQ-dN@l#$9JP7C1X)Hh#VgQYY+4WoTNGYHAh z&XWdZFf#}B&CsU&Gb+zi)HFD=U{|1z9{QH{c@_J8gpz6zufp#WYr%$>kuL>zVMD@( z2X2^He)QaO(d)bR(29jCaT}I9PDWBhQ$UD&vV3wyNhqyY+ERd(CWBad{@8MG%Mi-Q z3KKb*QDGI*_Z8N#2_C-+r0t-T(L@fEhTE0EJzLtqoj3-LH^a%vvjXJhr?xtW`e(n~ z9S4CJVKKZ#Zc7>YP9jB&?foy+5lHh^o1FYdv#-@ft!FrOQHwarL3ACNwt52ES!-81ETOn{6C zNBkZc-x_9a_=*Zw21=EWq?#p#e zDo*?WZru0>NSrtz&fK^m{s3J01Hg>En>Z^fSZTcT&G+r@%s%|$&fRUs7|6yT4}Api zJ{2-UOJSFX^KcG^b`vnwfO+;MwKKJ!YrM=&?{_MnwtI8v>zkbl3%@6`Zy4yi$TPiD z0kV(3zDpKIRJOL(^!!H9t$onSkOzwg_UBtRMhhxce&~FdHwOm z{pwBLw76|^yHGAzp4qB5bdMi7zSC~$rKQ!S#g#qSDB$cSH!TO)EV@HmZ7<;6LbZ4u zhR4#?EYngKSdLAxX*Ls8DGR_pz6UAz`8!5Ia)1TIi8zfoso)fh4Mb9K263(z8(cmK z!-{^mrz9r{X@yTKd`96j3ZGT@tiq2d{D{JjD*ULzk170M^+_04_;F?YM7Tfw-~?y_ z&`vR!=u_w=6?GCO;Zmpr(6QGb1y`|`Z4#YpXmrN3vl@PH4B#{@3QU!2kbyOUX?+c{ zusMK7U}pf2!aafMW@uRLLxH39uwMVzubZyx8+DiqV9tgHH#^31X|)VB-|`Ni##=VH zP7A%d+x9usp7?vK-Bu7kk?$919hlm{Kh#-+l$mB0%|`;eHykKrFT z1JlAj@S?sUJ$w}b7`7RhU|7Bn2EH|ef<}}{8Gdb`==EldpGyMYyZj&&GtpNjj>b`f>*jA=;p59<$>ul9=2$yclDXbx(0 zXXqvUOIJjVx~`5f+2m`dJ4Y{XT@YV!V!E4W(MUr^>)l3+&-Vav{Er~(s3^Ker|4*W ze7=3e@fR-VGZo!4#4>kudw;#QqHCR^d#>obQ*^Hs-4SA$6S_VBwW8}H{@cF1#d!SO zP7ufIkp4T2)4tI8Q9D7SJ(P_&vJYr@R2>=rhK?Zt_N1XkeLU|B209p^f7xX;T9?k7 d?tr{g=t3949yy}P`RHE6bw3b%mLlw>?ho^Ivg-f< literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/drivers/padc.d b/D21_ADC_with_DMA/Release/src/drivers/padc.d new file mode 100644 index 0000000..ef93217 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/drivers/padc.d @@ -0,0 +1,428 @@ +src/drivers/padc.d src/drivers/padc.o: ../src/drivers/padc.c \ + ../src/drivers/padc.h ../src/asf.h ../src/ASF/sam0/drivers/adc/adc.h \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/config/conf_dma.h \ + ../src/ASF/sam0/drivers/dma/dma_crc.h \ + ../src/ASF/common2/services/delay/delay.h \ + ../src/ASF/common2/services/delay/sam0/systick_counter.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h \ + ../src/ASF/common/services/serial/serial.h \ + ../src/ASF/common/services/serial/sam0_usart/usart_serial.h + +../src/drivers/padc.h: + +../src/asf.h: + +../src/ASF/sam0/drivers/adc/adc.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/dma/dma_crc.h: + +../src/ASF/common2/services/delay/delay.h: + +../src/ASF/common2/services/delay/sam0/systick_counter.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h: + +../src/ASF/common/services/serial/serial.h: + +../src/ASF/common/services/serial/sam0_usart/usart_serial.h: diff --git a/D21_ADC_with_DMA/Release/src/drivers/padc.o b/D21_ADC_with_DMA/Release/src/drivers/padc.o new file mode 100644 index 0000000000000000000000000000000000000000..d0c30350f0db04fc2eb1b891477b23c0b3f872ad GIT binary patch literal 2920 zcma)7&u<$=6n^V<(j;~g$D}1cpjz9Zb!xJ>aY#!}2`Mp=6NFMYkb<^r?T+bju*LSiuuJNZm`^~TCBoO}AHKlw#tWOMj>?@Z79h<&bn z`Nox9$UJ>iUKm2fYYS&nFm~!KYvHn;g_DJCdnYfYLSIMhLj9rLpNrhgl$Y$0+{3H= zgw_-(P6!mKuWa;_7NBw>6|>p8N#eTf26?$%*ZSX@{sS($n9!`=96x*U8V% z&nW3RRy8Y1v0+t=8RgaN#c^d?&8g>=$?W+H+3Crwl6K6Bsk`R5a%FC1`4zKTG!4Tv zCZ;Efj=oVUD~`EoxmImMnVfoUDtF-nn43U--PDT~NGS|E=4%c!uTLyzGte15vD_`i z!_P}Y(y%lloesCH34#9%gyGLae_d{?0>}{Zml4YW9EPs8Oc)}F?|8ZP;brIy@H;s_ z?eROkhyoee?fPAuKjg96t1|Qi_&uCI=<$2Jhyod+ynd97LSGAzvV?WN$AXm@HYYc9b)Q7Z2TsdZl5yd^qG- zjE}W$GE~`Ev(`1kT+6j2HHY^(f;dx?NTj42hM!2Q zrHMI=S9zo{yh+?O)6uTgip>eq_&9OUG=7n24Qq1E18dbr<%qUft1x}tq%EiKI)n%K zE_pmk-;&3TzDNEgfPM1#u{|Jv2H*$sF9IBpKMU|9`82@K$`%#tYMfA*R`m%`dCS;%*I@3Wdx-O`Azp9i}`PT3^6Ua5U zE07dBbWB?82Mi{^b|LLqQw(8e~?ESWcYgd-TN#az2o4xboU4gw*op7-PmSZ_#K?++ZaEG zjX2ckj=qG=uNOt&pQF&5MV?>pOVp!tMS8RcLhn6{{d%(q=5F~dLOsI5gx)qLg1qC_@zpUrp+TA= zJ~)O)>k=m7-!Ty!R}m!i;#`HW1NEWvKt}6WZHD|wHEI!j;96-Lx&4Zmt^i@gAtNue r33m~|j)Ulu*k~OwY_!K>?=Xa}4uC}=f>?y~r}Xn4Ep$5FXo`G;LF8vZ39Drgh>}q)OLpen?4rNg9!;ETM?zP!W*V_P%06cGuW% zcgu-@K;oVpa^OlOZh#a{tptbzNF0cg1Bh0lhyw=>rKcbvg&Er~aokie()gRdH*em& zANk$qU!0XB2?R+n2zwg9XYHY=qTysvKH0C@}QekcGjFy}F za4K8sEsr>xE)4y%(eKEk*%__8efz6fT9*tb2oO((_|T^ZE=ZIL)hU zNwpg8Qe{$ow)osRbzC3Sht-kd@P*>|NKqa1*%C7Yc1~TKer5g{R<&5A!YYOFg5{ev z+fjYibOX0uQ%A-o#zrr^4$}pk&t#_Mf~29?HdFUGyHS`g4nce8q4~p7cjly&lk(D& z(&HkQ@%Yq0+X>ql}V{=3LJ&S*iSV{ zsP7+tH1 z*DiRl^ChAn8vnIW5J~?&rQb~H8!7#6O8-5jgVzX5pX)XZa0o>sV7_ZE8E-IdJEji< zmHl?F5!r38VYvR=hV8rDwQ)eeY>o%ruQBG5y=hIvAb1P!v)|QsGFSouGQcyz$O1*!uE&v zLc9=kACe@;3br|n6E9ghPKx86Mx@u{zv7BbnVJF4~P@Jr8psfcR<_}1;iwB|BO0~p?(w5yst)L_$43lZ^&31EiWNq@itsWz62DKo`MOeZx3GT?Xh2M2-hTo6 C=UjRK literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Release/src/main.d b/D21_ADC_with_DMA/Release/src/main.d new file mode 100644 index 0000000..61e4018 --- /dev/null +++ b/D21_ADC_with_DMA/Release/src/main.d @@ -0,0 +1,430 @@ +src/main.d src/main.o: ../src/main.c ../src/asf.h \ + ../src/ASF/sam0/drivers/adc/adc.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h \ + ../src/ASF/sam0/drivers/dma/dma.h ../src/config/conf_dma.h \ + ../src/ASF/sam0/drivers/dma/dma_crc.h \ + ../src/ASF/common2/services/delay/delay.h \ + ../src/ASF/common2/services/delay/sam0/systick_counter.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h \ + ../src/ASF/sam0/drivers/sercom/sercom_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/sercom.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h \ + ../src/ASF/sam0/drivers/sercom/usart/usart.h \ + ../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h \ + ../src/ASF/common/services/serial/serial.h \ + ../src/ASF/common/services/serial/sam0_usart/usart_serial.h \ + ../src/drivers/pusart.h ../src/drivers/padc.h + +../src/asf.h: + +../src/ASF/sam0/drivers/adc/adc.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h: + +../src/ASF/sam0/drivers/dma/dma.h: + +../src/config/conf_dma.h: + +../src/ASF/sam0/drivers/dma/dma_crc.h: + +../src/ASF/common2/services/delay/delay.h: + +../src/ASF/common2/services/delay/sam0/systick_counter.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: + +../src/ASF/sam0/drivers/sercom/sercom_interrupt.h: + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h: + +../src/ASF/sam0/drivers/sercom/usart/usart.h: + +../src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h: + +../src/ASF/common/services/serial/serial.h: + +../src/ASF/common/services/serial/sam0_usart/usart_serial.h: + +../src/drivers/pusart.h: + +../src/drivers/padc.h: diff --git a/D21_ADC_with_DMA/Release/src/main.o b/D21_ADC_with_DMA/Release/src/main.o new file mode 100644 index 0000000000000000000000000000000000000000..a22fb1f61cfe722ad7cad523af91c84f7028b41c GIT binary patch literal 2192 zcma)6J!~9B6n=YmK072qj)C~+&dvm4#TMLV_iUVCH#zQz1Lu<>kPu+OvOnX?O1pQ< z?ren9Bn1T$NKjBj0*Mq9DUhIm2#F#ol8AzWA`(q{Dhdb%LPFuay`9V6Dp7dS%=f`nTou)p)$^;0s}hasiB_hC*r-y+v$>VE_A9c+vCcKsFVV_>yfWqU>6(0z7> z_qws$I~rBCwT+Ec&1bJQI<30S8m%U4alg;v$nAAmtJAuk|c7qt~9 znYT^7{vs~gY_7AVhVZ$^tl0IOb@se=VwtU}E2_@wntohct7~j23eBE-GFXQ8}r zyt7A{Dorah%B(V{ER--{M6&fR!5{as&f>^z04QRGvQk7#R*F!9iL3!R)#BpNHoANf z%DGyV@s16IA{F#!r3mA2EHzNx3i8ofk7vU%yU}cluqWq#47M_sNz~uWah~I0j$c4d zx!lG!h5P*==l?XvKhN=Ra{R{}znSB|&9M7%Pw;RU5a2a5Tr!1mAdEHn)gjuA1ov?|SAfGkb9bP2GYjyZX?fg%AdbvX4E%xV*clCT2n7To zX0?NHB7!8K%Y|`n@G{fM?OogBk>N)W`+Wz`;Uc!eK}c#>mMB6l}t+6=&BB?-S>Uo!!+>6QcWR3*NO#?F!BE(~{a~O^E*5t2~ zk0T$+QEc?`9~Gxz42{XIdmFiwQmQMicrochip Support + */ + +#ifndef _BOARD_H_ +#define _BOARD_H_ + +/** + * \defgroup group_common_boards Generic board support + * + * The generic board support module includes board-specific definitions + * and function prototypes, such as the board initialization function. + * + * \{ + */ + +#include "compiler.h" + +#ifdef __cplusplus +extern "C" { +#endif + + +/*! \name Base Boards + */ +//! @{ +#define EVK1100 1 //!< AT32UC3A EVK1100 board. +#define EVK1101 2 //!< AT32UC3B EVK1101 board. +#define UC3C_EK 3 //!< AT32UC3C UC3C-EK board. +#define EVK1104 4 //!< AT32UC3A3 EVK1104 board. +#define EVK1105 5 //!< AT32UC3A EVK1105 board. +#define STK600_RCUC3L0 6 //!< STK600 RCUC3L0 board. +#define UC3L_EK 7 //!< AT32UC3L-EK board. +#define XPLAIN 8 //!< ATxmega128A1 Xplain board. +#define STK600_RC064X 10 //!< ATxmega256A3 STK600 board. +#define STK600_RC100X 11 //!< ATxmega128A1 STK600 board. +#define UC3_A3_XPLAINED 13 //!< ATUC3A3 UC3-A3 Xplained board. +#define UC3_L0_XPLAINED 15 //!< ATUC3L0 UC3-L0 Xplained board. +#define STK600_RCUC3D 16 //!< STK600 RCUC3D board. +#define STK600_RCUC3C0 17 //!< STK600 RCUC3C board. +#define XMEGA_B1_XPLAINED 18 //!< ATxmega128B1 Xplained board. +#define XMEGA_A1_XPLAINED 19 //!< ATxmega128A1 Xplain-A1 board. +#define XMEGA_A1U_XPLAINED_PRO 20 //!< ATxmega128A1U XMEGA-A1U Xplained Pro board. +#define STK600_RCUC3L4 21 //!< ATUCL4 STK600 board. +#define UC3_L0_XPLAINED_BC 22 //!< ATUC3L0 UC3-L0 Xplained board controller board. +#define MEGA1284P_XPLAINED_BC 23 //!< ATmega1284P-Xplained board controller board. +#define STK600_RC044X 24 //!< STK600 with RC044X routing card board. +#define STK600_RCUC3B0 25 //!< STK600 RCUC3B0 board. +#define UC3_L0_QT600 26 //!< QT600 UC3L0 MCU board. +#define XMEGA_A3BU_XPLAINED 27 //!< ATxmega256A3BU Xplained board. +#define STK600_RC064X_LCDX 28 //!< XMEGAB3 STK600 RC064X LCDX board. +#define STK600_RC100X_LCDX 29 //!< XMEGAB1 STK600 RC100X LCDX board. +#define UC3B_BOARD_CONTROLLER 30 //!< AT32UC3B1 board controller for Atmel boards. +#define RZ600 31 //!< AT32UC3A RZ600 MCU board. +#define SAM3S_EK 32 //!< SAM3S-EK board. +#define SAM3U_EK 33 //!< SAM3U-EK board. +#define SAM3X_EK 34 //!< SAM3X-EK board. +#define SAM3N_EK 35 //!< SAM3N-EK board. +#define SAM3S_EK2 36 //!< SAM3S-EK2 board. +#define SAM4S_EK 37 //!< SAM4S-EK board. +#define STK600_RCUC3A0 38 //!< STK600 RCUC3A0 board. +#define STK600_MEGA 39 //!< STK600 MEGA board. +#define MEGA_1284P_XPLAINED 40 //!< ATmega1284P Xplained board. +#define SAM4S_XPLAINED 41 //!< SAM4S Xplained board. +#define ATXMEGA128A1_QT600 42 //!< QT600 ATXMEGA128A1 MCU board. +#define ARDUINO_DUE_X 43 //!< Arduino Due/X board. +#define STK600_RCUC3L3 44 //!< ATUCL3 STK600 board. +#define SAM4L_EK 45 //!< SAM4L-EK board. +#define STK600_MEGA_RF 46 //!< STK600 MEGA RF EVK board. +#define XMEGA_C3_XPLAINED 47 //!< ATxmega384C3 Xplained board. +#define STK600_RC032X 48 //!< STK600 with RC032X routing card board. +#define SAM4S_EK2 49 //!< SAM4S-EK2 board. +#define XMEGA_E5_XPLAINED 50 //!< ATxmega32E5 Xplained board. +#define SAM4E_EK 51 //!< SAM4E-EK board. +#define ATMEGA256RFR2_XPLAINED_PRO 52 //!< ATmega256RFR2 Xplained Pro board. +#define SAM4S_XPLAINED_PRO 53 //!< SAM4S Xplained Pro board. +#define SAM4L_XPLAINED_PRO 54 //!< SAM4L Xplained Pro board. +#define ATMEGA256RFR2_ZIGBIT 55 //!< ATmega256RFR2 zigbit. +#define XMEGA_RF233_ZIGBIT 56 //!< ATxmega256A3U with AT86RF233 Zigbit. +#define XMEGA_RF212B_ZIGBIT 57 //!< ATxmega256A3U with AT86RF212B Zigbit. +#define SAM4S_WPIR_RD 58 //!< SAM4S-WPIR-RD board. +#define SAMD20_XPLAINED_PRO 59 //!< SAM D20 Xplained Pro board. +#define SAM4L8_XPLAINED_PRO 60 //!< SAM4L8 Xplained Pro board. +#define SAM4N_XPLAINED_PRO 61 //!< SAM4N Xplained Pro board. +#define XMEGA_A3_REB_CBB 62 //!< XMEGA REB Controller Base board. +#define ATMEGARFX_RCB 63 //!< RFR2 & RFA1 RCB. +#define SAM4C_EK 64 //!< SAM4C-EK board. +#define RCB256RFR2_XPRO 65 //!< RFR2 RCB Xplained Pro board. +#define SAMG53_XPLAINED_PRO 66 //!< SAMG53 Xplained Pro board. +#define SAM4CP16BMB 67 //!< SAM4CP16BMB board. +#define SAM4E_XPLAINED_PRO 68 //!< SAM4E Xplained Pro board. +#define SAMD21_XPLAINED_PRO 69 //!< SAM D21 Xplained Pro board. +#define SAMR21_XPLAINED_PRO 70 //!< SAM R21 Xplained Pro board. +#define SAM4CMP_DB 71 //!< SAM4CMP demo board. +#define SAM4CMS_DB 72 //!< SAM4CMS demo board. +#define ATPL230AMB 73 //!< ATPL230AMB board. +#define SAMD11_XPLAINED_PRO 74 //!< SAM D11 Xplained Pro board. +#define SAMG55_XPLAINED_PRO 75 //!< SAMG55 Xplained Pro board. +#define SAML21_XPLAINED_PRO 76 //!< SAM L21 Xplained Pro board. +#define SAMD10_XPLAINED_MINI 77 //!< SAM D10 Xplained Mini board. +#define SAMDA1_XPLAINED_PRO 78 //!< SAM DA1 Xplained Pro board. +#define SAMW25_XPLAINED_PRO 79 //!< SAMW25 Xplained Pro board. +#define SAMC21_XPLAINED_PRO 80 //!< SAM C21 Xplained Pro board. +#define SAMV71_XPLAINED_ULTRA 81 //!< SAMV71 Xplained Ultra board. +#define ATMEGA328P_XPLAINED_MINI 82 //!< ATMEGA328P Xplained MINI board. +#define ATMEGA328PB_XPLAINED_MINI 83 //!< ATMEGA328PB Xplained MINI board. +#define SAMB11_XPLAINED_PRO 84 //!< SAM B11 Xplained Pro board. +#define SAME70_XPLAINED 85 //!< SAME70 Xplained board. +#define SAML22_XPLAINED_PRO 86 //!< SAM L22 Xplained Pro board. +#define SAML22_XPLAINED_PRO_B 87 //!< SAM L22 Xplained Pro board. +#define SAMR21ZLL_EK 88 //!< SAMR21ZLL-EK board. +#define ATMEGA168PB_XPLAINED_MINI 89 //!< ATMEGA168PB Xplained MINI board. +#define ATMEGA324PB_XPLAINED_PRO 90 //!< ATMEGA324PB Xplained Pro board. +#define SAMB11ZR_XPLAINED_PRO 92 //!< SAM B11 ZR Xplained Pro board. +#define SAMR30_XPLAINED_PRO 93 //!< SAM R30 Xplained Pro board. +#define SAMHA1G16A_XPLAINED_PRO 94 //!< SAM HA1G16A Xplained Pro board. +#define SAMR34_XPLAINED_PRO 95 //!< SAM R34 Xplained Pro board. +#define SIMULATOR_XMEGA_A1 97 //!< Simulator for XMEGA A1 devices. +#define AVR_SIMULATOR_UC3 98 //!< Simulator for the AVR UC3 device family. +#define USER_BOARD 99 //!< User-reserved board (if any). +#define DUMMY_BOARD 100 //!< Dummy board to support board-independent applications (e.g. bootloader). +#define SAMB11ZR_SENSOR_TAG 101 //!< SAMB11ZR sensor tag board +#define SAMR30_MODULE_XPLAINED_PRO 102 //!< SAM R30 Module Xplained Pro board. +#define SAMR21G18_MODULE 103 //!< SAMR21G18-MR210UA Module. +#define SAMR21B18_MODULE 104 //!< SAMR21B18-MZ210PA Module. +//! @} + +/*! \name Extension Boards + */ +//! @{ +#define EXT1102 1 //!< AT32UC3B EXT1102 board +#define MC300 2 //!< AT32UC3 MC300 board +#define SENSORS_XPLAINED_INERTIAL_1 3 //!< Xplained inertial sensor board 1 +#define SENSORS_XPLAINED_INERTIAL_2 4 //!< Xplained inertial sensor board 2 +#define SENSORS_XPLAINED_PRESSURE_1 5 //!< Xplained pressure sensor board +#define SENSORS_XPLAINED_LIGHTPROX_1 6 //!< Xplained light & proximity sensor board +#define SENSORS_XPLAINED_INERTIAL_A1 7 //!< Xplained inertial sensor board "A" +#define RZ600_AT86RF231 8 //!< AT86RF231 RF board in RZ600 +#define RZ600_AT86RF230B 9 //!< AT86RF230B RF board in RZ600 +#define RZ600_AT86RF212 10 //!< AT86RF212 RF board in RZ600 +#define SENSORS_XPLAINED_BREADBOARD 11 //!< Xplained sensor development breadboard +#define SECURITY_XPLAINED 12 //!< Xplained ATSHA204 board +#define USER_EXT_BOARD 99 //!< User-reserved extension board (if any). +//! @} + +#if BOARD == EVK1100 +# include "evk1100/evk1100.h" +#elif BOARD == EVK1101 +# include "evk1101/evk1101.h" +#elif BOARD == UC3C_EK +# include "uc3c_ek/uc3c_ek.h" +#elif BOARD == EVK1104 +# include "evk1104/evk1104.h" +#elif BOARD == EVK1105 +# include "evk1105/evk1105.h" +#elif BOARD == STK600_RCUC3L0 +# include "stk600/rcuc3l0/stk600_rcuc3l0.h" +#elif BOARD == UC3L_EK +# include "uc3l_ek/uc3l_ek.h" +#elif BOARD == STK600_RCUC3L4 +# include "stk600/rcuc3l4/stk600_rcuc3l4.h" +#elif BOARD == XPLAIN +# include "xplain/xplain.h" +#elif BOARD == STK600_MEGA + /*No header-file to include*/ +#elif BOARD == STK600_MEGA_RF +# include "stk600.h" +#elif BOARD == ATMEGA256RFR2_XPLAINED_PRO +# include "atmega256rfr2_xplained_pro/atmega256rfr2_xplained_pro.h" +#elif BOARD == ATMEGA256RFR2_ZIGBIT +# include "atmega256rfr2_zigbit/atmega256rfr2_zigbit.h" +#elif BOARD == STK600_RC032X +# include "stk600/rc032x/stk600_rc032x.h" +#elif BOARD == STK600_RC044X +# include "stk600/rc044x/stk600_rc044x.h" +#elif BOARD == STK600_RC064X +# include "stk600/rc064x/stk600_rc064x.h" +#elif BOARD == STK600_RC100X +# include "stk600/rc100x/stk600_rc100x.h" +#elif BOARD == UC3_A3_XPLAINED +# include "uc3_a3_xplained/uc3_a3_xplained.h" +#elif BOARD == UC3_L0_XPLAINED +# include "uc3_l0_xplained/uc3_l0_xplained.h" +#elif BOARD == STK600_RCUC3B0 +# include "stk600/rcuc3b0/stk600_rcuc3b0.h" +#elif BOARD == STK600_RCUC3D +# include "stk600/rcuc3d/stk600_rcuc3d.h" +#elif BOARD == STK600_RCUC3C0 +# include "stk600/rcuc3c0/stk600_rcuc3c0.h" +#elif BOARD == SAMG53_XPLAINED_PRO +# include "samg53_xplained_pro/samg53_xplained_pro.h" +#elif BOARD == SAMG55_XPLAINED_PRO +# include "samg55_xplained_pro/samg55_xplained_pro.h" +#elif BOARD == XMEGA_B1_XPLAINED +# include "xmega_b1_xplained/xmega_b1_xplained.h" +#elif BOARD == STK600_RC064X_LCDX +# include "stk600/rc064x_lcdx/stk600_rc064x_lcdx.h" +#elif BOARD == STK600_RC100X_LCDX +# include "stk600/rc100x_lcdx/stk600_rc100x_lcdx.h" +#elif BOARD == XMEGA_A1_XPLAINED +# include "xmega_a1_xplained/xmega_a1_xplained.h" +#elif BOARD == XMEGA_A1U_XPLAINED_PRO +# include "xmega_a1u_xplained_pro/xmega_a1u_xplained_pro.h" +#elif BOARD == UC3_L0_XPLAINED_BC +# include "uc3_l0_xplained_bc/uc3_l0_xplained_bc.h" +#elif BOARD == SAM3S_EK +# include "sam3s_ek/sam3s_ek.h" +# include "system_sam3s.h" +#elif BOARD == SAM3S_EK2 +# include "sam3s_ek2/sam3s_ek2.h" +# include "system_sam3sd8.h" +#elif BOARD == SAM3U_EK +# include "sam3u_ek/sam3u_ek.h" +# include "system_sam3u.h" +#elif BOARD == SAM3X_EK +# include "sam3x_ek/sam3x_ek.h" +# include "system_sam3x.h" +#elif BOARD == SAM3N_EK +# include "sam3n_ek/sam3n_ek.h" +# include "system_sam3n.h" +#elif BOARD == SAM4S_EK +# include "sam4s_ek/sam4s_ek.h" +# include "system_sam4s.h" +#elif BOARD == SAM4S_WPIR_RD +# include "sam4s_wpir_rd/sam4s_wpir_rd.h" +# include "system_sam4s.h" +#elif BOARD == SAM4S_XPLAINED +# include "sam4s_xplained/sam4s_xplained.h" +# include "system_sam4s.h" +#elif BOARD == SAM4S_EK2 +# include "sam4s_ek2/sam4s_ek2.h" +# include "system_sam4s.h" +#elif BOARD == MEGA_1284P_XPLAINED + /*No header-file to include*/ +#elif BOARD == ARDUINO_DUE_X +# include "arduino_due_x/arduino_due_x.h" +# include "system_sam3x.h" +#elif BOARD == SAM4L_EK +# include "sam4l_ek/sam4l_ek.h" +#elif BOARD == SAM4E_EK +# include "sam4e_ek/sam4e_ek.h" +#elif BOARD == SAMD20_XPLAINED_PRO +# include "samd20_xplained_pro/samd20_xplained_pro.h" +#elif BOARD == SAMD21_XPLAINED_PRO +# include "samd21_xplained_pro/samd21_xplained_pro.h" +#elif BOARD == SAMR21_XPLAINED_PRO +# include "samr21_xplained_pro/samr21_xplained_pro.h" +#elif BOARD == SAMR30_XPLAINED_PRO && defined(__SAMR30G18A__) +# include "samr30_xplained_pro/samr30_xplained_pro.h" +#elif BOARD == SAMR30_MODULE_XPLAINED_PRO && defined(__SAMR30E18A__) +# include "samr30_module_xplained_pro/samr30_module_xplained_pro.h" +#elif BOARD == SAMR21ZLL_EK +# include "samr21zll_ek/samr21zll_ek.h" +#elif BOARD == SAMD11_XPLAINED_PRO +# include "samd11_xplained_pro/samd11_xplained_pro.h" +#elif BOARD == SAML21_XPLAINED_PRO && defined(__SAML21J18A__) +# include "saml21_xplained_pro/saml21_xplained_pro.h" +#elif BOARD == SAML22_XPLAINED_PRO +# include "saml22_xplained_pro/saml22_xplained_pro.h" +#elif BOARD == SAML22_XPLAINED_PRO_B +# include "saml22_xplained_pro_b/saml22_xplained_pro_b.h" +#elif BOARD == SAML21_XPLAINED_PRO && defined(__SAML21J18B__) +# include "saml21_xplained_pro_b/saml21_xplained_pro.h" +#elif BOARD == SAMD10_XPLAINED_MINI +# include "samd10_xplained_mini/samd10_xplained_mini.h" +#elif BOARD == SAMDA1_XPLAINED_PRO +# include "samda1_xplained_pro/samda1_xplained_pro.h" +#elif BOARD == SAMHA1G16A_XPLAINED_PRO +# include "samha1g16a_xplained_pro/samha1g16a_xplained_pro.h" +#elif BOARD == SAMC21_XPLAINED_PRO +# include "samc21_xplained_pro/samc21_xplained_pro.h" +#elif BOARD == SAM4N_XPLAINED_PRO +# include "sam4n_xplained_pro/sam4n_xplained_pro.h" +#elif BOARD == SAMW25_XPLAINED_PRO +# include "samw25_xplained_pro/samw25_xplained_pro.h" +#elif BOARD == SAMV71_XPLAINED_ULTRA +# include "samv71_xplained_ultra/samv71_xplained_ultra.h" +#elif BOARD == MEGA1284P_XPLAINED_BC +# include "mega1284p_xplained_bc/mega1284p_xplained_bc.h" +#elif BOARD == UC3_L0_QT600 +# include "uc3_l0_qt600/uc3_l0_qt600.h" +#elif BOARD == XMEGA_A3BU_XPLAINED +# include "xmega_a3bu_xplained/xmega_a3bu_xplained.h" +#elif BOARD == XMEGA_E5_XPLAINED +# include "xmega_e5_xplained/xmega_e5_xplained.h" +#elif BOARD == UC3B_BOARD_CONTROLLER +# include "uc3b_board_controller/uc3b_board_controller.h" +#elif BOARD == RZ600 +# include "rz600/rz600.h" +#elif BOARD == STK600_RCUC3A0 +# include "stk600/rcuc3a0/stk600_rcuc3a0.h" +#elif BOARD == ATXMEGA128A1_QT600 +# include "atxmega128a1_qt600/atxmega128a1_qt600.h" +#elif BOARD == STK600_RCUC3L3 +# include "stk600/rcuc3l3/stk600_rcuc3l3.h" +#elif BOARD == SAM4S_XPLAINED_PRO +# include "sam4s_xplained_pro/sam4s_xplained_pro.h" +#elif BOARD == SAM4L_XPLAINED_PRO +# include "sam4l_xplained_pro/sam4l_xplained_pro.h" +#elif BOARD == SAM4L8_XPLAINED_PRO +# include "sam4l8_xplained_pro/sam4l8_xplained_pro.h" +#elif BOARD == SAM4C_EK +# include "sam4c_ek/sam4c_ek.h" +#elif BOARD == SAM4CMP_DB +# include "sam4cmp_db/sam4cmp_db.h" +#elif BOARD == SAM4CMS_DB +# include "sam4cms_db/sam4cms_db.h" +#elif BOARD == SAM4CP16BMB +# include "sam4cp16bmb/sam4cp16bmb.h" +#elif BOARD == ATPL230AMB +# include "atpl230amb/atpl230amb.h" +#elif BOARD == XMEGA_C3_XPLAINED +# include "xmega_c3_xplained/xmega_c3_xplained.h" +#elif BOARD == XMEGA_RF233_ZIGBIT +# include "xmega_rf233_zigbit/xmega_rf233_zigbit.h" +#elif BOARD == XMEGA_A3_REB_CBB +# include "xmega_a3_reb_cbb/xmega_a3_reb_cbb.h" +#elif BOARD == ATMEGARFX_RCB +# include "atmegarfx_rcb/atmegarfx_rcb.h" +#elif BOARD == RCB256RFR2_XPRO +# include "atmega256rfr2_rcb_xpro/atmega256rfr2_rcb_xpro.h" +#elif BOARD == XMEGA_RF212B_ZIGBIT +# include "xmega_rf212b_zigbit/xmega_rf212b_zigbit.h" +#elif BOARD == SAM4E_XPLAINED_PRO +# include "sam4e_xplained_pro/sam4e_xplained_pro.h" +#elif BOARD == ATMEGA328P_XPLAINED_MINI +# include "atmega328p_xplained_mini/atmega328p_xplained_mini.h" +#elif BOARD == ATMEGA328PB_XPLAINED_MINI +# include "atmega328pb_xplained_mini/atmega328pb_xplained_mini.h" +#elif BOARD == SAMB11_XPLAINED_PRO +# include "samb11_xplained_pro/samb11_xplained_pro.h" +#elif BOARD == SAME70_XPLAINED +# include "same70_xplained/same70_xplained.h" +#elif BOARD == ATMEGA168PB_XPLAINED_MINI +# include "atmega168pb_xplained_mini/atmega168pb_xplained_mini.h" +#elif BOARD == ATMEGA324PB_XPLAINED_PRO +# include "atmega324pb_xplained_pro/atmega324pb_xplained_pro.h" +#elif BOARD == SAMB11ZR_XPLAINED_PRO +# include "samb11zr_xplained_pro/samb11zr_xplained_pro.h" +#elif BOARD == SIMULATOR_XMEGA_A1 +# include "simulator/xmega_a1/simulator_xmega_a1.h" +#elif BOARD == AVR_SIMULATOR_UC3 +# include "avr_simulator_uc3/avr_simulator_uc3.h" +#elif BOARD == SAMR21G18_MODULE +# include "samr21g18_module/samr21g18_module.h" +#elif BOARD == SAMR21B18_MODULE +# include "samr21b18_module/samr21b18_module.h" +#elif BOARD == SAMR34_XPLAINED_PRO && defined(__SAMR34J18B__) +# include "samr34_xplained_pro/samr34_xplained_pro.h" +#elif BOARD == USER_BOARD +// User-reserved area: #include the header file of your board here (if any). +# include "user_board.h" +#elif BOARD == DUMMY_BOARD +# include "dummy/dummy_board.h" +#elif BOARD == SAMB11ZR_SENSOR_TAG +# include "samb11zr_sensor_tag/samb11zr_sensor_tag.h" +#else +# error No known Atmel board defined +#endif + +#if (defined EXT_BOARD) +# if EXT_BOARD == MC300 +# include "mc300/mc300.h" +# elif (EXT_BOARD == SENSORS_XPLAINED_INERTIAL_1) || \ + (EXT_BOARD == SENSORS_XPLAINED_INERTIAL_2) || \ + (EXT_BOARD == SENSORS_XPLAINED_INERTIAL_A1) || \ + (EXT_BOARD == SENSORS_XPLAINED_PRESSURE_1) || \ + (EXT_BOARD == SENSORS_XPLAINED_LIGHTPROX_1) || \ + (EXT_BOARD == SENSORS_XPLAINED_BREADBOARD) +# include "sensors_xplained/sensors_xplained.h" +# elif EXT_BOARD == RZ600_AT86RF231 +# include "at86rf231/at86rf231.h" +# elif EXT_BOARD == RZ600_AT86RF230B +# include "at86rf230b/at86rf230b.h" +# elif EXT_BOARD == RZ600_AT86RF212 +# include "at86rf212/at86rf212.h" +# elif EXT_BOARD == SECURITY_XPLAINED +# include "security_xplained.h" +# elif EXT_BOARD == USER_EXT_BOARD + // User-reserved area: #include the header file of your extension board here + // (if any). +# endif +#endif + + +#if (defined(__GNUC__) && defined(__AVR32__)) || (defined(__ICCAVR32__) || defined(__AAVR32__)) +#ifdef __AVR32_ABI_COMPILER__ // Automatically defined when compiling for AVR32, not when assembling. + +/*! \brief This function initializes the board target resources + * + * This function should be called to ensure proper initialization of the target + * board hardware connected to the part. + */ +extern void board_init(void); + +#endif // #ifdef __AVR32_ABI_COMPILER__ +#else +/*! \brief This function initializes the board target resources + * + * This function should be called to ensure proper initialization of the target + * board hardware connected to the part. + */ +extern void board_init(void); +#endif + + +#ifdef __cplusplus +} +#endif + +/** + * \} + */ + +#endif // _BOARD_H_ diff --git a/D21_ADC_with_DMA/src/ASF/common/services/serial/sam0_usart/usart_serial.h b/D21_ADC_with_DMA/src/ASF/common/services/serial/sam0_usart/usart_serial.h new file mode 100644 index 0000000..aa39c68 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/services/serial/sam0_usart/usart_serial.h @@ -0,0 +1,141 @@ +/** + * \file + * + * \brief USART Serial wrapper service for the SAM D/L/C/R devices. + * + * Copyright (c) 2009-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef _USART_SERIAL_H_ +#define _USART_SERIAL_H_ + +#include "compiler.h" +#include "status_codes.h" +#include "usart.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** \name Serial Management Configuration */ + +typedef Sercom * usart_inst_t; + +//struct usart_module usart; + +/*! \brief Initializes the Usart in serial mode. + * + * \param[in,out] module Software instance of the USART to initialize. + * \param[in] hw Base address of the hardware USART. + * \param[in] config Configuration settings for the USART. + * + * \retval true if the initialization was successful + * \retval false if initialization failed (error in baud rate calculation) + */ +static inline bool usart_serial_init( + struct usart_module *const module, + usart_inst_t const hw, + const struct usart_config *const config) +{ + if (usart_init(module, hw, config) == STATUS_OK) { + return true; + } + else { + return false; + } +} + +/** \brief Sends a character with the USART. + * + * \param[in,out] module Software instance of the USART. + * \param[in] c Character to write. + * + * \return Status code + */ +static inline enum status_code usart_serial_putchar( + struct usart_module *const module, + uint8_t c) +{ + while(STATUS_OK !=usart_write_wait(module, c)); + + return STATUS_OK; +} + +/** \brief Waits until a character is received, and returns it. + * + * \param[in,out] module Software instance of the USART. + * \param[out] c Destination for the read character. + */ +static inline void usart_serial_getchar( + struct usart_module *const module, + uint8_t *c) +{ + uint16_t temp = 0; + + while(STATUS_OK != usart_read_wait(module, &temp)); + + *c = temp; +} + +/** + * \brief Send a sequence of bytes to USART device + * + * \param[in,out] module Software instance of the USART. + * \param[in] tx_data Data buffer to read the data to write from. + * \param[in] length Length of data to write. + */ +static inline enum status_code usart_serial_write_packet( + struct usart_module *const module, + const uint8_t *tx_data, + uint16_t length) +{ + return usart_write_buffer_wait(module, tx_data, length); +} + +/** + * \brief Receive a sequence of bytes from USART device + * + * \param[in,out] module Software instance of the USART. + * \param[out] rx_data Data buffer to store the read data into. + * \param[in] length Length of data to read. + */ +static inline enum status_code usart_serial_read_packet( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length) +{ + return usart_read_buffer_wait(module, rx_data, length); +} + +#ifdef __cplusplus +} +#endif + +#endif // _USART_SERIAL_H_ diff --git a/D21_ADC_with_DMA/src/ASF/common/services/serial/serial.h b/D21_ADC_with_DMA/src/ASF/common/services/serial/serial.h new file mode 100644 index 0000000..21bb155 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/services/serial/serial.h @@ -0,0 +1,269 @@ +/** + * \file + * + * \brief Serial Mode management + * + * Copyright (c) 2010-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SERIAL_H_INCLUDED +#define SERIAL_H_INCLUDED + +#include +#include "status_codes.h" + +/** + * \typedef usart_if + * + * This type can be used independently to refer to USART module for the + * architecture used. It refers to the correct type definition for the + * architecture, ie. USART_t* for XMEGA or avr32_usart_t* for UC3. + */ + +#if XMEGA +# include "xmega_usart/usart_serial.h" +#elif MEGA_RF +# include "megarf_usart/usart_serial.h" +#elif UC3 +# include "uc3_usart/usart_serial.h" +#elif (SAMB) +#include "samb_uart/uart_serial.h" +#elif (SAM0) +#include "sam0_usart/usart_serial.h" +#elif SAM +# include "sam_uart/uart_serial.h" +#else +# error Unsupported chip type +#endif + +/** + * + * \defgroup serial_group Serial Interface (Serial) + * + * See \ref serial_quickstart. + * + * This is the common API for serial interface. Additional features are available + * in the documentation of the specific modules. + * + * \section serial_group_platform Platform Dependencies + * + * The serial API is partially chip- or platform-specific. While all + * platforms provide mostly the same functionality, there are some + * variations around how different bus types and clock tree structures + * are handled. + * + * The following functions are available on all platforms, but there may + * be variations in the function signature (i.e. parameters) and + * behaviour. These functions are typically called by platform-specific + * parts of drivers, and applications that aren't intended to be + * portable: + * - usart_serial_init() + * - usart_serial_putchar() + * - usart_serial_getchar() + * - usart_serial_write_packet() + * - usart_serial_read_packet() + * + * + * @{ + */ + +//! @} + +/** + * \page serial_quickstart Quick start guide for Serial Interface service + * + * This is the quick start guide for the \ref serial_group "Serial Interface module", with + * step-by-step instructions on how to configure and use the serial in a + * selection of use cases. + * + * The use cases contain several code fragments. The code fragments in the + * steps for setup can be copied into a custom initialization function, while + * the steps for usage can be copied into, e.g., the main application function. + * + * \section serial_use_cases Serial use cases + * - \ref serial_basic_use_case + * - \subpage serial_use_case_1 + * + * \section serial_basic_use_case Basic use case - transmit a character + * In this use case, the serial module is configured for: + * - Using USARTD0 + * - Baudrate: 9600 + * - Character length: 8 bit + * - Parity mode: Disabled + * - Stop bit: None + * - RS232 mode + * + * The use case waits for a received character on the configured USART and + * echoes the character back to the same USART. + * + * \section serial_basic_use_case_setup Setup steps + * + * \subsection serial_basic_use_case_setup_prereq Prerequisites + * -# \ref sysclk_group "System Clock Management (sysclk)" + * + * \subsection serial_basic_use_case_setup_code Example code + * The following configuration must be added to the project (typically to a + * conf_uart_serial.h file, but it can also be added to your main application file.) + * + * \note The following takes SAM3X configuration for example, other devices have similar + * configuration, but their parameters may be different, refer to corresponding header files. + * + * \code + #define USART_SERIAL &USARTD0 + #define USART_SERIAL_BAUDRATE 9600 + #define USART_SERIAL_CHAR_LENGTH US_MR_CHRL_8_BIT + #define USART_SERIAL_PARITY US_MR_PAR_NO + #define USART_SERIAL_STOP_BIT false +\endcode + * + * A variable for the received byte must be added: + * \code uint8_t received_byte; \endcode + * + * Add to application initialization: + * \code + sysclk_init(); + + static usart_serial_options_t usart_options = { + .baudrate = USART_SERIAL_BAUDRATE, + .charlength = USART_SERIAL_CHAR_LENGTH, + .paritytype = USART_SERIAL_PARITY, + .stopbits = USART_SERIAL_STOP_BIT + }; + + usart_serial_init(USART_SERIAL, &usart_options); +\endcode + * + * \subsection serial_basic_use_case_setup_flow Workflow + * -# Initialize system clock: + * - \code sysclk_init(); \endcode + * -# Create serial USART options struct: + * - \code + static usart_serial_options_t usart_options = { + .baudrate = USART_SERIAL_BAUDRATE, + .charlength = USART_SERIAL_CHAR_LENGTH, + .paritytype = USART_SERIAL_PARITY, + .stopbits = USART_SERIAL_STOP_BIT + }; +\endcode + * -# Initialize the serial service: + * - \code usart_serial_init(USART_SERIAL, &usart_options);\endcode + * + * \section serial_basic_use_case_usage Usage steps + * + * \subsection serial_basic_use_case_usage_code Example code + * Add to application C-file: + * \code + usart_serial_getchar(USART_SERIAL, &received_byte); + usart_serial_putchar(USART_SERIAL, received_byte); +\endcode + * + * \subsection serial_basic_use_case_usage_flow Workflow + * -# Wait for reception of a character: + * - \code usart_serial_getchar(USART_SERIAL, &received_byte); \endcode + * -# Echo the character back: + * - \code usart_serial_putchar(USART_SERIAL, received_byte); \endcode + */ + +/** + * \page serial_use_case_1 Advanced use case - Send a packet of serial data + * + * In this use case, the USART module is configured for: + * - Using USARTD0 + * - Baudrate: 9600 + * - Character length: 8 bit + * - Parity mode: Disabled + * - Stop bit: None + * - RS232 mode + * + * The use case sends a string of text through the USART. + * + * \section serial_use_case_1_setup Setup steps + * + * \subsection serial_use_case_1_setup_prereq Prerequisites + * -# \ref sysclk_group "System Clock Management (sysclk)" + * + * \subsection serial_use_case_1_setup_code Example code + * The following configuration must be added to the project (typically to a + * conf_uart_serial.h file, but it can also be added to your main application file.): + * + * \note The following takes SAM3X configuration for example, other devices have similar + * configuration, but their parameters may be different, refer to corresponding header files. + * + * \code + #define USART_SERIAL &USARTD0 + #define USART_SERIAL_BAUDRATE 9600 + #define USART_SERIAL_CHAR_LENGTH US_MR_CHRL_8_BIT + #define USART_SERIAL_PARITY US_MR_PAR_NO + #define USART_SERIAL_STOP_BIT false +\endcode + * + * Add to application initialization: + * \code + sysclk_init(); + + static usart_serial_options_t usart_options = { + .baudrate = USART_SERIAL_BAUDRATE, + .charlength = USART_SERIAL_CHAR_LENGTH, + .paritytype = USART_SERIAL_PARITY, + .stopbits = USART_SERIAL_STOP_BIT + }; + + usart_serial_init(USART_SERIAL, &usart_options); +\endcode + * + * \subsection serial_use_case_1_setup_flow Workflow + * -# Initialize system clock: + * - \code sysclk_init(); \endcode + * -# Create USART options struct: + * - \code + static usart_serial_options_t usart_options = { + .baudrate = USART_SERIAL_BAUDRATE, + .charlength = USART_SERIAL_CHAR_LENGTH, + .paritytype = USART_SERIAL_PARITY, + .stopbits = USART_SERIAL_STOP_BIT + }; +\endcode + * -# Initialize in RS232 mode: + * - \code usart_serial_init(USART_SERIAL_EXAMPLE, &usart_options); \endcode + * + * \section serial_use_case_1_usage Usage steps + * + * \subsection serial_use_case_1_usage_code Example code + * Add to, e.g., main loop in application C-file: + * \code + usart_serial_write_packet(USART_SERIAL, "Test String", strlen("Test String")); +\endcode + * + * \subsection serial_use_case_1_usage_flow Workflow + * -# Write a string of text to the USART: + * - \code usart_serial_write_packet(USART_SERIAL, "Test String", strlen("Test String")); \endcode + */ + +#endif /* SERIAL_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/common/utils/interrupt.h b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt.h new file mode 100644 index 0000000..450d0f9 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt.h @@ -0,0 +1,132 @@ +/** + * \file + * + * \brief Global interrupt management for 8- and 32-bit AVR + * + * Copyright (c) 2010-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef UTILS_INTERRUPT_H +#define UTILS_INTERRUPT_H + +#include + +#if XMEGA || MEGA +# include "interrupt/interrupt_avr8.h" +#elif UC3 +# include "interrupt/interrupt_avr32.h" +#elif SAM || SAMB +# include "interrupt/interrupt_sam_nvic.h" +#else +# error Unsupported device. +#endif + +/** + * \defgroup interrupt_group Global interrupt management + * + * This is a driver for global enabling and disabling of interrupts. + * + * @{ + */ + +#if defined(__DOXYGEN__) +/** + * \def CONFIG_INTERRUPT_FORCE_INTC + * \brief Force usage of the ASF INTC driver + * + * Predefine this symbol when preprocessing to force the use of the ASF INTC driver. + * This is useful to ensure compatibility across compilers and shall be used only when required + * by the application needs. + */ +# define CONFIG_INTERRUPT_FORCE_INTC +#endif + +//! \name Global interrupt flags +//@{ +/** + * \typedef irqflags_t + * \brief Type used for holding state of interrupt flag + */ + +/** + * \def cpu_irq_enable + * \brief Enable interrupts globally + */ + +/** + * \def cpu_irq_disable + * \brief Disable interrupts globally + */ + +/** + * \fn irqflags_t cpu_irq_save(void) + * \brief Get and clear the global interrupt flags + * + * Use in conjunction with \ref cpu_irq_restore. + * + * \return Current state of interrupt flags. + * + * \note This function leaves interrupts disabled. + */ + +/** + * \fn void cpu_irq_restore(irqflags_t flags) + * \brief Restore global interrupt flags + * + * Use in conjunction with \ref cpu_irq_save. + * + * \param flags State to set interrupt flag to. + */ + +/** + * \fn bool cpu_irq_is_enabled_flags(irqflags_t flags) + * \brief Check if interrupts are globally enabled in supplied flags + * + * \param flags Currents state of interrupt flags. + * + * \return True if interrupts are enabled. + */ + +/** + * \def cpu_irq_is_enabled + * \brief Check if interrupts are globally enabled + * + * \return True if interrupts are enabled. + */ +//@} + +//! @} + +/** + * \ingroup interrupt_group + * \defgroup interrupt_deprecated_group Deprecated interrupt definitions + */ + +#endif /* UTILS_INTERRUPT_H */ diff --git a/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.c b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.c new file mode 100644 index 0000000..269b4ce --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.c @@ -0,0 +1,76 @@ +/** + * \file + * + * \brief Global interrupt management for SAM D20, SAM3 and SAM4 (NVIC based) + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "interrupt_sam_nvic.h" + +#if !defined(__DOXYGEN__) +/* Deprecated - global flag to determine the global interrupt state. Required by + * QTouch library, however new applications should use cpu_irq_is_enabled() + * which probes the true global interrupt state from the CPU special registers. + */ +volatile bool g_interrupt_enabled = true; +#endif + +void cpu_irq_enter_critical(void) +{ + if (cpu_irq_critical_section_counter == 0) { + if (cpu_irq_is_enabled()) { + cpu_irq_disable(); + cpu_irq_prev_interrupt_state = true; + } else { + /* Make sure the to save the prev state as false */ + cpu_irq_prev_interrupt_state = false; + } + + } + + cpu_irq_critical_section_counter++; +} + +void cpu_irq_leave_critical(void) +{ + /* Check if the user is trying to leave a critical section when not in a critical section */ + Assert(cpu_irq_critical_section_counter > 0); + + cpu_irq_critical_section_counter--; + + /* Only enable global interrupts when the counter reaches 0 and the state of the global interrupt flag + was enabled when entering critical state */ + if ((cpu_irq_critical_section_counter == 0) && (cpu_irq_prev_interrupt_state)) { + cpu_irq_enable(); + } +} + diff --git a/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.h b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.h new file mode 100644 index 0000000..7d215cf --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/utils/interrupt/interrupt_sam_nvic.h @@ -0,0 +1,179 @@ +/** + * \file + * + * \brief Global interrupt management for SAM D20, SAM3 and SAM4 (NVIC based) + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef UTILS_INTERRUPT_INTERRUPT_H +#define UTILS_INTERRUPT_INTERRUPT_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \weakgroup interrupt_group + * + * @{ + */ + +/** + * \name Interrupt Service Routine definition + * + * @{ + */ + +/** + * \brief Define service routine + * + * \note For NVIC devices the interrupt service routines are predefined to + * add to vector table in binary generation, so there is no service + * register at run time. The routine collections are in exceptions.h. + * + * Usage: + * \code + ISR(foo_irq_handler) + { + // Function definition + ... + } +\endcode + * + * \param func Name for the function. + */ +# define ISR(func) \ + void func (void) + +/** + * \brief Initialize interrupt vectors + * + * For NVIC the interrupt vectors are put in vector table. So nothing + * to do to initialize them, except defined the vector function with + * right name. + * + * This must be called prior to \ref irq_register_handler. + */ +# define irq_initialize_vectors() \ + do { \ + } while(0) + +/** + * \brief Register handler for interrupt + * + * For NVIC the interrupt vectors are put in vector table. So nothing + * to do to register them, except defined the vector function with + * right name. + * + * Usage: + * \code + irq_initialize_vectors(); + irq_register_handler(foo_irq_handler); +\endcode + * + * \note The function \a func must be defined with the \ref ISR macro. + * \note The functions prototypes can be found in the device exception header + * files (exceptions.h). + */ +# define irq_register_handler(int_num, int_prio) \ + NVIC_ClearPendingIRQ( (IRQn_Type)int_num); \ + NVIC_SetPriority( (IRQn_Type)int_num, int_prio); \ + NVIC_EnableIRQ( (IRQn_Type)int_num); \ + +//@} + +# define cpu_irq_enable() \ + do { \ + g_interrupt_enabled = true; \ + __DMB(); \ + __enable_irq(); \ + } while (0) +# define cpu_irq_disable() \ + do { \ + __disable_irq(); \ + __DMB(); \ + g_interrupt_enabled = false; \ + } while (0) + +typedef uint32_t irqflags_t; + +#if !defined(__DOXYGEN__) +extern volatile bool g_interrupt_enabled; +#endif + +#define cpu_irq_is_enabled() (__get_PRIMASK() == 0) + +static volatile uint32_t cpu_irq_critical_section_counter; +static volatile bool cpu_irq_prev_interrupt_state; + +static inline irqflags_t cpu_irq_save(void) +{ + volatile irqflags_t flags = cpu_irq_is_enabled(); + cpu_irq_disable(); + return flags; +} + +static inline bool cpu_irq_is_enabled_flags(irqflags_t flags) +{ + return (flags); +} + +static inline void cpu_irq_restore(irqflags_t flags) +{ + if (cpu_irq_is_enabled_flags(flags)) + cpu_irq_enable(); +} + +void cpu_irq_enter_critical(void); +void cpu_irq_leave_critical(void); + +/** + * \weakgroup interrupt_deprecated_group + * @{ + */ + +#define Enable_global_interrupt() cpu_irq_enable() +#define Disable_global_interrupt() cpu_irq_disable() +#define Is_global_interrupt_enabled() cpu_irq_is_enabled() + +//@} + +//@} + +#ifdef __cplusplus +} +#endif + +#endif /* UTILS_INTERRUPT_INTERRUPT_H */ diff --git a/D21_ADC_with_DMA/src/ASF/common/utils/parts.h b/D21_ADC_with_DMA/src/ASF/common/utils/parts.h new file mode 100644 index 0000000..1c147bf --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common/utils/parts.h @@ -0,0 +1,1754 @@ +/** + * \file + * + * \brief Atmel part identification macros + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef ATMEL_PARTS_H +#define ATMEL_PARTS_H + +/** + * \defgroup part_macros_group Atmel part identification macros + * + * This collection of macros identify which series and families that the various + * Atmel parts belong to. These can be used to select part-dependent sections of + * code at compile time. + * + * @{ + */ + +/** + * \name Convenience macros for part checking + * @{ + */ +/* ! Check GCC and IAR part definition for 8-bit AVR */ +#define AVR8_PART_IS_DEFINED(part) \ + (defined(__ ## part ## __) || defined(__AVR_ ## part ## __)) + +/* ! Check GCC and IAR part definition for 32-bit AVR */ +#define AVR32_PART_IS_DEFINED(part) \ + (defined(__AT32 ## part ## __) || defined(__AVR32_ ## part ## __)) + +/* ! Check GCC and IAR part definition for SAM */ +#define SAM_PART_IS_DEFINED(part) (defined(__ ## part ## __)) +/** @} */ + +/** + * \defgroup uc3_part_macros_group AVR UC3 parts + * @{ + */ + +/** + * \name AVR UC3 A series + * @{ + */ +#define UC3A0 ( \ + AVR32_PART_IS_DEFINED(UC3A0128) || \ + AVR32_PART_IS_DEFINED(UC3A0256) || \ + AVR32_PART_IS_DEFINED(UC3A0512) \ + ) + +#define UC3A1 ( \ + AVR32_PART_IS_DEFINED(UC3A1128) || \ + AVR32_PART_IS_DEFINED(UC3A1256) || \ + AVR32_PART_IS_DEFINED(UC3A1512) \ + ) + +#define UC3A3 ( \ + AVR32_PART_IS_DEFINED(UC3A364) || \ + AVR32_PART_IS_DEFINED(UC3A364S) || \ + AVR32_PART_IS_DEFINED(UC3A3128) || \ + AVR32_PART_IS_DEFINED(UC3A3128S) || \ + AVR32_PART_IS_DEFINED(UC3A3256) || \ + AVR32_PART_IS_DEFINED(UC3A3256S) \ + ) + +#define UC3A4 ( \ + AVR32_PART_IS_DEFINED(UC3A464) || \ + AVR32_PART_IS_DEFINED(UC3A464S) || \ + AVR32_PART_IS_DEFINED(UC3A4128) || \ + AVR32_PART_IS_DEFINED(UC3A4128S) || \ + AVR32_PART_IS_DEFINED(UC3A4256) || \ + AVR32_PART_IS_DEFINED(UC3A4256S) \ + ) +/** @} */ + +/** + * \name AVR UC3 B series + * @{ + */ +#define UC3B0 ( \ + AVR32_PART_IS_DEFINED(UC3B064) || \ + AVR32_PART_IS_DEFINED(UC3B0128) || \ + AVR32_PART_IS_DEFINED(UC3B0256) || \ + AVR32_PART_IS_DEFINED(UC3B0512) \ + ) + +#define UC3B1 ( \ + AVR32_PART_IS_DEFINED(UC3B164) || \ + AVR32_PART_IS_DEFINED(UC3B1128) || \ + AVR32_PART_IS_DEFINED(UC3B1256) || \ + AVR32_PART_IS_DEFINED(UC3B1512) \ + ) +/** @} */ + +/** + * \name AVR UC3 C series + * @{ + */ +#define UC3C0 ( \ + AVR32_PART_IS_DEFINED(UC3C064C) || \ + AVR32_PART_IS_DEFINED(UC3C0128C) || \ + AVR32_PART_IS_DEFINED(UC3C0256C) || \ + AVR32_PART_IS_DEFINED(UC3C0512C) \ + ) + +#define UC3C1 ( \ + AVR32_PART_IS_DEFINED(UC3C164C) || \ + AVR32_PART_IS_DEFINED(UC3C1128C) || \ + AVR32_PART_IS_DEFINED(UC3C1256C) || \ + AVR32_PART_IS_DEFINED(UC3C1512C) \ + ) + +#define UC3C2 ( \ + AVR32_PART_IS_DEFINED(UC3C264C) || \ + AVR32_PART_IS_DEFINED(UC3C2128C) || \ + AVR32_PART_IS_DEFINED(UC3C2256C) || \ + AVR32_PART_IS_DEFINED(UC3C2512C) \ + ) +/** @} */ + +/** + * \name AVR UC3 D series + * @{ + */ +#define UC3D3 ( \ + AVR32_PART_IS_DEFINED(UC64D3) || \ + AVR32_PART_IS_DEFINED(UC128D3) \ + ) + +#define UC3D4 ( \ + AVR32_PART_IS_DEFINED(UC64D4) || \ + AVR32_PART_IS_DEFINED(UC128D4) \ + ) +/** @} */ + +/** + * \name AVR UC3 L series + * @{ + */ +#define UC3L0 ( \ + AVR32_PART_IS_DEFINED(UC3L016) || \ + AVR32_PART_IS_DEFINED(UC3L032) || \ + AVR32_PART_IS_DEFINED(UC3L064) \ + ) + +#define UC3L0128 ( \ + AVR32_PART_IS_DEFINED(UC3L0128) \ + ) + +#define UC3L0256 ( \ + AVR32_PART_IS_DEFINED(UC3L0256) \ + ) + +#define UC3L3 ( \ + AVR32_PART_IS_DEFINED(UC64L3U) || \ + AVR32_PART_IS_DEFINED(UC128L3U) || \ + AVR32_PART_IS_DEFINED(UC256L3U) \ + ) + +#define UC3L4 ( \ + AVR32_PART_IS_DEFINED(UC64L4U) || \ + AVR32_PART_IS_DEFINED(UC128L4U) || \ + AVR32_PART_IS_DEFINED(UC256L4U) \ + ) + +#define UC3L3_L4 (UC3L3 || UC3L4) +/** @} */ + +/** + * \name AVR UC3 families + * @{ + */ +/** AVR UC3 A family */ +#define UC3A (UC3A0 || UC3A1 || UC3A3 || UC3A4) + +/** AVR UC3 B family */ +#define UC3B (UC3B0 || UC3B1) + +/** AVR UC3 C family */ +#define UC3C (UC3C0 || UC3C1 || UC3C2) + +/** AVR UC3 D family */ +#define UC3D (UC3D3 || UC3D4) + +/** AVR UC3 L family */ +#define UC3L (UC3L0 || UC3L0128 || UC3L0256 || UC3L3_L4) +/** @} */ + +/** AVR UC3 product line */ +#define UC3 (UC3A || UC3B || UC3C || UC3D || UC3L) + +/** @} */ + +/** + * \defgroup xmega_part_macros_group AVR XMEGA parts + * @{ + */ + +/** + * \name AVR XMEGA A series + * @{ + */ +#define XMEGA_A1 ( \ + AVR8_PART_IS_DEFINED(ATxmega64A1) || \ + AVR8_PART_IS_DEFINED(ATxmega128A1) \ + ) + +#define XMEGA_A3 ( \ + AVR8_PART_IS_DEFINED(ATxmega64A3) || \ + AVR8_PART_IS_DEFINED(ATxmega128A3) || \ + AVR8_PART_IS_DEFINED(ATxmega192A3) || \ + AVR8_PART_IS_DEFINED(ATxmega256A3) \ + ) + +#define XMEGA_A3B ( \ + AVR8_PART_IS_DEFINED(ATxmega256A3B) \ + ) + +#define XMEGA_A4 ( \ + AVR8_PART_IS_DEFINED(ATxmega16A4) || \ + AVR8_PART_IS_DEFINED(ATxmega32A4) \ + ) +/** @} */ + +/** + * \name AVR XMEGA AU series + * @{ + */ +#define XMEGA_A1U ( \ + AVR8_PART_IS_DEFINED(ATxmega64A1U) || \ + AVR8_PART_IS_DEFINED(ATxmega128A1U) \ + ) + +#define XMEGA_A3U ( \ + AVR8_PART_IS_DEFINED(ATxmega64A3U) || \ + AVR8_PART_IS_DEFINED(ATxmega128A3U) || \ + AVR8_PART_IS_DEFINED(ATxmega192A3U) || \ + AVR8_PART_IS_DEFINED(ATxmega256A3U) \ + ) + +#define XMEGA_A3BU ( \ + AVR8_PART_IS_DEFINED(ATxmega256A3BU) \ + ) + +#define XMEGA_A4U ( \ + AVR8_PART_IS_DEFINED(ATxmega16A4U) || \ + AVR8_PART_IS_DEFINED(ATxmega32A4U) || \ + AVR8_PART_IS_DEFINED(ATxmega64A4U) || \ + AVR8_PART_IS_DEFINED(ATxmega128A4U) \ + ) +/** @} */ + +/** + * \name AVR XMEGA B series + * @{ + */ +#define XMEGA_B1 ( \ + AVR8_PART_IS_DEFINED(ATxmega64B1) || \ + AVR8_PART_IS_DEFINED(ATxmega128B1) \ + ) + +#define XMEGA_B3 ( \ + AVR8_PART_IS_DEFINED(ATxmega64B3) || \ + AVR8_PART_IS_DEFINED(ATxmega128B3) \ + ) +/** @} */ + +/** + * \name AVR XMEGA C series + * @{ + */ +#define XMEGA_C3 ( \ + AVR8_PART_IS_DEFINED(ATxmega384C3) || \ + AVR8_PART_IS_DEFINED(ATxmega256C3) || \ + AVR8_PART_IS_DEFINED(ATxmega192C3) || \ + AVR8_PART_IS_DEFINED(ATxmega128C3) || \ + AVR8_PART_IS_DEFINED(ATxmega64C3) || \ + AVR8_PART_IS_DEFINED(ATxmega32C3) \ + ) + +#define XMEGA_C4 ( \ + AVR8_PART_IS_DEFINED(ATxmega32C4) || \ + AVR8_PART_IS_DEFINED(ATxmega16C4) \ + ) +/** @} */ + +/** + * \name AVR XMEGA D series + * @{ + */ +#define XMEGA_D3 ( \ + AVR8_PART_IS_DEFINED(ATxmega32D3) || \ + AVR8_PART_IS_DEFINED(ATxmega64D3) || \ + AVR8_PART_IS_DEFINED(ATxmega128D3) || \ + AVR8_PART_IS_DEFINED(ATxmega192D3) || \ + AVR8_PART_IS_DEFINED(ATxmega256D3) || \ + AVR8_PART_IS_DEFINED(ATxmega384D3) \ + ) + +#define XMEGA_D4 ( \ + AVR8_PART_IS_DEFINED(ATxmega16D4) || \ + AVR8_PART_IS_DEFINED(ATxmega32D4) || \ + AVR8_PART_IS_DEFINED(ATxmega64D4) || \ + AVR8_PART_IS_DEFINED(ATxmega128D4) \ + ) +/** @} */ + +/** + * \name AVR XMEGA E series + * @{ + */ +#define XMEGA_E5 ( \ + AVR8_PART_IS_DEFINED(ATxmega8E5) || \ + AVR8_PART_IS_DEFINED(ATxmega16E5) || \ + AVR8_PART_IS_DEFINED(ATxmega32E5) \ + ) +/** @} */ + + +/** + * \name AVR XMEGA families + * @{ + */ +/** AVR XMEGA A family */ +#define XMEGA_A (XMEGA_A1 || XMEGA_A3 || XMEGA_A3B || XMEGA_A4) + +/** AVR XMEGA AU family */ +#define XMEGA_AU (XMEGA_A1U || XMEGA_A3U || XMEGA_A3BU || XMEGA_A4U) + +/** AVR XMEGA B family */ +#define XMEGA_B (XMEGA_B1 || XMEGA_B3) + +/** AVR XMEGA C family */ +#define XMEGA_C (XMEGA_C3 || XMEGA_C4) + +/** AVR XMEGA D family */ +#define XMEGA_D (XMEGA_D3 || XMEGA_D4) + +/** AVR XMEGA E family */ +#define XMEGA_E (XMEGA_E5) +/** @} */ + + +/** AVR XMEGA product line */ +#define XMEGA (XMEGA_A || XMEGA_AU || XMEGA_B || XMEGA_C || XMEGA_D || XMEGA_E) + +/** @} */ + +/** + * \defgroup mega_part_macros_group megaAVR parts + * + * \note These megaAVR groupings are based on the groups in AVR Libc for the + * part header files. They are not names of official megaAVR device series or + * families. + * + * @{ + */ + +/** + * \name ATmegaxx0/xx1 subgroups + * @{ + */ +#define MEGA_XX0 ( \ + AVR8_PART_IS_DEFINED(ATmega640) || \ + AVR8_PART_IS_DEFINED(ATmega1280) || \ + AVR8_PART_IS_DEFINED(ATmega2560) \ + ) + +#define MEGA_XX1 ( \ + AVR8_PART_IS_DEFINED(ATmega1281) || \ + AVR8_PART_IS_DEFINED(ATmega2561) \ + ) +/** @} */ + +/** + * \name megaAVR groups + * @{ + */ +/** ATmegaxx0/xx1 group */ +#define MEGA_XX0_1 (MEGA_XX0 || MEGA_XX1) + +/** ATmegaxx4 group */ +#define MEGA_XX4 ( \ + AVR8_PART_IS_DEFINED(ATmega164A) || \ + AVR8_PART_IS_DEFINED(ATmega164PA) || \ + AVR8_PART_IS_DEFINED(ATmega324A) || \ + AVR8_PART_IS_DEFINED(ATmega324PA) || \ + AVR8_PART_IS_DEFINED(ATmega324PB) || \ + AVR8_PART_IS_DEFINED(ATmega644) || \ + AVR8_PART_IS_DEFINED(ATmega644A) || \ + AVR8_PART_IS_DEFINED(ATmega644PA) || \ + AVR8_PART_IS_DEFINED(ATmega1284P) || \ + AVR8_PART_IS_DEFINED(ATmega128RFA1) \ + ) + +/** ATmegaxx4 group */ +#define MEGA_XX4_A ( \ + AVR8_PART_IS_DEFINED(ATmega164A) || \ + AVR8_PART_IS_DEFINED(ATmega164PA) || \ + AVR8_PART_IS_DEFINED(ATmega324A) || \ + AVR8_PART_IS_DEFINED(ATmega324PA) || \ + AVR8_PART_IS_DEFINED(ATmega644A) || \ + AVR8_PART_IS_DEFINED(ATmega644PA) || \ + AVR8_PART_IS_DEFINED(ATmega1284P) \ + ) + +/** ATmegaxx8 group */ +#define MEGA_XX8 ( \ + AVR8_PART_IS_DEFINED(ATmega48) || \ + AVR8_PART_IS_DEFINED(ATmega48A) || \ + AVR8_PART_IS_DEFINED(ATmega48PA) || \ + AVR8_PART_IS_DEFINED(ATmega48PB) || \ + AVR8_PART_IS_DEFINED(ATmega88) || \ + AVR8_PART_IS_DEFINED(ATmega88A) || \ + AVR8_PART_IS_DEFINED(ATmega88PA) || \ + AVR8_PART_IS_DEFINED(ATmega88PB) || \ + AVR8_PART_IS_DEFINED(ATmega168) || \ + AVR8_PART_IS_DEFINED(ATmega168A) || \ + AVR8_PART_IS_DEFINED(ATmega168PA) || \ + AVR8_PART_IS_DEFINED(ATmega168PB) || \ + AVR8_PART_IS_DEFINED(ATmega328) || \ + AVR8_PART_IS_DEFINED(ATmega328P) || \ + AVR8_PART_IS_DEFINED(ATmega328PB) \ + ) + +/** ATmegaxx8A/P/PA group */ +#define MEGA_XX8_A ( \ + AVR8_PART_IS_DEFINED(ATmega48A) || \ + AVR8_PART_IS_DEFINED(ATmega48PA) || \ + AVR8_PART_IS_DEFINED(ATmega88A) || \ + AVR8_PART_IS_DEFINED(ATmega88PA) || \ + AVR8_PART_IS_DEFINED(ATmega168A) || \ + AVR8_PART_IS_DEFINED(ATmega168PA) || \ + AVR8_PART_IS_DEFINED(ATmega328P) \ + ) + +/** ATmegaxx group */ +#define MEGA_XX ( \ + AVR8_PART_IS_DEFINED(ATmega16) || \ + AVR8_PART_IS_DEFINED(ATmega16A) || \ + AVR8_PART_IS_DEFINED(ATmega32) || \ + AVR8_PART_IS_DEFINED(ATmega32A) || \ + AVR8_PART_IS_DEFINED(ATmega64) || \ + AVR8_PART_IS_DEFINED(ATmega64A) || \ + AVR8_PART_IS_DEFINED(ATmega128) || \ + AVR8_PART_IS_DEFINED(ATmega128A) \ + ) + +/** ATmegaxxA/P/PA group */ +#define MEGA_XX_A ( \ + AVR8_PART_IS_DEFINED(ATmega16A) || \ + AVR8_PART_IS_DEFINED(ATmega32A) || \ + AVR8_PART_IS_DEFINED(ATmega64A) || \ + AVR8_PART_IS_DEFINED(ATmega128A) \ + ) +/** ATmegaxxRFA1 group */ +#define MEGA_RFA1 ( \ + AVR8_PART_IS_DEFINED(ATmega128RFA1) \ + ) + +/** ATmegaxxRFR2 group */ +#define MEGA_RFR2 ( \ + AVR8_PART_IS_DEFINED(ATmega64RFR2) || \ + AVR8_PART_IS_DEFINED(ATmega128RFR2) || \ + AVR8_PART_IS_DEFINED(ATmega256RFR2) || \ + AVR8_PART_IS_DEFINED(ATmega644RFR2) || \ + AVR8_PART_IS_DEFINED(ATmega1284RFR2) || \ + AVR8_PART_IS_DEFINED(ATmega2564RFR2) \ + ) + + +/** ATmegaxxRFxx group */ +#define MEGA_RF (MEGA_RFA1 || MEGA_RFR2) + +/** + * \name ATmegaxx_un0/un1/un2 subgroups + * @{ + */ +#define MEGA_XX_UN0 ( \ + AVR8_PART_IS_DEFINED(ATmega16) || \ + AVR8_PART_IS_DEFINED(ATmega16A) || \ + AVR8_PART_IS_DEFINED(ATmega32) || \ + AVR8_PART_IS_DEFINED(ATmega32A) \ + ) + +/** ATmegaxx group without power reduction and + * And interrupt sense register. + */ +#define MEGA_XX_UN1 ( \ + AVR8_PART_IS_DEFINED(ATmega64) || \ + AVR8_PART_IS_DEFINED(ATmega64A) || \ + AVR8_PART_IS_DEFINED(ATmega128) || \ + AVR8_PART_IS_DEFINED(ATmega128A) \ + ) + +/** ATmegaxx group without power reduction and + * And interrupt sense register. + */ +#define MEGA_XX_UN2 ( \ + AVR8_PART_IS_DEFINED(ATmega169P) || \ + AVR8_PART_IS_DEFINED(ATmega169PA) || \ + AVR8_PART_IS_DEFINED(ATmega329P) || \ + AVR8_PART_IS_DEFINED(ATmega329PA) \ + ) + +/** Devices added to complete megaAVR offering. + * Please do not use this group symbol as it is not intended + * to be permanent: the devices should be regrouped. + */ +#define MEGA_UNCATEGORIZED ( \ + AVR8_PART_IS_DEFINED(AT90CAN128) || \ + AVR8_PART_IS_DEFINED(AT90CAN32) || \ + AVR8_PART_IS_DEFINED(AT90CAN64) || \ + AVR8_PART_IS_DEFINED(AT90PWM1) || \ + AVR8_PART_IS_DEFINED(AT90PWM216) || \ + AVR8_PART_IS_DEFINED(AT90PWM2B) || \ + AVR8_PART_IS_DEFINED(AT90PWM316) || \ + AVR8_PART_IS_DEFINED(AT90PWM3B) || \ + AVR8_PART_IS_DEFINED(AT90PWM81) || \ + AVR8_PART_IS_DEFINED(AT90USB1286) || \ + AVR8_PART_IS_DEFINED(AT90USB1287) || \ + AVR8_PART_IS_DEFINED(AT90USB162) || \ + AVR8_PART_IS_DEFINED(AT90USB646) || \ + AVR8_PART_IS_DEFINED(AT90USB647) || \ + AVR8_PART_IS_DEFINED(AT90USB82) || \ + AVR8_PART_IS_DEFINED(ATmega1284) || \ + AVR8_PART_IS_DEFINED(ATmega162) || \ + AVR8_PART_IS_DEFINED(ATmega164P) || \ + AVR8_PART_IS_DEFINED(ATmega165A) || \ + AVR8_PART_IS_DEFINED(ATmega165P) || \ + AVR8_PART_IS_DEFINED(ATmega165PA) || \ + AVR8_PART_IS_DEFINED(ATmega168P) || \ + AVR8_PART_IS_DEFINED(ATmega169A) || \ + AVR8_PART_IS_DEFINED(ATmega16M1) || \ + AVR8_PART_IS_DEFINED(ATmega16U2) || \ + AVR8_PART_IS_DEFINED(ATmega16U4) || \ + AVR8_PART_IS_DEFINED(ATmega256RFA2) || \ + AVR8_PART_IS_DEFINED(ATmega324P) || \ + AVR8_PART_IS_DEFINED(ATmega325) || \ + AVR8_PART_IS_DEFINED(ATmega3250) || \ + AVR8_PART_IS_DEFINED(ATmega3250A) || \ + AVR8_PART_IS_DEFINED(ATmega3250P) || \ + AVR8_PART_IS_DEFINED(ATmega3250PA) || \ + AVR8_PART_IS_DEFINED(ATmega325A) || \ + AVR8_PART_IS_DEFINED(ATmega325P) || \ + AVR8_PART_IS_DEFINED(ATmega325PA) || \ + AVR8_PART_IS_DEFINED(ATmega329) || \ + AVR8_PART_IS_DEFINED(ATmega3290) || \ + AVR8_PART_IS_DEFINED(ATmega3290A) || \ + AVR8_PART_IS_DEFINED(ATmega3290P) || \ + AVR8_PART_IS_DEFINED(ATmega3290PA) || \ + AVR8_PART_IS_DEFINED(ATmega329A) || \ + AVR8_PART_IS_DEFINED(ATmega32M1) || \ + AVR8_PART_IS_DEFINED(ATmega32U2) || \ + AVR8_PART_IS_DEFINED(ATmega32U4) || \ + AVR8_PART_IS_DEFINED(ATmega48P) || \ + AVR8_PART_IS_DEFINED(ATmega644P) || \ + AVR8_PART_IS_DEFINED(ATmega645) || \ + AVR8_PART_IS_DEFINED(ATmega6450) || \ + AVR8_PART_IS_DEFINED(ATmega6450A) || \ + AVR8_PART_IS_DEFINED(ATmega6450P) || \ + AVR8_PART_IS_DEFINED(ATmega645A) || \ + AVR8_PART_IS_DEFINED(ATmega645P) || \ + AVR8_PART_IS_DEFINED(ATmega649) || \ + AVR8_PART_IS_DEFINED(ATmega6490) || \ + AVR8_PART_IS_DEFINED(ATmega6490A) || \ + AVR8_PART_IS_DEFINED(ATmega6490P) || \ + AVR8_PART_IS_DEFINED(ATmega649A) || \ + AVR8_PART_IS_DEFINED(ATmega649P) || \ + AVR8_PART_IS_DEFINED(ATmega64M1) || \ + AVR8_PART_IS_DEFINED(ATmega64RFA2) || \ + AVR8_PART_IS_DEFINED(ATmega8) || \ + AVR8_PART_IS_DEFINED(ATmega8515) || \ + AVR8_PART_IS_DEFINED(ATmega8535) || \ + AVR8_PART_IS_DEFINED(ATmega88P) || \ + AVR8_PART_IS_DEFINED(ATmega8A) || \ + AVR8_PART_IS_DEFINED(ATmega8U2) \ + ) + +/** Unspecified group */ +#define MEGA_UNSPECIFIED (MEGA_XX_UN0 || MEGA_XX_UN1 || MEGA_XX_UN2 || \ + MEGA_UNCATEGORIZED) + +/** @} */ + +/** megaAVR product line */ +#define MEGA (MEGA_XX0_1 || MEGA_XX4 || MEGA_XX8 || MEGA_XX || MEGA_RF || \ + MEGA_UNSPECIFIED) + +/** @} */ + +/** + * \defgroup sam_part_macros_group SAM parts + * @{ + */ + +/** + * \name SAM3S series + * @{ + */ +#define SAM3S1 ( \ + SAM_PART_IS_DEFINED(SAM3S1A) || \ + SAM_PART_IS_DEFINED(SAM3S1B) || \ + SAM_PART_IS_DEFINED(SAM3S1C) \ + ) + +#define SAM3S2 ( \ + SAM_PART_IS_DEFINED(SAM3S2A) || \ + SAM_PART_IS_DEFINED(SAM3S2B) || \ + SAM_PART_IS_DEFINED(SAM3S2C) \ + ) + +#define SAM3S4 ( \ + SAM_PART_IS_DEFINED(SAM3S4A) || \ + SAM_PART_IS_DEFINED(SAM3S4B) || \ + SAM_PART_IS_DEFINED(SAM3S4C) \ + ) + +#define SAM3S8 ( \ + SAM_PART_IS_DEFINED(SAM3S8B) || \ + SAM_PART_IS_DEFINED(SAM3S8C) \ + ) + +#define SAM3SD8 ( \ + SAM_PART_IS_DEFINED(SAM3SD8B) || \ + SAM_PART_IS_DEFINED(SAM3SD8C) \ + ) +/** @} */ + +/** + * \name SAM3U series + * @{ + */ +#define SAM3U1 ( \ + SAM_PART_IS_DEFINED(SAM3U1C) || \ + SAM_PART_IS_DEFINED(SAM3U1E) \ + ) + +#define SAM3U2 ( \ + SAM_PART_IS_DEFINED(SAM3U2C) || \ + SAM_PART_IS_DEFINED(SAM3U2E) \ + ) + +#define SAM3U4 ( \ + SAM_PART_IS_DEFINED(SAM3U4C) || \ + SAM_PART_IS_DEFINED(SAM3U4E) \ + ) +/** @} */ + +/** + * \name SAM3N series + * @{ + */ +#define SAM3N00 ( \ + SAM_PART_IS_DEFINED(SAM3N00A) || \ + SAM_PART_IS_DEFINED(SAM3N00B) \ + ) + +#define SAM3N0 ( \ + SAM_PART_IS_DEFINED(SAM3N0A) || \ + SAM_PART_IS_DEFINED(SAM3N0B) || \ + SAM_PART_IS_DEFINED(SAM3N0C) \ + ) + +#define SAM3N1 ( \ + SAM_PART_IS_DEFINED(SAM3N1A) || \ + SAM_PART_IS_DEFINED(SAM3N1B) || \ + SAM_PART_IS_DEFINED(SAM3N1C) \ + ) + +#define SAM3N2 ( \ + SAM_PART_IS_DEFINED(SAM3N2A) || \ + SAM_PART_IS_DEFINED(SAM3N2B) || \ + SAM_PART_IS_DEFINED(SAM3N2C) \ + ) + +#define SAM3N4 ( \ + SAM_PART_IS_DEFINED(SAM3N4A) || \ + SAM_PART_IS_DEFINED(SAM3N4B) || \ + SAM_PART_IS_DEFINED(SAM3N4C) \ + ) +/** @} */ + +/** + * \name SAM3X series + * @{ + */ +#define SAM3X4 ( \ + SAM_PART_IS_DEFINED(SAM3X4C) || \ + SAM_PART_IS_DEFINED(SAM3X4E) \ + ) + +#define SAM3X8 ( \ + SAM_PART_IS_DEFINED(SAM3X8C) || \ + SAM_PART_IS_DEFINED(SAM3X8E) || \ + SAM_PART_IS_DEFINED(SAM3X8H) \ + ) +/** @} */ + +/** + * \name SAM3A series + * @{ + */ +#define SAM3A4 ( \ + SAM_PART_IS_DEFINED(SAM3A4C) \ + ) + +#define SAM3A8 ( \ + SAM_PART_IS_DEFINED(SAM3A8C) \ + ) +/** @} */ + +/** + * \name SAM4S series + * @{ + */ +#define SAM4S2 ( \ + SAM_PART_IS_DEFINED(SAM4S2A) || \ + SAM_PART_IS_DEFINED(SAM4S2B) || \ + SAM_PART_IS_DEFINED(SAM4S2C) \ + ) + +#define SAM4S4 ( \ + SAM_PART_IS_DEFINED(SAM4S4A) || \ + SAM_PART_IS_DEFINED(SAM4S4B) || \ + SAM_PART_IS_DEFINED(SAM4S4C) \ + ) + +#define SAM4S8 ( \ + SAM_PART_IS_DEFINED(SAM4S8B) || \ + SAM_PART_IS_DEFINED(SAM4S8C) \ + ) + +#define SAM4S16 ( \ + SAM_PART_IS_DEFINED(SAM4S16B) || \ + SAM_PART_IS_DEFINED(SAM4S16C) \ + ) + +#define SAM4SA16 ( \ + SAM_PART_IS_DEFINED(SAM4SA16B) || \ + SAM_PART_IS_DEFINED(SAM4SA16C) \ + ) + +#define SAM4SD16 ( \ + SAM_PART_IS_DEFINED(SAM4SD16B) || \ + SAM_PART_IS_DEFINED(SAM4SD16C) \ + ) + +#define SAM4SD32 ( \ + SAM_PART_IS_DEFINED(SAM4SD32B) || \ + SAM_PART_IS_DEFINED(SAM4SD32C) \ + ) +/** @} */ + +/** + * \name SAM4L series + * @{ + */ +#define SAM4LS ( \ + SAM_PART_IS_DEFINED(SAM4LS2A) || \ + SAM_PART_IS_DEFINED(SAM4LS2B) || \ + SAM_PART_IS_DEFINED(SAM4LS2C) || \ + SAM_PART_IS_DEFINED(SAM4LS4A) || \ + SAM_PART_IS_DEFINED(SAM4LS4B) || \ + SAM_PART_IS_DEFINED(SAM4LS4C) || \ + SAM_PART_IS_DEFINED(SAM4LS8A) || \ + SAM_PART_IS_DEFINED(SAM4LS8B) || \ + SAM_PART_IS_DEFINED(SAM4LS8C) \ + ) + +#define SAM4LC ( \ + SAM_PART_IS_DEFINED(SAM4LC2A) || \ + SAM_PART_IS_DEFINED(SAM4LC2B) || \ + SAM_PART_IS_DEFINED(SAM4LC2C) || \ + SAM_PART_IS_DEFINED(SAM4LC4A) || \ + SAM_PART_IS_DEFINED(SAM4LC4B) || \ + SAM_PART_IS_DEFINED(SAM4LC4C) || \ + SAM_PART_IS_DEFINED(SAM4LC8A) || \ + SAM_PART_IS_DEFINED(SAM4LC8B) || \ + SAM_PART_IS_DEFINED(SAM4LC8C) \ + ) +/** @} */ + +/** + * \name SAMD20 series + * @{ + */ +#define SAMD20J ( \ + SAM_PART_IS_DEFINED(SAMD20J14) || \ + SAM_PART_IS_DEFINED(SAMD20J15) || \ + SAM_PART_IS_DEFINED(SAMD20J16) || \ + SAM_PART_IS_DEFINED(SAMD20J14B) || \ + SAM_PART_IS_DEFINED(SAMD20J15B) || \ + SAM_PART_IS_DEFINED(SAMD20J16B) || \ + SAM_PART_IS_DEFINED(SAMD20J17) || \ + SAM_PART_IS_DEFINED(SAMD20J18) \ + ) + +#define SAMD20G ( \ + SAM_PART_IS_DEFINED(SAMD20G14) || \ + SAM_PART_IS_DEFINED(SAMD20G15) || \ + SAM_PART_IS_DEFINED(SAMD20G16) || \ + SAM_PART_IS_DEFINED(SAMD20G14B) || \ + SAM_PART_IS_DEFINED(SAMD20G15B) || \ + SAM_PART_IS_DEFINED(SAMD20G16B) || \ + SAM_PART_IS_DEFINED(SAMD20G17) || \ + SAM_PART_IS_DEFINED(SAMD20G17U) || \ + SAM_PART_IS_DEFINED(SAMD20G18) || \ + SAM_PART_IS_DEFINED(SAMD20G18U) \ + ) + +#define SAMD20E ( \ + SAM_PART_IS_DEFINED(SAMD20E14) || \ + SAM_PART_IS_DEFINED(SAMD20E15) || \ + SAM_PART_IS_DEFINED(SAMD20E16) || \ + SAM_PART_IS_DEFINED(SAMD20E14B) || \ + SAM_PART_IS_DEFINED(SAMD20E15B) || \ + SAM_PART_IS_DEFINED(SAMD20E16B) || \ + SAM_PART_IS_DEFINED(SAMD20E17) || \ + SAM_PART_IS_DEFINED(SAMD20E18) \ + ) + +/** @} */ + +/** + * \name SAMD21 series + * @{ + */ +#define SAMD21J ( \ + SAM_PART_IS_DEFINED(SAMD21J15A) || \ + SAM_PART_IS_DEFINED(SAMD21J16A) || \ + SAM_PART_IS_DEFINED(SAMD21J17A) || \ + SAM_PART_IS_DEFINED(SAMD21J18A) || \ + SAM_PART_IS_DEFINED(SAMD21J15B) || \ + SAM_PART_IS_DEFINED(SAMD21J16B) || \ + SAM_PART_IS_DEFINED(SAMD21J17D) \ + ) + +#define SAMD21G ( \ + SAM_PART_IS_DEFINED(SAMD21G15A) || \ + SAM_PART_IS_DEFINED(SAMD21G16A) || \ + SAM_PART_IS_DEFINED(SAMD21G17A) || \ + SAM_PART_IS_DEFINED(SAMD21G17AU) || \ + SAM_PART_IS_DEFINED(SAMD21G18A) || \ + SAM_PART_IS_DEFINED(SAMD21G18AU) || \ + SAM_PART_IS_DEFINED(SAMD21G15B) || \ + SAM_PART_IS_DEFINED(SAMD21G16B) || \ + SAM_PART_IS_DEFINED(SAMD21G15L) || \ + SAM_PART_IS_DEFINED(SAMD21G16L) || \ + SAM_PART_IS_DEFINED(SAMD21G17D) || \ + SAM_PART_IS_DEFINED(SAMD21G17L) \ + ) + +#define SAMD21GXXL ( \ + SAM_PART_IS_DEFINED(SAMD21G15L) || \ + SAM_PART_IS_DEFINED(SAMD21G16L) || \ + SAM_PART_IS_DEFINED(SAMD21G17L) \ + ) + +#define SAMD21E ( \ + SAM_PART_IS_DEFINED(SAMD21E15A) || \ + SAM_PART_IS_DEFINED(SAMD21E16A) || \ + SAM_PART_IS_DEFINED(SAMD21E17A) || \ + SAM_PART_IS_DEFINED(SAMD21E18A) || \ + SAM_PART_IS_DEFINED(SAMD21E15B) || \ + SAM_PART_IS_DEFINED(SAMD21E15BU) || \ + SAM_PART_IS_DEFINED(SAMD21E16B) || \ + SAM_PART_IS_DEFINED(SAMD21E16BU) || \ + SAM_PART_IS_DEFINED(SAMD21E15L) || \ + SAM_PART_IS_DEFINED(SAMD21E16L) || \ + SAM_PART_IS_DEFINED(SAMD21E17D) || \ + SAM_PART_IS_DEFINED(SAMD21E17DU) || \ + SAM_PART_IS_DEFINED(SAMD21E17L) \ + ) + +#define SAMD21EXXL ( \ + SAM_PART_IS_DEFINED(SAMD21E15L) || \ + SAM_PART_IS_DEFINED(SAMD21E16L) || \ + SAM_PART_IS_DEFINED(SAMD21E17L) \ + ) + +/** @} */ + +/** + * \name SAMR21 series + * @{ + */ +#define SAMR21G ( \ + SAM_PART_IS_DEFINED(SAMR21G16A) || \ + SAM_PART_IS_DEFINED(SAMR21G17A) || \ + SAM_PART_IS_DEFINED(SAMR21G18A) \ + ) + +#define SAMR21E ( \ + SAM_PART_IS_DEFINED(SAMR21E16A) || \ + SAM_PART_IS_DEFINED(SAMR21E17A) || \ + SAM_PART_IS_DEFINED(SAMR21E18A) || \ + SAM_PART_IS_DEFINED(SAMR21E19A) \ + ) +/** @} */ + +/** + * \name SAMR30 series + * @{ + */ +#define SAMR30G ( \ + SAM_PART_IS_DEFINED(SAMR30G18A) \ + ) + +#define SAMR30E ( \ + SAM_PART_IS_DEFINED(SAMR30E18A) \ + ) +/** @} */ + +/** + * \name SAMR34 series + * @{ + */ +#define SAMR34J ( \ + SAM_PART_IS_DEFINED(SAMR34J18A) || \ + SAM_PART_IS_DEFINED(SAMR34J17A) || \ + SAM_PART_IS_DEFINED(SAMR34J16A) || \ + SAM_PART_IS_DEFINED(SAMR34J18B) || \ + SAM_PART_IS_DEFINED(SAMR34J17B) || \ + SAM_PART_IS_DEFINED(SAMR34J16B) \ + ) + +/* Group for SAMR34 A variant: SAMR34J [16/17/18]A */ +#define SAMR34JXXA ( \ + SAM_PART_IS_DEFINED(SAMR34J18A) || \ + SAM_PART_IS_DEFINED(SAMR34J17A) || \ + SAM_PART_IS_DEFINED(SAMR34J16A) \ +) +/* Group for SAMR34 B variant: SAMR34J [16/17/18]B */ +#define SAMR34JXXB ( \ + SAM_PART_IS_DEFINED(SAMR34J18B) || \ + SAM_PART_IS_DEFINED(SAMR34J17B) || \ + SAM_PART_IS_DEFINED(SAMR34J16B) \ +) + +/* Group for SAMR35 B variant: SAMR35J [16/17/18]B */ +#define SAMR35J ( \ + SAM_PART_IS_DEFINED(SAMR35J18B) || \ + SAM_PART_IS_DEFINED(SAMR35J17B) || \ + SAM_PART_IS_DEFINED(SAMR35J16B) \ +) +/* Group for SAMR35 B variant: SAMR35J [16/17/18]B */ +#define SAMR35JXXB ( \ + SAM_PART_IS_DEFINED(SAMR35J18B) || \ + SAM_PART_IS_DEFINED(SAMR35J17B) || \ + SAM_PART_IS_DEFINED(SAMR35J16B) \ +) + +/** + * \name SAMB11 series + * @{ + */ +#define SAMB11G ( \ + SAM_PART_IS_DEFINED(SAMB11G18A) || \ + SAM_PART_IS_DEFINED(SAMB11ZR) \ + ) +#define BTLC1000 ( \ + SAM_PART_IS_DEFINED(BTLC1000WLCSP) \ + ) + +/** @} */ + +/** + * \name SAMD09 series + * @{ + */ +#define SAMD09C ( \ + SAM_PART_IS_DEFINED(SAMD09C13A) \ + ) + +#define SAMD09D ( \ + SAM_PART_IS_DEFINED(SAMD09D14A) \ + ) +/** @} */ + +/** + * \name SAMD10 series + * @{ + */ +#define SAMD10C ( \ + SAM_PART_IS_DEFINED(SAMD10C12A) || \ + SAM_PART_IS_DEFINED(SAMD10C13A) || \ + SAM_PART_IS_DEFINED(SAMD10C14A) \ + ) + +#define SAMD10DS ( \ + SAM_PART_IS_DEFINED(SAMD10D12AS) || \ + SAM_PART_IS_DEFINED(SAMD10D13AS) || \ + SAM_PART_IS_DEFINED(SAMD10D14AS) \ + ) + +#define SAMD10DM ( \ + SAM_PART_IS_DEFINED(SAMD10D12AM) || \ + SAM_PART_IS_DEFINED(SAMD10D13AM) || \ + SAM_PART_IS_DEFINED(SAMD10D14AM) \ + ) + +#define SAMD10DU ( \ + SAM_PART_IS_DEFINED(SAMD10D14AU) \ + ) +/** @} */ + +/** + * \name SAMD11 series + * @{ + */ +#define SAMD11C ( \ + SAM_PART_IS_DEFINED(SAMD11C14A) \ + ) + +#define SAMD11DS ( \ + SAM_PART_IS_DEFINED(SAMD11D14AS) \ + ) + +#define SAMD11DM ( \ + SAM_PART_IS_DEFINED(SAMD11D14AM) \ + ) + +#define SAMD11DU ( \ + SAM_PART_IS_DEFINED(SAMD11D14AU) \ + ) +/** @} */ + +/** + * \name SAML21 series + * @{ + */ +#define SAML21E ( \ + SAM_PART_IS_DEFINED(SAML21E18A) || \ + SAM_PART_IS_DEFINED(SAML21E15B) || \ + SAM_PART_IS_DEFINED(SAML21E16B) || \ + SAM_PART_IS_DEFINED(SAML21E17B) || \ + SAM_PART_IS_DEFINED(SAML21E18B) \ + ) + +#define SAML21G ( \ + SAM_PART_IS_DEFINED(SAML21G18A) || \ + SAM_PART_IS_DEFINED(SAML21G16B) || \ + SAM_PART_IS_DEFINED(SAML21G17B) || \ + SAM_PART_IS_DEFINED(SAML21G18B) \ + ) + +#define SAML21J ( \ + SAM_PART_IS_DEFINED(SAML21J18A) || \ + SAM_PART_IS_DEFINED(SAML21J16B) || \ + SAM_PART_IS_DEFINED(SAML21J17B) || \ + SAM_PART_IS_DEFINED(SAML21J18B) \ + ) + +/* Group for SAML21 A variant: SAML21[E/G/J][18]A */ +#define SAML21XXXA ( \ + SAM_PART_IS_DEFINED(SAML21E18A) || \ + SAM_PART_IS_DEFINED(SAML21G18A) || \ + SAM_PART_IS_DEFINED(SAML21J18A) \ + ) + +/* Group for SAML21 B variant: SAML21[E/G/J][15/16/1718]B */ +#define SAML21XXXB ( \ + SAM_PART_IS_DEFINED(SAML21E15B) || \ + SAM_PART_IS_DEFINED(SAML21E16B) || \ + SAM_PART_IS_DEFINED(SAML21E17B) || \ + SAM_PART_IS_DEFINED(SAML21E18B) || \ + SAM_PART_IS_DEFINED(SAML21G16B) || \ + SAM_PART_IS_DEFINED(SAML21G17B) || \ + SAM_PART_IS_DEFINED(SAML21G18B) || \ + SAM_PART_IS_DEFINED(SAML21J16B) || \ + SAM_PART_IS_DEFINED(SAML21J17B) || \ + SAM_PART_IS_DEFINED(SAML21J18B) \ + ) + +/** @} */ + +/** + * \name SAML22 series + * @{ + */ +#define SAML22N ( \ + SAM_PART_IS_DEFINED(SAML22N16A) || \ + SAM_PART_IS_DEFINED(SAML22N17A) || \ + SAM_PART_IS_DEFINED(SAML22N18A) \ + ) + +#define SAML22G ( \ + SAM_PART_IS_DEFINED(SAML22G16A) || \ + SAM_PART_IS_DEFINED(SAML22G17A) || \ + SAM_PART_IS_DEFINED(SAML22G18A) \ + ) + +#define SAML22J ( \ + SAM_PART_IS_DEFINED(SAML22J16A) || \ + SAM_PART_IS_DEFINED(SAML22J17A) || \ + SAM_PART_IS_DEFINED(SAML22J18A) \ + ) +/** @} */ + +/** + * \name SAMDA1 series + * @{ + */ +#define SAMDA1J ( \ + SAM_PART_IS_DEFINED(SAMDA1J14A) || \ + SAM_PART_IS_DEFINED(SAMDA1J15B) || \ + SAM_PART_IS_DEFINED(SAMDA1J15A) || \ + SAM_PART_IS_DEFINED(SAMDA1J15B) || \ + SAM_PART_IS_DEFINED(SAMDA1J16A) || \ + SAM_PART_IS_DEFINED(SAMDA1J16B) \ + ) + +#define SAMDA1G ( \ + SAM_PART_IS_DEFINED(SAMDA1G14A) || \ + SAM_PART_IS_DEFINED(SAMDA1G14B) || \ + SAM_PART_IS_DEFINED(SAMDA1G15A) || \ + SAM_PART_IS_DEFINED(SAMDA1G15B) || \ + SAM_PART_IS_DEFINED(SAMDA1G16A) || \ + SAM_PART_IS_DEFINED(SAMDA1G16B) \ + ) + +#define SAMDA1E ( \ + SAM_PART_IS_DEFINED(SAMDA1E14A) || \ + SAM_PART_IS_DEFINED(SAMDA1E14B) || \ + SAM_PART_IS_DEFINED(SAMDA1E15A) || \ + SAM_PART_IS_DEFINED(SAMDA1E15B) || \ + SAM_PART_IS_DEFINED(SAMDA1E16A) || \ + SAM_PART_IS_DEFINED(SAMDA1E16B) \ + ) +/** @} */ + +/** + * \name SAMHA1 series + * @{ + */ +#define SAMHA1G ( \ + SAM_PART_IS_DEFINED(SAMHA1G14A) || \ + SAM_PART_IS_DEFINED(SAMHA1G15A) || \ + SAM_PART_IS_DEFINED(SAMHA1G16A) || \ + SAM_PART_IS_DEFINED(SAMHA1G14AB) || \ + SAM_PART_IS_DEFINED(SAMHA1G15AB) || \ + SAM_PART_IS_DEFINED(SAMHA1G16AB) \ + ) + +#define SAMHA1E ( \ + SAM_PART_IS_DEFINED(SAMHA1E14A) || \ + SAM_PART_IS_DEFINED(SAMHA1E15A) || \ + SAM_PART_IS_DEFINED(SAMHA1E16A) || \ + SAM_PART_IS_DEFINED(SAMHA1E14AB) || \ + SAM_PART_IS_DEFINED(SAMHA1E15AB) || \ + SAM_PART_IS_DEFINED(SAMHA1E16AB) \ + ) + +/** @} */ + +/** + * \name SAMHA0 series + * @{ + */ +#define SAMHA0G ( \ + SAM_PART_IS_DEFINED(SAMHA0G14AB) || \ + SAM_PART_IS_DEFINED(SAMHA0G15AB) || \ + SAM_PART_IS_DEFINED(SAMHA0G16AB) \ + ) + +#define SAMHA0E ( \ + SAM_PART_IS_DEFINED(SAMHA0E14AB) || \ + SAM_PART_IS_DEFINED(SAMHA0E15AB) || \ + SAM_PART_IS_DEFINED(SAMHA0E16AB) \ + ) + +/** @} */ + +/** + * \name SAMC20 series + * @{ + */ +#define SAMC20E ( \ + SAM_PART_IS_DEFINED(SAMC20E15A) || \ + SAM_PART_IS_DEFINED(SAMC20E16A) || \ + SAM_PART_IS_DEFINED(SAMC20E17A) || \ + SAM_PART_IS_DEFINED(SAMC20E18A) \ + ) + +#define SAMC20G ( \ + SAM_PART_IS_DEFINED(SAMC20G15A) || \ + SAM_PART_IS_DEFINED(SAMC20G16A) || \ + SAM_PART_IS_DEFINED(SAMC20G17A) || \ + SAM_PART_IS_DEFINED(SAMC20G18A) \ + ) + +#define SAMC20J ( \ + SAM_PART_IS_DEFINED(SAMC20J15A) || \ + SAM_PART_IS_DEFINED(SAMC20J16A) || \ + SAM_PART_IS_DEFINED(SAMC20J17A) || \ + SAM_PART_IS_DEFINED(SAMC20J18A) \ + ) +/** @} */ + +/** + * \name SAMC21 series + * @{ + */ +#define SAMC21E ( \ + SAM_PART_IS_DEFINED(SAMC21E15A) || \ + SAM_PART_IS_DEFINED(SAMC21E16A) || \ + SAM_PART_IS_DEFINED(SAMC21E17A) || \ + SAM_PART_IS_DEFINED(SAMC21E18A) \ + ) + +#define SAMC21G ( \ + SAM_PART_IS_DEFINED(SAMC21G15A) || \ + SAM_PART_IS_DEFINED(SAMC21G16A) || \ + SAM_PART_IS_DEFINED(SAMC21G17A) || \ + SAM_PART_IS_DEFINED(SAMC21G18A) \ + ) + +#define SAMC21J ( \ + SAM_PART_IS_DEFINED(SAMC21J15A) || \ + SAM_PART_IS_DEFINED(SAMC21J16A) || \ + SAM_PART_IS_DEFINED(SAMC21J17A) || \ + SAM_PART_IS_DEFINED(SAMC21J18A) \ + ) +/** @} */ + +/** + * \name SAM4E series + * @{ + */ +#define SAM4E8 ( \ + SAM_PART_IS_DEFINED(SAM4E8C) || \ + SAM_PART_IS_DEFINED(SAM4E8CB) || \ + SAM_PART_IS_DEFINED(SAM4E8E) \ + ) + +#define SAM4E16 ( \ + SAM_PART_IS_DEFINED(SAM4E16C) || \ + SAM_PART_IS_DEFINED(SAM4E16CB) || \ + SAM_PART_IS_DEFINED(SAM4E16E) \ + ) +/** @} */ + +/** + * \name SAM4N series + * @{ + */ +#define SAM4N8 ( \ + SAM_PART_IS_DEFINED(SAM4N8A) || \ + SAM_PART_IS_DEFINED(SAM4N8B) || \ + SAM_PART_IS_DEFINED(SAM4N8C) \ + ) + +#define SAM4N16 ( \ + SAM_PART_IS_DEFINED(SAM4N16B) || \ + SAM_PART_IS_DEFINED(SAM4N16C) \ + ) +/** @} */ + +/** + * \name SAM4C series + * @{ + */ +#define SAM4C4_0 ( \ + SAM_PART_IS_DEFINED(SAM4C4C_0) \ + ) + +#define SAM4C4_1 ( \ + SAM_PART_IS_DEFINED(SAM4C4C_1) \ + ) + +#define SAM4C4 (SAM4C4_0 || SAM4C4_1) + +#define SAM4C8_0 ( \ + SAM_PART_IS_DEFINED(SAM4C8C_0) \ + ) + +#define SAM4C8_1 ( \ + SAM_PART_IS_DEFINED(SAM4C8C_1) \ + ) + +#define SAM4C8 (SAM4C8_0 || SAM4C8_1) + +#define SAM4C16_0 ( \ + SAM_PART_IS_DEFINED(SAM4C16C_0) \ + ) + +#define SAM4C16_1 ( \ + SAM_PART_IS_DEFINED(SAM4C16C_1) \ + ) + +#define SAM4C16 (SAM4C16_0 || SAM4C16_1) + +#define SAM4C32_0 ( \ + SAM_PART_IS_DEFINED(SAM4C32C_0) ||\ + SAM_PART_IS_DEFINED(SAM4C32E_0) \ + ) + +#define SAM4C32_1 ( \ + SAM_PART_IS_DEFINED(SAM4C32C_1) ||\ + SAM_PART_IS_DEFINED(SAM4C32E_1) \ + ) + + +#define SAM4C32 (SAM4C32_0 || SAM4C32_1) + +/** @} */ + +/** + * \name SAM4CM series + * @{ + */ +#define SAM4CMP8_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMP8C_0) \ + ) + +#define SAM4CMP8_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMP8C_1) \ + ) + +#define SAM4CMP8 (SAM4CMP8_0 || SAM4CMP8_1) + +#define SAM4CMP16_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMP16C_0) \ + ) + +#define SAM4CMP16_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMP16C_1) \ + ) + +#define SAM4CMP16 (SAM4CMP16_0 || SAM4CMP16_1) + +#define SAM4CMP32_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMP32C_0) \ + ) + +#define SAM4CMP32_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMP32C_1) \ + ) + +#define SAM4CMP32 (SAM4CMP32_0 || SAM4CMP32_1) + +#define SAM4CMS4_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMS4C_0) \ + ) + +#define SAM4CMS4_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMS4C_1) \ + ) + +#define SAM4CMS4 (SAM4CMS4_0 || SAM4CMS4_1) + +#define SAM4CMS8_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMS8C_0) \ + ) + +#define SAM4CMS8_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMS8C_1) \ + ) + +#define SAM4CMS8 (SAM4CMS8_0 || SAM4CMS8_1) + +#define SAM4CMS16_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMS16C_0) \ + ) + +#define SAM4CMS16_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMS16C_1) \ + ) + +#define SAM4CMS16 (SAM4CMS16_0 || SAM4CMS16_1) + +#define SAM4CMS32_0 ( \ + SAM_PART_IS_DEFINED(SAM4CMS32C_0) \ + ) + +#define SAM4CMS32_1 ( \ + SAM_PART_IS_DEFINED(SAM4CMS32C_1) \ + ) + +#define SAM4CMS32 (SAM4CMS32_0 || SAM4CMS32_1) + +/** @} */ + +/** + * \name SAM4CP series + * @{ + */ +#define SAM4CP16_0 ( \ + SAM_PART_IS_DEFINED(SAM4CP16B_0) \ + ) + +#define SAM4CP16_1 ( \ + SAM_PART_IS_DEFINED(SAM4CP16B_1) \ + ) + +#define SAM4CP16 (SAM4CP16_0 || SAM4CP16_1) +/** @} */ + +/** + * \name SAMG series + * @{ + */ +#define SAMG51 ( \ + SAM_PART_IS_DEFINED(SAMG51G18) \ + ) + +#define SAMG53 ( \ + SAM_PART_IS_DEFINED(SAMG53G19) ||\ + SAM_PART_IS_DEFINED(SAMG53N19) \ + ) + +#define SAMG54 ( \ + SAM_PART_IS_DEFINED(SAMG54G19) ||\ + SAM_PART_IS_DEFINED(SAMG54J19) ||\ + SAM_PART_IS_DEFINED(SAMG54N19) \ + ) + +#define SAMG55 ( \ + SAM_PART_IS_DEFINED(SAMG55G18) ||\ + SAM_PART_IS_DEFINED(SAMG55G19) ||\ + SAM_PART_IS_DEFINED(SAMG55J18) ||\ + SAM_PART_IS_DEFINED(SAMG55J19) ||\ + SAM_PART_IS_DEFINED(SAMG55N19) \ + ) +/** @} */ + +/** + * \name SAMV71 series + * @{ + */ +#define SAMV71J ( \ + SAM_PART_IS_DEFINED(SAMV71J19) || \ + SAM_PART_IS_DEFINED(SAMV71J20) || \ + SAM_PART_IS_DEFINED(SAMV71J21) \ + ) + +#define SAMV71JB ( \ + SAM_PART_IS_DEFINED(SAMV71J19B) || \ + SAM_PART_IS_DEFINED(SAMV71J20B) || \ + SAM_PART_IS_DEFINED(SAMV71J21B) \ + ) + +#define SAMV71N ( \ + SAM_PART_IS_DEFINED(SAMV71N19) || \ + SAM_PART_IS_DEFINED(SAMV71N20) || \ + SAM_PART_IS_DEFINED(SAMV71N21) \ + ) + +#define SAMV71NB ( \ + SAM_PART_IS_DEFINED(SAMV71N19B) || \ + SAM_PART_IS_DEFINED(SAMV71N20B) || \ + SAM_PART_IS_DEFINED(SAMV71N21B) \ + ) + +#define SAMV71Q ( \ + SAM_PART_IS_DEFINED(SAMV71Q19) || \ + SAM_PART_IS_DEFINED(SAMV71Q20) || \ + SAM_PART_IS_DEFINED(SAMV71Q21) \ + ) + +#define SAMV71QB ( \ + SAM_PART_IS_DEFINED(SAMV71Q19B) || \ + SAM_PART_IS_DEFINED(SAMV71Q20B) || \ + SAM_PART_IS_DEFINED(SAMV71Q21B) \ + ) + +/** @} */ + +/** + * \name SAMV70 series + * @{ + */ +#define SAMV70J ( \ + SAM_PART_IS_DEFINED(SAMV70J19) || \ + SAM_PART_IS_DEFINED(SAMV70J20) \ + ) + +#define SAMV70JB ( \ + SAM_PART_IS_DEFINED(SAMV70J19B) || \ + SAM_PART_IS_DEFINED(SAMV70J20B) \ + ) + +#define SAMV70N ( \ + SAM_PART_IS_DEFINED(SAMV70N19) || \ + SAM_PART_IS_DEFINED(SAMV70N20) \ + ) + +#define SAMV70NB ( \ + SAM_PART_IS_DEFINED(SAMV70N19B) || \ + SAM_PART_IS_DEFINED(SAMV70N20B) \ + ) + +#define SAMV70Q ( \ + SAM_PART_IS_DEFINED(SAMV70Q19) || \ + SAM_PART_IS_DEFINED(SAMV70Q20) \ + ) +#define SAMV70QB ( \ + SAM_PART_IS_DEFINED(SAMV70Q19B) || \ + SAM_PART_IS_DEFINED(SAMV70Q20B) \ + ) +/** @} */ + +/** + * \name SAMS70 series + * @{ + */ +#define SAMS70J ( \ + SAM_PART_IS_DEFINED(SAMS70J19) || \ + SAM_PART_IS_DEFINED(SAMS70J20) || \ + SAM_PART_IS_DEFINED(SAMS70J21) \ + ) + +#define SAMS70JB ( \ + SAM_PART_IS_DEFINED(SAMS70J19B) || \ + SAM_PART_IS_DEFINED(SAMS70J20B) || \ + SAM_PART_IS_DEFINED(SAMS70J21B) \ + ) + +#define SAMS70N ( \ + SAM_PART_IS_DEFINED(SAMS70N19) || \ + SAM_PART_IS_DEFINED(SAMS70N20) || \ + SAM_PART_IS_DEFINED(SAMS70N21) \ + ) + +#define SAMS70NB ( \ + SAM_PART_IS_DEFINED(SAMS70N19B) || \ + SAM_PART_IS_DEFINED(SAMS70N20B) || \ + SAM_PART_IS_DEFINED(SAMS70N21B) \ + ) + +#define SAMS70Q ( \ + SAM_PART_IS_DEFINED(SAMS70Q19) || \ + SAM_PART_IS_DEFINED(SAMS70Q20) || \ + SAM_PART_IS_DEFINED(SAMS70Q21) \ + ) + +#define SAMS70QB ( \ + SAM_PART_IS_DEFINED(SAMS70Q19B) || \ + SAM_PART_IS_DEFINED(SAMS70Q20B) || \ + SAM_PART_IS_DEFINED(SAMS70Q21B) \ + ) +/** @} */ + +/** + * \name SAME70 series + * @{ + */ +#define SAME70J ( \ + SAM_PART_IS_DEFINED(SAME70J19) || \ + SAM_PART_IS_DEFINED(SAME70J20) || \ + SAM_PART_IS_DEFINED(SAME70J21) \ + ) + +#define SAME70JB ( \ + SAM_PART_IS_DEFINED(SAME70J19B) || \ + SAM_PART_IS_DEFINED(SAME70J20B) || \ + SAM_PART_IS_DEFINED(SAME70J21B) \ + ) + +#define SAME70N ( \ + SAM_PART_IS_DEFINED(SAME70N19) || \ + SAM_PART_IS_DEFINED(SAME70N20) || \ + SAM_PART_IS_DEFINED(SAME70N21) \ + ) + +#define SAME70NB ( \ + SAM_PART_IS_DEFINED(SAME70N19B) || \ + SAM_PART_IS_DEFINED(SAME70N20B) || \ + SAM_PART_IS_DEFINED(SAME70N21B) \ + ) + +#define SAME70Q ( \ + SAM_PART_IS_DEFINED(SAME70Q19) || \ + SAM_PART_IS_DEFINED(SAME70Q20) || \ + SAM_PART_IS_DEFINED(SAME70Q21) \ + ) + +#define SAME70QB ( \ + SAM_PART_IS_DEFINED(SAME70Q19B) || \ + SAM_PART_IS_DEFINED(SAME70Q20B) || \ + SAM_PART_IS_DEFINED(SAME70Q21B) \ + ) +/** @} */ + +/** + * \name SAM families + * @{ + */ +/** SAM3S Family */ +#define SAM3S (SAM3S1 || SAM3S2 || SAM3S4 || SAM3S8 || SAM3SD8) + +/** SAM3U Family */ +#define SAM3U (SAM3U1 || SAM3U2 || SAM3U4) + +/** SAM3N Family */ +#define SAM3N (SAM3N00 || SAM3N0 || SAM3N1 || SAM3N2 || SAM3N4) + +/** SAM3XA Family */ +#define SAM3XA (SAM3X4 || SAM3X8 || SAM3A4 || SAM3A8) + +/** SAM4S Family */ +#define SAM4S (SAM4S2 || SAM4S4 || SAM4S8 || SAM4S16 || SAM4SA16 || SAM4SD16 || SAM4SD32) + +/** SAM4L Family */ +#define SAM4L (SAM4LS || SAM4LC) + +/** SAMD20 Family */ +#define SAMD20 (SAMD20J || SAMD20G || SAMD20E) + +/** SAMD21 Family */ +#define SAMD21 (SAMD21J || SAMD21G || SAMD21E) + +/** SAMD09 Family */ +#define SAMD09 (SAMD09C || SAMD09D) + +/** SAMD10 Family */ +#define SAMD10 (SAMD10C || SAMD10DS || SAMD10DM || SAMD10DU) + +/** SAMD11 Family */ +#define SAMD11 (SAMD11C || SAMD11DS || SAMD11DM || SAMD11DU) + +/** SAMDA1 Family */ +#define SAMDA1 (SAMDA1J || SAMDA1G || SAMDA1E) + +/** SAMHA1 Family */ +#define SAMHA1 (SAMHA1G || SAMHA1E) + +/** SAMHA0 Family */ +#define SAMHA0 (SAMHA0G || SAMHA0E) + +/** SAMD Family */ +#define SAMD (SAMD20 || SAMD21 || SAMD09 || SAMD10 || SAMD11 || SAMDA1) + +/** SAMR21 Family */ +#define SAMR21 (SAMR21G || SAMR21E) + +/** SAMR30 Family */ +#define SAMR30 (SAMR30G || SAMR30E) + +/** SAMR34 Family */ +#define SAMR34 (SAMR34J) + +/** SAMR35 Family */ +#define SAMR35 (SAMR35J) + +/** SAMB11 Family */ +#define SAMB11 (SAMB11G || BTLC1000) + +/** SAML21 Family */ +#define SAML21 (SAML21J || SAML21G || SAML21E) + +/** SAML22 Family */ +#define SAML22 (SAML22J || SAML22G || SAML22N) +/** SAMC20 Family */ +#define SAMC20 (SAMC20J || SAMC20G || SAMC20E) + +/** SAMC21 Family */ +#define SAMC21 (SAMC21J || SAMC21G || SAMC21E) + +/** SAM4E Family */ +#define SAM4E (SAM4E8 || SAM4E16) + +/** SAM4N Family */ +#define SAM4N (SAM4N8 || SAM4N16) + +/** SAM4C Family */ +#define SAM4C_0 (SAM4C4_0 || SAM4C8_0 || SAM4C16_0 || SAM4C32_0) +#define SAM4C_1 (SAM4C4_1 || SAM4C8_1 || SAM4C16_1 || SAM4C32_1) +#define SAM4C (SAM4C4 || SAM4C8 || SAM4C16 || SAM4C32) + +/** SAM4CM Family */ +#define SAM4CM_0 (SAM4CMP8_0 || SAM4CMP16_0 || SAM4CMP32_0 || \ + SAM4CMS4_0 || SAM4CMS8_0 || SAM4CMS16_0 || SAM4CMS32_0) +#define SAM4CM_1 (SAM4CMP8_1 || SAM4CMP16_1 || SAM4CMP32_1 || \ + SAM4CMS4_1 || SAM4CMS8_1 || SAM4CMS16_1 || SAM4CMS32_1) +#define SAM4CM (SAM4CMP8 || SAM4CMP16 || SAM4CMP32 || \ + SAM4CMS4 || SAM4CMS8 || SAM4CMS16 || SAM4CMS32) + +/** SAM4CP Family */ +#define SAM4CP_0 (SAM4CP16_0) +#define SAM4CP_1 (SAM4CP16_1) +#define SAM4CP (SAM4CP16) + +/** SAMG Family */ +#define SAMG (SAMG51 || SAMG53 || SAMG54 || SAMG55) + +/** SAMB Family */ +#define SAMB (SAMB11) + +/** SAMV71 Family */ +#define SAMV71 (SAMV71J || SAMV71N || SAMV71Q || SAMV71JB || SAMV71NB || SAMV71QB) +#define SAMV71B (SAMV71JB || SAMV71NB || SAMV71QB) + +/** SAMV70 Family */ +#define SAMV70 (SAMV70J || SAMV70N || SAMV70Q || SAMV70JB || SAMV70NB || SAMV70QB) +#define SAMV70B (SAMV70JB || SAMV70NB || SAMV70QB) + +/** SAME70 Family */ +#define SAME70 (SAME70J || SAME70N || SAME70Q || SAME70JB || SAME70NB || SAME70QB) +#define SAME70B (SAME70JB || SAME70NB || SAME70QB) + +/** SAMS70 Family */ +#define SAMS70 (SAMS70J || SAMS70N || SAMS70Q || SAMS70JB || SAMS70NB || SAMS70QB) +#define SAMS70B (SAMS70JB || SAMS70NB || SAMS70QB) + +/** SAM0 product line (cortex-m0+) */ +#define SAM0 (SAMD20 || SAMD21 || SAMR21 || SAMD10 || SAMD11 || SAML21 ||\ + SAMDA1 || SAMC20 || SAMC21 || SAML22 || SAMD09 || SAMR30 || SAMHA1 ||\ + SAMHA0 || SAMR34 || SAMR35) + +/** @} */ + +/** SAM product line */ +#define SAM (SAM3S || SAM3U || SAM3N || SAM3XA || SAM4S || SAM4L || SAM4E || \ + SAM0 || SAM4N || SAM4C || SAM4CM || SAM4CP || SAMG || SAMV71 || SAMV70 || SAME70 || SAMS70) + +/** @} */ + +/** @} */ + +/** @} */ + +#endif /* ATMEL_PARTS_H */ diff --git a/D21_ADC_with_DMA/src/ASF/common2/services/delay/delay.h b/D21_ADC_with_DMA/src/ASF/common2/services/delay/delay.h new file mode 100644 index 0000000..ad7a533 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common2/services/delay/delay.h @@ -0,0 +1,91 @@ +/** + * \file + * + * \brief Common Delay Service + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef DELAY_H_INCLUDED +#define DELAY_H_INCLUDED + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup group_common_services_delay Busy-Wait Delay Routines + * + * This module provides simple loop-based delay routines for those + * applications requiring a brief wait during execution. Common for + * API ver. 2. + * + * @{ + */ + +#ifdef SYSTICK_MODE +#include "sam0/systick_counter.h" +#endif +#ifdef CYCLE_MODE +#include "sam0/cycle_counter.h" +#endif + +void delay_init(void); + +/** + * \def delay_s + * \brief Delay in at least specified number of seconds. + * \param delay Delay in seconds + */ +#define delay_s(delay) ((delay) ? cpu_delay_s(delay) : cpu_delay_us(1)) + +/** + * \def delay_ms + * \brief Delay in at least specified number of milliseconds. + * \param delay Delay in milliseconds + */ +#define delay_ms(delay) ((delay) ? cpu_delay_ms(delay) : cpu_delay_us(1)) + +/** + * \def delay_us + * \brief Delay in at least specified number of microseconds. + * \param delay Delay in microseconds + */ +#define delay_us(delay) ((delay) ? cpu_delay_us(delay) : cpu_delay_us(1)) + +#ifdef __cplusplus +} +#endif + +/** + * @} + */ + +#endif /* DELAY_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.c b/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.c new file mode 100644 index 0000000..736d052 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.c @@ -0,0 +1,86 @@ +/** + * \file + * + * \brief ARM functions for busy-wait delay loops + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "delay.h" + +/** + * Value used to calculate ms delay. Default to be used with a 8MHz clock; + */ +static uint32_t cycles_per_ms = 8000000UL / 1000; +static uint32_t cycles_per_us = 8000000UL / 1000000; + +/** + * \brief Initialize the delay driver. + * + * This must be called during start up to initialize the delay routine with + * the current used main clock. It must run any time the main CPU clock is changed. + */ +void delay_init(void) +{ + cycles_per_ms = system_gclk_gen_get_hz(0); + cycles_per_ms /= 1000; + cycles_per_us = cycles_per_ms / 1000; + + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | SysTick_CTRL_ENABLE_Msk; +} + +/** + * \brief Delay loop to delay at least n number of microseconds + * + * \param n Number of microseconds to wait + */ +void delay_cycles_us( + uint32_t n) +{ + while (n--) { + /* Devide up to blocks of 10u */ + delay_cycles(cycles_per_us); + } +} + +/** + * \brief Delay loop to delay at least n number of milliseconds + * + * \param n Number of milliseconds to wait + */ +void delay_cycles_ms( + uint32_t n) +{ + while (n--) { + /* Devide up to blocks of 1ms */ + delay_cycles(cycles_per_ms); + } +} diff --git a/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.h b/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.h new file mode 100644 index 0000000..3f37b9f --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/common2/services/delay/sam0/systick_counter.h @@ -0,0 +1,103 @@ +/** + * \file + * + * \brief ARM functions for busy-wait delay loops + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef CYCLE_COUNTER_H_INCLUDED +#define CYCLE_COUNTER_H_INCLUDED + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \name Convenience functions for busy-wait delay loops + * + * @{ + */ + +/** + * \brief Delay loop to delay n number of cycles + * Delay program execution for at least the specified number of CPU cycles. + * + * \param n Number of cycles to delay + */ +static inline void delay_cycles( + const uint32_t n) +{ + if (n > 0) { + SysTick->LOAD = n; + SysTick->VAL = 0; + + while (!(SysTick->CTRL & SysTick_CTRL_COUNTFLAG_Msk)) { + }; + } +} + +void delay_cycles_us(uint32_t n); + +void delay_cycles_ms(uint32_t n); + +/** + * \brief Delay program execution for at least the specified number of microseconds. + * + * \param delay number of microseconds to wait + */ +#define cpu_delay_us(delay) delay_cycles_us(delay) + +/** + * \brief Delay program execution for at least the specified number of milliseconds. + * + * \param delay number of milliseconds to wait + */ +#define cpu_delay_ms(delay) delay_cycles_ms(delay) + +/** + * \brief Delay program execution for at least the specified number of seconds. + * + * \param delay number of seconds to wait + */ +#define cpu_delay_s(delay) delay_cycles_ms(1000 * delay) + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /* CYCLE_COUNTER_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/board_init.c b/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/board_init.c new file mode 100644 index 0000000..2d36580 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/board_init.c @@ -0,0 +1,80 @@ +/** + * \file + * + * \brief SAM D21 Xplained Pro board initialization + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include +#include +#include +#include + +#if defined(__GNUC__) +void board_init(void) WEAK __attribute__((alias("system_board_init"))); +#elif defined(__ICCARM__) +void board_init(void); +# pragma weak board_init=system_board_init +#endif + +void system_board_init(void) +{ + struct port_config pin_conf; + port_get_config_defaults(&pin_conf); + + /* Configure LEDs as outputs, turn them off */ + pin_conf.direction = PORT_PIN_DIR_OUTPUT; + port_pin_set_config(LED_0_PIN, &pin_conf); + port_pin_set_output_level(LED_0_PIN, LED_0_INACTIVE); + + /* Set buttons as inputs */ + pin_conf.direction = PORT_PIN_DIR_INPUT; + pin_conf.input_pull = PORT_PIN_PULL_UP; + port_pin_set_config(BUTTON_0_PIN, &pin_conf); + +#ifdef CONF_BOARD_AT86RFX + port_get_config_defaults(&pin_conf); + pin_conf.direction = PORT_PIN_DIR_OUTPUT; + port_pin_set_config(AT86RFX_SPI_SCK, &pin_conf); + port_pin_set_config(AT86RFX_SPI_MOSI, &pin_conf); + port_pin_set_config(AT86RFX_SPI_CS, &pin_conf); + port_pin_set_config(AT86RFX_RST_PIN, &pin_conf); + port_pin_set_config(AT86RFX_SLP_PIN, &pin_conf); + port_pin_set_output_level(AT86RFX_SPI_SCK, true); + port_pin_set_output_level(AT86RFX_SPI_MOSI, true); + port_pin_set_output_level(AT86RFX_SPI_CS, true); + port_pin_set_output_level(AT86RFX_RST_PIN, true); + port_pin_set_output_level(AT86RFX_SLP_PIN, true); + pin_conf.direction = PORT_PIN_DIR_INPUT; + port_pin_set_config(AT86RFX_SPI_MISO, &pin_conf); +#endif +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h b/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h new file mode 100644 index 0000000..f166cde --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h @@ -0,0 +1,699 @@ +/** + * \file + * + * \brief SAM D21 Xplained Pro board definition + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef SAMD21_XPLAINED_PRO_H_INCLUDED +#define SAMD21_XPLAINED_PRO_H_INCLUDED + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \ingroup group_common_boards + * \defgroup samd21_xplained_pro_group SAM D21 Xplained Pro board + * + * @{ + */ + +void system_board_init(void); + +/** + * \defgroup samd21_xplained_pro_features_group Features + * + * Symbols that describe features and capabilities of the board. + * + * @{ + */ + +/** Name string macro */ +#define BOARD_NAME "SAMD21_XPLAINED_PRO" + +/** \name Resonator definitions + * @{ */ +#define BOARD_FREQ_SLCK_XTAL (32768U) +#define BOARD_FREQ_SLCK_BYPASS (32768U) +#define BOARD_FREQ_MAINCK_XTAL 0 /* Not Mounted */ +#define BOARD_FREQ_MAINCK_BYPASS 0 /* Not Mounted */ +#define BOARD_MCK CHIP_FREQ_CPU_MAX +#define BOARD_OSC_STARTUP_US 15625 +/** @} */ + +/** \name LED0 definitions + * @{ */ +#define LED0_PIN PIN_PB30 +#define LED0_ACTIVE false +#define LED0_INACTIVE !LED0_ACTIVE +/** @} */ + +/** \name SW0 definitions + * @{ */ +#define SW0_PIN PIN_PA15 +#define SW0_ACTIVE false +#define SW0_INACTIVE !SW0_ACTIVE +#define SW0_EIC_PIN PIN_PA15A_EIC_EXTINT15 +#define SW0_EIC_MUX MUX_PA15A_EIC_EXTINT15 +#define SW0_EIC_PINMUX PINMUX_PA15A_EIC_EXTINT15 +#define SW0_EIC_LINE 15 +/** @} */ + +/** + * \name LED #0 definitions + * + * Wrapper macros for LED0, to ensure common naming across all Xplained Pro + * boards. + * + * @{ */ +#define LED_0_NAME "LED0 (yellow)" +#define LED_0_PIN LED0_PIN +#define LED_0_ACTIVE LED0_ACTIVE +#define LED_0_INACTIVE LED0_INACTIVE +#define LED0_GPIO LED0_PIN +#define LED0 LED0_PIN + +#define LED_0_PWM4CTRL_MODULE TCC0 +#define LED_0_PWM4CTRL_CHANNEL 0 +#define LED_0_PWM4CTRL_OUTPUT 0 +#define LED_0_PWM4CTRL_PIN PIN_PB30E_TCC0_WO0 +#define LED_0_PWM4CTRL_MUX MUX_PB30E_TCC0_WO0 +#define LED_0_PWM4CTRL_PINMUX PINMUX_PB30E_TCC0_WO0 +/** @} */ + +/** Number of on-board LEDs */ +#define LED_COUNT 1 + +/** + * \name Serialflash definitions + * + * On board Serialflash definitions. + * + * @{ */ +#define SERIALFLASH_SPI_MODULE SERCOM5 +#define SERIALFLASH_SPI_MUX_SETTING SPI_SIGNAL_MUX_SETTING_E +#define SERIALFLASH_SPI_PINMUX_PAD0 PINMUX_PB16C_SERCOM5_PAD0 +#define SERIALFLASH_SPI_PINMUX_PAD1 PINMUX_UNUSED +#define SERIALFLASH_SPI_PINMUX_PAD2 PINMUX_PB22D_SERCOM5_PAD2 +#define SERIALFLASH_SPI_PINMUX_PAD3 PINMUX_PB23D_SERCOM5_PAD3 +#define SERIALFLASH_SPI_CS PIN_PA13 +/** @} */ + +/** + * \name Button #0 definitions + * + * Wrapper macros for SW0, to ensure common naming across all Xplained Pro + * boards. + * + * @{ */ +#define BUTTON_0_NAME "SW0" +#define BUTTON_0_PIN SW0_PIN +#define BUTTON_0_ACTIVE SW0_ACTIVE +#define BUTTON_0_INACTIVE SW0_INACTIVE +#define BUTTON_0_EIC_PIN SW0_EIC_PIN +#define BUTTON_0_EIC_MUX SW0_EIC_MUX +#define BUTTON_0_EIC_PINMUX SW0_EIC_PINMUX +#define BUTTON_0_EIC_LINE SW0_EIC_LINE +/** @} */ + +/** Number of on-board buttons */ +#define BUTTON_COUNT 1 + +/** \name Extension header #1 pin definitions + * @{ + */ +#define EXT1_PIN_3 PIN_PB00 +#define EXT1_PIN_4 PIN_PB01 +#define EXT1_PIN_5 PIN_PB06 +#define EXT1_PIN_6 PIN_PB07 +#define EXT1_PIN_7 PIN_PB02 +#define EXT1_PIN_8 PIN_PB03 +#define EXT1_PIN_9 PIN_PB04 +#define EXT1_PIN_10 PIN_PB05 +#define EXT1_PIN_11 PIN_PA08 +#define EXT1_PIN_12 PIN_PA09 +#define EXT1_PIN_13 PIN_PB09 +#define EXT1_PIN_14 PIN_PB08 +#define EXT1_PIN_15 PIN_PA05 +#define EXT1_PIN_16 PIN_PA06 +#define EXT1_PIN_17 PIN_PA04 +#define EXT1_PIN_18 PIN_PA07 +/** @} */ + +/** \name Extension header #1 pin definitions by function + * @{ + */ +#define EXT1_PIN_ADC_0 EXT1_PIN_3 +#define EXT1_PIN_ADC_1 EXT1_PIN_4 +#define EXT1_PIN_GPIO_0 EXT1_PIN_5 +#define EXT1_PIN_GPIO_1 EXT1_PIN_6 +#define EXT1_PIN_PWM_0 EXT1_PIN_7 +#define EXT1_PIN_PWM_1 EXT1_PIN_8 +#define EXT1_PIN_IRQ EXT1_PIN_9 +#define EXT1_PIN_I2C_SDA EXT1_PIN_11 +#define EXT1_PIN_I2C_SCL EXT1_PIN_12 +#define EXT1_PIN_UART_RX EXT1_PIN_13 +#define EXT1_PIN_UART_TX EXT1_PIN_14 +#define EXT1_PIN_SPI_SS_1 EXT1_PIN_10 +#define EXT1_PIN_SPI_SS_0 EXT1_PIN_15 +#define EXT1_PIN_SPI_MOSI EXT1_PIN_16 +#define EXT1_PIN_SPI_MISO EXT1_PIN_17 +#define EXT1_PIN_SPI_SCK EXT1_PIN_18 +/** @} */ + +/** \name Extension header #1 ADC definitions + * @{ + */ +#define EXT1_ADC_MODULE ADC +#define EXT1_ADC_0_CHANNEL 8 +#define EXT1_ADC_0_PIN PIN_PB00B_ADC_AIN8 +#define EXT1_ADC_0_MUX MUX_PB00B_ADC_AIN8 +#define EXT1_ADC_0_PINMUX PINMUX_PB00B_ADC_AIN8 +#define EXT1_ADC_1_CHANNEL 9 +#define EXT1_ADC_1_PIN PIN_PB01B_ADC_AIN9 +#define EXT1_ADC_1_MUX MUX_PB01B_ADC_AIN9 +#define EXT1_ADC_1_PINMUX PINMUX_PB01B_ADC_AIN9 +/** @} */ + +/** \name Extension header #1 PWM definitions + * @{ + */ +#define EXT1_PWM_MODULE TC6 +#define EXT1_PWM_0_CHANNEL 0 +#define EXT1_PWM_0_PIN PIN_PB02E_TC6_WO0 +#define EXT1_PWM_0_MUX MUX_PB02E_TC6_WO0 +#define EXT1_PWM_0_PINMUX PINMUX_PB02E_TC6_WO0 +#define EXT1_PWM_1_CHANNEL 1 +#define EXT1_PWM_1_PIN PIN_PB03E_TC6_WO1 +#define EXT1_PWM_1_MUX MUX_PB03E_TC6_WO1 +#define EXT1_PWM_1_PINMUX PINMUX_PB03E_TC6_WO1 +/** @} */ + +/** \name Extension header #1 IRQ/External interrupt definitions + * @{ + */ +#define EXT1_IRQ_MODULE EIC +#define EXT1_IRQ_INPUT 4 +#define EXT1_IRQ_PIN PIN_PB04A_EIC_EXTINT4 +#define EXT1_IRQ_MUX MUX_PB04A_EIC_EXTINT4 +#define EXT1_IRQ_PINMUX PINMUX_PB04A_EIC_EXTINT4 +/** @} */ + +/** \name Extension header #1 I2C definitions + * @{ + */ +#define EXT1_I2C_MODULE SERCOM2 +#define EXT1_I2C_SERCOM_PINMUX_PAD0 PINMUX_PA08D_SERCOM2_PAD0 +#define EXT1_I2C_SERCOM_PINMUX_PAD1 PINMUX_PA09D_SERCOM2_PAD1 +#define EXT1_I2C_SERCOM_DMAC_ID_TX SERCOM2_DMAC_ID_TX +#define EXT1_I2C_SERCOM_DMAC_ID_RX SERCOM2_DMAC_ID_RX +/** @} */ + +/** \name Extension header #1 UART definitions + * @{ + */ +#define EXT1_UART_MODULE SERCOM4 +#define EXT1_UART_SERCOM_MUX_SETTING USART_RX_1_TX_0_XCK_1 +#define EXT1_UART_SERCOM_PINMUX_PAD0 PINMUX_PB08D_SERCOM4_PAD0 +#define EXT1_UART_SERCOM_PINMUX_PAD1 PINMUX_PB09D_SERCOM4_PAD1 +#define EXT1_UART_SERCOM_PINMUX_PAD2 PINMUX_UNUSED +#define EXT1_UART_SERCOM_PINMUX_PAD3 PINMUX_UNUSED +#define EXT1_UART_SERCOM_DMAC_ID_TX SERCOM4_DMAC_ID_TX +#define EXT1_UART_SERCOM_DMAC_ID_RX SERCOM4_DMAC_ID_RX +/** @} */ + +/** \name Extension header #1 SPI definitions + * @{ + */ +#define EXT1_SPI_MODULE SERCOM0 +#define EXT1_SPI_SERCOM_MUX_SETTING SPI_SIGNAL_MUX_SETTING_E +#define EXT1_SPI_SERCOM_PINMUX_PAD0 PINMUX_PA04D_SERCOM0_PAD0 +#define EXT1_SPI_SERCOM_PINMUX_PAD1 PINMUX_PA05D_SERCOM0_PAD1 +#define EXT1_SPI_SERCOM_PINMUX_PAD2 PINMUX_PA06D_SERCOM0_PAD2 +#define EXT1_SPI_SERCOM_PINMUX_PAD3 PINMUX_PA07D_SERCOM0_PAD3 +#define EXT1_SPI_SERCOM_DMAC_ID_TX SERCOM0_DMAC_ID_TX +#define EXT1_SPI_SERCOM_DMAC_ID_RX SERCOM0_DMAC_ID_RX +/** @} */ + +/** \name Extension header #2 pin definitions + * @{ + */ +#define EXT2_PIN_3 PIN_PA10 +#define EXT2_PIN_4 PIN_PA11 +#define EXT2_PIN_5 PIN_PA20 +#define EXT2_PIN_6 PIN_PA21 +#define EXT2_PIN_7 PIN_PB12 +#define EXT2_PIN_8 PIN_PB13 +#define EXT2_PIN_9 PIN_PB14 +#define EXT2_PIN_10 PIN_PB15 +#define EXT2_PIN_11 PIN_PA08 +#define EXT2_PIN_12 PIN_PA09 +#define EXT2_PIN_13 PIN_PB11 +#define EXT2_PIN_14 PIN_PB10 +#define EXT2_PIN_15 PIN_PA17 +#define EXT2_PIN_16 PIN_PA18 +#define EXT2_PIN_17 PIN_PA16 +#define EXT2_PIN_18 PIN_PA19 +/** @} */ + +/** \name Extension header #2 pin definitions by function + * @{ + */ +#define EXT2_PIN_ADC_0 EXT2_PIN_3 +#define EXT2_PIN_ADC_1 EXT2_PIN_4 +#define EXT2_PIN_GPIO_0 EXT2_PIN_5 +#define EXT2_PIN_GPIO_1 EXT2_PIN_6 +#define EXT2_PIN_PWM_0 EXT2_PIN_7 +#define EXT2_PIN_PWM_1 EXT2_PIN_8 +#define EXT2_PIN_IRQ EXT2_PIN_9 +#define EXT2_PIN_I2C_SDA EXT2_PIN_11 +#define EXT2_PIN_I2C_SCL EXT2_PIN_12 +#define EXT2_PIN_UART_RX EXT2_PIN_13 +#define EXT2_PIN_UART_TX EXT2_PIN_14 +#define EXT2_PIN_SPI_SS_1 EXT2_PIN_10 +#define EXT2_PIN_SPI_SS_0 EXT2_PIN_15 +#define EXT2_PIN_SPI_MOSI EXT2_PIN_16 +#define EXT2_PIN_SPI_MISO EXT2_PIN_17 +#define EXT2_PIN_SPI_SCK EXT2_PIN_18 +/** @} */ + +/** \name Extension header #2 ADC definitions + * @{ + */ +#define EXT2_ADC_MODULE ADC +#define EXT2_ADC_0_CHANNEL 18 +#define EXT2_ADC_0_PIN PIN_PA10B_ADC_AIN18 +#define EXT2_ADC_0_MUX MUX_PA10B_ADC_AIN18 +#define EXT2_ADC_0_PINMUX PINMUX_PA10B_ADC_AIN18 +#define EXT2_ADC_1_CHANNEL 19 +#define EXT2_ADC_1_PIN PIN_PA11B_ADC_AIN19 +#define EXT2_ADC_1_MUX MUX_PA11B_ADC_AIN19 +#define EXT2_ADC_1_PINMUX PINMUX_PA11B_ADC_AIN19 +/** @} */ + +/** \name Extension header #2 PWM definitions + * @{ + */ +#define EXT2_PWM_MODULE TC4 +#define EXT2_PWM_0_CHANNEL 0 +#define EXT2_PWM_0_PIN PIN_PB12E_TC4_WO0 +#define EXT2_PWM_0_MUX MUX_PB12E_TC4_WO0 +#define EXT2_PWM_0_PINMUX PINMUX_PB12E_TC4_WO0 +#define EXT2_PWM_1_CHANNEL 1 +#define EXT2_PWM_1_PIN PIN_PB13E_TC4_WO1 +#define EXT2_PWM_1_MUX MUX_PB13E_TC4_WO1 +#define EXT2_PWM_1_PINMUX PINMUX_PB13E_TC4_WO1 +/** @} */ + +/** \name Extension header #2 PWM for Control definitions + * @{ + */ +#define EXT2_PWM4CTRL_MODULE TCC0 +#define EXT2_PWM4CTRL_0_CHANNEL 2 +#define EXT2_PWM4CTRL_0_OUTPUT 6 +#define EXT2_PWM4CTRL_0_PIN PIN_PB12F_TCC0_WO6 +#define EXT2_PWM4CTRL_0_MUX MUX_PB12F_TCC0_WO6 +#define EXT2_PWM4CTRL_0_PINMUX PINMUX_PB12F_TCC0_WO6 +#define EXT2_PWM4CTRL_1_CHANNEL 3 +#define EXT2_PWM4CTRL_1_OUTPUT 7 +#define EXT2_PWM4CTRL_1_PIN PIN_PB13F_TCC0_WO7 +#define EXT2_PWM4CTRL_1_MUX MUX_PB13F_TCC0_WO7 +#define EXT2_PWM4CTRL_1_PINMUX PINMUX_PB13F_TCC0_WO7 +/** @} */ + +/** \name Extension header #2 IRQ/External interrupt definitions + * @{ + */ +#define EXT2_IRQ_MODULE EIC +#define EXT2_IRQ_INPUT 14 +#define EXT2_IRQ_PIN PIN_PB14A_EIC_EXTINT14 +#define EXT2_IRQ_MUX MUX_PB14A_EIC_EXTINT14 +#define EXT2_IRQ_PINMUX PINMUX_PB14A_EIC_EXTINT14 +/** @} */ + + /** \name Extension header #2 I2C definitions + * @{ + */ +#define EXT2_I2C_MODULE SERCOM2 +#define EXT2_I2C_SERCOM_PINMUX_PAD0 PINMUX_PA08D_SERCOM2_PAD0 +#define EXT2_I2C_SERCOM_PINMUX_PAD1 PINMUX_PA09D_SERCOM2_PAD1 +#define EXT2_I2C_SERCOM_DMAC_ID_TX SERCOM2_DMAC_ID_TX +#define EXT2_I2C_SERCOM_DMAC_ID_RX SERCOM2_DMAC_ID_RX +/** @} */ + +/** \name Extension header #2 UART definitions + * @{ + */ +#define EXT2_UART_MODULE SERCOM4 +#define EXT2_UART_SERCOM_MUX_SETTING USART_RX_1_TX_0_XCK_1 +#define EXT2_UART_SERCOM_PINMUX_PAD0 PINMUX_PB12C_SERCOM4_PAD0 +#define EXT2_UART_SERCOM_PINMUX_PAD1 PINMUX_PB13C_SERCOM4_PAD1 +#define EXT2_UART_SERCOM_PINMUX_PAD2 PINMUX_UNUSED +#define EXT2_UART_SERCOM_PINMUX_PAD3 PINMUX_UNUSED +#define EXT2_UART_SERCOM_DMAC_ID_TX SERCOM4_DMAC_ID_TX +#define EXT2_UART_SERCOM_DMAC_ID_RX SERCOM4_DMAC_ID_RX +/** @} */ + +/** \name Extension header #2 SPI definitions + * @{ + */ +#define EXT2_SPI_MODULE SERCOM1 +#define EXT2_SPI_SERCOM_MUX_SETTING SPI_SIGNAL_MUX_SETTING_E +#define EXT2_SPI_SERCOM_PINMUX_PAD0 PINMUX_PA16C_SERCOM1_PAD0 +#define EXT2_SPI_SERCOM_PINMUX_PAD1 PINMUX_PA17C_SERCOM1_PAD1 +#define EXT2_SPI_SERCOM_PINMUX_PAD2 PINMUX_PA18C_SERCOM1_PAD2 +#define EXT2_SPI_SERCOM_PINMUX_PAD3 PINMUX_PA19C_SERCOM1_PAD3 +#define EXT2_SPI_SERCOM_DMAC_ID_TX SERCOM1_DMAC_ID_TX +#define EXT2_SPI_SERCOM_DMAC_ID_RX SERCOM1_DMAC_ID_RX +/** @} */ + +/** \name Extension header #3 pin definitions + * @{ + */ +#define EXT3_PIN_3 PIN_PA02 +#define EXT3_PIN_4 PIN_PA03 +#define EXT3_PIN_5 PIN_PB30 +#define EXT3_PIN_6 PIN_PA15 +#define EXT3_PIN_7 PIN_PA12 +#define EXT3_PIN_8 PIN_PA13 +#define EXT3_PIN_9 PIN_PA28 +#define EXT3_PIN_10 PIN_PA27 +#define EXT3_PIN_11 PIN_PA08 +#define EXT3_PIN_12 PIN_PA09 +#define EXT3_PIN_13 PIN_PB11 +#define EXT3_PIN_14 PIN_PB10 +#define EXT3_PIN_15 PIN_PB17 +#define EXT3_PIN_16 PIN_PB22 +#define EXT3_PIN_17 PIN_PB16 +#define EXT3_PIN_18 PIN_PB23 +/** @} */ + +/** \name Extension header #3 pin definitions by function + * @{ + */ +#define EXT3_PIN_ADC_0 EXT3_PIN_3 +#define EXT3_PIN_ADC_1 EXT3_PIN_4 +#define EXT3_PIN_GPIO_0 EXT3_PIN_5 +#define EXT3_PIN_GPIO_1 EXT3_PIN_6 +#define EXT3_PIN_PWM_0 EXT3_PIN_7 +#define EXT3_PIN_PWM_1 EXT3_PIN_8 +#define EXT3_PIN_IRQ EXT3_PIN_9 +#define EXT3_PIN_I2C_SDA EXT3_PIN_11 +#define EXT3_PIN_I2C_SCL EXT3_PIN_12 +#define EXT3_PIN_UART_RX EXT3_PIN_13 +#define EXT3_PIN_UART_TX EXT3_PIN_14 +#define EXT3_PIN_SPI_SS_1 EXT3_PIN_10 +#define EXT3_PIN_SPI_SS_0 EXT3_PIN_15 +#define EXT3_PIN_SPI_MOSI EXT3_PIN_16 +#define EXT3_PIN_SPI_MISO EXT3_PIN_17 +#define EXT3_PIN_SPI_SCK EXT3_PIN_18 +/** @} */ + +/** \name Extension header #3 ADC definitions + * @{ + */ +#define EXT3_ADC_MODULE ADC +#define EXT3_ADC_0_CHANNEL 0 +#define EXT3_ADC_0_PIN PIN_PA02B_ADC_AIN0 +#define EXT3_ADC_0_MUX MUX_PA02B_ADC_AIN0 +#define EXT3_ADC_0_PINMUX PINMUX_PA02B_ADC_AIN0 +#define EXT3_ADC_1_CHANNEL 1 +#define EXT3_ADC_1_PIN PIN_PA03B_ADC_AIN1 +#define EXT3_ADC_1_MUX MUX_PA03B_ADC_AIN1 +#define EXT3_ADC_1_PINMUX PINMUX_PA03B_ADC_AIN1 +/** @} */ + +/** \name Extension header #3 PWM for Control definitions + * @{ + */ +#define EXT3_PWM4CTRL_MODULE TCC2 +#define EXT3_PWM4CTRL_0_CHANNEL 0 +#define EXT3_PWM4CTRL_0_OUTPUT 0 +#define EXT3_PWM4CTRL_0_PIN PIN_PA12E_TCC2_WO0 +#define EXT3_PWM4CTRL_0_MUX MUX_PA12E_TCC2_WO0 +#define EXT3_PWM4CTRL_0_PINMUX PINMUX_PA12E_TCC2_WO0 +#define EXT3_PWM4CTRL_1_CHANNEL 1 +#define EXT3_PWM4CTRL_1_OUTPUT 1 +#define EXT3_PWM4CTRL_1_PIN PIN_PA13E_TCC2_WO1 +#define EXT3_PWM4CTRL_1_MUX MUX_PA13E_TCC2_WO1 +#define EXT3_PWM4CTRL_1_PINMUX PINMUX_PA13E_TCC2_WO1 +/** @} */ + +/** \name Extension header #3 IRQ/External interrupt definitions + * @{ + */ +#define EXT3_IRQ_MODULE EIC +#define EXT3_IRQ_INPUT 8 +#define EXT3_IRQ_PIN PIN_PA28A_EIC_EXTINT8 +#define EXT3_IRQ_MUX MUX_PA28A_EIC_EXTINT8 +#define EXT3_IRQ_PINMUX PINMUX_PA28A_EIC_EXTINT8 +/** @} */ + +/** \name Extension header #3 I2C definitions + * @{ + */ +#define EXT3_I2C_MODULE SERCOM2 +#define EXT3_I2C_SERCOM_PINMUX_PAD0 PINMUX_PA08D_SERCOM2_PAD0 +#define EXT3_I2C_SERCOM_PINMUX_PAD1 PINMUX_PA09D_SERCOM2_PAD1 +#define EXT3_I2C_SERCOM_DMAC_ID_TX SERCOM2_DMAC_ID_TX +#define EXT3_I2C_SERCOM_DMAC_ID_RX SERCOM2_DMAC_ID_RX +/** @} */ + +/** \name Extension header #3 UART definitions + * @{ + */ +#define EXT3_UART_MODULE SERCOM4 +#define EXT3_UART_SERCOM_MUX_SETTING USART_RX_3_TX_2_XCK_3 +#define EXT3_UART_SERCOM_PINMUX_PAD0 PINMUX_UNUSED +#define EXT3_UART_SERCOM_PINMUX_PAD1 PINMUX_UNUSED +#define EXT3_UART_SERCOM_PINMUX_PAD2 PINMUX_PB10D_SERCOM4_PAD2 +#define EXT3_UART_SERCOM_PINMUX_PAD3 PINMUX_PB11D_SERCOM4_PAD3 +#define EXT3_UART_SERCOM_DMAC_ID_TX SERCOM4_DMAC_ID_TX +#define EXT3_UART_SERCOM_DMAC_ID_RX SERCOM4_DMAC_ID_RX +/** @} */ + +/** \name Extension header #3 SPI definitions + * @{ + */ +#define EXT3_SPI_MODULE SERCOM5 +#define EXT3_SPI_SERCOM_MUX_SETTING SPI_SIGNAL_MUX_SETTING_E +#define EXT3_SPI_SERCOM_PINMUX_PAD0 PINMUX_PB16C_SERCOM5_PAD0 +#define EXT3_SPI_SERCOM_PINMUX_PAD1 PINMUX_PB17C_SERCOM5_PAD1 +#define EXT3_SPI_SERCOM_PINMUX_PAD2 PINMUX_PB22D_SERCOM5_PAD2 +#define EXT3_SPI_SERCOM_PINMUX_PAD3 PINMUX_PB23D_SERCOM5_PAD3 +#define EXT3_SPI_SERCOM_DMAC_ID_TX SERCOM5_DMAC_ID_TX +#define EXT3_SPI_SERCOM_DMAC_ID_RX SERCOM5_DMAC_ID_RX +/** @} */ + +/** \name Extension header #3 Dataflash + * @{ + */ +#define EXT3_DATAFLASH_SPI_MODULE EXT3_SPI_MODULE +#define EXT3_DATAFLASH_SPI_MUX_SETTING EXT3_SPI_SERCOM_MUX_SETTING +#define EXT3_DATAFLASH_SPI_PINMUX_PAD0 EXT3_SPI_SERCOM_PINMUX_PAD0 +#define EXT3_DATAFLASH_SPI_PINMUX_PAD1 EXT3_SPI_SERCOM_PINMUX_PAD1 +#define EXT3_DATAFLASH_SPI_PINMUX_PAD2 EXT3_SPI_SERCOM_PINMUX_PAD2 +#define EXT3_DATAFLASH_SPI_PINMUX_PAD3 EXT3_SPI_SERCOM_PINMUX_PAD3 +/** @} */ + +/** \name USB definitions + * @{ + */ +#define USB_ID +#define USB_TARGET_DP_PIN PIN_PA25G_USB_DP +#define USB_TARGET_DP_MUX MUX_PA25G_USB_DP +#define USB_TARGET_DP_PINMUX PINMUX_PA25G_USB_DP +#define USB_TARGET_DM_PIN PIN_PA24G_USB_DM +#define USB_TARGET_DM_MUX MUX_PA24G_USB_DM +#define USB_TARGET_DM_PINMUX PINMUX_PA24G_USB_DM +#define USB_VBUS_PIN PIN_PA14 +#define USB_VBUS_EIC_LINE 14 +#define USB_VBUS_EIC_MUX MUX_PA14A_EIC_EXTINT14 +#define USB_VBUS_EIC_PINMUX PINMUX_PA14A_EIC_EXTINT14 +#define USB_ID_PIN PIN_PA03 +#define USB_ID_EIC_LINE 3 +#define USB_ID_EIC_MUX MUX_PA03A_EIC_EXTINT3 +#define USB_ID_EIC_PINMUX PINMUX_PA03A_EIC_EXTINT3 +/** @} */ + +/** \name Embedded debugger GPIO interface definitions + * @{ + */ +#define EDBG_GPIO0_PIN PIN_PA27 +#define EDBG_GPIO1_PIN PIN_PA28 +#define EDBG_GPIO2_PIN PIN_PA20 +#define EDBG_GPIO3_PIN PIN_PA21 +/** @} */ + +/** \name Embedded debugger USART interface definitions + * @{ + */ +#define EDBG_UART_MODULE -1 /* Not available on this board */ +#define EDBG_UART_RX_PIN -1 /* Not available on this board */ +#define EDBG_UART_RX_MUX -1 /* Not available on this board */ +#define EDBG_UART_RX_PINMUX -1 /* Not available on this board */ +#define EDBG_UART_RX_SERCOM_PAD -1 /* Not available on this board */ +#define EDBG_UART_TX_PIN -1 /* Not available on this board */ +#define EDBG_UART_TX_MUX -1 /* Not available on this board */ +#define EDBG_UART_TX_PINMUX -1 /* Not available on this board */ +#define EDBG_UART_TX_SERCOM_PAD -1 /* Not available on this board */ +/** @} */ + +/** \name Embedded debugger I2C interface definitions + * @{ + */ +#define EDBG_I2C_MODULE SERCOM2 +#define EDBG_I2C_SERCOM_PINMUX_PAD0 PINMUX_PA08D_SERCOM2_PAD0 +#define EDBG_I2C_SERCOM_PINMUX_PAD1 PINMUX_PA09D_SERCOM2_PAD1 +#define EDBG_I2C_SERCOM_DMAC_ID_TX SERCOM2_DMAC_ID_TX +#define EDBG_I2C_SERCOM_DMAC_ID_RX SERCOM2_DMAC_ID_RX +/** @} */ + +/** \name Embedded debugger SPI interface definitions + * @{ + */ +#define EDBG_SPI_MODULE SERCOM5 +#define EDBG_SPI_SERCOM_MUX_SETTING SPI_SIGNAL_MUX_SETTING_E +#define EDBG_SPI_SERCOM_PINMUX_PAD0 PINMUX_PB16C_SERCOM5_PAD0 +#define EDBG_SPI_SERCOM_PINMUX_PAD1 PINMUX_PB31D_SERCOM5_PAD1 +#define EDBG_SPI_SERCOM_PINMUX_PAD2 PINMUX_PB22D_SERCOM5_PAD2 +#define EDBG_SPI_SERCOM_PINMUX_PAD3 PINMUX_PB23D_SERCOM5_PAD3 +#define EDBG_SPI_SERCOM_DMAC_ID_TX SERCOM5_DMAC_ID_TX +#define EDBG_SPI_SERCOM_DMAC_ID_RX SERCOM5_DMAC_ID_RX +/** @} */ + +/** \name Embedded debugger CDC Gateway USART interface definitions + * @{ + */ +#define EDBG_CDC_MODULE SERCOM3 +#define EDBG_CDC_SERCOM_MUX_SETTING USART_RX_1_TX_0_XCK_1 +#define EDBG_CDC_SERCOM_PINMUX_PAD0 PINMUX_PA22C_SERCOM3_PAD0 +#define EDBG_CDC_SERCOM_PINMUX_PAD1 PINMUX_PA23C_SERCOM3_PAD1 +#define EDBG_CDC_SERCOM_PINMUX_PAD2 PINMUX_UNUSED +#define EDBG_CDC_SERCOM_PINMUX_PAD3 PINMUX_UNUSED +#define EDBG_CDC_SERCOM_DMAC_ID_TX SERCOM3_DMAC_ID_TX +#define EDBG_CDC_SERCOM_DMAC_ID_RX SERCOM3_DMAC_ID_RX +/** @} */ + +/** @} */ + +/** \name 802.15.4 TRX Interface definitions + * @{ + */ +#ifndef EXT2_CONFIG +#define AT86RFX_SPI EXT1_SPI_MODULE +#define AT86RFX_RST_PIN EXT1_PIN_7 +#define AT86RFX_MISC_PIN EXT1_PIN_12 +#define AT86RFX_IRQ_PIN EXT1_PIN_9 +#define AT86RFX_SLP_PIN EXT1_PIN_10 +#define AT86RFX_SPI_CS EXT1_PIN_15 +#define AT86RFX_SPI_MOSI EXT1_PIN_16 +#define AT86RFX_SPI_MISO EXT1_PIN_17 +#define AT86RFX_SPI_SCK EXT1_PIN_18 +#define AT86RFX_CSD EXT1_PIN_5 +#define AT86RFX_CPS EXT1_PIN_8 + +#define AT86RFX_SPI_SERCOM_MUX_SETTING EXT1_SPI_SERCOM_MUX_SETTING +#define AT86RFX_SPI_SERCOM_PINMUX_PAD0 EXT1_SPI_SERCOM_PINMUX_PAD0 +#define AT86RFX_SPI_SERCOM_PINMUX_PAD1 PINMUX_UNUSED +#define AT86RFX_SPI_SERCOM_PINMUX_PAD2 EXT1_SPI_SERCOM_PINMUX_PAD2 +#define AT86RFX_SPI_SERCOM_PINMUX_PAD3 EXT1_SPI_SERCOM_PINMUX_PAD3 + +#define AT86RFX_IRQ_CHAN EXT1_IRQ_INPUT +#define AT86RFX_IRQ_PINMUX EXT1_IRQ_PINMUX + + +#endif +/** Enables the transceiver main interrupt. */ +#define ENABLE_TRX_IRQ() \ + extint_chan_enable_callback(AT86RFX_IRQ_CHAN, EXTINT_CALLBACK_TYPE_DETECT) + +/** Disables the transceiver main interrupt. */ +#define DISABLE_TRX_IRQ() \ + extint_chan_disable_callback(AT86RFX_IRQ_CHAN, EXTINT_CALLBACK_TYPE_DETECT) + +/** Clears the transceiver main interrupt. */ +#define CLEAR_TRX_IRQ() \ + extint_chan_clear_detected(AT86RFX_IRQ_CHAN); + +/* + * This macro saves the trx interrupt status and disables the trx interrupt. + */ +#define ENTER_TRX_REGION() \ + { extint_chan_disable_callback(AT86RFX_IRQ_CHAN, EXTINT_CALLBACK_TYPE_DETECT) + +/* + * This macro restores the transceiver interrupt status + */ +#define LEAVE_TRX_REGION() \ + extint_chan_enable_callback(AT86RFX_IRQ_CHAN, EXTINT_CALLBACK_TYPE_DETECT); } + +/** @} */ + +/** + * \brief Turns off the specified LEDs. + * + * \param led_gpio LED to turn off (LEDx_GPIO). + * + * \note The pins of the specified LEDs are set to GPIO output mode. + */ +#define LED_Off(led_gpio) port_pin_set_output_level(led_gpio,true) + +/** + * \brief Turns on the specified LEDs. + * + * \param led_gpio LED to turn on (LEDx_GPIO). + * + * \note The pins of the specified LEDs are set to GPIO output mode. + */ +#define LED_On(led_gpio) port_pin_set_output_level(led_gpio,false) + +/** + * \brief Toggles the specified LEDs. + * + * \param led_gpio LED to toggle (LEDx_GPIO). + * + * \note The pins of the specified LEDs are set to GPIO output mode. + */ +#define LED_Toggle(led_gpio) port_pin_toggle_output_level(led_gpio) + +/** @} */ + +#ifdef __cplusplus +} +#endif + +#endif /* SAMD21_XPLAINED_PRO_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc.h new file mode 100644 index 0000000..1236203 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc.h @@ -0,0 +1,1147 @@ +/** + * \file + * + * \brief SAM Peripheral Analog-to-Digital Converter Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef ADC_H_INCLUDED +#define ADC_H_INCLUDED + +/** + * \defgroup asfdoc_sam0_adc_group SAM Analog-to-Digital Converter (ADC) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides an interface for the configuration + * and management of the device's Analog-to-Digital Converter functionality, for + * the conversion of analog voltages into a corresponding digital form. + * The following driver Application Programming Interface (API) modes are covered by this manual: + * - Polled APIs + * \if ADC_CALLBACK_MODE + * - Callback APIs + * \endif + * + * The following peripheral is used by this module: + * - ADC (Analog-to-Digital Converter) + * + * The following devices can use this module: + * \if DEVICE_SAML21_SUPPORT + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM R34/R35 + * \else + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM HA1 + * \endif + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_adc_prerequisites + * - \ref asfdoc_sam0_adc_module_overview + * - \ref asfdoc_sam0_adc_special_considerations + * - \ref asfdoc_sam0_adc_extra_info + * - \ref asfdoc_sam0_adc_examples + * - \ref asfdoc_sam0_adc_api_overview + * + * + * \section asfdoc_sam0_adc_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_adc_module_overview Module Overview + * + * This driver provides an interface for the Analog-to-Digital conversion + * functions on the device, to convert analog voltages to a corresponding + * digital value. The ADC has up to 12-bit resolution, and is capable of + * \if DEVICE_SAML21_SUPPORT + * converting up to 1,000,000 samples per second (MSPS). + * \else + * converting up to 500K samples per second (KSPS). + * \endif + * + * The ADC has a compare function for accurate monitoring of user defined + * thresholds with minimum software intervention required. + * The ADC may be configured for 8-, 10-, or 12-bit result, reducing the + * conversion time. ADC conversion results are provided left or right adjusted + * which eases calculation when the result is represented as a signed integer. + * + * The input selection is flexible, and both single-ended and differential + * measurements can be made. For differential measurements, an optional gain + * stage is available to increase the dynamic range. In addition, several + * internal signal inputs are available. The ADC can provide both signed and + * unsigned results. + * + * The ADC measurements can either be started by application software or an + * incoming event from another peripheral in the device, and both internal and + * external reference voltages can be selected. + * + * \note Internal references will be enabled by the driver, but not disabled. + * Any reference not used by the application should be disabled by the application. + * + * A simplified block diagram of the ADC can be seen in + * \ref asfdoc_sam0_adc_module_block_diagram "the figure below". + * + * \anchor asfdoc_sam0_adc_module_block_diagram + * \dot + * digraph overview { + * splines = false; + * rankdir=LR; + * + * mux1 [label="Positive input", shape=box]; + * mux2 [label="Negative input", shape=box]; + * + * + * mux3 [label="Reference", shape=box]; + * + * adc [label="ADC", shape=polygon, sides=5, orientation=90, distortion=-0.6, style=filled, fillcolor=darkolivegreen1, height=1, width=1]; + * prescaler [label="PRESCALER", shape=box, style=filled, fillcolor=lightblue]; + * + * mux1 -> adc; + * mux2 -> adc; + * mux3 -> adc:sw; + * prescaler -> adc; + * + * postproc [label="Post processing", shape=box]; + * result [label="RESULT", shape=box, style=filled, fillcolor=lightblue]; + * + * adc:e -> postproc:w; + * postproc:e -> result:w; + * + * {rank=same; mux1 mux2} + * {rank=same; prescaler adc} + * + * } + * \enddot + * + * + * \subsection asfdoc_sam0_adc_module_overview_prescaler Sample Clock Prescaler + * The ADC features a prescaler, which enables conversion at lower clock rates + * than the input Generic Clock to the ADC module. This feature can be used to + * lower the synchronization time of the digital interface to the ADC module + * via a high speed Generic Clock frequency, while still allowing the ADC + * sampling rate to be reduced. + * + * \subsection asfdoc_sam0_adc_module_overview_resolution ADC Resolution + * The ADC supports full 8-, 10-, or 12-bit resolution. Hardware + * oversampling and decimation can be used to increase the + * effective resolution at the expense of throughput. Using oversampling and + * decimation mode the ADC resolution is increased from 12-bit to an effective + * 13-, 14-, 15-, or 16-bit. In these modes the conversion rate is reduced, as + * a greater number of samples is used to achieve the increased resolution. The + * available resolutions and effective conversion rate is listed in + * \ref asfdoc_sam0_adc_module_conversion_rate "the table below". + * + * \anchor asfdoc_sam0_adc_module_conversion_rate + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Effective ADC Conversion Speed Using Oversampling
    ResolutionEffective conversion rate
    13-bitConversion rate divided by 4
    14-bitConversion rate divided by 16
    15-bitConversion rate divided by 64
    16-bitConversion rate divided by 256
    + * + * \subsection asfdoc_sam0_adc_module_overview_conversion Conversion Modes + * ADC conversions can be software triggered on demand by the user application, + * if continuous sampling is not required. It is also possible to configure the + * ADC in free running mode, where new conversions are started as soon as the + * previous conversion is completed, or configure the ADC to scan across a + * number of input pins (see \ref asfdoc_sam0_adc_module_overview_pin_scan). + * + * \subsection asfdoc_sam0_adc_module_overview_diff_mode Differential and Single-ended Conversion + * The ADC has two conversion modes; differential and single-ended. When + * measuring signals where the positive input pin is always at a higher voltage + * than the negative input pin, the single-ended conversion mode should be used + * in order to achieve a full 12-bit output resolution. + * + * If however the positive input pin voltage may drop below the negative input + * pin the signed differential mode should be used. + * + * \subsection asfdoc_sam0_adc_module_overview_sample_time Sample Time + * The sample time for each ADC conversion is configurable as a number of half + * prescaled ADC clock cycles (depending on the prescaler value), allowing the + * user application to achieve faster or slower sampling depending on the + * source impedance of the ADC input channels. For applications with high + * impedance inputs the sample time can be increased to give the ADC an adequate + * time to sample and convert the input channel. + * + * The resulting sampling time is given by the following equation: + * \f[ + * t_{SAMPLE} = (sample\_length+1) \times \frac{ADC_{CLK}} {2} + * \f] + * + * \subsection asfdoc_sam0_adc_module_overview_averaging Averaging + * The ADC can be configured to trade conversion speed for accuracy by averaging + * multiple samples in hardware. This feature is suitable when operating in + * noisy conditions. + * + * You can specify any number of samples to accumulate (up to 1024) and the + * divide ratio to use (up to divide by 128). To modify these settings the + * ADC_RESOLUTION_CUSTOM needs to be set as the resolution. When this is set + * the number of samples to accumulate and the division ratio can be set by + * the configuration struct members \ref adc_config.accumulate_samples and + * \ref adc_config.divide_result. When using this mode the ADC result register + * will be set to be 16-bit wide to accommodate the larger result sizes + * produced by the accumulator. + * + * The effective ADC conversion rate will be reduced by a factor of the number + * of accumulated samples; + * however, the effective resolution will be increased according to + * \ref asfdoc_sam0_adc_module_hw_av_resolution "the table below". + * + * \anchor asfdoc_sam0_adc_module_hw_av_resolution + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Effective ADC Resolution From Various Hardware Averaging Modes
    Number of samples
    Final result
    112-bit
    213-bit
    414-bit
    815-bit
    1616-bit
    3216-bit
    6416-bit
    12816-bit
    25616-bit
    51216-bit
    102416-bit
    + * + * + * \subsection asfdoc_sam0_adc_module_overview_offset_corr Offset and Gain Correction + * Inherent gain and offset errors affect the absolute accuracy of the ADC. + * + * The offset error is defined as the deviation of the ADC's actual transfer + * function from ideal straight line at zero input voltage. + * + * The gain error is defined as the deviation of the last output step's + * midpoint from the ideal straight line, after compensating for offset error. + * + * The offset correction value is subtracted from the converted data before the + * result is ready. The gain correction value is multiplied with the offset + * corrected value. + * + * The equation for both offset and gain error compensation is shown below: + * \f[ + * ADC_{RESULT} = (VALUE_{CONV} + CORR_{OFFSET}) \times CORR_{GAIN} + * \f] + * + * When enabled, a given set of offset and gain correction values can be applied + * to the sampled data in hardware, giving a corrected stream of sample data to + * the user application at the cost of an increased sample latency. + * + * In single conversion, a latency of 13 ADC Generic Clock cycles is added for + * the final sample result availability. As the correction time is always less + * than the propagation delay, in free running mode this latency appears only + * during the first conversion. After the first conversion is complete, future + * conversion results are available at the defined sampling rate. + * + * \subsection asfdoc_sam0_adc_module_overview_pin_scan Pin Scan + * In pin scan mode, the first ADC conversion will begin from the configured + * positive channel, plus the requested starting offset. When the first + * conversion is completed, the next conversion will start at the next positive + * input channel and so on, until all requested pins to scan have been sampled + * and converted. + * SAM L21/L22 has automatic sequences feature instead of pin scan mode. In automatic + * sequence mode, all of 32 positives inputs can be included in a sequence. The + * sequence starts from the lowest input, and go to the next enabled input + * automatically. + * + * Pin scanning gives a simple mechanism to sample a large number of physical + * input channel samples, using a single physical ADC channel. + * + * \subsection asfdoc_sam0_adc_module_overview_window_monitor Window Monitor + * The ADC module window monitor function can be used to automatically compare + * the conversion result against a preconfigured pair of upper and lower + * threshold values. + * + * The threshold values are evaluated differently, depending on whether + * differential or single-ended mode is selected. In differential mode, the + * upper and lower thresholds are evaluated as signed values for the comparison, + * while in single-ended mode the comparisons are made as a set of unsigned + * values. + * + * The significant bits of the lower window monitor threshold and upper window + * monitor threshold values are user-configurable, and follow the overall ADC + * sampling bit precision set when the ADC is configured by the user application. + * For example, only the eight lower bits of the window threshold values will be + * compared to the sampled data whilst the ADC is configured in 8-bit mode. + * In addition, if using differential mode, the 8th bit will be considered as + * the sign bit even if bit 9 is zero. + * + * \subsection asfdoc_sam0_adc_module_overview_events Events + * Event generation and event actions are configurable in the ADC. + * + * The ADC has two actions that can be triggered upon event reception: + * \li Start conversion + * \li Flush pipeline and start conversion + * + * The ADC can generate two events: + * \li Window monitor + * \li Result ready + * + * If the event actions are enabled in the configuration, any incoming event + * will trigger the action. + * + * If the window monitor event is enabled, an event will be generated + * when the configured window condition is detected. + * + * If the result ready event is enabled, an event will be generated when a + * conversion is completed. + * + * \note The connection of events between modules requires the use of the + * \ref asfdoc_sam0_events_group "SAM Event System Driver (EVENTS)" + * to route output event of one module to the input event of another. + * For more information on event routing, refer to the event driver + * documentation. + * + * + * \section asfdoc_sam0_adc_special_considerations Special Considerations + * + * An integrated analog temperature sensor is available for use with the ADC. + * The bandgap voltage, as well as the scaled I/O and core voltages can also be + * measured by the ADC. For internal ADC inputs, the internal source(s) may need + * to be manually enabled by the user application before they can be measured. + * + * + * \section asfdoc_sam0_adc_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_adc_extra. This includes: + * - \ref asfdoc_sam0_adc_extra_acronyms + * - \ref asfdoc_sam0_adc_extra_dependencies + * - \ref asfdoc_sam0_adc_extra_errata + * - \ref asfdoc_sam0_adc_extra_history + * + * + * \section asfdoc_sam0_adc_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_adc_exqsg. + * + * + * \section asfdoc_sam0_adc_api_overview API Overview + * @{ + */ + +#ifdef __cplusplus +extern "C" { +#endif + +#include +#include +#include + +/** + * \name Module Status Flags + * + * ADC status flags, returned by \ref adc_get_status() and cleared by + * \ref adc_clear_status(). + * + * @{ + */ + +/** ADC result ready. */ +#define ADC_STATUS_RESULT_READY (1UL << 0) +/** Window monitor match. */ +#define ADC_STATUS_WINDOW (1UL << 1) +/** ADC result overwritten before read. */ +#define ADC_STATUS_OVERRUN (1UL << 2) + +/** @} */ + +#if ADC_CALLBACK_MODE == true +# if (ADC_INST_NUM > 1) +# define _ADC_INTERRUPT_VECT_NUM(n, unused) \ + SYSTEM_INTERRUPT_MODULE_ADC##n, +/** + * \internal Get the interrupt vector for the given device instance + * + * \param[in] The ADC module instance number + * + * \return Interrupt vector for of the given ADC module instance. + */ +static enum system_interrupt_vector _adc_interrupt_get_interrupt_vector( + uint32_t inst_num) +{ + static uint8_t adc_interrupt_vectors[ADC_INST_NUM] = { + MREPEAT(ADC_INST_NUM, _ADC_INTERRUPT_VECT_NUM, 0) + }; + + return (enum system_interrupt_vector)adc_interrupt_vectors[inst_num]; +} +# endif +#endif + +#if !defined(__DOXYGEN__) +uint8_t _adc_get_inst_index( + Adc *const hw); +#endif + +/** + * \name Driver Initialization and Configuration + * @{ + */ +enum status_code adc_init( + struct adc_module *const module_inst, + Adc *hw, + struct adc_config *config); + +void adc_get_config_defaults( + struct adc_config *const config); + +#if (SAMD) || (SAMHA1) || (SAMHA0) || (SAMR21) +void adc_regular_ain_channel( + uint32_t *pin_array, uint8_t size); +#endif + +/** @} */ + +/** + * \name Status Management + * @{ + */ + +/** + * \brief Retrieves the current module status. + * + * Retrieves the status of the module, giving overall state information. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * + * \return Bitmask of \c ADC_STATUS_* flags. + * + * \retval ADC_STATUS_RESULT_READY ADC result is ready to be read + * \retval ADC_STATUS_WINDOW ADC has detected a value inside the set + * window range + * \retval ADC_STATUS_OVERRUN ADC result has overrun + */ +static inline uint32_t adc_get_status( + struct adc_module *const module_inst) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + uint32_t int_flags = adc_module->INTFLAG.reg; + + uint32_t status_flags = 0; + + /* Check for ADC Result Ready */ + if (int_flags & ADC_INTFLAG_RESRDY) { + status_flags |= ADC_STATUS_RESULT_READY; + } + + /* Check for ADC Window Match */ + if (int_flags & ADC_INTFLAG_WINMON) { + status_flags |= ADC_STATUS_WINDOW; + } + + /* Check for ADC Overrun */ + if (int_flags & ADC_INTFLAG_OVERRUN) { + status_flags |= ADC_STATUS_OVERRUN; + } + + return status_flags; +} + +/** + * \brief Clears a module status flag. + * + * Clears the given status flag of the module. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] status_flags Bitmask of \c ADC_STATUS_* flags to clear + */ +static inline void adc_clear_status( + struct adc_module *const module_inst, + const uint32_t status_flags) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + uint32_t int_flags = 0; + + /* Check for ADC Result Ready */ + if (status_flags & ADC_STATUS_RESULT_READY) { + int_flags |= ADC_INTFLAG_RESRDY; + } + + /* Check for ADC Window Match */ + if (status_flags & ADC_STATUS_WINDOW) { + int_flags |= ADC_INTFLAG_WINMON; + } + + /* Check for ADC Overrun */ + if (status_flags & ADC_STATUS_OVERRUN) { + int_flags |= ADC_INTFLAG_OVERRUN; + } + + /* Clear interrupt flag */ + adc_module->INTFLAG.reg = int_flags; +} +/** @} */ + +/** + * \name Enable, Disable, and Reset ADC Module, Start Conversion and Read Result + * @{ + */ + +/** + * \brief Enables the ADC module. + * + * Enables an ADC module that has previously been configured. If any internal reference + * is selected it will be enabled. + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline enum status_code adc_enable( + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + +#if ADC_CALLBACK_MODE == true +# if (ADC_INST_NUM > 1) + system_interrupt_enable(_adc_interrupt_get_interrupt_vector( + _adc_get_inst_index(adc_module))); +# elif (SAMC20) + system_interrupt_enable(SYSTEM_INTERRUPT_MODULE_ADC0); +# else + system_interrupt_enable(SYSTEM_INTERRUPT_MODULE_ADC); +# endif +#endif + + /* Disbale interrupt */ + adc_module->INTENCLR.reg = ADC_INTENCLR_MASK; + /* Clear interrupt flag */ + adc_module->INTFLAG.reg = ADC_INTFLAG_MASK; + + adc_module->CTRLA.reg |= ADC_CTRLA_ENABLE; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + return STATUS_OK; +} + +/** + * \brief Disables the ADC module. + * + * Disables an ADC module that was previously enabled. + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline enum status_code adc_disable( + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + +#if ADC_CALLBACK_MODE == true +# if (ADC_INST_NUM > 1) + system_interrupt_disable(_adc_interrupt_get_interrupt_vector( + _adc_get_inst_index(adc_module))); +# elif (SAMC20) + system_interrupt_disable(SYSTEM_INTERRUPT_MODULE_ADC0); +# else + system_interrupt_disable(SYSTEM_INTERRUPT_MODULE_ADC); +# endif +#endif + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Disbale interrupt */ + adc_module->INTENCLR.reg = ADC_INTENCLR_MASK; + /* Clear interrupt flag */ + adc_module->INTFLAG.reg = ADC_INTFLAG_MASK; + + adc_module->CTRLA.reg &= ~ADC_CTRLA_ENABLE; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + return STATUS_OK; +} + +/** + * \brief Resets the ADC module. + * + * Resets an ADC module, clearing all module state, and registers to their + * default values. + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline enum status_code adc_reset( + struct adc_module *const module_inst) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + /* Disable to make sure the pipeline is flushed before reset */ + adc_disable(module_inst); + + /* Software reset the module */ + adc_module->CTRLA.reg |= ADC_CTRLA_SWRST; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + return STATUS_OK; +} + + +/** + * \brief Enables an ADC event input or output. + * + * Enables one or more input or output events to or from the ADC module. See + * \ref adc_events "Struct adc_events" for a list of events this module supports. + * + * \note Events cannot be altered while the module is enabled. + * + * \param[in] module_inst Software instance for the ADC peripheral + * \param[in] events Struct containing flags of events to enable + */ +static inline void adc_enable_events( + struct adc_module *const module_inst, + struct adc_events *const events) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + Assert(events); + + Adc *const adc_module = module_inst->hw; + + uint32_t event_mask = 0; + + /* Configure Window Monitor event */ + if (events->generate_event_on_window_monitor) { + event_mask |= ADC_EVCTRL_WINMONEO; + } + + /* Configure Result Ready event */ + if (events->generate_event_on_conversion_done) { + event_mask |= ADC_EVCTRL_RESRDYEO; + } + + adc_module->EVCTRL.reg |= event_mask; +} + +/** + * \brief Disables an ADC event input or output. + * + * Disables one or more input or output events to or from the ADC module. See + * \ref adc_events "Struct adc_events" for a list of events this module supports. + * + * \note Events cannot be altered while the module is enabled. + * + * \param[in] module_inst Software instance for the ADC peripheral + * \param[in] events Struct containing flags of events to disable + */ +static inline void adc_disable_events( + struct adc_module *const module_inst, + struct adc_events *const events) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + Assert(events); + + Adc *const adc_module = module_inst->hw; + + uint32_t event_mask = 0; + + /* Configure Window Monitor event */ + if (events->generate_event_on_window_monitor) { + event_mask |= ADC_EVCTRL_WINMONEO; + } + + /* Configure Result Ready event */ + if (events->generate_event_on_conversion_done) { + event_mask |= ADC_EVCTRL_RESRDYEO; + } + + adc_module->EVCTRL.reg &= ~event_mask; +} + +/** + * \brief Starts an ADC conversion. + * + * Starts a new ADC conversion. + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline void adc_start_conversion( + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + adc_module->SWTRIG.reg |= ADC_SWTRIG_START; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } +} + +/** + * \brief Reads the ADC result. + * + * Reads the result from an ADC conversion that was previously started. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[out] result Pointer to store the result value in + * + * \return Status of the ADC read request. + * \retval STATUS_OK The result was retrieved successfully + * \retval STATUS_BUSY A conversion result was not ready + * \retval STATUS_ERR_OVERFLOW The result register has been overwritten by the + * ADC module before the result was read by the software + */ +static inline enum status_code adc_read( + struct adc_module *const module_inst, + uint16_t *result) +{ + Assert(module_inst); + Assert(module_inst->hw); + Assert(result); + + if (!(adc_get_status(module_inst) & ADC_STATUS_RESULT_READY)) { + /* Result not ready */ + return STATUS_BUSY; + } + + Adc *const adc_module = module_inst->hw; + +#if (SAMD) || (SAMHA1) || (SAMHA0) || (SAMR21) + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } +#endif + + /* Get ADC result */ + *result = adc_module->RESULT.reg; + + /* Reset ready flag */ + adc_clear_status(module_inst, ADC_STATUS_RESULT_READY); + + if (adc_get_status(module_inst) & ADC_STATUS_OVERRUN) { + adc_clear_status(module_inst, ADC_STATUS_OVERRUN); + return STATUS_ERR_OVERFLOW; + } + + return STATUS_OK; +} + +/** @} */ + +/** + * \name Runtime Changes of ADC Module + * @{ + */ + +/** + * \brief Flushes the ADC pipeline. + * + * Flushes the pipeline and restarts the ADC clock on the next peripheral clock + * edge. All conversions in progress will be lost. When flush is complete, the + * module will resume where it left off. + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline void adc_flush( + struct adc_module *const module_inst) +{ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + adc_module->SWTRIG.reg |= ADC_SWTRIG_FLUSH; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } +} +void adc_set_window_mode( + struct adc_module *const module_inst, + const enum adc_window_mode window_mode, + const int16_t window_lower_value, + const int16_t window_upper_value); + +/** + * \brief Sets positive ADC input pin. + * + * Sets the positive ADC input pin selection. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] positive_input Positive input pin + */ +static inline void adc_set_positive_input( + struct adc_module *const module_inst, + const enum adc_positive_input positive_input) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set positive input pin */ + adc_module->INPUTCTRL.reg = + (adc_module->INPUTCTRL.reg & ~ADC_INPUTCTRL_MUXPOS_Msk) | + (positive_input); + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } +} + + +/** + * \brief Sets negative ADC input pin for differential mode. + * + * Sets the negative ADC input pin, when the ADC is configured in differential + * mode. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] negative_input Negative input pin + */ +static inline void adc_set_negative_input( + struct adc_module *const module_inst, + const enum adc_negative_input negative_input) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set negative input pin */ + adc_module->INPUTCTRL.reg = + (adc_module->INPUTCTRL.reg & ~ADC_INPUTCTRL_MUXNEG_Msk) | + (negative_input); + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } +} + +/** @} */ + +#if ADC_CALLBACK_MODE == true +/** + * \name Enable and Disable Interrupts + * @{ + */ + +/** + * \brief Enable interrupt. + * + * Enable the given interrupt request from the ADC module. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] interrupt Interrupt to enable + */ +static inline void adc_enable_interrupt(struct adc_module *const module_inst, + enum adc_interrupt_flag interrupt) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + /* Enable interrupt */ + adc_module->INTENSET.reg = interrupt; +} + +/** + * \brief Disable interrupt. + * + * Disable the given interrupt request from the ADC module. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] interrupt Interrupt to disable + */ +static inline void adc_disable_interrupt(struct adc_module *const module_inst, + enum adc_interrupt_flag interrupt) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + /* Enable interrupt */ + adc_module->INTENCLR.reg = interrupt; +} + +/** @} */ +#endif /* ADC_CALLBACK_MODE == true */ + +#ifdef __cplusplus +} +#endif + +/** @} */ + + +/** + * \page asfdoc_sam0_adc_extra Extra Information for ADC Driver + * + * \section asfdoc_sam0_adc_extra_acronyms Acronyms + * Below is a table listing the acronyms used in this module, along with their + * intended meanings. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    ADCAnalog-to-Digital Converter
    DACDigital-to-Analog Converter
    LSBLeast Significant Bit
    MSBMost Significant Bit
    DMADirect Memory Access
    + * + * + * \section asfdoc_sam0_adc_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - \ref asfdoc_sam0_system_pinmux_group "System Pin Multiplexer Driver" + * + * + * \section asfdoc_sam0_adc_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_adc_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * \if DEVICE_SAML21_SUPPORT + * + * + * + * \else + * + * + * + * + * + * + * + * + * + * + * + * + * \endif + *
    Changelog
    Initial Release
    Added support for SAM R21
    Added support for SAM D21 and new DMA quick start guide
    Added ADC calibration constant loading from the device signature + * row when the module is initialized
    Initial Release
    + */ + +/** + * \page asfdoc_sam0_adc_exqsg Examples for ADC Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_adc_group. QSGs are simple examples with + * step-by-step instructions to configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_adc_basic_use_case + * \if ADC_CALLBACK_MODE + * - \subpage asfdoc_sam0_adc_basic_use_case_callback + * \endif + * - \subpage asfdoc_sam0_adc_dma_use_case + * + * \page asfdoc_sam0_adc_document_revision_history Document Revision History + * + * + * + * + * + * + * + * \if DEVICE_SAML21_SUPPORT + * + * + * + * + * + * + * + * + * + * + * \else + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * \endif + *
    Doc. Rev.DateComments
    42451B12/2015Added support for SAM L22
    42451A07/2015Initial document release
    42109E12/2015Added support for SAM DA1 and SAM D09
    42109D12/2014Added support for SAM R21 and SAM D10/D11
    42109C01/2014Added support for SAM D21
    42109B06/2013Added additional documentation on the event system. Corrected + * documentation typos.
    42109A06/2013Initial release
    + */ + +#endif /* ADC_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c new file mode 100644 index 0000000..32ce661 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc.c @@ -0,0 +1,726 @@ +/** + * \file + * + * \brief SAM Peripheral Analog-to-Digital Converter Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "adc.h" + +#if SAMD20 +/* The Die revision D number */ +#define REVISON_D_NUM 3 +#endif + +/** + * \brief Initializes an ADC configuration structure to defaults + * + * Initializes a given ADC configuration struct to a set of known default + * values. This function should be called on any new instance of the + * configuration struct before being modified by the user application. + * + * The default configuration is as follows: + * \li GCLK generator 0 (GCLK main) clock source + * \li 1V from internal bandgap reference + * \li Div 4 clock prescaler + * \li 12-bit resolution + * \li Window monitor disabled + * \li No gain + * \li Positive input on ADC PIN 0 + * \li Negative input on ADC PIN 1 + * \li Averaging disabled + * \li Oversampling disabled + * \li Right adjust data + * \li Single-ended mode + * \li Free running disabled + * \li All events (input and generation) disabled + * \li Sleep operation disabled + * \li No reference compensation + * \li No gain/offset correction + * \li No added sampling time + * \li Pin scan mode disabled + * + * \param[out] config Pointer to configuration struct to initialize to + * default values + */ +void adc_get_config_defaults(struct adc_config *const config) +{ + Assert(config); + config->clock_source = GCLK_GENERATOR_0; + config->reference = ADC_REFERENCE_INT1V; + config->clock_prescaler = ADC_CLOCK_PRESCALER_DIV4; + config->resolution = ADC_RESOLUTION_12BIT; + config->window.window_mode = ADC_WINDOW_MODE_DISABLE; + config->window.window_upper_value = 0; + config->window.window_lower_value = 0; + config->gain_factor = ADC_GAIN_FACTOR_1X; +#if SAMR21 + config->positive_input = ADC_POSITIVE_INPUT_PIN6 ; +#else + config->positive_input = ADC_POSITIVE_INPUT_PIN0 ; +#endif + config->negative_input = ADC_NEGATIVE_INPUT_GND ; + config->accumulate_samples = ADC_ACCUMULATE_DISABLE; + config->divide_result = ADC_DIVIDE_RESULT_DISABLE; + config->left_adjust = false; + config->differential_mode = false; + config->freerunning = false; + config->event_action = ADC_EVENT_ACTION_DISABLED; + config->run_in_standby = false; + config->reference_compensation_enable = false; + config->correction.correction_enable = false; + config->correction.gain_correction = ADC_GAINCORR_RESETVALUE; + config->correction.offset_correction = ADC_OFFSETCORR_RESETVALUE; + config->sample_length = 0; + config->pin_scan.offset_start_scan = 0; + config->pin_scan.inputs_to_scan = 0; +} + +/** + * \brief Sets the ADC window mode + * + * Sets the ADC window mode to a given mode and value range. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] window_mode Window monitor mode to set + * \param[in] window_lower_value Lower window monitor threshold value + * \param[in] window_upper_value Upper window monitor threshold value + */ +void adc_set_window_mode( + struct adc_module *const module_inst, + const enum adc_window_mode window_mode, + const int16_t window_lower_value, + const int16_t window_upper_value) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set window mode */ + adc_module->WINCTRL.reg = window_mode << ADC_WINCTRL_WINMODE_Pos; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set lower window monitor threshold value */ + adc_module->WINLT.reg = window_lower_value << ADC_WINLT_WINLT_Pos; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set upper window monitor threshold value */ + adc_module->WINUT.reg = window_upper_value << ADC_WINUT_WINUT_Pos; +} + +/** +* \internal Configure MUX settings for the analog pins +* +* This function will set the given ADC input pins +* to the analog function in the pinmux, giving +* the ADC access to the analog signal +* +* \param [in] pin AINxx pin to configure +*/ +static inline void _adc_configure_ain_pin(uint32_t pin) +{ +#define PIN_INVALID_ADC_AIN 0xFFFFUL + + /* Pinmapping table for AINxx -> GPIO pin number */ + const uint32_t pinmapping[] = { +#if (SAMD20E) || (SAMD21E)|| (SAMDA1E) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA04B_ADC_AIN4, PIN_PA05B_ADC_AIN5, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_PA10B_ADC_AIN18, PIN_PA11B_ADC_AIN19, +#elif (SAMD20G) || (SAMD21G)|| (SAMDA1G) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_PB08B_ADC_AIN2, PIN_PB09B_ADC_AIN3, + PIN_PA04B_ADC_AIN4, PIN_PA05B_ADC_AIN5, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PB02B_ADC_AIN10, PIN_PB03B_ADC_AIN11, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_PA10B_ADC_AIN18, PIN_PA11B_ADC_AIN19, +#elif (SAMD20J) || (SAMD21J)|| (SAMDA1J) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_PB08B_ADC_AIN2, PIN_PB09B_ADC_AIN3, + PIN_PA04B_ADC_AIN4, PIN_PA05B_ADC_AIN5, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_PB00B_ADC_AIN8, PIN_PB01B_ADC_AIN9, + PIN_PB02B_ADC_AIN10, PIN_PB03B_ADC_AIN11, + PIN_PB04B_ADC_AIN12, PIN_PB05B_ADC_AIN13, + PIN_PB06B_ADC_AIN14, PIN_PB07B_ADC_AIN15, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_PA10B_ADC_AIN18, PIN_PA11B_ADC_AIN19, +#elif SAMR21E + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif SAMR21G + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA04B_ADC_AIN4, PIN_PA05B_ADC_AIN5, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PB02B_ADC_AIN10, PIN_PB03B_ADC_AIN11, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif (SAMD09C) || (SAMD10C) || (SAMD11C) + PIN_PA02B_ADC_AIN0, PIN_INVALID_ADC_AIN, + PIN_PA04B_ADC_AIN2, PIN_PA05B_ADC_AIN3, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA14B_ADC_AIN6, PIN_PA15B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif (SAMD09D) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_PA04B_ADC_AIN2, PIN_PA05B_ADC_AIN3, + PIN_PA06B_ADC_AIN4, PIN_PA07B_ADC_AIN5, + PIN_PA14B_ADC_AIN6, PIN_PA15B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif (SAMD10DS) || (SAMD10DU) || (SAMD11DS) || (SAMD11DU) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_PA04B_ADC_AIN2, PIN_PA05B_ADC_AIN3, + PIN_PA06B_ADC_AIN4, PIN_PA07B_ADC_AIN5, + PIN_PA14B_ADC_AIN6, PIN_PA15B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif (SAMD10DM) || (SAMD11DM) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_PA04B_ADC_AIN2, PIN_PA05B_ADC_AIN3, + PIN_PA06B_ADC_AIN4, PIN_PA07B_ADC_AIN5, + PIN_PA14B_ADC_AIN6, PIN_PA15B_ADC_AIN7, + PIN_PA10B_ADC_AIN8, PIN_PA11B_ADC_AIN9, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, +#elif (SAMHA1G) || (SAMHA0G) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA04B_ADC_AIN4, PIN_PA05B_ADC_AIN5, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_PB03B_ADC_AIN11, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PB06B_ADC_AIN14, PIN_PB07B_ADC_AIN15, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, + PIN_PA10B_ADC_AIN18, PIN_PA11B_ADC_AIN19, +#elif (SAMHA1E) || (SAMHA0E) + PIN_PA02B_ADC_AIN0, PIN_PA03B_ADC_AIN1, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA06B_ADC_AIN6, PIN_PA07B_ADC_AIN7, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_INVALID_ADC_AIN, PIN_INVALID_ADC_AIN, + PIN_PA08B_ADC_AIN16, PIN_PA09B_ADC_AIN17, +#else +# error ADC pin mappings are not defined for this device. +#endif + }; + + uint32_t pin_map_result = PIN_INVALID_ADC_AIN; + + if (pin <= ADC_EXTCHANNEL_MSB) { + pin_map_result = pinmapping[pin >> ADC_INPUTCTRL_MUXPOS_Pos]; + + Assert(pin_map_result != PIN_INVALID_ADC_AIN); + + struct system_pinmux_config config; + system_pinmux_get_config_defaults(&config); + + /* Analog functions are all on MUX setting B */ + config.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + config.mux_position = 1; + + system_pinmux_pin_set_config(pin_map_result, &config); + } +} + +/** + * \internal Writes an ADC configuration to the hardware module + * + * Writes out a given ADC module configuration to the hardware module. + * + * \param[out] module_inst Pointer to the ADC software instance struct + * \param[in] config Pointer to configuration struct + * + * \return Status of the configuration procedure + * \retval STATUS_OK The configuration was successful + * \retval STATUS_ERR_INVALID_ARG Invalid argument(s) were provided + */ +static enum status_code _adc_set_config( + struct adc_module *const module_inst, + struct adc_config *const config) +{ + uint8_t adjres = 0; + uint32_t resolution = ADC_RESOLUTION_16BIT; + enum adc_accumulate_samples accumulate = ADC_ACCUMULATE_DISABLE; +#if SAMD20 + uint8_t revision_num = ((REG_DSU_DID & DSU_DID_REVISION_Msk) >> DSU_DID_REVISION_Pos); +#endif + + /* Get the hardware module pointer */ + Adc *const adc_module = module_inst->hw; + + /* Configure GCLK channel and enable clock */ + struct system_gclk_chan_config gclk_chan_conf; + system_gclk_chan_get_config_defaults(&gclk_chan_conf); + gclk_chan_conf.source_generator = config->clock_source; + system_gclk_chan_set_config(ADC_GCLK_ID, &gclk_chan_conf); + system_gclk_chan_enable(ADC_GCLK_ID); + + /* Setup pinmuxing for analog inputs */ + if (config->pin_scan.inputs_to_scan != 0) { + uint8_t offset = config->pin_scan.offset_start_scan; + uint8_t start_pin = + offset +(uint8_t)config->positive_input; + uint8_t end_pin = + start_pin + config->pin_scan.inputs_to_scan; + + while (start_pin < end_pin) { + _adc_configure_ain_pin((offset % 16)+(uint8_t)config->positive_input); + start_pin++; + offset++; + } + _adc_configure_ain_pin(config->negative_input); + } else { + _adc_configure_ain_pin(config->positive_input); + _adc_configure_ain_pin(config->negative_input); + } + + /* Configure run in standby */ + adc_module->CTRLA.reg = (config->run_in_standby << ADC_CTRLA_RUNSTDBY_Pos); + + /* Configure reference */ + adc_module->REFCTRL.reg = + (config->reference_compensation_enable << ADC_REFCTRL_REFCOMP_Pos) | + (config->reference); + + /* Set adjusting result and number of samples */ + switch (config->resolution) { + + case ADC_RESOLUTION_CUSTOM: + adjres = config->divide_result; + accumulate = config->accumulate_samples; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; + + case ADC_RESOLUTION_13BIT: + /* Increase resolution by 1 bit */ + adjres = ADC_DIVIDE_RESULT_2; + accumulate = ADC_ACCUMULATE_SAMPLES_4; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; + + case ADC_RESOLUTION_14BIT: + /* Increase resolution by 2 bit */ + adjres = ADC_DIVIDE_RESULT_4; + accumulate = ADC_ACCUMULATE_SAMPLES_16; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; +#if SAMD20 + /* See $35.1.8 for ADC errata of SAM D20. + The revisions before D have this issue.*/ + case ADC_RESOLUTION_15BIT: + /* Increase resolution by 3 bit */ + if(revision_num < REVISON_D_NUM) { + adjres = ADC_DIVIDE_RESULT_8; + } else { + adjres = ADC_DIVIDE_RESULT_2; + } + accumulate = ADC_ACCUMULATE_SAMPLES_64; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; + + case ADC_RESOLUTION_16BIT: + if(revision_num < REVISON_D_NUM) { + /* Increase resolution by 4 bit */ + adjres = ADC_DIVIDE_RESULT_16; + } else { + adjres = ADC_DIVIDE_RESULT_DISABLE; + } + accumulate = ADC_ACCUMULATE_SAMPLES_256; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; +#else + case ADC_RESOLUTION_15BIT: + /* Increase resolution by 3 bit */ + adjres = ADC_DIVIDE_RESULT_2; + accumulate = ADC_ACCUMULATE_SAMPLES_64; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; + + case ADC_RESOLUTION_16BIT: + /* Increase resolution by 4 bit */ + adjres = ADC_DIVIDE_RESULT_DISABLE; + accumulate = ADC_ACCUMULATE_SAMPLES_256; + /* 16-bit result register */ + resolution = ADC_RESOLUTION_16BIT; + break; +#endif + case ADC_RESOLUTION_8BIT: + /* 8-bit result register */ + resolution = ADC_RESOLUTION_8BIT; + break; + case ADC_RESOLUTION_10BIT: + /* 10-bit result register */ + resolution = ADC_RESOLUTION_10BIT; + break; + case ADC_RESOLUTION_12BIT: + /* 12-bit result register */ + resolution = ADC_RESOLUTION_12BIT; + break; + + default: + /* Unknown. Abort. */ + return STATUS_ERR_INVALID_ARG; + } + + adc_module->AVGCTRL.reg = ADC_AVGCTRL_ADJRES(adjres) | accumulate; + + /* Check validity of sample length value */ + if (config->sample_length > 63) { + return STATUS_ERR_INVALID_ARG; + } else { + /* Configure sample length */ + adc_module->SAMPCTRL.reg = + (config->sample_length << ADC_SAMPCTRL_SAMPLEN_Pos); + } + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Configure CTRLB */ + adc_module->CTRLB.reg = + config->clock_prescaler | + resolution | + (config->correction.correction_enable << ADC_CTRLB_CORREN_Pos) | + (config->freerunning << ADC_CTRLB_FREERUN_Pos) | + (config->left_adjust << ADC_CTRLB_LEFTADJ_Pos) | + (config->differential_mode << ADC_CTRLB_DIFFMODE_Pos); + + /* Check validity of window thresholds */ + if (config->window.window_mode != ADC_WINDOW_MODE_DISABLE) { + switch (resolution) { + case ADC_RESOLUTION_8BIT: + if (config->differential_mode && + (config->window.window_lower_value > 127 || + config->window.window_lower_value < -128 || + config->window.window_upper_value > 127 || + config->window.window_upper_value < -128)) { + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } else if (config->window.window_lower_value > 255 || + config->window.window_upper_value > 255){ + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } + break; + case ADC_RESOLUTION_10BIT: + if (config->differential_mode && + (config->window.window_lower_value > 511 || + config->window.window_lower_value < -512 || + config->window.window_upper_value > 511 || + config->window.window_upper_value < -512)) { + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } else if (config->window.window_lower_value > 1023 || + config->window.window_upper_value > 1023){ + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } + break; + case ADC_RESOLUTION_12BIT: + if (config->differential_mode && + (config->window.window_lower_value > 2047 || + config->window.window_lower_value < -2048 || + config->window.window_upper_value > 2047 || + config->window.window_upper_value < -2048)) { + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } else if (config->window.window_lower_value > 4095 || + config->window.window_upper_value > 4095){ + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } + break; + case ADC_RESOLUTION_16BIT: + if (config->differential_mode && + (config->window.window_lower_value > 32767 || + config->window.window_lower_value < -32768 || + config->window.window_upper_value > 32767 || + config->window.window_upper_value < -32768)) { + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } else if (config->window.window_lower_value > 65535 || + config->window.window_upper_value > 65535){ + /* Invalid value */ + return STATUS_ERR_INVALID_ARG; + } + break; + } + } + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Configure window mode */ + adc_module->WINCTRL.reg = config->window.window_mode; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Configure lower threshold */ + adc_module->WINLT.reg = + config->window.window_lower_value << ADC_WINLT_WINLT_Pos; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Configure lower threshold */ + adc_module->WINUT.reg = config->window.window_upper_value << + ADC_WINUT_WINUT_Pos; + + uint8_t inputs_to_scan = config->pin_scan.inputs_to_scan; + if (inputs_to_scan > 0) { + /* + * Number of input sources included is the value written to INPUTSCAN + * plus 1. + */ + inputs_to_scan--; + } + + if (inputs_to_scan > (ADC_INPUTCTRL_INPUTSCAN_Msk >> ADC_INPUTCTRL_INPUTSCAN_Pos) || + config->pin_scan.offset_start_scan > (ADC_INPUTCTRL_INPUTOFFSET_Msk >> ADC_INPUTCTRL_INPUTOFFSET_Pos)) { + /* Invalid number of input pins or input offset */ + return STATUS_ERR_INVALID_ARG; + } + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Configure pin scan mode and positive and negative input pins */ + adc_module->INPUTCTRL.reg = + config->gain_factor | + (config->pin_scan.offset_start_scan << + ADC_INPUTCTRL_INPUTOFFSET_Pos) | + (inputs_to_scan << ADC_INPUTCTRL_INPUTSCAN_Pos) | + config->negative_input | + config->positive_input; + + /* Configure events */ + adc_module->EVCTRL.reg = config->event_action; + + /* Disable all interrupts */ + adc_module->INTENCLR.reg = + (1 << ADC_INTENCLR_SYNCRDY_Pos) | (1 << ADC_INTENCLR_WINMON_Pos) | + (1 << ADC_INTENCLR_OVERRUN_Pos) | (1 << ADC_INTENCLR_RESRDY_Pos); + + if (config->correction.correction_enable){ + /* Make sure gain_correction value is valid */ + if (config->correction.gain_correction > ADC_GAINCORR_GAINCORR_Msk) { + return STATUS_ERR_INVALID_ARG; + } else { + /* Set gain correction value */ + adc_module->GAINCORR.reg = config->correction.gain_correction << + ADC_GAINCORR_GAINCORR_Pos; + } + + /* Make sure offset correction value is valid */ + if (config->correction.offset_correction > 2047 || + config->correction.offset_correction < -2048) { + return STATUS_ERR_INVALID_ARG; + } else { + /* Set offset correction value */ + adc_module->OFFSETCORR.reg = config->correction.offset_correction << + ADC_OFFSETCORR_OFFSETCORR_Pos; + } + } + + /* Load in the fixed device ADC calibration constants */ + adc_module->CALIB.reg = + ADC_CALIB_BIAS_CAL( + (*(uint32_t *)ADC_FUSES_BIASCAL_ADDR >> ADC_FUSES_BIASCAL_Pos) + ) | + ADC_CALIB_LINEARITY_CAL( + (*(uint64_t *)ADC_FUSES_LINEARITY_0_ADDR >> ADC_FUSES_LINEARITY_0_Pos) + ); + + return STATUS_OK; +} + +/** + * \brief Initializes the ADC channel sequence + * + * Like SAMD and SAMR21 the INPUTOFFSET register will be incremented one + * automatically after a conversion done, causing the next conversion + * to be done with the positive input equal to MUXPOS + INPUTOFFSET, + * it is scanning continuously one by one even ADC channels are not continuous. + * + * Initializes the ADC channel sequence by the sequence of pin_array. + * + * \param[in] pin_array The array of the Mux selection for the positive ADC input + * \param[in] size The size of pin_array + */ +void adc_regular_ain_channel(uint32_t *pin_array, uint8_t size) +{ + for (int i = 0; i < size; i++) { + _adc_configure_ain_pin(pin_array[i]); + } +} + +/** + * \brief Initializes the ADC + * + * Initializes the ADC device struct and the hardware module based on the + * given configuration struct values. + * + * \param[out] module_inst Pointer to the ADC software instance struct + * \param[in] hw Pointer to the ADC module instance + * \param[in] config Pointer to the configuration struct + * + * \return Status of the initialization procedure. + * \retval STATUS_OK The initialization was successful + * \retval STATUS_ERR_INVALID_ARG Invalid argument(s) were provided + * \retval STATUS_BUSY The module is busy with a reset operation + * \retval STATUS_ERR_DENIED The module is enabled + */ +enum status_code adc_init( + struct adc_module *const module_inst, + Adc *hw, + struct adc_config *config) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(hw); + Assert(config); + + /* Associate the software module instance with the hardware module */ + module_inst->hw = hw; + + /* Turn on the digital interface clock */ + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBC, PM_APBCMASK_ADC); + + if (hw->CTRLA.reg & ADC_CTRLA_SWRST) { + /* We are in the middle of a reset. Abort. */ + return STATUS_BUSY; + } + + if (hw->CTRLA.reg & ADC_CTRLA_ENABLE) { + /* Module must be disabled before initialization. Abort. */ + return STATUS_ERR_DENIED; + } + + /* Store the selected reference for later use */ + module_inst->reference = config->reference; + + /* Make sure bandgap is enabled if requested by the config */ + if (module_inst->reference == ADC_REFERENCE_INT1V) { + system_voltage_reference_enable(SYSTEM_VOLTAGE_REFERENCE_BANDGAP); + } + +#if ADC_CALLBACK_MODE == true + for (uint8_t i = 0; i < ADC_CALLBACK_N; i++) { + module_inst->callback[i] = NULL; + }; + + module_inst->registered_callback_mask = 0; + module_inst->enabled_callback_mask = 0; + module_inst->remaining_conversions = 0; + module_inst->job_status = STATUS_OK; + + _adc_instances[0] = module_inst; + + if (config->event_action == ADC_EVENT_ACTION_DISABLED && + !config->freerunning) { + module_inst->software_trigger = true; + } else { + module_inst->software_trigger = false; + } +#endif + + /* Write configuration to module */ + return _adc_set_config(module_inst, config); +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h new file mode 100644 index 0000000..d715b9d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/adc/adc_sam_d_r_h/adc_feature.h @@ -0,0 +1,718 @@ +/** + * \file + * + * \brief SAM ADC functionality + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef ADC_FEATURE_H_INCLUDED +#define ADC_FEATURE_H_INCLUDED + +/** + * \addtogroup asfdoc_sam0_adc_group + * @{ + */ + +#ifdef __cplusplus +extern "C" { +#endif + +#if ADC_CALLBACK_MODE == true +# include + +#if !defined(__DOXYGEN__) +extern struct adc_module *_adc_instances[ADC_INST_NUM]; +#endif + +/** Forward definition of the device instance. */ +struct adc_module; + +/** Type of the callback functions. */ +typedef void (*adc_callback_t)(struct adc_module *const module); + +/** + * \brief ADC Callback enum + * + * Callback types for ADC callback driver. + * + */ +enum adc_callback { + /** Callback for buffer received */ + ADC_CALLBACK_READ_BUFFER, + /** Callback when window is hit */ + ADC_CALLBACK_WINDOW, + /** Callback for error */ + ADC_CALLBACK_ERROR, +# if !defined(__DOXYGEN__) + /** Number of available callbacks */ + ADC_CALLBACK_N, +# endif +}; + +#endif + +/** + * \brief ADC reference voltage enum + * + * Enum for the possible reference voltages for the ADC. + * + */ +enum adc_reference { + /** 1.0V voltage reference */ + ADC_REFERENCE_INT1V = ADC_REFCTRL_REFSEL_INT1V, + /** 1/1.48VCC reference */ + ADC_REFERENCE_INTVCC0 = ADC_REFCTRL_REFSEL_INTVCC0, + /** 1/2VCC (only for internal VCC > 2.1V) */ + ADC_REFERENCE_INTVCC1 = ADC_REFCTRL_REFSEL_INTVCC1, + /** External reference A */ + ADC_REFERENCE_AREFA = ADC_REFCTRL_REFSEL_AREFA, + /** External reference B */ + ADC_REFERENCE_AREFB = ADC_REFCTRL_REFSEL_AREFB, +}; + +/** + * \brief ADC clock prescaler enum + * + * Enum for the possible clock prescaler values for the ADC. + * + */ +enum adc_clock_prescaler { + /** ADC clock division factor 4 */ + ADC_CLOCK_PRESCALER_DIV4 = ADC_CTRLB_PRESCALER_DIV4, + /** ADC clock division factor 8 */ + ADC_CLOCK_PRESCALER_DIV8 = ADC_CTRLB_PRESCALER_DIV8, + /** ADC clock division factor 16 */ + ADC_CLOCK_PRESCALER_DIV16 = ADC_CTRLB_PRESCALER_DIV16, + /** ADC clock division factor 32 */ + ADC_CLOCK_PRESCALER_DIV32 = ADC_CTRLB_PRESCALER_DIV32, + /** ADC clock division factor 64 */ + ADC_CLOCK_PRESCALER_DIV64 = ADC_CTRLB_PRESCALER_DIV64, + /** ADC clock division factor 128 */ + ADC_CLOCK_PRESCALER_DIV128 = ADC_CTRLB_PRESCALER_DIV128, + /** ADC clock division factor 256 */ + ADC_CLOCK_PRESCALER_DIV256 = ADC_CTRLB_PRESCALER_DIV256, + /** ADC clock division factor 512 */ + ADC_CLOCK_PRESCALER_DIV512 = ADC_CTRLB_PRESCALER_DIV512, +}; + +/** + * \brief ADC resolution enum + * + * Enum for the possible resolution values for the ADC. + * + */ +enum adc_resolution { + /** ADC 12-bit resolution */ + ADC_RESOLUTION_12BIT = ADC_CTRLB_RESSEL_12BIT, + /** ADC 16-bit resolution using oversampling and decimation */ + ADC_RESOLUTION_16BIT = ADC_CTRLB_RESSEL_16BIT, + /** ADC 10-bit resolution */ + ADC_RESOLUTION_10BIT = ADC_CTRLB_RESSEL_10BIT, + /** ADC 8-bit resolution */ + ADC_RESOLUTION_8BIT = ADC_CTRLB_RESSEL_8BIT, + /** ADC 13-bit resolution using oversampling and decimation */ + ADC_RESOLUTION_13BIT, + /** ADC 14-bit resolution using oversampling and decimation */ + ADC_RESOLUTION_14BIT, + /** ADC 15-bit resolution using oversampling and decimation */ + ADC_RESOLUTION_15BIT, + /** ADC 16-bit result register for use with averaging. When using this mode + * the ADC result register will be set to 16-bit wide, and the number of + * samples to accumulate and the division factor is configured by the + * \ref adc_config.accumulate_samples and \ref adc_config.divide_result + * members in the configuration struct. + */ + ADC_RESOLUTION_CUSTOM, +}; + +/** + * \brief ADC window monitor mode enum + * + * Enum for the possible window monitor modes for the ADC. + * + */ +enum adc_window_mode { + /** No window mode */ + ADC_WINDOW_MODE_DISABLE = ADC_WINCTRL_WINMODE_DISABLE, + /** RESULT > WINLT */ + ADC_WINDOW_MODE_ABOVE_LOWER = ADC_WINCTRL_WINMODE_MODE1, + /** RESULT < WINUT */ + ADC_WINDOW_MODE_BELOW_UPPER = ADC_WINCTRL_WINMODE_MODE2, + /** WINLT < RESULT < WINUT */ + ADC_WINDOW_MODE_BETWEEN = ADC_WINCTRL_WINMODE_MODE3, + /** !(WINLT < RESULT < WINUT) */ + ADC_WINDOW_MODE_BETWEEN_INVERTED = ADC_WINCTRL_WINMODE_MODE4, +}; + +/** + * \brief ADC gain factor selection enum + * + * Enum for the possible gain factor values for the ADC. + * + */ +enum adc_gain_factor { + /** 1x gain */ + ADC_GAIN_FACTOR_1X = ADC_INPUTCTRL_GAIN_1X, + /** 2x gain */ + ADC_GAIN_FACTOR_2X = ADC_INPUTCTRL_GAIN_2X, + /** 4x gain */ + ADC_GAIN_FACTOR_4X = ADC_INPUTCTRL_GAIN_4X, + /** 8x gain */ + ADC_GAIN_FACTOR_8X = ADC_INPUTCTRL_GAIN_8X, + /** 16x gain */ + ADC_GAIN_FACTOR_16X = ADC_INPUTCTRL_GAIN_16X, + /** 1/2x gain */ + ADC_GAIN_FACTOR_DIV2 = ADC_INPUTCTRL_GAIN_DIV2, +}; + +/** + * \brief ADC event action enum + * + * Enum for the possible actions to take on an incoming event. + * + */ +enum adc_event_action { + /** Event action disabled */ + ADC_EVENT_ACTION_DISABLED = 0, + /** Flush ADC and start conversion */ + ADC_EVENT_ACTION_FLUSH_START_CONV = ADC_EVCTRL_SYNCEI, + /** Start conversion */ + ADC_EVENT_ACTION_START_CONV = ADC_EVCTRL_STARTEI, +}; + +/** + * \brief ADC positive MUX input selection enum + * + * Enum for the possible positive MUX input selections for the ADC. + * + */ +enum adc_positive_input { + /** ADC0 pin */ + ADC_POSITIVE_INPUT_PIN0 = ADC_INPUTCTRL_MUXPOS_PIN0, + /** ADC1 pin */ + ADC_POSITIVE_INPUT_PIN1 = ADC_INPUTCTRL_MUXPOS_PIN1, + /** ADC2 pin */ + ADC_POSITIVE_INPUT_PIN2 = ADC_INPUTCTRL_MUXPOS_PIN2, + /** ADC3 pin */ + ADC_POSITIVE_INPUT_PIN3 = ADC_INPUTCTRL_MUXPOS_PIN3, + /** ADC4 pin */ + ADC_POSITIVE_INPUT_PIN4 = ADC_INPUTCTRL_MUXPOS_PIN4, + /** ADC5 pin */ + ADC_POSITIVE_INPUT_PIN5 = ADC_INPUTCTRL_MUXPOS_PIN5, + /** ADC6 pin */ + ADC_POSITIVE_INPUT_PIN6 = ADC_INPUTCTRL_MUXPOS_PIN6, + /** ADC7 pin */ + ADC_POSITIVE_INPUT_PIN7 = ADC_INPUTCTRL_MUXPOS_PIN7, + /** ADC8 pin */ + ADC_POSITIVE_INPUT_PIN8 = ADC_INPUTCTRL_MUXPOS_PIN8, + /** ADC9 pin */ + ADC_POSITIVE_INPUT_PIN9 = ADC_INPUTCTRL_MUXPOS_PIN9, + /** ADC10 pin */ + ADC_POSITIVE_INPUT_PIN10 = ADC_INPUTCTRL_MUXPOS_PIN10, + /** ADC11 pin */ + ADC_POSITIVE_INPUT_PIN11 = ADC_INPUTCTRL_MUXPOS_PIN11, + /** ADC12 pin */ + ADC_POSITIVE_INPUT_PIN12 = ADC_INPUTCTRL_MUXPOS_PIN12, + /** ADC13 pin */ + ADC_POSITIVE_INPUT_PIN13 = ADC_INPUTCTRL_MUXPOS_PIN13, + /** ADC14 pin */ + ADC_POSITIVE_INPUT_PIN14 = ADC_INPUTCTRL_MUXPOS_PIN14, + /** ADC15 pin */ + ADC_POSITIVE_INPUT_PIN15 = ADC_INPUTCTRL_MUXPOS_PIN15, + /** ADC16 pin */ + ADC_POSITIVE_INPUT_PIN16 = ADC_INPUTCTRL_MUXPOS_PIN16, + /** ADC17 pin */ + ADC_POSITIVE_INPUT_PIN17 = ADC_INPUTCTRL_MUXPOS_PIN17, + /** ADC18 pin */ + ADC_POSITIVE_INPUT_PIN18 = ADC_INPUTCTRL_MUXPOS_PIN18, + /** ADC19 pin */ + ADC_POSITIVE_INPUT_PIN19 = ADC_INPUTCTRL_MUXPOS_PIN19, + /** Temperature reference */ + ADC_POSITIVE_INPUT_TEMP = ADC_INPUTCTRL_MUXPOS_TEMP, + /** Bandgap voltage */ + ADC_POSITIVE_INPUT_BANDGAP = ADC_INPUTCTRL_MUXPOS_BANDGAP, + /** 1/4 scaled core supply */ + ADC_POSITIVE_INPUT_SCALEDCOREVCC = ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC, + /** 1/4 scaled I/O supply */ + ADC_POSITIVE_INPUT_SCALEDIOVCC = ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC, + /** DAC input */ + ADC_POSITIVE_INPUT_DAC = ADC_INPUTCTRL_MUXPOS_DAC, +}; + +/** + * \brief ADC negative Multiplexer(MUX) input selection enum + * + * Enum for the possible negative Multiplexer(MUX) input selections for the ADC. + * + */ +enum adc_negative_input { + /** ADC0 pin */ + ADC_NEGATIVE_INPUT_PIN0 = ADC_INPUTCTRL_MUXNEG_PIN0, + /** ADC1 pin */ + ADC_NEGATIVE_INPUT_PIN1 = ADC_INPUTCTRL_MUXNEG_PIN1, + /** ADC2 pin */ + ADC_NEGATIVE_INPUT_PIN2 = ADC_INPUTCTRL_MUXNEG_PIN2, + /** ADC3 pin */ + ADC_NEGATIVE_INPUT_PIN3 = ADC_INPUTCTRL_MUXNEG_PIN3, + /** ADC4 pin */ + ADC_NEGATIVE_INPUT_PIN4 = ADC_INPUTCTRL_MUXNEG_PIN4, + /** ADC5 pin */ + ADC_NEGATIVE_INPUT_PIN5 = ADC_INPUTCTRL_MUXNEG_PIN5, + /** ADC6 pin */ + ADC_NEGATIVE_INPUT_PIN6 = ADC_INPUTCTRL_MUXNEG_PIN6, + /** ADC7 pin */ + ADC_NEGATIVE_INPUT_PIN7 = ADC_INPUTCTRL_MUXNEG_PIN7, + /** Internal ground */ + ADC_NEGATIVE_INPUT_GND = ADC_INPUTCTRL_MUXNEG_GND, + /** I/O ground */ + ADC_NEGATIVE_INPUT_IOGND = ADC_INPUTCTRL_MUXNEG_IOGND, +}; + +/** + * \brief ADC number of accumulated samples enum + * + * Enum for the possible numbers of ADC samples to accumulate. + * This setting is only used when the \ref ADC_RESOLUTION_CUSTOM + * resolution setting is used. + * + */ +enum adc_accumulate_samples { + /** No averaging */ + ADC_ACCUMULATE_DISABLE = ADC_AVGCTRL_SAMPLENUM_1, + /** Average 2 samples */ + ADC_ACCUMULATE_SAMPLES_2 = ADC_AVGCTRL_SAMPLENUM_2, + /** Average 4 samples */ + ADC_ACCUMULATE_SAMPLES_4 = ADC_AVGCTRL_SAMPLENUM_4, + /** Average 8 samples */ + ADC_ACCUMULATE_SAMPLES_8 = ADC_AVGCTRL_SAMPLENUM_8, + /** Average 16 samples */ + ADC_ACCUMULATE_SAMPLES_16 = ADC_AVGCTRL_SAMPLENUM_16, + /** Average 32 samples */ + ADC_ACCUMULATE_SAMPLES_32 = ADC_AVGCTRL_SAMPLENUM_32, + /** Average 64 samples */ + ADC_ACCUMULATE_SAMPLES_64 = ADC_AVGCTRL_SAMPLENUM_64, + /** Average 128 samples */ + ADC_ACCUMULATE_SAMPLES_128 = ADC_AVGCTRL_SAMPLENUM_128, + /** Average 256 samples */ + ADC_ACCUMULATE_SAMPLES_256 = ADC_AVGCTRL_SAMPLENUM_256, + /** Average 512 samples */ + ADC_ACCUMULATE_SAMPLES_512 = ADC_AVGCTRL_SAMPLENUM_512, + /** Average 1024 samples */ + ADC_ACCUMULATE_SAMPLES_1024 = ADC_AVGCTRL_SAMPLENUM_1024, +}; + +/** + * \brief ADC possible dividers for the result register + * + * Enum for the possible division factors to use when accumulating + * multiple samples. To keep the same resolution for the averaged + * result and the actual input value, the division factor must + * be equal to the number of samples accumulated. This setting is only + * used when the \ref ADC_RESOLUTION_CUSTOM resolution setting is used. + */ +enum adc_divide_result { + /** Don't divide result register after accumulation */ + ADC_DIVIDE_RESULT_DISABLE = 0, + /** Divide result register by 2 after accumulation */ + ADC_DIVIDE_RESULT_2 = 1, + /** Divide result register by 4 after accumulation */ + ADC_DIVIDE_RESULT_4 = 2, + /** Divide result register by 8 after accumulation */ + ADC_DIVIDE_RESULT_8 = 3, + /** Divide result register by 16 after accumulation */ + ADC_DIVIDE_RESULT_16 = 4, + /** Divide result register by 32 after accumulation */ + ADC_DIVIDE_RESULT_32 = 5, + /** Divide result register by 64 after accumulation */ + ADC_DIVIDE_RESULT_64 = 6, + /** Divide result register by 128 after accumulation */ + ADC_DIVIDE_RESULT_128 = 7, +}; + +#if ADC_CALLBACK_MODE == true +/** + * Enum for the possible ADC interrupt flags. + */ +enum adc_interrupt_flag { + /** ADC result ready */ + ADC_INTERRUPT_RESULT_READY = ADC_INTFLAG_RESRDY, + /** Window monitor match */ + ADC_INTERRUPT_WINDOW = ADC_INTFLAG_WINMON, + /** ADC result overwritten before read */ + ADC_INTERRUPT_OVERRUN = ADC_INTFLAG_OVERRUN, +}; +#endif + +/** + * \brief ADC oversampling and decimation enum + * + * Enum for the possible numbers of bits resolution can be increased by when + * using oversampling and decimation. + * + */ +enum adc_oversampling_and_decimation { + /** Don't use oversampling and decimation mode */ + ADC_OVERSAMPLING_AND_DECIMATION_DISABLE = 0, + /** 1-bit resolution increase */ + ADC_OVERSAMPLING_AND_DECIMATION_1BIT, + /** 2-bit resolution increase */ + ADC_OVERSAMPLING_AND_DECIMATION_2BIT, + /** 3-bit resolution increase */ + ADC_OVERSAMPLING_AND_DECIMATION_3BIT, + /** 4-bit resolution increase */ + ADC_OVERSAMPLING_AND_DECIMATION_4BIT +}; + +/** + * \brief Window monitor configuration structure + * + * Window monitor configuration structure. + */ +struct adc_window_config { + /** Selected window mode */ + enum adc_window_mode window_mode; + /** Lower window value */ + int32_t window_lower_value; + /** Upper window value */ + int32_t window_upper_value; +}; + +/** + * \brief ADC event enable/disable structure. + * + * Event flags for the ADC module. This is used to enable and + * disable events via \ref adc_enable_events() and \ref adc_disable_events(). + */ +struct adc_events { + /** Enable event generation on conversion done */ + bool generate_event_on_conversion_done; + /** Enable event generation on window monitor */ + bool generate_event_on_window_monitor; +}; + +/** + * \brief Gain and offset correction configuration structure + * + * Gain and offset correction configuration structure. + * Part of the \ref adc_config struct and will be initialized by + * \ref adc_get_config_defaults. + */ +struct adc_correction_config { + /** + * Enables correction for gain and offset based on values of gain_correction and + * offset_correction if set to true + */ + bool correction_enable; + /** + * This value defines how the ADC conversion result is compensated for gain + * error before written to the result register. This is a fractional value, + * 1-bit integer plus an 11-bit fraction, therefore + * 1/2 <= gain_correction < 2. Valid \c gain_correction values ranges from + * \c 0b010000000000 to \c 0b111111111111. + */ + uint16_t gain_correction; + /** + * This value defines how the ADC conversion result is compensated for + * offset error before written to the result register. This is a 12-bit + * value in two's complement format. + */ + int16_t offset_correction; +}; + +/** + * \brief Pin scan configuration structure + * + * Pin scan configuration structure. Part of the \ref adc_config struct and will + * be initialized by \ref adc_get_config_defaults. + */ +struct adc_pin_scan_config { + /** + * Offset (relative to selected positive input) of the first input pin to be + * used in pin scan mode + */ + uint8_t offset_start_scan; + /** + * Number of input pins to scan in pin scan mode. A value below two will + * disable pin scan mode. + */ + uint8_t inputs_to_scan; +}; + +/** + * \brief ADC configuration structure + * + * Configuration structure for an ADC instance. This structure should be + * initialized by the \ref adc_get_config_defaults() + * function before being modified by the user application. + */ +struct adc_config { + /** GCLK generator used to clock the peripheral */ + enum gclk_generator clock_source; + /** Voltage reference */ + enum adc_reference reference; + /** Clock prescaler */ + enum adc_clock_prescaler clock_prescaler; + /** Result resolution */ + enum adc_resolution resolution; + /** Gain factor */ + enum adc_gain_factor gain_factor; + /** Positive Multiplexer (MUX) input */ + enum adc_positive_input positive_input; + /** Negative MUX input. For singled-ended conversion mode, the negative + * input must be connected to ground. This ground could be the internal + * GND, IOGND or an external ground connected to a pin. */ + enum adc_negative_input negative_input; + /** Number of ADC samples to accumulate when using the + * \c ADC_RESOLUTION_CUSTOM mode. Note: if the result width increases, + * result resolution will be changed accordingly. + */ + enum adc_accumulate_samples accumulate_samples; + /** Division ration when using the ADC_RESOLUTION_CUSTOM mode */ + enum adc_divide_result divide_result; + /** Left adjusted result */ + bool left_adjust; + /** Enables differential mode if true. + * if false, ADC will run in singled-ended mode. */ + bool differential_mode; + /** Enables free running mode if true */ + bool freerunning; + /** Enables ADC in standby sleep mode if true */ + bool run_in_standby; + /** + * Enables reference buffer offset compensation if true. + * This will increase the accuracy of the gain stage, but decreases the input + * impedance; therefore the startup time of the reference must be increased. + */ + bool reference_compensation_enable; + /** + * This value (0-63) control the ADC sampling time in number of half ADC + * prescaled clock cycles (depends of \c ADC_PRESCALER value), thus + * controlling the ADC input impedance. Sampling time is set according to + * the formula: + * Sample time = (sample_length+1) * (ADCclk / 2). + */ + uint8_t sample_length; + /** Window monitor configuration structure */ + struct adc_window_config window; + /** Gain and offset correction configuration structure */ + struct adc_correction_config correction; + /** Event action to take on incoming event */ + enum adc_event_action event_action; + /** Pin scan configuration structure */ + struct adc_pin_scan_config pin_scan; +}; + +/** + * \brief ADC software device instance structure. + * + * ADC software instance structure, used to retain software state information + * of an associated hardware module instance. + * + * \note The fields of this structure should not be altered by the user + * application; they are reserved for module-internal use only. + */ +struct adc_module { +#if !defined(__DOXYGEN__) + /** Pointer to ADC hardware module */ + Adc *hw; + /** Keep reference configuration so we know when enable is called */ + enum adc_reference reference; +# if ADC_CALLBACK_MODE == true + /** Array to store callback functions */ + adc_callback_t callback[ADC_CALLBACK_N]; + /** Pointer to buffer used for ADC results */ + volatile uint16_t *job_buffer; + /** Remaining number of conversions in current job */ + volatile uint16_t remaining_conversions; + /** Bit mask for callbacks registered */ + uint8_t registered_callback_mask; + /** Bit mask for callbacks enabled */ + uint8_t enabled_callback_mask; + /** Holds the status of the ongoing or last conversion job */ + volatile enum status_code job_status; + /** If software triggering is needed */ + bool software_trigger; +# endif +#endif +}; + +#if !defined(__DOXYGEN__) + +/** + * \brief Determines if the hardware module(s) are currently synchronizing to the bus. + * + * Checks to see if the underlying hardware peripheral module(s) are currently + * synchronizing across multiple clock domains to the hardware bus. This + * function can be used to delay further operations on a module until such time + * that it is ready, to prevent blocking delays for synchronization in the + * user application. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * + * \return Synchronization status of the underlying hardware module(s). + * + * \retval true if the module synchronization is ongoing + * \retval false if the module has completed synchronization + */ +static inline bool adc_is_syncing( + struct adc_module *const module_inst) +{ + /* Sanity check arguments */ + Assert(module_inst); + + Adc *const adc_module = module_inst->hw; + + if (adc_module->STATUS.reg & ADC_STATUS_SYNCBUSY) { + return true; + } + + return false; +} +#endif + +/** + * \name ADC Gain and Pin Scan Mode + * @{ + */ + +/** + * \brief Sets ADC gain factor + * + * Sets the ADC gain factor to a specified gain setting. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] gain_factor Gain factor value to set + */ +static inline void adc_set_gain( + struct adc_module *const module_inst, + const enum adc_gain_factor gain_factor) +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set new gain factor */ + adc_module->INPUTCTRL.reg = + (adc_module->INPUTCTRL.reg & ~ADC_INPUTCTRL_GAIN_Msk) | + (gain_factor); +} + +/** + * \brief Sets the ADC pin scan mode + * + * Configures the pin scan mode of the ADC module. In pin scan mode, the first + * conversion will start at the configured positive input + start_offset. When + * a conversion is done, a conversion will start on the next input, until + * \c inputs_to_scan number of conversions are made. + * + * \param[in] module_inst Pointer to the ADC software instance struct + * \param[in] inputs_to_scan Number of input pins to perform a conversion on + * (must be two or more) + * \param[in] start_offset Offset of first pin to scan (relative to + * configured positive input) + * + * \return Status of the pin scan configuration set request. + * + * \retval STATUS_OK Pin scan mode has been set successfully + * \retval STATUS_ERR_INVALID_ARG Number of input pins to scan or offset has + * an invalid value + */ +static inline enum status_code adc_set_pin_scan_mode( + struct adc_module *const module_inst, + uint8_t inputs_to_scan, + const uint8_t start_offset) + +{ + /* Sanity check arguments */ + Assert(module_inst); + Assert(module_inst->hw); + + Adc *const adc_module = module_inst->hw; + + if (inputs_to_scan > 0) { + /* + * Number of input sources included is the value written to INPUTSCAN + * plus 1. + */ + inputs_to_scan--; + } + + if (inputs_to_scan > (ADC_INPUTCTRL_INPUTSCAN_Msk >> ADC_INPUTCTRL_INPUTSCAN_Pos) || + start_offset > (ADC_INPUTCTRL_INPUTOFFSET_Msk >> ADC_INPUTCTRL_INPUTOFFSET_Pos)) { + /* Invalid number of input pins */ + return STATUS_ERR_INVALID_ARG; + } + + while (adc_is_syncing(module_inst)) { + /* Wait for synchronization */ + } + + /* Set pin scan mode */ + adc_module->INPUTCTRL.reg = + (adc_module->INPUTCTRL.reg & + ~(ADC_INPUTCTRL_INPUTSCAN_Msk | ADC_INPUTCTRL_INPUTOFFSET_Msk)) | + (start_offset << ADC_INPUTCTRL_INPUTOFFSET_Pos) | + (inputs_to_scan << ADC_INPUTCTRL_INPUTSCAN_Pos); + + return STATUS_OK; +} + +/** + * \brief Disables pin scan mode + * + * Disables pin scan mode. The next conversion will be made on only one pin + * (the configured positive input pin). + * + * \param[in] module_inst Pointer to the ADC software instance struct + */ +static inline void adc_disable_pin_scan_mode( + struct adc_module *const module_inst) +{ + /* Disable pin scan mode */ + adc_set_pin_scan_mode(module_inst, 0, 0); +} + +/** @} */ + +#ifdef __cplusplus +} +#endif + +/** @} */ + +#endif /* ADC_FEATURE_H_INCLUDED */ + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.c new file mode 100644 index 0000000..9a05a7e --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.c @@ -0,0 +1,644 @@ +/* + * \file + * + * \brief SAM Direct Memory Access Controller Driver + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include +#include "dma.h" +#include "clock.h" +#include "system_interrupt.h" + +struct _dma_module { + volatile bool _dma_init; + volatile uint32_t allocated_channels; + uint8_t free_channels; +}; + +struct _dma_module _dma_inst = { + ._dma_init = false, + .allocated_channels = 0, + .free_channels = CONF_MAX_USED_CHANNEL_NUM, +}; + +/** Maximum retry counter for resuming a job transfer. */ +#define MAX_JOB_RESUME_COUNT 10000 + +/** DMA channel mask. */ +#define DMA_CHANNEL_MASK (0x1f) + +COMPILER_ALIGNED(16) +DmacDescriptor descriptor_section[CONF_MAX_USED_CHANNEL_NUM] SECTION_DMAC_DESCRIPTOR; + +/** Initial write back memory section. */ +COMPILER_ALIGNED(16) +static DmacDescriptor _write_back_section[CONF_MAX_USED_CHANNEL_NUM] SECTION_DMAC_DESCRIPTOR; + +/** Internal DMA resource pool. */ +static struct dma_resource* _dma_active_resource[CONF_MAX_USED_CHANNEL_NUM]; + +/* DMA channel interrup flag. */ +uint8_t g_chan_interrupt_flag[CONF_MAX_USED_CHANNEL_NUM]={0}; + +/** + * \brief Find a free channel for a DMA resource. + * + * Find a channel for the requested DMA resource. + * + * \return Status of channel allocation. + * \retval DMA_INVALID_CHANNEL No channel available + * \retval count Allocated channel for the DMA resource + */ +static uint8_t _dma_find_first_free_channel_and_allocate(void) +{ + uint8_t count; + uint32_t tmp; + bool allocated = false; + + system_interrupt_enter_critical_section(); + + tmp = _dma_inst.allocated_channels; + + for (count = 0; count < CONF_MAX_USED_CHANNEL_NUM; ++count) { + if (!(tmp & 0x00000001)) { + /* If free channel found, set as allocated and return + *number */ + + _dma_inst.allocated_channels |= 1 << count; + _dma_inst.free_channels--; + allocated = true; + + break; + } + + tmp = tmp >> 1; + } + + system_interrupt_leave_critical_section(); + + if (!allocated) { + return DMA_INVALID_CHANNEL; + } else { + return count; + } +} + +/** + * \brief Release an allocated DMA channel. + * + * \param[in] channel Channel id to be released + * + */ +static void _dma_release_channel(uint8_t channel) +{ + _dma_inst.allocated_channels &= ~(1 << channel); + _dma_inst.free_channels++; +} + +/** + * \brief Configure the DMA resource. + * + * \param[in] dma_resource Pointer to a DMA resource instance + * \param[out] resource_config Configurations of the DMA resource + * + */ +static void _dma_set_config(struct dma_resource *resource, + struct dma_resource_config *resource_config) +{ + Assert(resource); + Assert(resource_config); + uint32_t temp_CHCTRLB_reg; + system_interrupt_enter_critical_section(); + + /** Select the DMA channel and clear software trigger */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + DMAC->SWTRIGCTRL.reg &= (uint32_t)(~(1 << resource->channel_id)); + + temp_CHCTRLB_reg = DMAC_CHCTRLB_LVL(resource_config->priority) | \ + DMAC_CHCTRLB_TRIGSRC(resource_config->peripheral_trigger) | \ + DMAC_CHCTRLB_TRIGACT(resource_config->trigger_action); + + + if(resource_config->event_config.input_action){ + temp_CHCTRLB_reg |= DMAC_CHCTRLB_EVIE | DMAC_CHCTRLB_EVACT( + resource_config->event_config.input_action); + } + + /** Enable event output, the event output selection is configured in + * each transfer descriptor */ + if (resource_config->event_config.event_output_enable) { + temp_CHCTRLB_reg |= DMAC_CHCTRLB_EVOE; + } + + /* Write config to CTRLB register */ + DMAC->CHCTRLB.reg = temp_CHCTRLB_reg; + + + + system_interrupt_leave_critical_section(); +} + +/** + * \brief DMA interrupt service routine. + * + */ +void DMAC_Handler( void ) +{ + uint8_t active_channel; + struct dma_resource *resource; + uint8_t isr; + uint32_t write_size; + uint32_t total_size; + + system_interrupt_enter_critical_section(); + + /* Get Pending channel */ + active_channel = DMAC->INTPEND.reg & DMAC_INTPEND_ID_Msk; + + Assert(_dma_active_resource[active_channel]); + + /* Get active DMA resource based on channel */ + resource = _dma_active_resource[active_channel]; + + /* Select the active channel */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + isr = DMAC->CHINTFLAG.reg; + + /* Calculate block transfer size of the DMA transfer */ + total_size = descriptor_section[resource->channel_id].BTCNT.reg; + write_size = _write_back_section[resource->channel_id].BTCNT.reg; + resource->transfered_size = total_size - write_size; + + /* DMA channel interrupt handler */ + if (isr & DMAC_CHINTENCLR_TERR) { + /* Clear transfer error flag */ + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_TERR; + + /* Set I/O ERROR status */ + resource->job_status = STATUS_ERR_IO; + + /* Execute the callback function */ + if ((resource->callback_enable & (1<callback[DMA_CALLBACK_TRANSFER_ERROR])) { + resource->callback[DMA_CALLBACK_TRANSFER_ERROR](resource); + } + } else if (isr & DMAC_CHINTENCLR_TCMPL) { + /* Clear the transfer complete flag */ + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_TCMPL; + + /* Set job status */ + resource->job_status = STATUS_OK; + + /* Execute the callback function */ + if ((resource->callback_enable & (1 << DMA_CALLBACK_TRANSFER_DONE)) && + (resource->callback[DMA_CALLBACK_TRANSFER_DONE])) { + resource->callback[DMA_CALLBACK_TRANSFER_DONE](resource); + } + } else if (isr & DMAC_CHINTENCLR_SUSP) { + /* Clear channel suspend flag */ + DMAC->CHINTFLAG.reg = DMAC_CHINTENCLR_SUSP; + + /* Set job status */ + resource->job_status = STATUS_SUSPEND; + + /* Execute the callback function */ + if ((resource->callback_enable & (1 << DMA_CALLBACK_CHANNEL_SUSPEND)) && + (resource->callback[DMA_CALLBACK_CHANNEL_SUSPEND])){ + resource->callback[DMA_CALLBACK_CHANNEL_SUSPEND](resource); + } + } + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Initializes config with predefined default values. + * + * This function will initialize a given DMA configuration structure to + * a set of known default values. This function should be called on + * any new instance of the configuration structure before being + * modified by the user application. + * + * The default configuration is as follows: + * \li Software trigger is used as the transfer trigger + * \li Priority level 0 + * \li Only software/event trigger + * \li Requires a trigger for each transaction + * \li No event input /output + * \li DMA channel is disabled during sleep mode (if has the feature) + * \param[out] config Pointer to the configuration + * + */ +void dma_get_config_defaults(struct dma_resource_config *config) +{ + Assert(config); + /* Set as priority 0 */ + config->priority = DMA_PRIORITY_LEVEL_0; + /* Only software/event trigger */ + config->peripheral_trigger = 0; + /* Transaction trigger */ + config->trigger_action = DMA_TRIGGER_ACTION_TRANSACTION; + + /* Event configurations, no event input/output */ + config->event_config.input_action = DMA_EVENT_INPUT_NOACT; + config->event_config.event_output_enable = false; +#ifdef FEATURE_DMA_CHANNEL_STANDBY + config->run_in_standby = false; +#endif +} + +/** + * \brief Allocate a DMA with configurations. + * + * This function will allocate a proper channel for a DMA transfer request. + * + * \param[in,out] dma_resource Pointer to a DMA resource instance + * \param[in] transfer_config Configurations of the DMA transfer + * + * \return Status of the allocation procedure. + * + * \retval STATUS_OK The DMA resource was allocated successfully + * \retval STATUS_ERR_NOT_FOUND DMA resource allocation failed + */ +enum status_code dma_allocate(struct dma_resource *resource, + struct dma_resource_config *config) +{ + uint8_t new_channel; + + Assert(resource); + + system_interrupt_enter_critical_section(); + + if (!_dma_inst._dma_init) { + /* Initialize clocks for DMA */ +#if (SAML21) || (SAML22) || (SAMC20) || (SAMC21) || (SAMR30) || (SAMR34) || (SAMR35) + system_ahb_clock_set_mask(MCLK_AHBMASK_DMAC); +#else + system_ahb_clock_set_mask(PM_AHBMASK_DMAC); + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBB, + PM_APBBMASK_DMAC); +#endif + + /* Perform a software reset before enable DMA controller */ + DMAC->CTRL.reg &= ~DMAC_CTRL_DMAENABLE; + DMAC->CTRL.reg = DMAC_CTRL_SWRST; + + /* Setup descriptor base address and write back section base + * address */ + DMAC->BASEADDR.reg = (uint32_t)descriptor_section; + DMAC->WRBADDR.reg = (uint32_t)_write_back_section; + + /* Enable all priority level at the same time */ + DMAC->CTRL.reg = DMAC_CTRL_DMAENABLE | DMAC_CTRL_LVLEN(0xf); + + _dma_inst._dma_init = true; + } + + /* Find the proper channel */ + new_channel = _dma_find_first_free_channel_and_allocate(); + + /* If no channel available, return not found */ + if (new_channel == DMA_INVALID_CHANNEL) { + system_interrupt_leave_critical_section(); + + return STATUS_ERR_NOT_FOUND; + } + + /* Set the channel */ + resource->channel_id = new_channel; + + /** Perform a reset for the allocated channel */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + DMAC->CHCTRLA.reg &= ~DMAC_CHCTRLA_ENABLE; + DMAC->CHCTRLA.reg = DMAC_CHCTRLA_SWRST; + +#ifdef FEATURE_DMA_CHANNEL_STANDBY + if(config->run_in_standby){ + DMAC->CHCTRLA.reg |= DMAC_CHCTRLA_RUNSTDBY; + } +#endif + + /** Configure the DMA control,channel registers and descriptors here */ + _dma_set_config(resource, config); + + resource->descriptor = NULL; + + /* Log the DMA resource into the internal DMA resource pool */ + _dma_active_resource[resource->channel_id] = resource; + + system_interrupt_leave_critical_section(); + + return STATUS_OK; +} + +/** + * \brief Free an allocated DMA resource. + * + * This function will free an allocated DMA resource. + * + * \param[in,out] resource Pointer to the DMA resource + * + * \return Status of the free procedure. + * + * \retval STATUS_OK The DMA resource was freed successfully + * \retval STATUS_BUSY The DMA resource was busy and can't be freed + * \retval STATUS_ERR_NOT_INITIALIZED DMA resource was not initialized + */ +enum status_code dma_free(struct dma_resource *resource) +{ + Assert(resource); + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + system_interrupt_enter_critical_section(); + + /* Check if channel is busy */ + if (dma_is_busy(resource)) { + system_interrupt_leave_critical_section(); + return STATUS_BUSY; + } + + /* Check if DMA resource was not allocated */ + if (!(_dma_inst.allocated_channels & (1 << resource->channel_id))) { + system_interrupt_leave_critical_section(); + return STATUS_ERR_NOT_INITIALIZED; + } + + /* Release the DMA resource */ + _dma_release_channel(resource->channel_id); + + /* Reset the item in the DMA resource pool */ + _dma_active_resource[resource->channel_id] = NULL; + + system_interrupt_leave_critical_section(); + + return STATUS_OK; +} + +/** + * \brief Start a DMA transfer. + * + * This function will start a DMA transfer through an allocated DMA resource. + * + * \param[in,out] resource Pointer to the DMA resource + * + * \return Status of the transfer start procedure. + * + * \retval STATUS_OK The transfer was started successfully + * \retval STATUS_BUSY The DMA resource was busy and the transfer was not started + * \retval STATUS_ERR_INVALID_ARG Transfer size is 0 and transfer was not started + */ +enum status_code dma_start_transfer_job(struct dma_resource *resource) +{ + Assert(resource); + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + system_interrupt_enter_critical_section(); + + /* Check if resource was busy */ + if (resource->job_status == STATUS_BUSY) { + system_interrupt_leave_critical_section(); + return STATUS_BUSY; + } + + /* Check if transfer size is valid */ + if (resource->descriptor->BTCNT.reg == 0) { + system_interrupt_leave_critical_section(); + return STATUS_ERR_INVALID_ARG; + } + + /* Enable DMA interrupt */ + system_interrupt_enable(SYSTEM_INTERRUPT_MODULE_DMA); + + /* Set the interrupt flag */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + DMAC->CHINTENSET.reg = (DMAC_CHINTENSET_MASK & g_chan_interrupt_flag[resource->channel_id]); + /* Set job status */ + resource->job_status = STATUS_BUSY; + + /* Set channel x descriptor 0 to the descriptor base address */ + memcpy(&descriptor_section[resource->channel_id], resource->descriptor, + sizeof(DmacDescriptor)); + + /* Enable the transfer channel */ + DMAC->CHCTRLA.reg |= DMAC_CHCTRLA_ENABLE; + + system_interrupt_leave_critical_section(); + + return STATUS_OK; +} + +/** + * \brief Abort a DMA transfer. + * + * This function will abort a DMA transfer. The DMA channel used for the DMA + * resource will be disabled. + * The block transfer count will also be calculated and written to the DMA + * resource structure. + * + * \note The DMA resource will not be freed after calling this function. + * The function \ref dma_free() can be used to free an allocated resource. + * + * \param[in,out] resource Pointer to the DMA resource + * + */ +void dma_abort_job(struct dma_resource *resource) +{ + uint32_t write_size; + uint32_t total_size; + + Assert(resource); + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + system_interrupt_enter_critical_section(); + + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + DMAC->CHCTRLA.reg = 0; + + system_interrupt_leave_critical_section(); + + /* Get transferred size */ + total_size = descriptor_section[resource->channel_id].BTCNT.reg; + write_size = _write_back_section[resource->channel_id].BTCNT.reg; + resource->transfered_size = total_size - write_size; + + resource->job_status = STATUS_ABORTED; +} + +/** + * \brief Suspend a DMA transfer. + * + * This function will request to suspend the transfer of the DMA resource. + * The channel is kept enabled, can receive transfer triggers (the transfer + * pending bit will be set), but will be removed from the arbitration scheme. + * The channel operation can be resumed by calling \ref dma_resume_job(). + * + * \note This function sets the command to suspend the DMA channel + * associated with a DMA resource. The channel suspend interrupt flag + * indicates whether the transfer is truly suspended. + * + * \param[in] resource Pointer to the DMA resource + * + */ +void dma_suspend_job(struct dma_resource *resource) +{ + Assert(resource); + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + system_interrupt_enter_critical_section(); + + /* Select the channel */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + + /* Send the suspend request */ + DMAC->CHCTRLB.reg |= DMAC_CHCTRLB_CMD_SUSPEND; + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Resume a suspended DMA transfer. + * + * This function try to resume a suspended transfer of a DMA resource. + * + * \param[in] resource Pointer to the DMA resource + * + */ +void dma_resume_job(struct dma_resource *resource) +{ + uint32_t bitmap_channel; + uint32_t count = 0; + + Assert(resource); + Assert(resource->channel_id != DMA_INVALID_CHANNEL); + + /* Get bitmap of the allocated DMA channel */ + bitmap_channel = (1 << resource->channel_id); + + /* Check if channel was suspended */ + if (resource->job_status != STATUS_SUSPEND) { + return; + } + + system_interrupt_enter_critical_section(); + + /* Send resume request */ + DMAC->CHID.reg = DMAC_CHID_ID(resource->channel_id); + DMAC->CHCTRLB.reg |= DMAC_CHCTRLB_CMD_RESUME; + + system_interrupt_leave_critical_section(); + + /* Check if transfer job resumed */ + for (count = 0; count < MAX_JOB_RESUME_COUNT; count++) { + if ((DMAC->BUSYCH.reg & bitmap_channel) == bitmap_channel) { + break; + } + } + + if (count < MAX_JOB_RESUME_COUNT) { + /* Job resumed */ + resource->job_status = STATUS_BUSY; + } else { + /* Job resume timeout */ + resource->job_status = STATUS_ERR_TIMEOUT; + } +} + +/** + * \brief Create a DMA transfer descriptor with configurations. + * + * This function will set the transfer configurations to the DMA transfer + * descriptor. + * + * \param[in] descriptor Pointer to the DMA transfer descriptor + * \param[in] config Pointer to the descriptor configuration structure + * + */ +void dma_descriptor_create(DmacDescriptor* descriptor, + struct dma_descriptor_config *config) +{ + /* Set block transfer control */ + descriptor->BTCTRL.bit.VALID = config->descriptor_valid; + descriptor->BTCTRL.bit.EVOSEL = config->event_output_selection; + descriptor->BTCTRL.bit.BLOCKACT = config->block_action; + descriptor->BTCTRL.bit.BEATSIZE = config->beat_size; + descriptor->BTCTRL.bit.SRCINC = config->src_increment_enable; + descriptor->BTCTRL.bit.DSTINC = config->dst_increment_enable; + descriptor->BTCTRL.bit.STEPSEL = config->step_selection; + descriptor->BTCTRL.bit.STEPSIZE = config->step_size; + + /* Set transfer size, source address and destination address */ + descriptor->BTCNT.reg = config->block_transfer_count; + descriptor->SRCADDR.reg = config->source_address; + descriptor->DSTADDR.reg = config->destination_address; + + /* Set next transfer descriptor address */ + descriptor->DESCADDR.reg = config->next_descriptor_address; +} + +/** + * \brief Add a DMA transfer descriptor to a DMA resource. + * + * This function will add a DMA transfer descriptor to a DMA resource. + * If there was a transfer descriptor already allocated to the DMA resource, + * the descriptor will be linked to the next descriptor address. + * + * \param[in] resource Pointer to the DMA resource + * \param[in] descriptor Pointer to the transfer descriptor + * + * \retval STATUS_OK The descriptor is added to the DMA resource + * \retval STATUS_BUSY The DMA resource was busy and the descriptor is not added + */ +enum status_code dma_add_descriptor(struct dma_resource *resource, + DmacDescriptor* descriptor) +{ + DmacDescriptor* desc = resource->descriptor; + + if (resource->job_status == STATUS_BUSY) { + return STATUS_BUSY; + } + + /* Look up for an empty space for the descriptor */ + if (desc == NULL) { + resource->descriptor = descriptor; + } else { + /* Looking for end of descriptor link */ + while(desc->DESCADDR.reg != 0) { + desc = (DmacDescriptor*)(desc->DESCADDR.reg); + } + + /* Set to the end of descriptor list */ + desc->DESCADDR.reg = (uint32_t)descriptor; + } + + return STATUS_OK; +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.h new file mode 100644 index 0000000..ebf3b7a --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma.h @@ -0,0 +1,878 @@ +/** + * \file + * + * \brief SAM Direct Memory Access Controller Driver + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef DMA_H_INCLUDED +#define DMA_H_INCLUDED + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \defgroup asfdoc_sam0_dma_group SAM Direct Memory Access Controller (DMAC) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides an interface for the configuration + * and management of the Direct Memory Access Controller(DMAC) module within + * the device. The DMAC can transfer data between memories and peripherals, and + * thus off-load these tasks from the CPU. The module supports peripheral to + * peripheral, peripheral to memory, memory to peripheral, and memory to memory + * transfers. + * + * The following peripheral is used by the DMAC Driver: + * - DMAC (Direct Memory Access Controller) + * + * The following devices can use this module: + * - Atmel | SMART SAM D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM HA1 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34/R35 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_dma_prerequisites + * - \ref asfdoc_sam0_dma_module_overview + * - \ref asfdoc_sam0_dma_special_considerations + * - \ref asfdoc_sam0_dma_extra_info + * - \ref asfdoc_sam0_dma_examples + * - \ref asfdoc_sam0_dma_api_overview + * + * + * \section asfdoc_sam0_dma_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_dma_module_overview Module Overview + * + * SAM devices with DMAC enables high data transfer rates with minimum + * CPU intervention and frees up CPU time. With access to all peripherals, + * the DMAC can handle automatic transfer of data to/from modules. + * It supports static and incremental addressing for both source and + * destination. + * + * The DMAC when used with Event System or peripheral triggers, provides a + * considerable advantage by reducing the power consumption and performing + * data transfer in the background. + * For example, if the ADC is configured to generate an event, it can trigger + * the DMAC to transfer the data into another peripheral or SRAM. + * The CPU can remain in sleep during this time to reduce the power consumption. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    DeviceDma channel number
    SAM D21/R21/C20/C2112
    SAM D09/D10/D116
    SAM L21,SAMR30/R34/R3516
    SAM R34/R3512
    + * The DMA channel operation can be suspended at any time by software, by events + * from event system, or after selectable descriptor execution. The operation + * can be resumed by software or by events from the event system. + * The DMAC driver for SAM supports four types of transfers such as + * peripheral to peripheral, peripheral to memory, memory to peripheral, and + * memory to memory. + * + * The basic transfer unit is a beat, which is defined as a single bus access. + * There can be multiple beats in a single block transfer and multiple block + * transfers in a DMA transaction. + * DMA transfer is based on descriptors, which holds transfer properties + * such as the source and destination addresses, transfer counter, and other + * additional transfer control information. + * The descriptors can be static or linked. When static, a single block transfer + * is performed. When linked, a number of transfer descriptors can be used to + * enable multiple block transfers within a single DMA transaction. + * + * The implementation of the DMA driver is based on the idea that the DMA channel + * is a finite resource of entities with the same abilities. A DMA channel resource + * is able to move a defined set of data from a source address to destination + * address triggered by a transfer trigger. On the SAM devices there are 12 + * DMA resources available for allocation. Each of these DMA resources can trigger + * interrupt callback routines and peripheral events. + * The other main features are: + * + * - Selectable transfer trigger source + * - Software + * - Event System + * - Peripheral + * - Event input and output is supported for the four lower channels + * - Four level channel priority + * - Optional interrupt generation on transfer complete, channel error, or channel suspend + * - Supports multi-buffer or circular buffer mode by linking multiple descriptors + * - Beat size configurable as 8-bit, 16-bit, or 32-bit + * + * A simplified block diagram of the DMA Resource can be seen in + * \ref asfdoc_sam0_dma_module_block_diagram "the figure below". + * + * \anchor asfdoc_sam0_dma_module_block_diagram + * \dot + * digraph overview { + * splines = false; + * rankdir=LR; + * + * mux1 [label="Transfer Trigger", shape=box]; + * + * dma [label="DMA Channel", shape=polygon, sides=6, orientation=60, style=filled, fillcolor=darkolivegreen1, height=1, width=1]; + * descriptor [label="Transfer Descriptor", shape=box, style=filled, fillcolor=lightblue]; + * + * mux1 -> dma; + * descriptor -> dma; + * + * interrupt [label="Interrupt", shape=box]; + * events [label="Events", shape=box]; + * + * dma:e -> interrupt:w; + * dma:e -> events:w; + * + * {rank=same; descriptor dma} + * + * } + * \enddot + * + * \subsection asfdoc_sam0_dma_features Driver Feature Macro Definition + * + * + * + * + * + * + * + * + * + *
    Driver Feature MacroSupported devices
    FEATURE_DMA_CHANNEL_STANDBYSAM L21/L22/C20/C21/R30/R34/R35
    + * \note The specific features are only available in the driver when the + * selected device supports those features. + * + * \subsection asfdoc_sam0_dma_module_overview_dma_transf_term Terminology Used in DMAC Transfers + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Name Description
    Beat It is a single bus access by the DMAC. + * Configurable as 8-bit, 16-bit, or 32-bit. + *
    Burst It is a transfer of n-beats (n=1,4,8,16). + * For the DMAC module in SAM, the burst size is one beat. + * Arbitration takes place each time a burst transfer is completed. + *
    Block transfer A single block transfer is a configurable number of (1 to 64k) + * beat transfers + *
    + * + * \subsection asfdoc_sam0_dma_module_overview_dma_channels DMA Channels + * The DMAC in each device consists of several DMA channels, which + * along with the transfer descriptors defines the data transfer properties. + * - The transfer control descriptor defines the source and destination + * addresses, source and destination address increment settings, the + * block transfer count, and event output condition selection + * - Dedicated channel registers control the peripheral trigger source, + * trigger mode settings, event input actions, and channel priority level + * settings + * + * With a successful DMA resource allocation, a dedicated + * DMA channel will be assigned. The channel will be occupied until the + * DMA resource is freed. A DMA resource handle is used to identify the specific + * DMA resource. + * When there are multiple channels with active requests, the arbiter prioritizes + * the channels requesting access to the bus. + * + * \subsection asfdoc_sam0_dma_module_overview_dma_trigger DMA Triggers + * DMA transfer can be started only when a DMA transfer request is acknowledged/granted by the arbiter. A + * transfer request can be triggered from software, peripheral, or an event. There + * are dedicated source trigger selections for each DMA channel usage. + + * + * \subsection asfdoc_sam0_dma_module_overview_dma_transfer_descriptor DMA Transfer Descriptor + * The transfer descriptor resides in the SRAM and + * defines these channel properties. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Field name Field width
    Descriptor Next Address 32 bits
    Destination Address 32 bits
    Source Address 32 bits
    Block Transfer Counter 16 bits
    Block Transfer Control 16 bits
    + * + * Before starting a transfer, at least one descriptor should be configured. + * After a successful allocation of a DMA channel, the transfer descriptor can + * be added with a call to \ref dma_add_descriptor(). If there is a transfer + * descriptor already allocated to the DMA resource, the descriptor will + * be linked to the next descriptor address. + * + * \subsection asfdoc_sam0_dma_module_overview_dma_output DMA Interrupts/Events + * Both an interrupt callback and an peripheral event can be triggered by the + * DMA transfer. Three types of callbacks are supported by the DMA driver: + * transfer complete, channel suspend, and transfer error. Each of these callback + * types can be registered and enabled for each channel independently through + * the DMA driver API. + * + * The DMAC module can also generate events on transfer complete. Event + * generation is enabled through the DMA channel, event channel configuration, + * and event user multiplexing is done through the events driver. + * + * The DMAC can generate events in the below cases: + * + * - When a block transfer is complete + * + * - When each beat transfer within a block transfer is complete + * + * \section asfdoc_sam0_dma_special_considerations Special Considerations + * + * There are no special considerations for this module. + * + * + * \section asfdoc_sam0_dma_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_dma_extra. This includes: + * - \ref asfdoc_sam0_dma_extra_acronyms + * - \ref asfdoc_sam0_dma_extra_dependencies + * - \ref asfdoc_sam0_dma_extra_errata + * - \ref asfdoc_sam0_dma_extra_history + * + * + * \section asfdoc_sam0_dma_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_dma_exqsg. + * + * + * \section asfdoc_sam0_dma_api_overview API Overview + * @{ + */ + +#include +#include "conf_dma.h" + +#if (SAML21) || (SAML22) || (SAMC20) || (SAMC21) || (SAMR30) || (SAMR34) || (SAMR35) || defined(__DOXYGEN__) +#define FEATURE_DMA_CHANNEL_STANDBY +#endif + +/** DMA invalid channel number. */ +#define DMA_INVALID_CHANNEL 0xff + +/** ExInitial description section. */ +extern DmacDescriptor descriptor_section[CONF_MAX_USED_CHANNEL_NUM]; + +/* DMA channel interrup flag. */ +extern uint8_t g_chan_interrupt_flag[CONF_MAX_USED_CHANNEL_NUM]; + +/** DMA priority level. */ +enum dma_priority_level { + /** Priority level 0. */ + DMA_PRIORITY_LEVEL_0, + /** Priority level 1. */ + DMA_PRIORITY_LEVEL_1, + /** Priority level 2. */ + DMA_PRIORITY_LEVEL_2, + /** Priority level 3. */ + DMA_PRIORITY_LEVEL_3, +}; + +/** DMA input actions. */ +enum dma_event_input_action { + /** No action. */ + DMA_EVENT_INPUT_NOACT, + /** Normal transfer and periodic transfer trigger. */ + DMA_EVENT_INPUT_TRIG, + /** Conditional transfer trigger. */ + DMA_EVENT_INPUT_CTRIG, + /** Conditional block transfer. */ + DMA_EVENT_INPUT_CBLOCK, + /** Channel suspend operation. */ + DMA_EVENT_INPUT_SUSPEND, + /** Channel resume operation. */ + DMA_EVENT_INPUT_RESUME, + /** Skip next block suspend action. */ + DMA_EVENT_INPUT_SSKIP, +}; + +/** + * Address increment step size. These bits select the address increment step + * size. The setting apply to source or destination address, depending on + * STEPSEL setting. + */ +enum dma_address_increment_stepsize { + /** The address is incremented by (beat size * 1). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_1 = 0, + /** The address is incremented by (beat size * 2). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_2, + /** The address is incremented by (beat size * 4). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_4, + /** The address is incremented by (beat size * 8). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_8, + /** The address is incremented by (beat size * 16). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_16, + /** The address is incremented by (beat size * 32). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_32, + /** The address is incremented by (beat size * 64). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_64, + /** The address is incremented by (beat size * 128). */ + DMA_ADDRESS_INCREMENT_STEP_SIZE_128, +}; + +/** + * DMA step selection. This bit determines whether the step size setting + * is applied to source or destination address. + */ +enum dma_step_selection { + /** Step size settings apply to the destination address. */ + DMA_STEPSEL_DST = 0, + /** Step size settings apply to the source address. */ + DMA_STEPSEL_SRC, +}; + +/** The basic transfer unit in DMAC is a beat, which is defined as a + * single bus access. Its size is configurable and applies to both read + * and write. */ +enum dma_beat_size { + /** 8-bit access. */ + DMA_BEAT_SIZE_BYTE = 0, + /** 16-bit access. */ + DMA_BEAT_SIZE_HWORD, + /** 32-bit access. */ + DMA_BEAT_SIZE_WORD, +}; + +/** + * Block action definitions. + */ +enum dma_block_action { + /** No action. */ + DMA_BLOCK_ACTION_NOACT = 0, + /** Channel in normal operation and sets transfer complete interrupt flag + * after block transfer. */ + DMA_BLOCK_ACTION_INT, + /** Trigger channel suspend after block transfer and sets channel + * suspend interrupt flag once the channel is suspended. */ + DMA_BLOCK_ACTION_SUSPEND, + /** Sets transfer complete interrupt flag after a block transfer and + * trigger channel suspend. The channel suspend interrupt flag will be set + * once the channel is suspended. */ + DMA_BLOCK_ACTION_BOTH, +}; + +/** Event output selection. */ +enum dma_event_output_selection { + /** Event generation disable. */ + DMA_EVENT_OUTPUT_DISABLE = 0, + /** Event strobe when block transfer complete. */ + DMA_EVENT_OUTPUT_BLOCK, + /** Event output reserved. */ + DMA_EVENT_OUTPUT_RESERVED, + /** Event strobe when beat transfer complete. */ + DMA_EVENT_OUTPUT_BEAT, +}; + +/** DMA trigger action type. */ +enum dma_transfer_trigger_action{ + /** Perform a block transfer when triggered. */ + DMA_TRIGGER_ACTION_BLOCK = DMAC_CHCTRLB_TRIGACT_BLOCK_Val, + /** Perform a beat transfer when triggered. */ + DMA_TRIGGER_ACTION_BEAT = DMAC_CHCTRLB_TRIGACT_BEAT_Val, + /** Perform a transaction when triggered. */ + DMA_TRIGGER_ACTION_TRANSACTION = DMAC_CHCTRLB_TRIGACT_TRANSACTION_Val, +}; + +/** + * Callback types for DMA callback driver. + */ +enum dma_callback_type { + /** Callback for any of transfer errors. A transfer error is flagged + * if a bus error is detected during an AHB access or when the DMAC + * fetches an invalid descriptor. */ + DMA_CALLBACK_TRANSFER_ERROR, + /** Callback for transfer complete. */ + DMA_CALLBACK_TRANSFER_DONE, + /** Callback for channel suspend. */ + DMA_CALLBACK_CHANNEL_SUSPEND, + /** Number of available callbacks. */ + DMA_CALLBACK_N, +}; + +/** + * DMA transfer descriptor configuration. When the source or destination address + * increment is enabled, the addresses stored into the configuration structure + * must correspond to the end of the transfer. + * + */ +struct dma_descriptor_config { + /** Descriptor valid flag used to identify whether a descriptor is + valid or not */ + bool descriptor_valid; + /** This is used to generate an event on specific transfer action in + a channel. Supported only in four lower channels. */ + enum dma_event_output_selection event_output_selection; + /** Action taken when a block transfer is completed */ + enum dma_block_action block_action; + /** Beat size is configurable as 8-bit, 16-bit, or 32-bit */ + enum dma_beat_size beat_size; + /** Used for enabling the source address increment */ + bool src_increment_enable; + /** Used for enabling the destination address increment */ + bool dst_increment_enable; + /** This bit selects whether the source or destination address is + using the step size settings */ + enum dma_step_selection step_selection; + /** The step size for source/destination address increment. + The next address is calculated + as next_addr = addr + (2^step_size * beat size). */ + enum dma_address_increment_stepsize step_size; + /** It is the number of beats in a block. This count value is + * decremented by one after each beat data transfer. */ + uint16_t block_transfer_count; + /** Transfer source address */ + uint32_t source_address; + /** Transfer destination address */ + uint32_t destination_address; + /** Set to zero for static descriptors. This must have a valid memory + address for linked descriptors. */ + uint32_t next_descriptor_address; +}; + +/** Configurations for DMA events. */ +struct dma_events_config { + /** Event input actions */ + enum dma_event_input_action input_action; + /** Enable DMA event output */ + bool event_output_enable; +}; + +/** DMA configurations for transfer. */ +struct dma_resource_config { + /** DMA transfer priority */ + enum dma_priority_level priority; + /**DMA peripheral trigger index */ + uint8_t peripheral_trigger; + /** DMA trigger action */ + enum dma_transfer_trigger_action trigger_action; +#ifdef FEATURE_DMA_CHANNEL_STANDBY + /** Keep DMA channel enabled in standby sleep mode if true */ + bool run_in_standby; +#endif + /** DMA events configurations */ + struct dma_events_config event_config; +}; + +/** Forward definition of the DMA resource. */ +struct dma_resource; +/** Type definition for a DMA resource callback function. */ +typedef void (*dma_callback_t)(struct dma_resource *const resource); + +/** Structure for DMA transfer resource. */ +struct dma_resource { + /** Allocated DMA channel ID */ + uint8_t channel_id; + /** Array of callback functions for DMA transfer job */ + dma_callback_t callback[DMA_CALLBACK_N]; + /** Bit mask for enabled callbacks */ + uint8_t callback_enable; + /** Status of the last job */ + volatile enum status_code job_status; + /** Transferred data size */ + uint32_t transfered_size; + /** DMA transfer descriptor */ + DmacDescriptor* descriptor; +}; + +/** + * \brief Get DMA resource status. + * + * \param[in] resource Pointer to the DMA resource + * + * \return Status of the DMA resource. + */ +static inline enum status_code dma_get_job_status(struct dma_resource *resource) +{ + Assert(resource); + + return resource->job_status; +} + +/** + * \brief Check if the given DMA resource is busy. + * + * \param[in] resource Pointer to the DMA resource + * + * \return Status which indicates whether the DMA resource is busy. + * + * \retval true The DMA resource has an on-going transfer + * \retval false The DMA resource is not busy + */ +static inline bool dma_is_busy(struct dma_resource *resource) +{ + Assert(resource); + + return (resource->job_status == STATUS_BUSY); +} + +/** + * \brief Enable a callback function for a dedicated DMA resource. + * + * \param[in] resource Pointer to the DMA resource + * \param[in] type Callback function type + * + */ +static inline void dma_enable_callback(struct dma_resource *resource, + enum dma_callback_type type) +{ + Assert(resource); + + resource->callback_enable |= 1 << type; + g_chan_interrupt_flag[resource->channel_id] |= (1UL << type); +} + +/** + * \brief Disable a callback function for a dedicated DMA resource. + * + * \param[in] resource Pointer to the DMA resource + * \param[in] type Callback function type + * + */ +static inline void dma_disable_callback(struct dma_resource *resource, + enum dma_callback_type type) +{ + Assert(resource); + + resource->callback_enable &= ~(1 << type); + g_chan_interrupt_flag[resource->channel_id] &= (~(1UL << type) & DMAC_CHINTENSET_MASK); + DMAC->CHINTENCLR.reg = (1UL << type); +} + +/** + * \brief Register a callback function for a dedicated DMA resource. + * + * There are three types of callback functions, which can be registered: + * - Callback for transfer complete + * - Callback for transfer error + * - Callback for channel suspend + * + * \param[in] resource Pointer to the DMA resource + * \param[in] callback Pointer to the callback function + * \param[in] type Callback function type + * + */ +static inline void dma_register_callback(struct dma_resource *resource, + dma_callback_t callback, enum dma_callback_type type) +{ + Assert(resource); + + resource->callback[type] = callback; +} + +/** + * \brief Unregister a callback function for a dedicated DMA resource. + * + * There are three types of callback functions: + * - Callback for transfer complete + * - Callback for transfer error + * - Callback for channel suspend + * + * The application can unregister any of the callback functions which + * are already registered and are no longer needed. + * + * \param[in] resource Pointer to the DMA resource + * \param[in] type Callback function type + * + */ +static inline void dma_unregister_callback(struct dma_resource *resource, + enum dma_callback_type type) +{ + Assert(resource); + + resource->callback[type] = NULL; +} + +/** + * \brief Will set a software trigger for resource. + * + * This function is used to set a software trigger on the DMA channel + * associated with resource. If a trigger is already pending no new trigger + * will be generated for the channel. + * + * \param[in] resource Pointer to the DMA resource + */ +static inline void dma_trigger_transfer(struct dma_resource *resource) { + Assert(resource); + + DMAC->SWTRIGCTRL.reg |= (1 << resource->channel_id); +} + +/** + * \brief Initializes DMA transfer configuration with predefined default values. + * + * This function will initialize a given DMA descriptor configuration structure to + * a set of known default values. This function should be called on + * any new instance of the configuration structure before being + * modified by the user application. + * + * The default configuration is as follows: + * \li Set the descriptor as valid + * \li Disable event output + * \li No block action + * \li Set beat size as byte + * \li Enable source increment + * \li Enable destination increment + * \li Step size is applied to the destination address + * \li Address increment is beat size multiplied by 1 + * \li Default transfer size is set to 0 + * \li Default source address is set to NULL + * \li Default destination address is set to NULL + * \li Default next descriptor not available + * \param[out] config Pointer to the configuration + * + */ +static inline void dma_descriptor_get_config_defaults(struct dma_descriptor_config *config) +{ + Assert(config); + + /* Set descriptor as valid */ + config->descriptor_valid = true; + /* Disable event output */ + config->event_output_selection = DMA_EVENT_OUTPUT_DISABLE; + /* No block action */ + config->block_action = DMA_BLOCK_ACTION_NOACT; + /* Set beat size to one byte */ + config->beat_size = DMA_BEAT_SIZE_BYTE; + /* Enable source increment */ + config->src_increment_enable = true; + /* Enable destination increment */ + config->dst_increment_enable = true; + /* Step size is applied to the destination address */ + config->step_selection = DMA_STEPSEL_DST; + /* Address increment is beat size multiplied by 1*/ + config->step_size = DMA_ADDRESS_INCREMENT_STEP_SIZE_1; + /* Default transfer size is set to 0 */ + config->block_transfer_count = 0; + /* Default source address is set to NULL */ + config->source_address = (uint32_t)NULL; + /* Default destination address is set to NULL */ + config->destination_address = (uint32_t)NULL; + /** Next descriptor address set to 0 */ + config->next_descriptor_address = 0; +} + +/** + * \brief Update DMA descriptor. + * + * This function can update the descriptor of an allocated DMA resource. + * + */ +static inline void dma_update_descriptor(struct dma_resource *resource, + DmacDescriptor* descriptor) +{ + Assert(resource); + + resource->descriptor = descriptor; +} + +/** + * \brief Reset DMA descriptor. + * + * This function will clear the DESCADDR register of an allocated DMA resource. + * + */ +static inline void dma_reset_descriptor(struct dma_resource *resource) +{ + Assert(resource); + + resource->descriptor = NULL; +} + +void dma_get_config_defaults(struct dma_resource_config *config); +enum status_code dma_allocate(struct dma_resource *resource, + struct dma_resource_config *config); +enum status_code dma_free(struct dma_resource *resource); +enum status_code dma_start_transfer_job(struct dma_resource *resource); +void dma_abort_job(struct dma_resource *resource); +void dma_suspend_job(struct dma_resource *resource); +void dma_resume_job(struct dma_resource *resource); +void dma_descriptor_create(DmacDescriptor* descriptor, + struct dma_descriptor_config *config); +enum status_code dma_add_descriptor(struct dma_resource *resource, + DmacDescriptor* descriptor); + +/** @} */ + +/** + * \page asfdoc_sam0_dma_extra Extra Information for DMAC Driver + * + * \section asfdoc_sam0_dma_extra_acronyms Acronyms + * Below is a table listing the acronyms used in this module, along with their + * intended meanings. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    DMADirect Memory Access
    DMACDirect Memory Access Controller
    CPUCentral Processing Unit
    + * + * + * \section asfdoc_sam0_dma_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - \ref asfdoc_sam0_system_clock_group "System Clock Driver" + * + * + * \section asfdoc_sam0_dma_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_dma_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Changelog
    Add SAM C21 support
    Add SAM L21 support
    Add SAM R30 support
    Initial Release
    + */ + + /** + * \page asfdoc_sam0_dma_exqsg Examples for DMAC Driver + * + * This is a list of the available Quick Start Guides (QSGs) and example + * applications for \ref asfdoc_sam0_dma_group. QSGs are simple examples with + * step-by-step instructions to configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_dma_basic_use_case + * + * \note More DMA usage examples are available in peripheral QSGs. + * A quick start guide for TC/TCC + * shows the usage of DMA event trigger; SERCOM SPI/USART/I2C has example for + * DMA transfer from peripheral to memory or from memory to peripheral; + * ADC/DAC shows peripheral to peripheral transfer. + * + * \page asfdoc_sam0_dma_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev.DateComments
    42257C12/2015Added suppport for SAM L21/L22, SAM C21, SAM D09,SAMR30/R34 and SAM DA1
    42257B12/2014Added support for SAM R21 and SAM D10/D11
    42257A02/2014Initial release
    + */ + +#ifdef __cplusplus +} +#endif + +#endif /* DMA_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma_crc.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma_crc.h new file mode 100644 index 0000000..91f77f9 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/dma_crc.h @@ -0,0 +1,220 @@ +/** + * \file + * + * \brief SAM DMA cyclic redundancy check (CRC) Driver + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef DMA_CRC_H_INCLUDED +#define DMA_CRC_H_INCLUDED + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** DMA channel n offset. */ +#define DMA_CRC_CHANNEL_N_OFFSET 0x20 + +/** CRC Polynomial Type. */ +enum crc_polynomial_type { + /** CRC16 (CRC-CCITT). */ + CRC_TYPE_16, + /** CRC32 (IEEE 802.3). */ + CRC_TYPE_32, +}; + +/** CRC Beat Type. */ +enum crc_beat_size { + /** Byte bus access. */ + CRC_BEAT_SIZE_BYTE, + /** Half-word bus access. */ + CRC_BEAT_SIZE_HWORD, + /** Word bus access. */ + CRC_BEAT_SIZE_WORD, +}; + +/** Configurations for CRC calculation. */ +struct dma_crc_config { + /** CRC polynomial type. */ + enum crc_polynomial_type type; + /** CRC beat size. */ + enum crc_beat_size size; +}; + +/** + * \brief Get DMA CRC default configurations. + * + * The default configuration is as follows: + * \li Polynomial type is set to CRC-16(CRC-CCITT) + * \li CRC Beat size: BYTE + * + * \param[in] config default configurations + */ +static inline void dma_crc_get_config_defaults(struct dma_crc_config *config) +{ + Assert(config); + + config->type = CRC_TYPE_16; + config->size = CRC_BEAT_SIZE_BYTE; +} + +/** + * \brief Enable DMA CRC module with an DMA channel. + * + * This function enables a CRC calculation with an allocated DMA channel. This channel ID + * can be gotten from a successful \ref dma_allocate. + * + * \param[in] channel_id DMA channel expected with CRC calculation + * \param[in] config CRC calculation configurations + * + * \return Status of the DMC CRC. + * \retval STATUS_OK Get the DMA CRC module + * \retval STATUS_BUSY DMA CRC module is already taken and not ready yet + */ +static inline enum status_code dma_crc_channel_enable(uint32_t channel_id, + struct dma_crc_config *config) +{ + if (DMAC->CRCSTATUS.reg & DMAC_CRCSTATUS_CRCBUSY) { + return STATUS_BUSY; + } + + DMAC->CRCCTRL.reg = DMAC_CRCCTRL_CRCBEATSIZE(config->size) | + DMAC_CRCCTRL_CRCPOLY(config->type) | + DMAC_CRCCTRL_CRCSRC(channel_id+DMA_CRC_CHANNEL_N_OFFSET); + + DMAC->CTRL.reg |= DMAC_CTRL_CRCENABLE; + + return STATUS_OK; +} + +/** + * \brief Disable DMA CRC module. + * + */ +static inline void dma_crc_disable(void) +{ + DMAC->CTRL.reg &= ~DMAC_CTRL_CRCENABLE; + DMAC->CRCCTRL.reg = 0; +} + +/** + * \brief Get DMA CRC checksum value. + * + * \return Calculated CRC checksum. + */ +static inline uint32_t dma_crc_get_checksum(void) +{ + if (DMAC->CRCCTRL.bit.CRCSRC == DMAC_CRCCTRL_CRCSRC_IO_Val) { + DMAC->CRCSTATUS.reg = DMAC_CRCSTATUS_CRCBUSY; + } + + return DMAC->CRCCHKSUM.reg; +} + +/** + * \brief Enable DMA CRC module with I/O. + * + * This function enables a CRC calculation with I/O mode. + * + * \param[in] config CRC calculation configurations. + * + * \return Status of the DMC CRC. + * \retval STATUS_OK Get the DMA CRC module + * \retval STATUS_BUSY DMA CRC module is already taken and not ready yet + */ +static inline enum status_code dma_crc_io_enable( + struct dma_crc_config *config) +{ + if (DMAC->CRCSTATUS.reg & DMAC_CRCSTATUS_CRCBUSY) { + return STATUS_BUSY; + } + + if (DMAC->CTRL.reg & DMAC_CTRL_CRCENABLE) { + return STATUS_BUSY; + } + + DMAC->CRCCTRL.reg = DMAC_CRCCTRL_CRCBEATSIZE(config->size) | + DMAC_CRCCTRL_CRCPOLY(config->type) | + DMAC_CRCCTRL_CRCSRC_IO; + + if (config->type == CRC_TYPE_32) { + DMAC->CRCCHKSUM.reg = 0xFFFFFFFF; + } + + DMAC->CTRL.reg |= DMAC_CTRL_CRCENABLE; + + return STATUS_OK; +} + +/** + * \brief Calculate CRC with I/O. + * + * This function calculate the CRC of the input data buffer. + * + * \param[in] buffer CRC Pointer to calculation buffer + * \param[in] total_beat_size Total beat size to be calculated + * + * \return Calculated CRC checksum value. + */ +static inline void dma_crc_io_calculation(void *buffer, + uint32_t total_beat_size) +{ + uint32_t counter = total_beat_size; + uint8_t *buffer_8; + uint16_t *buffer_16; + uint32_t *buffer_32; + + for (counter=0; counterCRCCTRL.bit.CRCBEATSIZE == CRC_BEAT_SIZE_BYTE) { + buffer_8 = buffer; + DMAC->CRCDATAIN.reg = buffer_8[counter]; + } else if (DMAC->CRCCTRL.bit.CRCBEATSIZE == CRC_BEAT_SIZE_HWORD) { + buffer_16 = buffer; + DMAC->CRCDATAIN.reg = buffer_16[counter]; + } else if (DMAC->CRCCTRL.bit.CRCBEATSIZE == CRC_BEAT_SIZE_WORD) { + buffer_32 = buffer; + DMAC->CRCDATAIN.reg = buffer_32[counter]; + } + /* Wait several cycle to make sure CRC complete */ + nop(); + nop(); + nop(); + nop(); + } +} + +#ifdef __cplusplus +} +#endif + +#endif /* DMA_CRC_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/quick_start/qs_dma_basic.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/quick_start/qs_dma_basic.h new file mode 100644 index 0000000..5a50217 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/dma/quick_start/qs_dma_basic.h @@ -0,0 +1,148 @@ +/** + * \file + * + * \brief SAM Direct Memory Access Controller(DMAC) Driver Quick Start + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +/** + * \page asfdoc_sam0_dma_basic_use_case Quick Start Guide for Memory to Memory Data Transfer Using DMAC + * + * The supported board list: + * - SAM D21 Xplained Pro + * - SAM R21 Xplained Pro + * - SAM D11 Xplained Pro + * - SAM L21 Xplained Pro + * - SAM L22 Xplained Pro + * - SAM DA1 Xplained Pro + * - SAM HA1G16A Xplained Pro + * + * In this use case, the DMAC is configured for: + * \li Moving data from memory to memory + * \li Using software trigger + * \li Using DMA priority level 0 + * \li Transaction as DMA trigger action + * \li No action on input events + * \li Output event not enabled + * + * \section asfdoc_sam0_dma_basic_use_case_setup Setup + * + * \subsection asfdoc_sam0_dma_basic_use_casesetup_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_dma_basic_use_casesetup_code Code + * Copy-paste the following setup code to your user application: + * \snippet qs_dma_basic.c setup + * + * Add the below section to user application initialization (typically the + * start of \c main()): + * \snippet qs_dma_basic.c setup_init + * + * \subsection asfdoc_sam0_dma_basic_use_casesetup_flow Workflow + * -# Create a DMA resource configuration structure, which can be filled out to + * adjust the configuration of a single DMA transfer. + * \snippet qs_dma_basic.c setup_1 + * \br + * + * -# Initialize the DMA resource configuration struct with the module's + * default values. + * \snippet qs_dma_basic.c setup_2 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Allocate a DMA resource with the configurations. + * \snippet qs_dma_basic.c setup_3 + * \br + + * -# Declare a DMA transfer descriptor configuration structure, which can be + * filled out to adjust the configuration of a single DMA transfer. + * \snippet qs_dma_basic.c setup_4 + * \br + * + * -# Initialize the DMA transfer descriptor configuration struct with the + * module's default values. + * \snippet qs_dma_basic.c setup_5 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Set the specific parameters for a DMA transfer with transfer size, source + * address, and destination address. In this example, we have enabled the + * source and destination address increment. + * The source and destination addresses to be stored into descriptor_config + * must correspond to the end of the transfer. + * + * \snippet qs_dma_basic.c setup_6 + * \br + * + * -# Create the DMA transfer descriptor. + * \snippet qs_dma_basic.c setup_7 + * \br + * + * -# Add the DMA transfer descriptor to the allocated DMA resource. + * \snippet qs_dma_basic.c add_descriptor_to_dma_resource + * \br + * + * -# Register a callback to indicate transfer status. + * \snippet qs_dma_basic.c setup_callback_register + * \br + * + * -# Set the transfer done flag in the registered callback function. + * \snippet qs_dma_basic.c _transfer_done + * \br + * + * -# Enable the registered callbacks. + * \snippet qs_dma_basic.c setup_enable_callback + * \br + * + * \section asfdoc_sam0_dma_basic_use_case_main Use Case + * + * \subsection asfdoc_sam0_dma_basic_use_casecode_code Code + * Add the following code at the start of \c main(): + * \snippet qs_dma_basic.c sample_resource + * Copy the following code to your user application: + * \snippet qs_dma_basic.c main + * + * \subsection dma_basic_use_case_code_flow Workflow + * -# Start the DMA transfer job with the allocated DMA resource and + * transfer descriptor. + * \snippet qs_dma_basic.c main_1 + * + * -# Set the software trigger for the DMA channel. This can be done before + * or after the DMA job is started. Note that all transfers needs a trigger + * to start. + * \snippet qs_dma_basic.c main_1_1 + * + * -# Waiting for the setting of the transfer done flag. + * \snippet qs_dma_basic.c main_2 + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.c new file mode 100644 index 0000000..d7a834f --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.c @@ -0,0 +1,99 @@ +/** + * \file + * + * \brief SAM GPIO Port Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include + +/** + * \brief Writes a Port pin configuration to the hardware module. + * + * Writes out a given configuration of a Port pin configuration to the hardware + * module. + * + * \note If the pin direction is set as an output, the pull-up/pull-down input + * configuration setting is ignored. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] config Configuration settings for the pin + */ +void port_pin_set_config( + const uint8_t gpio_pin, + const struct port_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + struct system_pinmux_config pinmux_config; + system_pinmux_get_config_defaults(&pinmux_config); + + pinmux_config.mux_position = SYSTEM_PINMUX_GPIO; + pinmux_config.direction = (enum system_pinmux_pin_dir)config->direction; + pinmux_config.input_pull = (enum system_pinmux_pin_pull)config->input_pull; + pinmux_config.powersave = config->powersave; + + system_pinmux_pin_set_config(gpio_pin, &pinmux_config); +} + +/** + * \brief Writes a Port group configuration group to the hardware module. + * + * Writes out a given configuration of a Port group configuration to the + * hardware module. + * + * \note If the pin direction is set as an output, the pull-up/pull-down input + * configuration setting is ignored. + * + * \param[out] port Base of the PORT module to write to + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] config Configuration settings for the pin group + */ +void port_group_set_config( + PortGroup *const port, + const uint32_t mask, + const struct port_config *const config) +{ + /* Sanity check arguments */ + Assert(port); + Assert(config); + + struct system_pinmux_config pinmux_config; + system_pinmux_get_config_defaults(&pinmux_config); + + pinmux_config.mux_position = SYSTEM_PINMUX_GPIO; + pinmux_config.direction = (enum system_pinmux_pin_dir)config->direction; + pinmux_config.input_pull = (enum system_pinmux_pin_pull)config->input_pull; + pinmux_config.powersave = config->powersave; + + system_pinmux_group_set_config(port, mask, &pinmux_config); +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.h new file mode 100644 index 0000000..f3c50f6 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/port.h @@ -0,0 +1,785 @@ +/** + * \file + * + * \brief SAM GPIO Port Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef PORT_H_INCLUDED +#define PORT_H_INCLUDED + +/** + * \defgroup asfdoc_sam0_port_group SAM Port (PORT) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides + * an interface for the configuration and management of the device's General + * Purpose Input/Output (GPIO) pin functionality, for manual pin state reading + * and writing. + * + * The following peripheral is used by this module: + * - PORT (GPIO Management) + * + * The following devices can use this module: + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM HA1 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34 + * - Atmel | SMART SAM R35 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_port_prerequisites + * - \ref asfdoc_sam0_port_module_overview + * - \ref asfdoc_sam0_port_special_considerations + * - \ref asfdoc_sam0_port_extra_info + * - \ref asfdoc_sam0_port_examples + * - \ref asfdoc_sam0_port_api_overview + * + * + * \section asfdoc_sam0_port_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_port_module_overview Module Overview + * + * The device GPIO (PORT) module provides an interface between the user + * application logic and external hardware peripherals, when general pin state + * manipulation is required. This driver provides an easy-to-use interface to + * the physical pin input samplers and output drivers, so that pins can be read + * from or written to for general purpose external hardware control. + * + * \subsection asfdoc_sam0_port_features Driver Feature Macro Definition + * + * + * + * + * + * + * + * + * + *
    Driver Feature MacroSupported devices
    FEATURE_PORT_INPUT_EVENTSAM L21/L22/C20/C21/R30/R34/R35
    + * \note The specific features are only available in the driver when the + * selected device supports those features. + * + * \subsection asfdoc_sam0_port_module_overview_pin_numbering Physical and Logical GPIO Pins + * SAM devices use two naming conventions for the I/O pins in the device; one + * physical and one logical. Each physical pin on a device package is assigned + * both a physical port and pin identifier (e.g. "PORTA.0") as well as a + * monotonically incrementing logical GPIO number (e.g. "GPIO0"). While the + * former is used to map physical pins to their physical internal device module + * counterparts, for simplicity the design of this driver uses the logical GPIO + * numbers instead. + * + * \subsection asfdoc_sam0_port_module_overview_physical Physical Connection + * + * \ref asfdoc_sam0_port_module_int_connections "The diagram below" shows how + * this module is interconnected within the device. + * + * \anchor asfdoc_sam0_port_module_int_connections + * \dot + * digraph overview { + * node [label="Port Pad" shape=square] pad; + * + * subgraph driver { + * node [label="Peripheral MUX" shape=trapezium] pinmux; + * node [label="GPIO Module" shape=ellipse] gpio; + * node [label="Other Peripheral Modules" shape=ellipse style=filled fillcolor=lightgray] peripherals; + * } + * + * pinmux -> gpio; + * pad -> pinmux; + * pinmux -> peripherals; + * } + * \enddot + * + * + * \section asfdoc_sam0_port_special_considerations Special Considerations + * + * The SAM port pin input sampler can be disabled when the pin is configured + * in pure output mode to save power; reading the pin state of a pin configured + * in output-only mode will read the logical output state that was last set. + * + * \section asfdoc_sam0_port_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_port_extra. This includes: + * - \ref asfdoc_sam0_port_extra_acronyms + * - \ref asfdoc_sam0_port_extra_dependencies + * - \ref asfdoc_sam0_port_extra_errata + * - \ref asfdoc_sam0_port_extra_history + * + * + * \section asfdoc_sam0_port_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_port_exqsg. + * + * + * \section asfdoc_sam0_port_api_overview API Overview + * @{ + */ + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \name Driver Feature Definition + * Define port features set according to different device family. + * @{ +*/ +#if (SAML21) || (SAML22) || (SAMC20) || (SAMC21) || (SAMR30) || (SAMR34) || (SAMR35) || defined(__DOXYGEN__) +/** Event input control feature support for PORT group. */ +# define FEATURE_PORT_INPUT_EVENT +#endif +/*@}*/ + +/** \name PORT Alias Macros + * @{ + */ + +/** Convenience definition for GPIO module group A on the device (if + * available). */ +#if (PORT_GROUPS > 0) || defined(__DOXYGEN__) +# define PORTA PORT->Group[0] +#endif + +#if (PORT_GROUPS > 1) || defined(__DOXYGEN__) +/** Convenience definition for GPIO module group B on the device (if + * available). */ +# define PORTB PORT->Group[1] +#endif + +#if (PORT_GROUPS > 2) || defined(__DOXYGEN__) +/** Convenience definition for GPIO module group C on the device (if + * available). */ +# define PORTC PORT->Group[2] +#endif + +#if (PORT_GROUPS > 3) || defined(__DOXYGEN__) +/** Convenience definition for GPIO module group D on the device (if + * available). */ +# define PORTD PORT->Group[3] +#endif + +/** @} */ + +/** + * \brief Port pin direction configuration enum. + * + * Enum for the possible pin direction settings of the port pin configuration + * structure, to indicate the direction the pin should use. + */ +enum port_pin_dir { + /** The pin's input buffer should be enabled, so that the pin state can + * be read */ + PORT_PIN_DIR_INPUT = SYSTEM_PINMUX_PIN_DIR_INPUT, + /** The pin's output buffer should be enabled, so that the pin state can + * be set */ + PORT_PIN_DIR_OUTPUT = SYSTEM_PINMUX_PIN_DIR_OUTPUT, + /** The pin's output and input buffers should be enabled, so that the pin + * state can be set and read back */ + PORT_PIN_DIR_OUTPUT_WTH_READBACK = SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK, +}; + +/** + * \brief Port pin input pull configuration enum. + * + * Enum for the possible pin pull settings of the port pin configuration + * structure, to indicate the type of logic level pull the pin should use. + */ +enum port_pin_pull { + /** No logical pull should be applied to the pin */ + PORT_PIN_PULL_NONE = SYSTEM_PINMUX_PIN_PULL_NONE, + /** Pin should be pulled up when idle */ + PORT_PIN_PULL_UP = SYSTEM_PINMUX_PIN_PULL_UP, + /** Pin should be pulled down when idle */ + PORT_PIN_PULL_DOWN = SYSTEM_PINMUX_PIN_PULL_DOWN, +}; + +#ifdef FEATURE_PORT_INPUT_EVENT +/** + * \brief Port input event action. + * + * List of port input events action on pin. + */ +enum port_input_event_action { + /** Event out to pin */ + PORT_INPUT_EVENT_ACTION_OUT = 0, + /** Set output register of pin on event */ + PORT_INPUT_EVENT_ACTION_SET, + /** Clear output register pin on event */ + PORT_INPUT_EVENT_ACTION_CLR, + /** Toggle output register pin on event */ + PORT_INPUT_EVENT_ACTION_TGL, +}; + +/** + * \brief Port input event. + * + * List of port input events. + */ +enum port_input_event{ + /** Port input event 0 */ + PORT_INPUT_EVENT_0 = 0, + /** Port input event 1 */ + PORT_INPUT_EVENT_1 = 1, + /** Port input event 2 */ + PORT_INPUT_EVENT_2 = 2, + /** Port input event 3 */ + PORT_INPUT_EVENT_3 = 3, +}; + +/** + * \brief Port input event configuration structure. + * + * Configuration structure for a port input event. + */ +struct port_input_event_config{ + /** Port input event action */ + enum port_input_event_action action; + /** GPIO pin */ + uint8_t gpio_pin; +}; +#endif + +/** + * \brief Port pin configuration structure. + * + * Configuration structure for a port pin instance. This structure should be + * initialized by the \ref port_get_config_defaults() function before being + * modified by the user application. + */ +struct port_config { + /** Port buffer input/output direction */ + enum port_pin_dir direction; + + /** Port pull-up/pull-down for input pins */ + enum port_pin_pull input_pull; + + /** Enable lowest possible powerstate on the pin + * + * \note All other configurations will be ignored, the pin will be disabled. + */ + bool powersave; +}; + +/** \name State Reading/Writing (Physical Group Orientated) + * @{ + */ + +/** + * \brief Retrieves the PORT module group instance from a given GPIO pin number. + * + * Retrieves the PORT module group instance associated with a given logical + * GPIO pin number. + * + * \param[in] gpio_pin Index of the GPIO pin to convert + * + * \return Base address of the associated PORT module. + */ +static inline PortGroup* port_get_group_from_gpio_pin( + const uint8_t gpio_pin) +{ + return system_pinmux_get_group_from_gpio_pin(gpio_pin); +} + +/** + * \brief Retrieves the state of a group of port pins that are configured as inputs. + * + * Reads the current logic level of a port module's pins and returns the + * current levels as a bitmask. + * + * \param[in] port Base of the PORT module to read from + * \param[in] mask Mask of the port pin(s) to read + * + * \return Status of the port pin(s) input buffers. + */ +static inline uint32_t port_group_get_input_level( + const PortGroup *const port, + const uint32_t mask) +{ + /* Sanity check arguments */ + Assert(port); + + return (port->IN.reg & mask); +} + +/** + * \brief Retrieves the state of a group of port pins that are configured as outputs. + * + * Reads the current logical output level of a port module's pins and returns + * the current levels as a bitmask. + * + * \param[in] port Base of the PORT module to read from + * \param[in] mask Mask of the port pin(s) to read + * + * \return Status of the port pin(s) output buffers. + */ +static inline uint32_t port_group_get_output_level( + const PortGroup *const port, + const uint32_t mask) +{ + /* Sanity check arguments */ + Assert(port); + + return (port->OUT.reg & mask); +} + +/** + * \brief Sets the state of a group of port pins that are configured as outputs. + * + * Sets the current output level of a port module's pins to a given logic + * level. + * + * \param[out] port Base of the PORT module to write to + * \param[in] mask Mask of the port pin(s) to change + * \param[in] level_mask Mask of the port level(s) to set + */ +static inline void port_group_set_output_level( + PortGroup *const port, + const uint32_t mask, + const uint32_t level_mask) +{ + /* Sanity check arguments */ + Assert(port); + + port->OUTSET.reg = (mask & level_mask); + port->OUTCLR.reg = (mask & ~level_mask); +} + +/** + * \brief Toggles the state of a group of port pins that are configured as an outputs. + * + * Toggles the current output levels of a port module's pins. + * + * \param[out] port Base of the PORT module to write to + * \param[in] mask Mask of the port pin(s) to toggle + */ +static inline void port_group_toggle_output_level( + PortGroup *const port, + const uint32_t mask) +{ + /* Sanity check arguments */ + Assert(port); + + port->OUTTGL.reg = mask; +} + +/** @} */ + +/** \name Configuration and Initialization + * @{ + */ + +/** + * \brief Initializes a Port pin/group configuration structure to defaults. + * + * Initializes a given Port pin/group configuration structure to a set of + * known default values. This function should be called on all new + * instances of these configuration structures before being modified by the + * user application. + * + * The default configuration is as follows: + * \li Input mode with internal pull-up enabled + * + * \param[out] config Configuration structure to initialize to default values + */ +static inline void port_get_config_defaults( + struct port_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->direction = PORT_PIN_DIR_INPUT; + config->input_pull = PORT_PIN_PULL_UP; + config->powersave = false; +} + +void port_pin_set_config( + const uint8_t gpio_pin, + const struct port_config *const config); + +void port_group_set_config( + PortGroup *const port, + const uint32_t mask, + const struct port_config *const config); + +/** @} */ + +/** \name State Reading/Writing (Logical Pin Orientated) + * @{ + */ + +/** + * \brief Retrieves the state of a port pin that is configured as an input. + * + * Reads the current logic level of a port pin and returns the current + * level as a Boolean value. + * + * \param[in] gpio_pin Index of the GPIO pin to read + * + * \return Status of the port pin's input buffer. + */ +static inline bool port_pin_get_input_level( + const uint8_t gpio_pin) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + return (port_base->IN.reg & pin_mask); +} + +/** + * \brief Retrieves the state of a port pin that is configured as an output. + * + * Reads the current logical output level of a port pin and returns the current + * level as a Boolean value. + * + * \param[in] gpio_pin Index of the GPIO pin to read + * + * \return Status of the port pin's output buffer. + */ +static inline bool port_pin_get_output_level( + const uint8_t gpio_pin) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + return (port_base->OUT.reg & pin_mask); +} + +/** + * \brief Sets the state of a port pin that is configured as an output. + * + * Sets the current output level of a port pin to a given logic level. + * + * \param[in] gpio_pin Index of the GPIO pin to write to + * \param[in] level Logical level to set the given pin to + */ +static inline void port_pin_set_output_level( + const uint8_t gpio_pin, + const bool level) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + /* Set the pin to high or low atomically based on the requested level */ + if (level) { + port_base->OUTSET.reg = pin_mask; + } else { + port_base->OUTCLR.reg = pin_mask; + } +} + +/** + * \brief Toggles the state of a port pin that is configured as an output. + * + * Toggles the current output level of a port pin. + * + * \param[in] gpio_pin Index of the GPIO pin to toggle + */ +static inline void port_pin_toggle_output_level( + const uint8_t gpio_pin) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + /* Toggle pin output level */ + port_base->OUTTGL.reg = pin_mask; +} + +/** @} */ + +#ifdef FEATURE_PORT_INPUT_EVENT + +/** \name Port Input Event + * @{ + */ + +/** + * \brief Enable the port event input. + * + * Enable the port event input with the given pin and event. + * + * \param[in] gpio_pin Index of the GPIO pin + * \param[in] n Port input event + * + * \retval STATUS_ERR_INVALID_ARG Invalid parameter + * \retval STATUS_OK Successfully + */ +static inline enum status_code port_enable_input_event( + const uint8_t gpio_pin, + const enum port_input_event n) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + switch (n) { + case PORT_INPUT_EVENT_0: + port_base->EVCTRL.reg |= PORT_EVCTRL_PORTEI0; + break; + case PORT_INPUT_EVENT_1: + port_base->EVCTRL.reg |= PORT_EVCTRL_PORTEI1; + break; + case PORT_INPUT_EVENT_2: + port_base->EVCTRL.reg |= PORT_EVCTRL_PORTEI2; + break; + case PORT_INPUT_EVENT_3: + port_base->EVCTRL.reg |= PORT_EVCTRL_PORTEI3; + break; + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + return STATUS_OK; +} + +/** + * \brief Disable the port event input. + * + * Disable the port event input with the given pin and event. + * + * \param[in] gpio_pin Index of the GPIO pin + * \param[in] gpio_pin Port input event + * + * \retval STATUS_ERR_INVALID_ARG Invalid parameter + * \retval STATUS_OK Successfully + */ +static inline enum status_code port_disable_input_event( + const uint8_t gpio_pin, + const enum port_input_event n) +{ + PortGroup *const port_base = port_get_group_from_gpio_pin(gpio_pin); + switch (n) { + case PORT_INPUT_EVENT_0: + port_base->EVCTRL.reg &= ~PORT_EVCTRL_PORTEI0; + break; + case PORT_INPUT_EVENT_1: + port_base->EVCTRL.reg &= ~PORT_EVCTRL_PORTEI1; + break; + case PORT_INPUT_EVENT_2: + port_base->EVCTRL.reg &= ~PORT_EVCTRL_PORTEI2; + break; + case PORT_INPUT_EVENT_3: + port_base->EVCTRL.reg &= ~PORT_EVCTRL_PORTEI3; + break; + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + return STATUS_OK; +} + +/** + * \brief Retrieve the default configuration for port input event. + * + * Fills a configuration structure with the default configuration for port input event: + * - Event output to pin + * - Event action to be executed on PIN 0 + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void port_input_event_get_config_defaults( + struct port_input_event_config *const config) +{ + Assert(config); + config->action = PORT_INPUT_EVENT_ACTION_OUT; + config->gpio_pin = 0; +} + +/** + * \brief Configure port input event. + * + * Configures port input event with the given configuration settings. + * + * \param[in] config Port input even configuration structure containing the new config + * + * \retval STATUS_ERR_INVALID_ARG Invalid parameter + * \retval STATUS_OK Successfully + */ + +static inline enum status_code port_input_event_set_config( + const enum port_input_event n, + struct port_input_event_config *const config) +{ + Assert(config); + PortGroup *const port_base = port_get_group_from_gpio_pin(config->gpio_pin); + uint8_t pin_index = config->gpio_pin % 32; + struct port_config pin_conf; + + port_get_config_defaults(&pin_conf); + /* Configure the GPIO pin as outputs*/ + pin_conf.direction = PORT_PIN_DIR_OUTPUT; + port_pin_set_config(config->gpio_pin, &pin_conf); + + switch (n) { + case PORT_INPUT_EVENT_0: + port_base->EVCTRL.reg |= PORT_EVCTRL_EVACT0(config->action) + | PORT_EVCTRL_PID0(pin_index); + break; + case PORT_INPUT_EVENT_1: + port_base->EVCTRL.reg |= PORT_EVCTRL_EVACT1(config->action) + | PORT_EVCTRL_PID1(pin_index); + break; + case PORT_INPUT_EVENT_2: + port_base->EVCTRL.reg |= PORT_EVCTRL_EVACT2(config->action) + | PORT_EVCTRL_PID2(pin_index); + break; + case PORT_INPUT_EVENT_3: + port_base->EVCTRL.reg |= PORT_EVCTRL_EVACT3(config->action) + | PORT_EVCTRL_PID3(pin_index); + break; + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + return STATUS_OK; +} + +/** @} */ + +#endif + +#ifdef __cplusplus +} +#endif + +/** @} */ + +/** + * \page asfdoc_sam0_port_extra Extra Information for PORT Driver + * + * \section asfdoc_sam0_port_extra_acronyms Acronyms + * Below is a table listing the acronyms used in this module, along with their + * intended meanings. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    GPIOGeneral Purpose Input/Output
    MUXMultiplexer
    + * + * + * \section asfdoc_sam0_port_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - \ref asfdoc_sam0_system_pinmux_group "System Pin Multiplexer Driver" + * + * + * \section asfdoc_sam0_port_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_port_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * + * + * + * + * + * + *
    Changelog
    Added input event feature
    Initial release
    + */ + +/** + * \page asfdoc_sam0_port_exqsg Examples for PORT Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_port_group. QSGs are simple examples with + * step-by-step instructions to configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_port_basic_use_case + * + * \page asfdoc_sam0_port_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev. + * Date + * Comments + *
    42113E12/2015Added input event feature. + * Added support for SAM L21/L22, SAM C21, SAM D09, SAMR30/R34 and SAM DA1.
    42113D12/2014Added support for SAM R21 and SAM D10/D11
    42113C01/2014Added support for SAM D21
    42113B06/2013Corrected documentation typos
    42113A06/2013Initial document release
    + */ + +#endif diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/quick_start/qs_port_basic.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/quick_start/qs_port_basic.h new file mode 100644 index 0000000..5281e1a --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/port/quick_start/qs_port_basic.h @@ -0,0 +1,98 @@ +/** + * \file + * + * \brief SAM GPIO Port Driver Quick Start + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +/** + * \page asfdoc_sam0_port_basic_use_case Quick Start Guide for PORT - Basic + * + * In this use case, the PORT module is configured for: + * \li One pin in input mode, with pull-up enabled + * \li One pin in output mode + * + * This use case sets up the PORT to read the current state of a GPIO pin set as + * an input, and mirrors the opposite logical state on a pin configured as an + * output. + * + * \section asfdoc_sam0_port_basic_use_case_setup Setup + * + * \subsection asfdoc_sam0_port_basic_use_case_setup_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_port_basic_use_case_setup_code Code + * Copy-paste the following setup code to your user application: + * \snippet qs_port_basic.c setup + * + * Add to user application initialization (typically the start of \c main()): + * \snippet qs_port_basic.c setup_init + * + * \subsection asfdoc_sam0_port_basic_use_case_setup_flow Workflow + * -# Create a PORT module pin configuration struct, which can be filled out to + * adjust the configuration of a single port pin. + * \snippet qs_port_basic.c setup_1 + * -# Initialize the pin configuration struct with the module's default values. + * \snippet qs_port_basic.c setup_2 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Adjust the configuration struct to request an input pin. + * \snippet qs_port_basic.c setup_3 + * -# Configure push button pin with the initialized pin configuration struct, to enable + * the input sampler on the pin. + * \snippet qs_port_basic.c setup_4 + * -# Adjust the configuration struct to request an output pin. + * \snippet qs_port_basic.c setup_5 + * \note The existing configuration struct may be re-used, as long as any + * values that have been altered from the default settings are taken + * into account by the user application. + * + * -# Configure LED pin with the initialized pin configuration struct, to enable + * the output driver on the pin. + * \snippet qs_port_basic.c setup_6 + * + * \section asfdoc_sam0_port_basic_use_case_use_main Use Case + * + * \subsection asfdoc_sam0_port_basic_use_case_code Code + * Copy-paste the following code to your user application: + * \snippet qs_port_basic.c main + * + * \subsection asfdoc_sam0_port_basic_use_case_flow Workflow + * -# Read in the current input sampler state of push button pin, which has been + * configured as an input in the use-case setup code. + * \snippet qs_port_basic.c main_1 + * -# Write the inverted pin level state to LED pin, which has been configured as + * an output in the use-case setup code. + * \snippet qs_port_basic.c main_2 + */ +/* + * Support and FAQ: visit Microchip Support + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.c new file mode 100644 index 0000000..4ec5611 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.c @@ -0,0 +1,280 @@ +/** + * \file + * + * \brief SAM Serial Peripheral Interface Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include "sercom.h" + +#define SHIFT 32 +#define BAUD_INT_MAX 8192 +#define BAUD_FP_MAX 8 + +#if !defined(__DOXYGEN__) +/** + * \internal Configuration structure to save current gclk status. + */ +struct _sercom_conf { + /* Status of gclk generator initialization */ + bool generator_is_set; + /* Sercom gclk generator used */ + enum gclk_generator generator_source; +}; + +static struct _sercom_conf _sercom_config; + + +/** + * \internal Calculate 64 bit division, ref can be found in + * http://en.wikipedia.org/wiki/Division_algorithm#Long_division + */ +static uint64_t long_division(uint64_t n, uint64_t d) +{ + int32_t i; + uint64_t q = 0, r = 0, bit_shift; + for (i = 63; i >= 0; i--) { + bit_shift = (uint64_t)1 << i; + + r = r << 1; + + if (n & bit_shift) { + r |= 0x01; + } + + if (r >= d) { + r = r - d; + q |= bit_shift; + } + } + + return q; +} + +/** + * \internal Calculate synchronous baudrate value (SPI/UART) + */ +enum status_code _sercom_get_sync_baud_val( + const uint32_t baudrate, + const uint32_t external_clock, + uint16_t *const baudvalue) +{ + /* Baud value variable */ + uint16_t baud_calculated = 0; + uint32_t clock_value = external_clock; + + + /* Check if baudrate is outside of valid range */ + if (baudrate > (external_clock / 2)) { + /* Return with error code */ + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + } + + /* Calculate BAUD value from clock frequency and baudrate */ + clock_value = external_clock / 2; + while (clock_value >= baudrate) { + clock_value = clock_value - baudrate; + baud_calculated++; + } + baud_calculated = baud_calculated - 1; + + /* Check if BAUD value is more than 255, which is maximum + * for synchronous mode */ + if (baud_calculated > 0xFF) { + /* Return with an error code */ + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + } else { + *baudvalue = baud_calculated; + return STATUS_OK; + } +} + +/** + * \internal Calculate asynchronous baudrate value (UART) +*/ +enum status_code _sercom_get_async_baud_val( + const uint32_t baudrate, + const uint32_t peripheral_clock, + uint16_t *const baudval, + enum sercom_asynchronous_operation_mode mode, + enum sercom_asynchronous_sample_num sample_num) +{ + /* Temporary variables */ + uint64_t ratio = 0; + uint64_t scale = 0; + uint64_t baud_calculated = 0; + uint8_t baud_fp; + uint32_t baud_int = 0; + uint64_t temp1; + + /* Check if the baudrate is outside of valid range */ + if ((baudrate * sample_num) > peripheral_clock) { + /* Return with error code */ + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + } + + if(mode == SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC) { + /* Calculate the BAUD value */ + temp1 = ((sample_num * (uint64_t)baudrate) << SHIFT); + ratio = long_division(temp1, peripheral_clock); + scale = ((uint64_t)1 << SHIFT) - ratio; + baud_calculated = (65536 * scale) >> SHIFT; + } else if(mode == SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL) { + temp1 = ((uint64_t)baudrate * sample_num); + baud_int = long_division( peripheral_clock, temp1); + if(baud_int > BAUD_INT_MAX) { + return STATUS_ERR_BAUDRATE_UNAVAILABLE; + } + temp1 = long_division( 8 * (uint64_t)peripheral_clock, temp1); + baud_fp = temp1 - 8 * baud_int; + baud_calculated = baud_int | (baud_fp << 13); + } + + *baudval = baud_calculated; + return STATUS_OK; +} +#endif + +/** + * \brief Set GCLK channel to generator. + * + * This will set the appropriate GCLK channel to the requested GCLK generator. + * This will set the generator for all SERCOM instances, and the user will thus + * only be able to set the same generator that has previously been set, if any. + * + * After the generator has been set the first time, the generator can be changed + * using the \c force_change flag. + * + * \param[in] generator_source The generator to use for SERCOM. + * \param[in] force_change Force change the generator. + * + * \return Status code indicating the GCLK generator change operation. + * \retval STATUS_OK If the generator update request was + * successful. + * \retval STATUS_ERR_ALREADY_INITIALIZED If a generator was already configured + * and the new configuration was not + * forced. + */ +enum status_code sercom_set_gclk_generator( + const enum gclk_generator generator_source, + const bool force_change) +{ + /* Check if valid option */ + if (!_sercom_config.generator_is_set || force_change) { + /* Create and fill a GCLK configuration structure for the new config */ + struct system_gclk_chan_config gclk_chan_conf; + system_gclk_chan_get_config_defaults(&gclk_chan_conf); + gclk_chan_conf.source_generator = generator_source; + system_gclk_chan_set_config(SERCOM_GCLK_ID, &gclk_chan_conf); + system_gclk_chan_enable(SERCOM_GCLK_ID); + + /* Save config */ + _sercom_config.generator_source = generator_source; + _sercom_config.generator_is_set = true; + + return STATUS_OK; + } else if (generator_source == _sercom_config.generator_source) { + /* Return status OK if same config */ + return STATUS_OK; + } + + /* Return invalid config to already initialized GCLK */ + return STATUS_ERR_ALREADY_INITIALIZED; +} + +/** \internal + * Creates a switch statement case entry to convert a SERCOM instance and pad + * index to the default SERCOM pad MUX setting. + */ +#define _SERCOM_PAD_DEFAULTS_CASE(n, pad) \ + case (uintptr_t)SERCOM##n: \ + switch (pad) { \ + case 0: \ + return SERCOM##n##_PAD0_DEFAULT; \ + case 1: \ + return SERCOM##n##_PAD1_DEFAULT; \ + case 2: \ + return SERCOM##n##_PAD2_DEFAULT; \ + case 3: \ + return SERCOM##n##_PAD3_DEFAULT; \ + } \ + break; + +/** + * \internal Gets the default PAD pinout for a given SERCOM. + * + * Returns the pinmux settings for the given SERCOM and pad. This is used + * for default configuration of pins. + * + * \param[in] sercom_module Pointer to the SERCOM module + * \param[in] pad PAD to get default pinout for + * + * \returns The default pinmux for the given SERCOM instance and PAD + * + */ +uint32_t _sercom_get_default_pad( + Sercom *const sercom_module, + const uint8_t pad) +{ + switch ((uintptr_t)sercom_module) { + /* Auto-generate a lookup table for the default SERCOM pad defaults */ + MREPEAT(SERCOM_INST_NUM, _SERCOM_PAD_DEFAULTS_CASE, pad) + } + + Assert(false); + return 0; +} + +/** + * \internal + * Find index of given instance. + * + * \param[in] sercom_instance Instance pointer. + * + * \return Index of given instance. + */ +uint8_t _sercom_get_sercom_inst_index( + Sercom *const sercom_instance) +{ + /* Save all available SERCOM instances for compare */ + Sercom *sercom_instances[SERCOM_INST_NUM] = SERCOM_INSTS; + + /* Find index for sercom instance */ + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + if ((uintptr_t)sercom_instance == (uintptr_t)sercom_instances[i]) { + return i; + } + } + + /* Invalid data given */ + Assert(false); + return 0; +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.h new file mode 100644 index 0000000..58bd15f --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom.h @@ -0,0 +1,108 @@ +/** + * \file + * + * \brief SAM Serial Peripheral Interface Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef SERCOM_H_INCLUDED +#define SERCOM_H_INCLUDED + +#include +#include +#include +#include +#include "sercom_pinout.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* SERCOM modules should share same slow GCLK channel ID */ +#define SERCOM_GCLK_ID SERCOM0_GCLK_ID_SLOW + +#if (0x1ff >= REV_SERCOM) +# define FEATURE_SERCOM_SYNCBUSY_SCHEME_VERSION_1 +#elif (0x400 >= REV_SERCOM) +# define FEATURE_SERCOM_SYNCBUSY_SCHEME_VERSION_2 +#else +# error "Unknown SYNCBUSY scheme for this SERCOM revision" +#endif + +/** + * \brief sercom asynchronous operation mode + * + * Select sercom asynchronous operation mode + */ +enum sercom_asynchronous_operation_mode { + SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC = 0, + SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL, +}; + +/** + * \brief sercom asynchronous samples per bit + * + * Select number of samples per bit + */ +enum sercom_asynchronous_sample_num { + SERCOM_ASYNC_SAMPLE_NUM_3 = 3, + SERCOM_ASYNC_SAMPLE_NUM_8 = 8, + SERCOM_ASYNC_SAMPLE_NUM_16 = 16, +}; + +enum status_code sercom_set_gclk_generator( + const enum gclk_generator generator_source, + const bool force_change); + +enum status_code _sercom_get_sync_baud_val( + const uint32_t baudrate, + const uint32_t external_clock, + uint16_t *const baudval); + +enum status_code _sercom_get_async_baud_val( + const uint32_t baudrate, + const uint32_t peripheral_clock, + uint16_t *const baudval, + enum sercom_asynchronous_operation_mode mode, + enum sercom_asynchronous_sample_num sample_num); + +uint32_t _sercom_get_default_pad( + Sercom *const sercom_module, + const uint8_t pad); + +uint8_t _sercom_get_sercom_inst_index( + Sercom *const sercom_instance); +#ifdef __cplusplus +} +#endif + +#endif //__SERCOM_H_INCLUDED diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.c new file mode 100644 index 0000000..8b0032d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.c @@ -0,0 +1,131 @@ +/** + * \file + * + * \brief SAM Serial Peripheral Interface Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include "sercom_interrupt.h" + +void *_sercom_instances[SERCOM_INST_NUM]; + +/** Save status of initialized handlers */ +static bool _handler_table_initialized = false; + +/** Void pointers for saving device instance structures */ +static void (*_sercom_interrupt_handlers[SERCOM_INST_NUM])(const uint8_t instance); + +/** + * \internal + * Default interrupt handler. + * + * \param[in] instance SERCOM instance used. + */ +static void _sercom_default_handler( + const uint8_t instance) +{ + Assert(false); +} + +/** + * \internal + * Saves the given callback handler. + * + * \param[in] instance Instance index. + * \param[in] interrupt_handler Pointer to instance callback handler. + */ +void _sercom_set_handler( + const uint8_t instance, + const sercom_handler_t interrupt_handler) +{ + /* Initialize handlers with default handler and device instances with 0 */ + if (_handler_table_initialized == false) { + for (uint32_t i = 0; i < SERCOM_INST_NUM; i++) { + _sercom_interrupt_handlers[i] = &_sercom_default_handler; + _sercom_instances[i] = NULL; + } + + _handler_table_initialized = true; + } + + /* Save interrupt handler */ + _sercom_interrupt_handlers[instance] = interrupt_handler; +} + + +/** \internal + * Converts a given SERCOM index to its interrupt vector index. + */ +#define _SERCOM_INTERRUPT_VECT_NUM(n, unused) \ + SYSTEM_INTERRUPT_MODULE_SERCOM##n, + +/** \internal + * Generates a SERCOM interrupt handler function for a given SERCOM index. + */ +#define _SERCOM_INTERRUPT_HANDLER(n, unused) \ + void SERCOM##n##_Handler(void) \ + { \ + _sercom_interrupt_handlers[n](n); \ + } + +/** + * \internal + * Returns the system interrupt vector. + * + * \param[in] sercom_instance Instance pointer + * + * \return Enum of system interrupt vector + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM0 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM1 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM2 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM3 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM4 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM5 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM6 + * \retval SYSTEM_INTERRUPT_MODULE_SERCOM7 + */ +enum system_interrupt_vector _sercom_get_interrupt_vector( + Sercom *const sercom_instance) +{ + const uint8_t sercom_int_vectors[SERCOM_INST_NUM] = + { + MREPEAT(SERCOM_INST_NUM, _SERCOM_INTERRUPT_VECT_NUM, ~) + }; + + /* Retrieve the index of the SERCOM being requested */ + uint8_t instance_index = _sercom_get_sercom_inst_index(sercom_instance); + + /* Get the vector number from the lookup table for the requested SERCOM */ + return (enum system_interrupt_vector)sercom_int_vectors[instance_index]; +} + +/** Auto-generate a set of interrupt handlers for each SERCOM in the device */ +MREPEAT(SERCOM_INST_NUM, _SERCOM_INTERRUPT_HANDLER, ~) diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.h new file mode 100644 index 0000000..0c61efe --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_interrupt.h @@ -0,0 +1,62 @@ +/** + * \file + * + * \brief SAM Serial Peripheral Interface Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SERCOM_INTERRUPT_H_INCLUDED +#define SERCOM_INTERRUPT_H_INCLUDED + +#include "sercom.h" +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* Look-up table for device instances */ +extern void *_sercom_instances[SERCOM_INST_NUM]; + +typedef void (*sercom_handler_t)(uint8_t instance); + +enum system_interrupt_vector _sercom_get_interrupt_vector( + Sercom *const sercom_instance); + +void _sercom_set_handler( + const uint8_t instance, + const sercom_handler_t interrupt_handler); + +#ifdef __cplusplus +} +#endif + +#endif /* SERCOM_INTERRUPT_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_pinout.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_pinout.h new file mode 100644 index 0000000..e4cc8c6 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/sercom_pinout.h @@ -0,0 +1,612 @@ +/** + * \file + * + * \brief SAM SERCOM Module Pinout Definitions + * + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SERCOM_PINOUT_H_INCLUDED +#define SERCOM_PINOUT_H_INCLUDED + +#include + +#if SAMR21E + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA08C_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA09C_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ +# if SAM_PART_IS_DEFINED(SAMR21E19A) + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 +# else + #define SERCOM3_PAD0_DEFAULT PINMUX_PA27F_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA28F_SERCOM3_PAD1 +#endif + #define SERCOM3_PAD2_DEFAULT PINMUX_PA24C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA25C_SERCOM3_PAD3 + + /* SERCOM4 */ +# if SAM_PART_IS_DEFINED(SAMR21E19A) + #define SERCOM4_PAD0_DEFAULT PINMUX_PB08D_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PB09D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 +# else + #define SERCOM4_PAD0_DEFAULT PINMUX_PC19F_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PB31F_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PB30F_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PC18F_SERCOM4_PAD3 +# endif + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PB30D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PB31D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + +#elif SAMR21G + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA00D_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA01D_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA12C_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA13C_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PC19F_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PB31F_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PB30F_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PC18F_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PA22D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PA23D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + +#elif (SAMD09) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA08D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA09D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA30C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA31C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA24C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA25C_SERCOM1_PAD3 + +#elif (SAMD10DS) || (SAMD10DM) || (SAMD10DU) || (SAMD11DS) || (SAMD11DM) || (SAMD11DU) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA22C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA23C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA22D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA23D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA16D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA25D_SERCOM2_PAD3 + +#elif (SAMD10C) || (SAMD11C) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA08D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA09D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA30C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA31C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA24C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA25C_SERCOM1_PAD3 + +#elif SAM_PART_IS_DEFINED(SAMD21E15L) || SAM_PART_IS_DEFINED(SAMD21E16L) + + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA10D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA11D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA22C_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA23C_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA24C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA25C_SERCOM3_PAD3 + +#elif (SAML22N) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA08C_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA09C_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA10C_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA11C_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA22D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA23D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA20D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA21D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PB02C_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PB21C_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PB00C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PB01C_SERCOM3_PAD3 + + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PA12C_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PA13C_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14C_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15C_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PB30D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PB31D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PB22D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PB23D_SERCOM5_PAD3 +#elif (SAML22J) || (SAML22G) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA08C_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA09C_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA10C_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA11C_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA22D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA23D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA20D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA21D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA12D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA13D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA14D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA15D_SERCOM3_PAD3 +#elif (SAMC20E) || (SAMC21E) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA10D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA11D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA22C_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA23C_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA24C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA25C_SERCOM3_PAD3 + +#elif (SAMC20G) || (SAMC21G) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA12C_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA13C_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA22C_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA23C_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA24C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA25C_SERCOM3_PAD3 + + #ifdef ID_SERCOM4 + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PB08D_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PB09D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PB10D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PB11D_SERCOM4_PAD3 + #endif + + #ifdef ID_SERCOM5 + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PB02D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PB03D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PB22D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PB23D_SERCOM5_PAD3 + #endif + +#elif (SAMC20J) || (SAMC21J) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA12C_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA13C_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA22C_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA23C_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA24C_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA25C_SERCOM3_PAD3 + + #ifdef ID_SERCOM4 + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PB08D_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PB09D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PB10D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PB11D_SERCOM4_PAD3 + #endif + + #ifdef ID_SERCOM5 + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PB02D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PB03D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PB00D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PB01D_SERCOM5_PAD3 + #endif + +#elif (SAMDA1) + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA00D_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA01D_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA10D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA11D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + #if (SAMDA1E) + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 + #else + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PA12D_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PA13D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 + #endif + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PA22D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PA23D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + +#elif (SAMHA1E) || (SAMHA0E) + + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA08C_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA09C_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD1_DEFAULT PINMUX_PA13D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PB11D_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM5_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM5_PAD2_DEFAULT PINMUX_PA20C_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT 0 /* No available pin */ + +#elif (SAMHA1G) || (SAMHA0G) + + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA10C_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA11C_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA14C_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA15C_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD2_DEFAULT PINMUX_PB10D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PB11D_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PB16C_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PB17C_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA20C_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA21C_SERCOM5_PAD3 + +#elif (SAML21E) || (SAMR34) || (SAMR35) + + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT PINMUX_PA00D_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA01D_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA10D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA11D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + #if !SAM_PART_IS_DEFINED(SAML21E18A) + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PA22D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PA23D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + #endif + +#elif (SAMR30E) + + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM0_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ + #define SERCOM1_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM1_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT 0 /* No available pin */ + #define SERCOM2_PAD3_DEFAULT 0 /* No available pin */ + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD1_DEFAULT 0 /* No available pin */ + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT 0 + #define SERCOM5_PAD1_DEFAULT 0 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + +#else + /* SERCOM0 */ + #define SERCOM0_PAD0_DEFAULT PINMUX_PA04D_SERCOM0_PAD0 + #define SERCOM0_PAD1_DEFAULT PINMUX_PA05D_SERCOM0_PAD1 + #define SERCOM0_PAD2_DEFAULT PINMUX_PA06D_SERCOM0_PAD2 + #define SERCOM0_PAD3_DEFAULT PINMUX_PA07D_SERCOM0_PAD3 + + /* SERCOM1 */ +#if SAM_PART_IS_DEFINED(SAMD21G15L) || SAM_PART_IS_DEFINED(SAMD21G16L) + #define SERCOM1_PAD0_DEFAULT PINMUX_PA16C_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA17C_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA18C_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA19C_SERCOM1_PAD3 +#else + #define SERCOM1_PAD0_DEFAULT PINMUX_PA00D_SERCOM1_PAD0 + #define SERCOM1_PAD1_DEFAULT PINMUX_PA01D_SERCOM1_PAD1 + #define SERCOM1_PAD2_DEFAULT PINMUX_PA30D_SERCOM1_PAD2 + #define SERCOM1_PAD3_DEFAULT PINMUX_PA31D_SERCOM1_PAD3 +#endif + + /* SERCOM2 */ + #define SERCOM2_PAD0_DEFAULT PINMUX_PA08D_SERCOM2_PAD0 + #define SERCOM2_PAD1_DEFAULT PINMUX_PA09D_SERCOM2_PAD1 + #define SERCOM2_PAD2_DEFAULT PINMUX_PA10D_SERCOM2_PAD2 + #define SERCOM2_PAD3_DEFAULT PINMUX_PA11D_SERCOM2_PAD3 + + /* SERCOM3 */ + #define SERCOM3_PAD0_DEFAULT PINMUX_PA16D_SERCOM3_PAD0 + #define SERCOM3_PAD1_DEFAULT PINMUX_PA17D_SERCOM3_PAD1 + #define SERCOM3_PAD2_DEFAULT PINMUX_PA18D_SERCOM3_PAD2 + #define SERCOM3_PAD3_DEFAULT PINMUX_PA19D_SERCOM3_PAD3 + + #if !(SAMD20E || SAMD21E) + /* SERCOM4 */ + #define SERCOM4_PAD0_DEFAULT PINMUX_PA12D_SERCOM4_PAD0 + #define SERCOM4_PAD1_DEFAULT PINMUX_PA13D_SERCOM4_PAD1 + #define SERCOM4_PAD2_DEFAULT PINMUX_PA14D_SERCOM4_PAD2 + #define SERCOM4_PAD3_DEFAULT PINMUX_PA15D_SERCOM4_PAD3 + + /* SERCOM5 */ + #define SERCOM5_PAD0_DEFAULT PINMUX_PA22D_SERCOM5_PAD0 + #define SERCOM5_PAD1_DEFAULT PINMUX_PA23D_SERCOM5_PAD1 + #define SERCOM5_PAD2_DEFAULT PINMUX_PA24D_SERCOM5_PAD2 + #define SERCOM5_PAD3_DEFAULT PINMUX_PA25D_SERCOM5_PAD3 + #endif + +#endif +#endif /* SERCOM_PINOUT_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start/qs_usart_basic_use.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start/qs_usart_basic_use.h new file mode 100644 index 0000000..a01f06c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start/qs_usart_basic_use.h @@ -0,0 +1,106 @@ +/** + * \file + * + * \brief SAM USART Quick Start + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +/** + * \page asfdoc_sam0_sercom_usart_basic_use_case Quick Start Guide for SERCOM USART - Basic + * + * This quick start will echo back characters typed into the terminal. In this + * use case the USART will be configured with the following settings: + * - Asynchronous mode + * - 9600 Baudrate + * - 8-bits, No Parity and one Stop Bit + * - TX and RX enabled and connected to the Xplained Pro Embedded Debugger virtual COM port + * + * \section asfdoc_sam0_sercom_usart_basic_use_case_setup Setup + * + * \subsection asfdoc_sam0_sercom_usart_basic_use_case_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_usart_basic_use_case_setup_code Code + * Add to the main application source file, outside of any functions: + * \snippet qs_usart_basic_use.c module_inst + * + * Copy-paste the following setup code to your user application: + * \snippet qs_usart_basic_use.c setup + * + * Add to user application initialization (typically the start of \c main()): + * \snippet qs_usart_basic_use.c setup_init + * + * \subsection asfdoc_sam0_usart_basic_use_case_setup_flow Workflow + * -# Create a module software instance structure for the USART module to store + * the USART driver state while it is in use. + * \snippet qs_usart_basic_use.c module_inst + * \note This should never go out of scope as long as the module is in use. + * In most cases, this should be global. + * + * -# Configure the USART module. + * -# Create a USART module configuration struct, which can be filled out to + * adjust the configuration of a physical USART peripheral. + * \snippet qs_usart_basic_use.c setup_config + * -# Initialize the USART configuration struct with the module's default values. + * \snippet qs_usart_basic_use.c setup_config_defaults + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Alter the USART settings to configure the physical pinout, baudrate, and + * other relevant parameters. + * \snippet qs_usart_basic_use.c setup_change_config + * -# Configure the USART module with the desired settings, retrying while the + * driver is busy until the configuration is stressfully set. + * \snippet qs_usart_basic_use.c setup_set_config + * -# Enable the USART module. + * \snippet qs_usart_basic_use.c setup_enable + * + * + * \section asfdoc_sam0_usart_basic_use_case_main Use Case + * + * \subsection asfdoc_sam0_usart_basic_use_case_main_code Code + * Copy-paste the following code to your user application: + * \snippet qs_usart_basic_use.c main + * + * \subsection asfdoc_sam0_usart_basic_use_case_main_flow Workflow + * -# Send a string to the USART to show the demo is running, blocking until + * all characters have been sent. + * \snippet qs_usart_basic_use.c main_send_string + * -# Enter an infinite loop to continuously echo received values on the USART. + * \snippet qs_usart_basic_use.c main_loop + * -# Perform a blocking read of the USART, storing the received character into + * the previously declared temporary variable. + * \snippet qs_usart_basic_use.c main_read + * -# Echo the received variable back to the USART via a blocking write. + * \snippet qs_usart_basic_use.c main_write + */ +/* + * Support and FAQ: visit Microchip Support + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_callback/qs_usart_callback.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_callback/qs_usart_callback.h new file mode 100644 index 0000000..b399fed --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_callback/qs_usart_callback.h @@ -0,0 +1,120 @@ +/** + * \file + * + * \brief SAM USART Quick Start + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +/** + * \page asfdoc_sam0_sercom_usart_callback_use_case Quick Start Guide for SERCOM USART - Callback + * + * This quick start will echo back characters typed into the terminal, using + * asynchronous TX and RX callbacks from the USART peripheral. In this use case + * the USART will be configured with the following settings: + * - Asynchronous mode + * - 9600 Baudrate + * - 8-bits, No Parity and one Stop Bit + * - TX and RX enabled and connected to the Xplained Pro Embedded Debugger virtual COM port + * + * \section asfdoc_sam0_sercom_usart_callback_use_case_setup Setup + * + * \subsection asfdoc_sam0_sercom_usart_callback_use_case_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_usart_callback_use_case_setup_code Code + * Add to the main application source file, outside of any functions: + * \snippet qs_usart_callback.c module_inst + * \snippet qs_usart_callback.c rx_buffer_var + * + * Copy-paste the following callback function code to your user application: + * \snippet qs_usart_callback.c callback_funcs + * + * Copy-paste the following setup code to your user application: + * \snippet qs_usart_callback.c setup + * + * Add to user application initialization (typically the start of \c main()): + * \snippet qs_usart_callback.c setup_init + * + * \subsection asfdoc_sam0_usart_callback_use_case_setup_flow Workflow + * -# Create a module software instance structure for the USART module to store + * the USART driver state while it is in use. + * \snippet qs_usart_callback.c module_inst + * \note This should never go out of scope as long as the module is in use. + * In most cases, this should be global. + * + * -# Configure the USART module. + * -# Create a USART module configuration struct, which can be filled out to + * adjust the configuration of a physical USART peripheral. + * \snippet qs_usart_callback.c setup_config + * -# Initialize the USART configuration struct with the module's default values. + * \snippet qs_usart_callback.c setup_config_defaults + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Alter the USART settings to configure the physical pinout, baudrate, and + * other relevant parameters. + * \snippet qs_usart_callback.c setup_change_config + * -# Configure the USART module with the desired settings, retrying while the + * driver is busy until the configuration is stressfully set. + * \snippet qs_usart_callback.c setup_set_config + * -# Enable the USART module. + * \snippet qs_usart_callback.c setup_enable + * -# Configure the USART callbacks. + * -# Register the TX and RX callback functions with the driver. + * \snippet qs_usart_callback.c setup_register_callbacks + * -# Enable the TX and RX callbacks so that they will be called by the driver + * when appropriate. + * \snippet qs_usart_callback.c setup_enable_callbacks + * + * \section asfdoc_sam0_usart_callback_use_case_main Use Case + * + * \subsection asfdoc_sam0_usart_callback_use_case_main_code Code + * Copy-paste the following code to your user application: + * \snippet qs_usart_callback.c main + * + * \subsection asfdoc_sam0_usart_callback_use_case_main_flow Workflow + * -# Enable global interrupts, so that the callbacks can be fired. + * \snippet qs_usart_callback.c enable_global_interrupts + * -# Send a string to the USART to show the demo is running, blocking until + * all characters have been sent. + * \snippet qs_usart_callback.c main_send_string + * -# Enter an infinite loop to continuously echo received values on the USART. + * \snippet qs_usart_callback.c main_loop + * -# Perform an asynchronous read of the USART, which will fire the registered + * callback when characters are received. + * \snippet qs_usart_callback.c main_read + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include +#include + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_dma/qs_usart_dma_use.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_dma/qs_usart_dma_use.h new file mode 100644 index 0000000..862fcfe --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_dma/qs_usart_dma_use.h @@ -0,0 +1,208 @@ +/** + * \file + * + * \brief SAM Quick Start Guide for Using Usart driver with DMA + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +/** + * \page asfdoc_sam0_sercom_usart_dma_use_case Quick Start Guide for Using DMA with SERCOM USART + * + * The supported board list: + * - SAM D21 Xplained Pro + * - SAM R21 Xplained Pro + * - SAM D11 Xplained Pro + * - SAM DA1 Xplained Pro + * - SAM HA1G16A Xplained Pro + * - SAM L21 Xplained Pro + * - SAM L22 Xplained Pro + * - SAM C21 Xplained Pro + * + * This quick start will receive eight bytes of data from the PC terminal and transmit back the string + * to the terminal through DMA. In this use case the USART will be configured with the following + * settings: + * - Asynchronous mode + * - 9600 Baudrate + * - 8-bits, No Parity and one Stop Bit + * - TX and RX enabled and connected to the Xplained Pro Embedded Debugger virtual COM port + * + * \section asfdoc_sam0_sercom_usart_dma_use_case_setup Setup + * + * \subsection asfdoc_sam0_sercom_usart_dma_use_case_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_usart_dma_use_case_setup_code Code + * Add to the main application source file, outside of any functions: + * \snippet qs_usart_dma_use.c module_inst + * \snippet qs_usart_dma_use.c dma_resource + * \snippet qs_usart_dma_use.c usart_buffer + * \snippet qs_usart_dma_use.c transfer_descriptor + * + * Copy-paste the following setup code to your user application: + * \snippet qs_usart_dma_use.c setup + * + * Add to user application initialization (typically the start of \c main()): + * \snippet qs_usart_dma_use.c setup_init + * + * \subsection asfdoc_sam0_usart_dma_use_case_setup_flow Workflow + * + * \subsubsection asfdoc_sam0_usart_dma_use_case_setup_flow_inst Create variables + * -# Create a module software instance structure for the USART module to store + * the USART driver state while it is in use. + * \snippet qs_usart_dma_use.c module_inst + * \note This should never go out of scope as long as the module is in use. + * In most cases, this should be global. + * + * -# Create module software instance structures for DMA resources to store + * the DMA resource state while it is in use. + * \snippet qs_usart_dma_use.c dma_resource + * \note This should never go out of scope as long as the module is in use. + * In most cases, this should be global. + * + * -# Create a buffer to store the data to be transferred /received. + * \snippet qs_usart_dma_use.c usart_buffer + * -# Create DMA transfer descriptors for RX/TX. + * \snippet qs_usart_dma_use.c transfer_descriptor + * + * \subsubsection asfdoc_sam0_usart_dma_use_case_setup_flow_usart Configure the USART + * -# Create a USART module configuration struct, which can be filled out to + * adjust the configuration of a physical USART peripheral. + * \snippet qs_usart_dma_use.c setup_config + * -# Initialize the USART configuration struct with the module's default values. + * \snippet qs_usart_dma_use.c setup_config_defaults + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Alter the USART settings to configure the physical pinout, baudrate, and + * other relevant parameters. + * \snippet qs_usart_dma_use.c setup_change_config + * -# Configure the USART module with the desired settings, retrying while the + * driver is busy until the configuration is stressfully set. + * \snippet qs_usart_dma_use.c setup_set_config + * -# Enable the USART module. + * \snippet qs_usart_dma_use.c setup_enable + * + * \subsubsection asfdoc_sam0_usart_dma_use_case_setup_flow_dma Configure DMA + * -# Create a callback function of receiver done. + * \snippet qs_usart_dma_use.c transfer_done_rx + * + * -# Create a callback function of transmission done. + * \snippet qs_usart_dma_use.c transfer_done_tx + * + * -# Create a DMA resource configuration structure, which can be filled out to + * adjust the configuration of a single DMA transfer. + * \snippet qs_usart_dma_use.c setup_rx_1 + * + * -# Initialize the DMA resource configuration struct with the module's + * default values. + * \snippet qs_usart_dma_use.c setup_rx_2 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Set extra configurations for the DMA resource. It is using peripheral + * trigger. SERCOM TX empty trigger causes a beat transfer in + * this example. + * \snippet qs_usart_dma_use.c setup_rx_3 + * + * -# Allocate a DMA resource with the configurations. + * \snippet qs_usart_dma_use.c setup_rx_4 + * + * -# Create a DMA transfer descriptor configuration structure, which can be + * filled out to adjust the configuration of a single DMA transfer. + * \snippet qs_usart_dma_use.c setup_rx_5 + * + * -# Initialize the DMA transfer descriptor configuration struct with the module's + * default values. + * \snippet qs_usart_dma_use.c setup_rx_6 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Set the specific parameters for a DMA transfer with transfer size, source + * address, and destination address. + * \snippet qs_usart_dma_use.c setup_rx_7 + * + * -# Create the DMA transfer descriptor. + * \snippet qs_usart_dma_use.c setup_rx_8 + * + * -# Create a DMA resource configuration structure for TX, which can be filled + * out to adjust the configuration of a single DMA transfer. + * \snippet qs_usart_dma_use.c setup_tx_1 + * + * -# Initialize the DMA resource configuration struct with the module's + * default values. + * \snippet qs_usart_dma_use.c setup_tx_2 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Set extra configurations for the DMA resource. It is using peripheral + * trigger. SERCOM RX Ready trigger causes a beat transfer in + * this example. + * \snippet qs_usart_dma_use.c setup_tx_3 + * + * -# Allocate a DMA resource with the configurations. + * \snippet qs_usart_dma_use.c setup_tx_4 + * + * -# Create a DMA transfer descriptor configuration structure, which can be + * filled out to adjust the configuration of a single DMA transfer. + * \snippet qs_usart_dma_use.c setup_tx_5 + * + * -# Initialize the DMA transfer descriptor configuration struct with the module's + * default values. + * \snippet qs_usart_dma_use.c setup_tx_6 + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Set the specific parameters for a DMA transfer with transfer size, source + * address, and destination address. + * \snippet qs_usart_dma_use.c setup_tx_7 + * + * -# Create the DMA transfer descriptor. + * \snippet qs_usart_dma_use.c setup_tx_8 + * + * \section asfdoc_sam0_usart_dma_use_case_main Use Case + * + * \subsection asfdoc_sam0_usart_dma_use_case_main_code Code + * Copy-paste the following code to your user application: + * \snippet qs_usart_dma_use.c main + * + * \subsection asfdoc_sam0_usart_dma_use_case_main_flow Workflow + * -# Wait for receiving data. + * \snippet qs_usart_dma_use.c main_1 + * + * -# Enter endless loop. + * \snippet qs_usart_dma_use.c endless_loop + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_lin/qs_lin.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_lin/qs_lin.h new file mode 100644 index 0000000..79c8162 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/quick_start_lin/qs_lin.h @@ -0,0 +1,94 @@ +/** + * \file + * + * \brief SAM USART LIN Quick Start + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +/** + * \page asfdoc_sam0_sercom_usart_lin_use_case Quick Start Guide for SERCOM USART LIN + * + * The supported board list: + * - SAMC21 Xplained Pro + * + * This quick start will set up LIN frame format transmission according to your + * configuration \c CONF_LIN_NODE_TYPE. + * For LIN master, it will send LIN command after startup. + * For LIN salve, once received a format from LIN master with ID \c LIN_ID_FIELD_VALUE, + * it will reply four data bytes plus a checksum. + * + * \section asfdoc_sam0_sercom_usart_lin_use_case_setup Setup + * + * \subsection asfdoc_sam0_sercom_usart_lin_use_case_prereq Prerequisites + * When verify data transmission between LIN master and slave, two boards are needed: + * one is for LIN master and the other is for LIN slave. + * connect LIN master LIN PIN with LIN slave LIN PIN. + * + * \subsection asfdoc_sam0_usart_lin_use_case_setup_code Code + * Add to the main application source file, outside of any functions: + * \snippet qs_lin.c module_var + * + * Copy-paste the following setup code to your user application: + * \snippet qs_lin.c setup + * + * Add to user application initialization (typically the start of \c main()): + * \snippet qs_lin.c setup_init + * + * \subsection asfdoc_sam0_usart_lin_use_case_setup_flow Workflow + * -# Create USART CDC and LIN module software instance structure for the USART module to store + * the USART driver state while it is in use. + * \snippet qs_lin.c module_inst + * -# Define LIN ID field for header format. + * \snippet qs_lin.c lin_id + * \note The ID \c LIN_ID_FIELD_VALUE is eight bits as [P1,P0,ID5...ID0], when it's 0x64, the + * data field length is four bytes plus a checksum byte. + * + * -# Define LIN RX/TX buffer. + * \snippet qs_lin.c lin_buffer + * \note For \c tx_buffer and \c rx_buffer, the last byte is for checksum. + * + * -# Configure the USART CDC for output message. + * \snippet qs_lin.c CDC_setup + * + * -# Configure the USART LIN module. + * \snippet qs_lin.c lin_setup + * \note The LIN frame format can be configured as master or slave, refer to \c CONF_LIN_NODE_TYPE . + * + * \section asfdoc_sam0_usart_lin_use_case_main Use Case + * + * \subsection asfdoc_sam0_usart_lin_use_case_main_code Code + * Copy-paste the following code to your user application: + * \snippet qs_lin.c main_setup + * + * \subsection asfdoc_sam0_usart_lin_use_case_main_flow Workflow + * -# Set up USART LIN module. + * \snippet qs_lin.c configure_lin + * -# For LIN master, sending LIN command. For LIN slaver, start reading data . + * \snippet qs_lin.c lin_master_cmd + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.c new file mode 100644 index 0000000..f2faa8d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.c @@ -0,0 +1,806 @@ +/** + * \file + * + * \brief SAM SERCOM USART Driver + * + * Copyright (c) 2012-2019 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include "usart.h" +#include +#if USART_CALLBACK_MODE == true +# include "usart_interrupt.h" +#endif + +/** + * \internal + * Set Configuration of the USART module + */ +static enum status_code _usart_set_config( + struct usart_module *const module, + const struct usart_config *const config) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Index for generic clock */ + uint32_t sercom_index = _sercom_get_sercom_inst_index(module->hw); + uint32_t gclk_index; + +#if (SAML21) || (SAMR30) || (SAMR34) || (SAMR35) || (SAMC21) + if (sercom_index == 5) { + gclk_index = SERCOM5_GCLK_ID_CORE; + } else { + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; + } +#else + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; +#endif + + /* Cache new register values to minimize the number of register writes */ + uint32_t ctrla = 0; + uint32_t ctrlb = 0; +#ifdef FEATURE_USART_ISO7816 + uint32_t ctrlc = 0; +#endif + uint16_t baud = 0; + uint32_t transfer_mode; + + enum sercom_asynchronous_operation_mode mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + enum sercom_asynchronous_sample_num sample_num = SERCOM_ASYNC_SAMPLE_NUM_16; + +#ifdef FEATURE_USART_OVER_SAMPLE + switch (config->sample_rate) { + case USART_SAMPLE_RATE_16X_ARITHMETIC: + mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + sample_num = SERCOM_ASYNC_SAMPLE_NUM_16; + break; + case USART_SAMPLE_RATE_8X_ARITHMETIC: + mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + sample_num = SERCOM_ASYNC_SAMPLE_NUM_8; + break; + case USART_SAMPLE_RATE_3X_ARITHMETIC: + mode = SERCOM_ASYNC_OPERATION_MODE_ARITHMETIC; + sample_num = SERCOM_ASYNC_SAMPLE_NUM_3; + break; + case USART_SAMPLE_RATE_16X_FRACTIONAL: + mode = SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL; + sample_num = SERCOM_ASYNC_SAMPLE_NUM_16; + break; + case USART_SAMPLE_RATE_8X_FRACTIONAL: + mode = SERCOM_ASYNC_OPERATION_MODE_FRACTIONAL; + sample_num = SERCOM_ASYNC_SAMPLE_NUM_8; + break; + } +#endif + + /* Set data order, internal muxing, and clock polarity */ + ctrla = (uint32_t)config->data_order | + (uint32_t)config->mux_setting | + #ifdef FEATURE_USART_OVER_SAMPLE + config->sample_adjustment | + config->sample_rate | + #endif + #ifdef FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATION + (config->immediate_buffer_overflow_notification << SERCOM_USART_CTRLA_IBON_Pos) | + #endif + (config->clock_polarity_inverted << SERCOM_USART_CTRLA_CPOL_Pos); + + enum status_code status_code = STATUS_OK; + + transfer_mode = (uint32_t)config->transfer_mode; +#ifdef FEATURE_USART_ISO7816 + if(config->iso7816_config.enabled) { + transfer_mode = config->iso7816_config.protocol_t; + } +#endif + /* Get baud value from mode and clock */ +#ifdef FEATURE_USART_ISO7816 + if(config->iso7816_config.enabled) { + baud = config->baudrate; + } else { +#endif + switch (transfer_mode) + { + case USART_TRANSFER_SYNCHRONOUSLY: + if (!config->use_external_clock) { + status_code = _sercom_get_sync_baud_val(config->baudrate, + system_gclk_chan_get_hz(gclk_index), &baud); + } + + break; + + case USART_TRANSFER_ASYNCHRONOUSLY: + if (config->use_external_clock) { + status_code = + _sercom_get_async_baud_val(config->baudrate, + config->ext_clock_freq, &baud, mode, sample_num); + } else { + status_code = + _sercom_get_async_baud_val(config->baudrate, + system_gclk_chan_get_hz(gclk_index), &baud, mode, sample_num); + } + + break; + } + + /* Check if calculating the baudrate failed */ + if (status_code != STATUS_OK) { + /* Abort */ + return status_code; + } +#ifdef FEATURE_USART_ISO7816 + } +#endif + +#ifdef FEATURE_USART_IRDA + if(config->encoding_format_enable) { + usart_hw->RXPL.reg = config->receive_pulse_length; + } +#endif + + /*Set baud val */ + usart_hw->BAUD.reg = baud; + + /* Set sample mode */ + ctrla |= transfer_mode; + + if (config->use_external_clock == false) { + ctrla |= SERCOM_USART_CTRLA_MODE(0x1); + } + else { + ctrla |= SERCOM_USART_CTRLA_MODE(0x0); + } + + /* Set stopbits and enable transceivers */ + ctrlb = + #ifdef FEATURE_USART_IRDA + (config->encoding_format_enable << SERCOM_USART_CTRLB_ENC_Pos) | + #endif + #ifdef FEATURE_USART_START_FRAME_DECTION + (config->start_frame_detection_enable << SERCOM_USART_CTRLB_SFDE_Pos) | + #endif + #ifdef FEATURE_USART_COLLISION_DECTION + (config->collision_detection_enable << SERCOM_USART_CTRLB_COLDEN_Pos) | + #endif + (config->receiver_enable << SERCOM_USART_CTRLB_RXEN_Pos) | + (config->transmitter_enable << SERCOM_USART_CTRLB_TXEN_Pos); + +#ifdef FEATURE_USART_ISO7816 + if(config->iso7816_config.enabled) { + ctrla |= SERCOM_USART_CTRLA_FORM(0x07); + if (config->iso7816_config.enable_inverse) { + ctrla |= SERCOM_USART_CTRLA_TXINV | SERCOM_USART_CTRLA_RXINV; + } + ctrlb |= USART_CHARACTER_SIZE_8BIT; + + switch(config->iso7816_config.protocol_t) { + case ISO7816_PROTOCOL_T_0: + ctrlb |= (uint32_t)config->stopbits; + ctrlc |= SERCOM_USART_CTRLC_GTIME(config->iso7816_config.guard_time) | \ + (config->iso7816_config.inhibit_nack) | \ + (config->iso7816_config.successive_recv_nack) | \ + SERCOM_USART_CTRLC_MAXITER(config->iso7816_config.max_iterations); + break; + case ISO7816_PROTOCOL_T_1: + ctrlb |= USART_STOPBITS_1; + break; + } + } else { +#endif + ctrlb |= (uint32_t)config->stopbits; + ctrlb |= (uint32_t)config->character_size; + /* Check parity mode bits */ + if (config->parity != USART_PARITY_NONE) { + ctrla |= SERCOM_USART_CTRLA_FORM(1); + ctrlb |= config->parity; + } else { +#ifdef FEATURE_USART_LIN_SLAVE + if(config->lin_slave_enable) { + ctrla |= SERCOM_USART_CTRLA_FORM(0x4); + } else { + ctrla |= SERCOM_USART_CTRLA_FORM(0); + } +#else + ctrla |= SERCOM_USART_CTRLA_FORM(0); +#endif + } +#ifdef FEATURE_USART_ISO7816 + } +#endif + +#ifdef FEATURE_USART_LIN_MASTER + usart_hw->CTRLC.reg = ((usart_hw->CTRLC.reg) & SERCOM_USART_CTRLC_GTIME_Msk) + | config->lin_header_delay + | config->lin_break_length; + + if (config->lin_node != LIN_INVALID_MODE) { + ctrla &= ~(SERCOM_USART_CTRLA_FORM(0xf)); + ctrla |= config->lin_node; + } +#endif + + /* Set whether module should run in standby. */ + if (config->run_in_standby || system_is_debugger_present()) { + ctrla |= SERCOM_USART_CTRLA_RUNSTDBY; + } + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Write configuration to CTRLB */ + usart_hw->CTRLB.reg = ctrlb; + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Write configuration to CTRLA */ + usart_hw->CTRLA.reg = ctrla; + +#ifdef FEATURE_USART_RS485 + if ((usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_FORM_Msk) != \ + SERCOM_USART_CTRLA_FORM(0x07)) { + usart_hw->CTRLC.reg &= ~(SERCOM_USART_CTRLC_GTIME(0x7)); + usart_hw->CTRLC.reg |= SERCOM_USART_CTRLC_GTIME(config->rs485_guard_time); + } +#endif + +#ifdef FEATURE_USART_ISO7816 + if(config->iso7816_config.enabled) { + _usart_wait_for_sync(module); + usart_hw->CTRLC.reg = ctrlc; + } +#endif + + return STATUS_OK; +} + +/** + * \brief Initializes the device + * + * Initializes the USART device based on the setting specified in the + * configuration struct. + * + * \param[out] module Pointer to USART device + * \param[in] hw Pointer to USART hardware instance + * \param[in] config Pointer to configuration struct + * + * \return Status of the initialization. + * + * \retval STATUS_OK The initialization was successful + * \retval STATUS_BUSY The USART module is busy + * resetting + * \retval STATUS_ERR_DENIED The USART has not been disabled in + * advance of initialization + * \retval STATUS_ERR_INVALID_ARG The configuration struct contains + * invalid configuration + * \retval STATUS_ERR_ALREADY_INITIALIZED The SERCOM instance has already been + * initialized with different clock + * configuration + * \retval STATUS_ERR_BAUD_UNAVAILABLE The BAUD rate given by the + * configuration + * struct cannot be reached with + * the current clock configuration + */ +enum status_code usart_init( + struct usart_module *const module, + Sercom *const hw, + const struct usart_config *const config) +{ + /* Sanity check arguments */ + Assert(module); + Assert(hw); + Assert(config); + + enum status_code status_code = STATUS_OK; + + /* Assign module pointer to software instance struct */ + module->hw = hw; + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + uint32_t sercom_index = _sercom_get_sercom_inst_index(module->hw); + uint32_t pm_index, gclk_index; +#if (SAML22) || (SAMC20) + pm_index = sercom_index + MCLK_APBCMASK_SERCOM0_Pos; + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; +#elif (SAML21) || (SAMR30) || (SAMR34) || (SAMR35) + if (sercom_index == 5) { + pm_index = MCLK_APBDMASK_SERCOM5_Pos; + gclk_index = SERCOM5_GCLK_ID_CORE; + } else { + pm_index = sercom_index + MCLK_APBCMASK_SERCOM0_Pos; + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; + } +#elif (SAMC21) + pm_index = sercom_index + MCLK_APBCMASK_SERCOM0_Pos; + + if (sercom_index == 5){ + gclk_index = SERCOM5_GCLK_ID_CORE; + } else { + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; + } +#else + pm_index = sercom_index + PM_APBCMASK_SERCOM0_Pos; + gclk_index = sercom_index + SERCOM0_GCLK_ID_CORE; +#endif + + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_SWRST) { + /* The module is busy resetting itself */ + return STATUS_BUSY; + } + + if (usart_hw->CTRLA.reg & SERCOM_USART_CTRLA_ENABLE) { + /* Check the module is enabled */ + return STATUS_ERR_DENIED; + } + + /* Turn on module in PM */ +#if (SAML21) || (SAMR30) || (SAMR34) || (SAMR35) + if (sercom_index == 5) { + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBD, 1 << pm_index); + } else { + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBC, 1 << pm_index); + } +#else + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBC, 1 << pm_index); +#endif + + /* Set up the GCLK for the module */ + struct system_gclk_chan_config gclk_chan_conf; + system_gclk_chan_get_config_defaults(&gclk_chan_conf); + gclk_chan_conf.source_generator = config->generator_source; + system_gclk_chan_set_config(gclk_index, &gclk_chan_conf); + system_gclk_chan_enable(gclk_index); + sercom_set_gclk_generator(config->generator_source, false); + + /* Set character size */ + module->character_size = config->character_size; + + /* Set transmitter and receiver status */ + module->receiver_enabled = config->receiver_enable; + module->transmitter_enabled = config->transmitter_enable; + +#ifdef FEATURE_USART_LIN_SLAVE + module->lin_slave_enabled = config->lin_slave_enable; +#endif +#ifdef FEATURE_USART_START_FRAME_DECTION + module->start_frame_detection_enabled = config->start_frame_detection_enable; +#endif +#ifdef FEATURE_USART_ISO7816 + module->iso7816_mode_enabled = config->iso7816_config.enabled; +#endif + /* Set configuration according to the config struct */ + status_code = _usart_set_config(module, config); + if(status_code != STATUS_OK) { + return status_code; + } + + struct system_pinmux_config pin_conf; + system_pinmux_get_config_defaults(&pin_conf); + pin_conf.direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + pin_conf.input_pull = SYSTEM_PINMUX_PIN_PULL_NONE; + + uint32_t pad_pinmuxes[] = { + config->pinmux_pad0, config->pinmux_pad1, + config->pinmux_pad2, config->pinmux_pad3 + }; + + /* Configure the SERCOM pins according to the user configuration */ + for (uint8_t pad = 0; pad < 4; pad++) { + uint32_t current_pinmux = pad_pinmuxes[pad]; + + if (current_pinmux == PINMUX_DEFAULT) { + current_pinmux = _sercom_get_default_pad(hw, pad); + } + + if (current_pinmux != PINMUX_UNUSED) { + pin_conf.mux_position = current_pinmux & 0xFFFF; + system_pinmux_pin_set_config(current_pinmux >> 16, &pin_conf); + } + } + +#if USART_CALLBACK_MODE == true + /* Initialize parameters */ + for (uint32_t i = 0; i < USART_CALLBACK_N; i++) { + module->callback[i] = NULL; + } + + module->tx_buffer_ptr = NULL; + module->rx_buffer_ptr = NULL; + module->remaining_tx_buffer_length = 0x0000; + module->remaining_rx_buffer_length = 0x0000; + module->callback_reg_mask = 0x00; + module->callback_enable_mask = 0x00; + module->rx_status = STATUS_OK; + module->tx_status = STATUS_OK; + + /* Set interrupt handler and register USART software module struct in + * look-up table */ + uint8_t instance_index = _sercom_get_sercom_inst_index(module->hw); + _sercom_set_handler(instance_index, _usart_interrupt_handler); + _sercom_instances[instance_index] = module; +#endif + + return status_code; +} + +/** + * \brief Transmit a character via the USART + * + * This blocking function will transmit a single character via the + * USART. + * + * \param[in] module Pointer to the software instance struct + * \param[in] tx_data Data to transfer + * + * \return Status of the operation. + * \retval STATUS_OK If the operation was completed + * \retval STATUS_BUSY If the operation was not completed, due to the USART + * module being busy + * \retval STATUS_ERR_DENIED If the transmitter is not enabled + */ +enum status_code usart_write_wait( + struct usart_module *const module, + const uint16_t tx_data) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Check that the transmitter is enabled */ + if (!(module->transmitter_enabled)) { + return STATUS_ERR_DENIED; + } + +#if USART_CALLBACK_MODE == true + /* Check if the USART is busy doing asynchronous operation. */ + if (module->remaining_tx_buffer_length > 0) { + return STATUS_BUSY; + } + +#else + /* Check if USART is ready for new data */ + if (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_DRE)) { + /* Return error code */ + return STATUS_BUSY; + } +#endif + + /* Write data to USART module */ + usart_hw->DATA.reg = tx_data; + + while (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_TXC)) { + /* Wait until data is sent */ + } + + return STATUS_OK; +} + +/** + * \brief Receive a character via the USART + * + * This blocking function will receive a character via the USART. + * + * \param[in] module Pointer to the software instance struct + * \param[out] rx_data Pointer to received data + * + * \return Status of the operation. + * \retval STATUS_OK If the operation was completed + * \retval STATUS_BUSY If the operation was not completed, + * due to the USART module being busy + * \retval STATUS_ERR_BAD_FORMAT If the operation was not completed, + * due to configuration mismatch between USART + * and the sender + * \retval STATUS_ERR_BAD_OVERFLOW If the operation was not completed, + * due to the baudrate being too low or the + * system frequency being too high + * \retval STATUS_ERR_BAD_DATA If the operation was not completed, due to + * data being corrupted + * \retval STATUS_ERR_DENIED If the receiver is not enabled + */ +enum status_code usart_read_wait( + struct usart_module *const module, + uint16_t *const rx_data) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Error variable */ + uint8_t error_code; + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Check that the receiver is enabled */ + if (!(module->receiver_enabled)) { + return STATUS_ERR_DENIED; + } + +#if USART_CALLBACK_MODE == true + /* Check if the USART is busy doing asynchronous operation. */ + if (module->remaining_rx_buffer_length > 0) { + return STATUS_BUSY; + } +#endif + + /* Check if USART has new data */ + if (!(usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_RXC)) { + /* Return error code */ + return STATUS_BUSY; + } + + /* Read out the status code and mask away all but the 3 LSBs*/ + error_code = (uint8_t)(usart_hw->STATUS.reg & SERCOM_USART_STATUS_MASK); + + /* Check if an error has occurred during the receiving */ + if (error_code) { + /* Check which error occurred */ + if (error_code & SERCOM_USART_STATUS_FERR) { + /* Clear flag by writing a 1 to it and + * return with an error code */ + usart_hw->STATUS.reg = SERCOM_USART_STATUS_FERR; + + return STATUS_ERR_BAD_FORMAT; + } else if (error_code & SERCOM_USART_STATUS_BUFOVF) { + /* Clear flag by writing a 1 to it and + * return with an error code */ + usart_hw->STATUS.reg = SERCOM_USART_STATUS_BUFOVF; + + return STATUS_ERR_OVERFLOW; + } else if (error_code & SERCOM_USART_STATUS_PERR) { + /* Clear flag by writing a 1 to it and + * return with an error code */ + usart_hw->STATUS.reg = SERCOM_USART_STATUS_PERR; + + return STATUS_ERR_BAD_DATA; + } +#ifdef FEATURE_USART_LIN_SLAVE + else if (error_code & SERCOM_USART_STATUS_ISF) { + /* Clear flag by writing 1 to it and + * return with an error code */ + usart_hw->STATUS.reg = SERCOM_USART_STATUS_ISF; + + return STATUS_ERR_PROTOCOL; + } +#endif +#ifdef FEATURE_USART_COLLISION_DECTION + else if (error_code & SERCOM_USART_STATUS_COLL) { + /* Clear flag by writing 1 to it + * return with an error code */ + usart_hw->STATUS.reg = SERCOM_USART_STATUS_COLL; + + return STATUS_ERR_PACKET_COLLISION; + } +#endif + } + + /* Read data from USART module */ + *rx_data = usart_hw->DATA.reg; + + return STATUS_OK; +} + +/** + * \brief Transmit a buffer of characters via the USART + * + * This blocking function will transmit a block of \c length characters + * via the USART. + * + * \note Using this function in combination with the interrupt (\c _job) functions is + * not recommended as it has no functionality to check if there is an + * ongoing interrupt driven operation running or not. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] tx_data Pointer to data to transmit + * \param[in] length Number of characters to transmit + * + * \note If using 9-bit data, the array that *tx_data point to should be defined + * as uint16_t array and should be casted to uint8_t* pointer. Because it + * is an address pointer, the highest byte is not discarded. For example: + * \code + #define TX_LEN 3 + uint16_t tx_buf[TX_LEN] = {0x0111, 0x0022, 0x0133}; + usart_write_buffer_wait(&module, (uint8_t*)tx_buf, TX_LEN); + \endcode + * + * \return Status of the operation. + * \retval STATUS_OK If operation was completed + * \retval STATUS_ERR_INVALID_ARG If operation was not completed, due to invalid + * arguments + * \retval STATUS_ERR_TIMEOUT If operation was not completed, due to USART + * module timing out + * \retval STATUS_ERR_DENIED If the transmitter is not enabled + */ +enum status_code usart_write_buffer_wait( + struct usart_module *const module, + const uint8_t *tx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Check if the buffer length is valid */ + if (length == 0) { + return STATUS_ERR_INVALID_ARG; + } + + /* Check that the transmitter is enabled */ + if (!(module->transmitter_enabled)) { + return STATUS_ERR_DENIED; + } + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + uint16_t tx_pos = 0; + + /* Blocks while buffer is being transferred */ + while (length--) { + /* Wait for the USART to be ready for new data and abort + * operation if it doesn't get ready within the timeout*/ + for (uint32_t i = 0; i <= USART_TIMEOUT; i++) { + if (usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_DRE) { + break; + } else if (i == USART_TIMEOUT) { + return STATUS_ERR_TIMEOUT; + } + } + + /* Data to send is at least 8 bits long */ + uint16_t data_to_send = tx_data[tx_pos++]; + + /* Check if the character size exceeds 8 bit */ + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + data_to_send |= (tx_data[tx_pos++] << 8); + } + + /* Send the data through the USART module */ + usart_write_wait(module, data_to_send); + } + + /* Wait until Transmit is complete or timeout */ + for (uint32_t i = 0; i <= USART_TIMEOUT; i++) { + if (usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_TXC) { + break; + } else if (i == USART_TIMEOUT) { + return STATUS_ERR_TIMEOUT; + } + } + + return STATUS_OK; +} + +/** + * \brief Receive a buffer of \c length characters via the USART + * + * This blocking function will receive a block of \c length characters + * via the USART. + * + * \note Using this function in combination with the interrupt (\c *_job) + * functions is not recommended as it has no functionality to check if + * there is an ongoing interrupt driven operation running or not. + * + * \param[in] module Pointer to USART software instance struct + * \param[out] rx_data Pointer to receive buffer + * \param[in] length Number of characters to receive + * + * \note If using 9-bit data, the array that *rx_data point to should be defined + * as uint16_t array and should be casted to uint8_t* pointer. Because it + * is an address pointer, the highest byte is not discarded. For example: + * \code + #define RX_LEN 3 + uint16_t rx_buf[RX_LEN] = {0x0,}; + usart_read_buffer_wait(&module, (uint8_t*)rx_buf, RX_LEN); + \endcode + * + * \return Status of the operation. + * \retval STATUS_OK If operation was completed + * \retval STATUS_ERR_INVALID_ARG If operation was not completed, due to an + * invalid argument being supplied + * \retval STATUS_ERR_TIMEOUT If operation was not completed, due + * to USART module timing out + * \retval STATUS_ERR_BAD_FORMAT If the operation was not completed, + * due to a configuration mismatch + * between USART and the sender + * \retval STATUS_ERR_BAD_OVERFLOW If the operation was not completed, + * due to the baudrate being too low or the + * system frequency being too high + * \retval STATUS_ERR_BAD_DATA If the operation was not completed, due + * to data being corrupted + * \retval STATUS_ERR_DENIED If the receiver is not enabled + */ +enum status_code usart_read_buffer_wait( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Check if the buffer length is valid */ + if (length == 0) { + return STATUS_ERR_INVALID_ARG; + } + + /* Check that the receiver is enabled */ + if (!(module->receiver_enabled)) { + return STATUS_ERR_DENIED; + } + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + uint16_t rx_pos = 0; + + /* Blocks while buffer is being received */ + while (length--) { + /* Wait for the USART to have new data and abort operation if it + * doesn't get ready within the timeout*/ + for (uint32_t i = 0; i <= USART_TIMEOUT; i++) { + if (usart_hw->INTFLAG.reg & SERCOM_USART_INTFLAG_RXC) { + break; + } else if (i == USART_TIMEOUT) { + return STATUS_ERR_TIMEOUT; + } + } + + enum status_code retval; + uint16_t received_data = 0; + + retval = usart_read_wait(module, &received_data); + + if (retval != STATUS_OK) { + /* Overflow, abort */ + return retval; + } + + /* Read value will be at least 8-bits long */ + rx_data[rx_pos++] = received_data; + + /* If 9-bit data, write next received byte to the buffer */ + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + rx_data[rx_pos++] = (received_data >> 8); + } + } + + return STATUS_OK; +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.h new file mode 100644 index 0000000..9b8070b --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart.h @@ -0,0 +1,1589 @@ +/** + * + * \file + * + * \brief SAM SERCOM USART Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef USART_H_INCLUDED +#define USART_H_INCLUDED + +/** + * \defgroup asfdoc_sam0_sercom_usart_group SAM Serial USART (SERCOM USART) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides + * an interface for the configuration and management of the SERCOM module in + * its USART mode to transfer or receive USART data frames. The following driver + * API modes are covered by this manual: + * + * - Polled APIs + * \if USART_CALLBACK_MODE + * - Callback APIs + * \endif + * + * The following peripheral is used by this module: + * - SERCOM (Serial Communication Interface) + * + * The following devices can use this module: + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM D10/D11 + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM HA1 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34 + * - Atmel | SMART SAM R35 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_sercom_usart_prerequisites + * - \ref asfdoc_sam0_sercom_usart_overview + * - \ref asfdoc_sam0_sercom_usart_special_considerations + * - \ref asfdoc_sam0_sercom_usart_extra_info + * - \ref asfdoc_sam0_sercom_usart_examples + * - \ref asfdoc_sam0_sercom_usart_api_overview + * + * \section asfdoc_sam0_sercom_usart_prerequisites Prerequisites + * + * To use the USART you need to have a GCLK generator enabled and running + * that can be used as the SERCOM clock source. This can either be configured + * in conf_clocks.h or by using the system clock driver. + * + * \section asfdoc_sam0_sercom_usart_overview Module Overview + * + * This driver will use one (or more) SERCOM interface(s) in the system + * and configure it to run as a USART interface in either synchronous + * or asynchronous mode. + * + * \subsection asfdoc_sam0_sercom_usart_features Driver Feature Macro Definition + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Driver Feature MacroSupported devices
    FEATURE_USART_SYNC_SCHEME_V2SAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_OVER_SAMPLESAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_HARDWARE_FLOW_CONTROLSAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_IRDASAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_LIN_SLAVESAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_COLLISION_DECTIONSAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_START_FRAME_DECTIONSAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATIONSAM D21/R21/D09/D10/D11/L21/L22/DA1/C20/C21/R30/R34/R35
    FEATURE_USART_RS485SAM C20/C21
    FEATURE_USART_LIN_MASTERSAM L22/C20/C21
    + * \note The specific features are only available in the driver when the + * selected device supports those features. + * + * \subsection asfdoc_sam0_sercom_usart_overview_frame_format Frame Format + * + * Communication is based on frames, where the frame format can be customized + * to accommodate a wide range of standards. A frame consists of a start bit, + * a number of data bits, an optional parity bit for error detection as well + * as a configurable length stop bit(s) - see + * \ref asfdoc_sam0_sercom_usart_frame_diagram "the figure below". + * \ref asfdoc_sam0_sercom_usart_frame_params "The table below" shows the + * available parameters you can change in a frame. + * + * \anchor asfdoc_sam0_sercom_usart_frame_params + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    USART Frame Parameters
    ParameterOptions
    Start bit1
    Data bits5, 6, 7, 8, 9
    Parity bitNone, Even, Odd
    Stop bits1, 2
    + * + * \anchor asfdoc_sam0_sercom_usart_frame_diagram + * \image html usart_frame.svg "USART Frame Overview" width=100% + * + * \subsection asfdoc_sam0_sercom_usart_overview_sync Synchronous Mode + * + * In synchronous mode a dedicated clock line is provided; either by the USART + * itself if in master mode, or by an external master if in slave mode. + * Maximum transmission speed is the same as the GCLK clocking the USART + * peripheral when in slave mode, and the GCLK divided by two if in + * master mode. In synchronous mode the interface needs three lines to + * communicate: + * - TX (Transmit pin) + * - RX (Receive pin) + * - XCK (Clock pin) + * + * \subsubsection asfdoc_sam0_sercom_usart_overview_sync_sampling Data Sampling + * In synchronous mode the data is sampled on either the rising or falling edge + * of the clock signal. This is configured by setting the clock polarity in the + * configuration struct. + * + * \subsection asfdoc_sam0_sercom_usart_overview_async Asynchronous Mode + * + * In asynchronous mode no dedicated clock line is used, and the communication + * is based on matching the clock speed on the transmitter and receiver. The + * clock is generated from the internal SERCOM baudrate generator, and the + * frames are synchronized by using the frame start bits. Maximum transmission + * speed is limited to the SERCOM GCLK divided by 16. + * In asynchronous mode the interface only needs two lines to communicate: + * - TX (Transmit pin) + * - RX (Receive pin) + * + * \subsubsection asfdoc_sam0_sercom_usart_overview_async_clock_matching Transmitter/receiver Clock Matching + * + * For successful transmit and receive using the asynchronous mode the receiver + * and transmitter clocks needs to be closely matched. When receiving a frame + * that does not match the selected baudrate closely enough the receiver will + * be unable to synchronize the frame(s), and garbage transmissions will + * result. + * + * \subsection asfdoc_sam0_sercom_usart_parity Parity + * Parity can be enabled to detect if a transmission was in error. This is done + * by counting the number of "1" bits in the frame. When using even parity the + * parity bit will be set if the total number of "1"s in the frame are an even + * number. If using odd parity the parity bit will be set if the total number + * of "1"s are odd. + * + * When receiving a character the receiver will count the number of "1"s in the + * frame and give an error if the received frame and parity bit disagree. + * + * \subsection asfdoc_sam0_sercom_usart_overview_pin_configuration GPIO Configuration + * + * The SERCOM module has four internal pads; the RX pin can be placed freely on + * any one of the four pads, and the TX and XCK pins have two predefined + * positions that can be selected as a pair. The pads can then be routed to an + * external GPIO pin using the normal pin multiplexing scheme on the SAM. + * + * \section asfdoc_sam0_sercom_usart_special_considerations Special Considerations + * + * \if USART_CALLBACK_MODE + * Never execute large portions of code in the callbacks. These + * are run from the interrupt routine, and thus having long callbacks will + * keep the processor in the interrupt handler for an equally long time. + * A common way to handle this is to use global flags signaling the + * main application that an interrupt event has happened, and only do the + * minimal needed processing in the callback. + * \else + * No special considerations. + * \endif + * + * \section asfdoc_sam0_sercom_usart_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_sercom_usart_extra. This includes: + * - \ref asfdoc_sam0_sercom_usart_extra_acronyms + * - \ref asfdoc_sam0_sercom_usart_extra_dependencies + * - \ref asfdoc_sam0_sercom_usart_extra_errata + * - \ref asfdoc_sam0_sercom_usart_extra_history + * + * \section asfdoc_sam0_sercom_usart_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_sercom_usart_exqsg. + * + * \section asfdoc_sam0_sercom_usart_api_overview API Overview + * @{ + */ + +#include +#include +#include + +#if USART_CALLBACK_MODE == true +# include +#endif + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \name Driver Feature Definition + * Define SERCOM USART features set according to different device family. + * @{ + */ + +#if (SAMD21) || (SAMR21) || (SAMD09) || (SAMD10) || (SAMD11) || \ + (SAML21) || (SAML22) || (SAMDA1) || (SAMC20) || (SAMC21) || \ + (SAMR30) || (SAMHA1) || (SAMHA0) || (SAMR34) || (SAMR35) || defined(__DOXYGEN__) +/** USART sync scheme version 2. */ +# define FEATURE_USART_SYNC_SCHEME_V2 +/** USART oversampling. */ +# define FEATURE_USART_OVER_SAMPLE +/** USART hardware control flow. */ +# define FEATURE_USART_HARDWARE_FLOW_CONTROL +/** IrDA mode. */ +# define FEATURE_USART_IRDA +/** LIN slave mode. */ +# define FEATURE_USART_LIN_SLAVE +/** USART collision detection. */ +# define FEATURE_USART_COLLISION_DECTION +/** USART start frame detection. */ +# define FEATURE_USART_START_FRAME_DECTION +/** USART start buffer overflow notification. */ +# define FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATION +#endif + +#if (SAML22) || defined(__DOXYGEN__) +/** ISO7816 for smart card interfacing. */ +#define FEATURE_USART_ISO7816 +#endif +#if (SAMC20) || (SAMC21) || defined(__DOXYGEN__) +/** LIN master mode. */ +#define FEATURE_USART_LIN_MASTER +#endif +#if (SAML22) || (SAMC20) || (SAMC21) || defined(__DOXYGEN__) +/** RS485 mode. */ +# define FEATURE_USART_RS485 +#endif +/*@}*/ + +#ifdef FEATURE_USART_LIN_MASTER +/** + * \brief LIN node type + * + * LIN node type. + */ +enum lin_node_type { + /** LIN master mode */ + LIN_MASTER_NODE = SERCOM_USART_CTRLA_FORM(0x02), + /** LIN slave mode */ + LIN_SLAVE_NODE = SERCOM_USART_CTRLA_FORM(0x04), + /** Neither LIN master nor LIN slave mode */ + LIN_INVALID_MODE = SERCOM_USART_CTRLA_FORM(0x00), +}; + +/** + * \brief LIN master command enum + * + * LIN master command enum. + */ +enum lin_master_cmd { + /** LIN master software control transmission command */ + LIN_MASTER_SOFTWARE_CONTROL_TRANSMIT_CMD = SERCOM_USART_CTRLB_LINCMD(0x01), + /** LIN master automatically transmission command */ + LIN_MASTER_AUTO_TRANSMIT_CMD = SERCOM_USART_CTRLB_LINCMD(0x02), +}; + +/** + * \brief LIN master header delay + * + * LIN master header delay between break and sync transmission, + * and between the sync and identifier (ID) fields. + * This field is only valid when using automatically transmission command + */ +enum lin_master_header_delay { + /** Delay between break and sync transmission is 1 bit time. + Delay between sync and ID transmission is 1 bit time. */ + LIN_MASTER_HEADER_DELAY_0 = SERCOM_USART_CTRLC_HDRDLY(0x0), + /** Delay between break and sync transmission is 4 bit time. + Delay between sync and ID transmission is 4 bit time. */ + LIN_MASTER_HEADER_DELAY_1 = SERCOM_USART_CTRLC_HDRDLY(0x01), + /** Delay between break and sync transmission is 8 bit time. + Delay between sync and ID transmission is 4 bit time. */ + LIN_MASTER_HEADER_DELAY_2 = SERCOM_USART_CTRLC_HDRDLY(0x02), + /** Delay between break and sync transmission is 14 bit time. + Delay between sync and ID transmission is 4 bit time. */ + LIN_MASTER_HEADER_DELAY_3 = SERCOM_USART_CTRLC_HDRDLY(0x03), +}; + +/** + * \brief LIN master break length + * + * Length of the break field transmitted when in LIN master mode + */ +enum lin_master_break_length { + /** Break field transmission is 13 bit times */ + LIN_MASTER_BREAK_LENGTH_13_BIT = SERCOM_USART_CTRLC_BRKLEN(0x0), + /** Break field transmission is 17 bit times */ + LIN_MASTER_BREAK_LENGTH_17_BIT = SERCOM_USART_CTRLC_BRKLEN(0x1), + /** Break field transmission is 21 bit times */ + LIN_MASTER_BREAK_LENGTH_21_BIT = SERCOM_USART_CTRLC_BRKLEN(0x2), + /** Break field transmission is 26 bit times */ + LIN_MASTER_BREAK_LENGTH_26_BIT = SERCOM_USART_CTRLC_BRKLEN(0x3), +}; +#endif +#ifdef FEATURE_USART_ISO7816 +/** + * \brief ISO7816 protocol type + * + * ISO7816 protocol type. + */ +enum iso7816_protocol_type { + /** ISO7816 protocol type 0 */ + ISO7816_PROTOCOL_T_0 = SERCOM_USART_CTRLA_CMODE, + /** ISO7816 protocol type 1 */ + ISO7816_PROTOCOL_T_1 = (0x0ul << SERCOM_USART_CTRLA_CMODE_Pos), +}; + +/** + * \brief ISO7816 guard time + * + * The value of ISO7816 guard time. + */ +enum iso7816_guard_time { + /** The guard time is 2-bit times */ + ISO7816_GUARD_TIME_2_BIT = 2, + /** The guard time is 3-bit times */ + ISO7816_GUARD_TIME_3_BIT, + /** The guard time is 4-bit times */ + ISO7816_GUARD_TIME_4_BIT, + /** The guard time is 5-bit times */ + ISO7816_GUARD_TIME_5_BIT, + /** The guard time is 6-bit times */ + ISO7816_GUARD_TIME_6_BIT, + /** The guard time is 7-bit times */ + ISO7816_GUARD_TIME_7_BIT, +}; + +/** + * \brief ISO7816 receive NACK inhibit + * + * The value of ISO7816 receive NACK inhibit. + */ +enum iso7816_inhibit_nack { + /** The NACK is generated */ + ISO7816_INHIBIT_NACK_DISABLE = (0x0ul << SERCOM_USART_CTRLC_INACK_Pos), + /** The NACK is not generated */ + ISO7816_INHIBIT_NACK_ENABLE = SERCOM_USART_CTRLC_INACK, +}; + +/** + * \brief ISO7816 disable successive receive NACK + * + * The value of ISO7816 disable successive receive NACK. + */ +enum iso7816_successive_recv_nack { + /** The successive receive NACK is enable. */ + ISO7816_SUCCESSIVE_RECV_NACK_DISABLE = (0x0ul << SERCOM_USART_CTRLC_INACK_Pos), + /** The successive receive NACK is disable. */ + ISO7816_SUCCESSIVE_RECV_NACK_ENABLE = SERCOM_USART_CTRLC_DSNACK, +}; + +/** + * \brief ISO7816 configuration struct + * + * ISO7816 configuration structure. + */ +struct iso7816_config_t { + /* ISO7816 mode enable */ + bool enabled; + /** ISO7816 protocol type */ + enum iso7816_protocol_type protocol_t; + /** Enable inverse transmission and reception */ + bool enable_inverse; + /** Guard time, which lasts two bit times */ + enum iso7816_guard_time guard_time; + /** + * Inhibit Non Acknowledge: + * - 0: the NACK is generated; + * - 1: the NACK is not generated. + */ + enum iso7816_inhibit_nack inhibit_nack; + /** + * Disable successive NACKs. + * - 0: NACK is sent on the ISO line as soon as a parity error occurs + * in the received character. Successive parity errors are counted up to + * the value in the max_iterations field. These parity errors generate + * a NACK on the ISO line. As soon as this value is reached, no additional + * NACK is sent on the ISO line. The ITERATION flag is asserted. + */ + enum iso7816_successive_recv_nack successive_recv_nack; + /* Max number of repetitions */ + uint32_t max_iterations; +}; +#endif + +#ifndef PINMUX_DEFAULT +/** Default pinmux */ +# define PINMUX_DEFAULT 0 +#endif + +#ifndef PINMUX_UNUSED +/** Unused pinmux */ +# define PINMUX_UNUSED 0xFFFFFFFF +#endif + +#ifndef USART_TIMEOUT +/** USART timeout value */ +# define USART_TIMEOUT 0xFFFF +#endif + +#if USART_CALLBACK_MODE == true +/** + * \brief USART callback enum + * + * Callbacks for the Asynchronous USART driver. + */ +enum usart_callback { + /** Callback for buffer transmitted */ + USART_CALLBACK_BUFFER_TRANSMITTED, + /** Callback for buffer received */ + USART_CALLBACK_BUFFER_RECEIVED, + /** Callback for error */ + USART_CALLBACK_ERROR, +#ifdef FEATURE_USART_LIN_SLAVE + /** Callback for break character is received */ + USART_CALLBACK_BREAK_RECEIVED, +#endif +#ifdef FEATURE_USART_HARDWARE_FLOW_CONTROL + /** Callback for a change is detected on the CTS pin */ + USART_CALLBACK_CTS_INPUT_CHANGE, +#endif +#ifdef FEATURE_USART_START_FRAME_DECTION + /** Callback for a start condition is detected on the RxD line */ + USART_CALLBACK_START_RECEIVED, +#endif +# if !defined(__DOXYGEN__) + /** Number of available callbacks */ + USART_CALLBACK_N, +# endif +}; +#endif + +/** + * \brief USART Data Order enum + * + * The data order decides which MSB or LSB is shifted out first when data is + * transferred. + */ +enum usart_dataorder { + /** The MSB will be shifted out first during transmission, + * and shifted in first during reception */ + USART_DATAORDER_MSB = 0, + /** The LSB will be shifted out first during transmission, + * and shifted in first during reception */ + USART_DATAORDER_LSB = SERCOM_USART_CTRLA_DORD, +}; + +/** + * \brief USART Transfer mode enum + * + * Select USART transfer mode. + */ +enum usart_transfer_mode { + /** Transfer of data is done synchronously */ + USART_TRANSFER_SYNCHRONOUSLY = (SERCOM_USART_CTRLA_CMODE), + /** Transfer of data is done asynchronously */ + USART_TRANSFER_ASYNCHRONOUSLY = (0x0ul << SERCOM_USART_CTRLA_CMODE_Pos), +}; + +/** + * \brief USART Parity enum + * + * Select parity USART parity mode. + */ +enum usart_parity { + /** For odd parity checking, the parity bit will be set if number of + * ones being transferred is even */ + USART_PARITY_ODD = SERCOM_USART_CTRLB_PMODE, + + /** For even parity checking, the parity bit will be set if number of + * ones being received is odd */ + USART_PARITY_EVEN = 0, + + /** No parity checking will be executed, and there will be no parity bit + * in the received frame */ + USART_PARITY_NONE = 0xFF, +}; + +/** + * \brief USART signal MUX settings + * + * Set the functionality of the SERCOM pins. + * + * See \ref asfdoc_sam0_sercom_usart_mux_settings for a description of the + * various MUX setting options. + */ +enum usart_signal_mux_settings { +#ifdef FEATURE_USART_HARDWARE_FLOW_CONTROL + /** MUX setting RX_0_TX_0_XCK_1 */ + USART_RX_0_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(0) | SERCOM_USART_CTRLA_TXPO(0)), + /** MUX setting RX_0_TX_2_XCK_3 */ + USART_RX_0_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(0) | SERCOM_USART_CTRLA_TXPO(1)), + /** MUX setting USART_RX_0_TX_0_RTS_2_CTS_3 */ + USART_RX_0_TX_0_RTS_2_CTS_3 = (SERCOM_USART_CTRLA_RXPO(0) | SERCOM_USART_CTRLA_TXPO(2)), + /** MUX setting RX_1_TX_0_XCK_1 */ + USART_RX_1_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(1) | SERCOM_USART_CTRLA_TXPO(0)), + /** MUX setting RX_1_TX_2_XCK_3 */ + USART_RX_1_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(1) | SERCOM_USART_CTRLA_TXPO(1)), + /** MUX setting USART_RX_1_TX_0_RTS_2_CTS_3 */ + USART_RX_1_TX_0_RTS_2_CTS_3 = (SERCOM_USART_CTRLA_RXPO(1) | SERCOM_USART_CTRLA_TXPO(2)), + /** MUX setting RX_2_TX_0_XCK_1 */ + USART_RX_2_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(2) | SERCOM_USART_CTRLA_TXPO(0)), + /** MUX setting RX_2_TX_2_XCK_3 */ + USART_RX_2_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(2) | SERCOM_USART_CTRLA_TXPO(1)), + /** MUX setting USART_RX_2_TX_0_RTS_2_CTS_3 */ + USART_RX_2_TX_0_RTS_2_CTS_3 = (SERCOM_USART_CTRLA_RXPO(2) | SERCOM_USART_CTRLA_TXPO(2)), + /** MUX setting RX_3_TX_0_XCK_1 */ + USART_RX_3_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(3) | SERCOM_USART_CTRLA_TXPO(0)), + /** MUX setting RX_3_TX_2_XCK_3 */ + USART_RX_3_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(3) | SERCOM_USART_CTRLA_TXPO(1)), + /** MUX setting USART_RX_3_TX_0_RTS_2_CTS_3 */ + USART_RX_3_TX_0_RTS_2_CTS_3 = (SERCOM_USART_CTRLA_RXPO(3) | SERCOM_USART_CTRLA_TXPO(2)), +#ifdef FEATURE_USART_RS485 + /** MUX setting USART_RX_0_TX_0_XCK_1_TE_2 */ + USART_RX_0_TX_0_XCK_1_TE_2 = (SERCOM_USART_CTRLA_RXPO(0) | SERCOM_USART_CTRLA_TXPO(3)), + /** MUX setting USART_RX_1_TX_0_XCK_1_TE_2 */ + USART_RX_1_TX_0_XCK_1_TE_2 = (SERCOM_USART_CTRLA_RXPO(1) | SERCOM_USART_CTRLA_TXPO(3)), + /** MUX setting USART_RX_2_TX_0_XCK_1_TE_2 */ + USART_RX_2_TX_0_XCK_1_TE_2 = (SERCOM_USART_CTRLA_RXPO(2) | SERCOM_USART_CTRLA_TXPO(3)), + /** MUX setting USART_RX_3_TX_0_XCK_1_TE_2 */ + USART_RX_3_TX_0_XCK_1_TE_2 = (SERCOM_USART_CTRLA_RXPO(3) | SERCOM_USART_CTRLA_TXPO(3)), +#endif +#else + /** MUX setting RX_0_TX_0_XCK_1 */ + USART_RX_0_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(0)), + /** MUX setting RX_0_TX_2_XCK_3 */ + USART_RX_0_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(0) | SERCOM_USART_CTRLA_TXPO), + /** MUX setting RX_1_TX_0_XCK_1 */ + USART_RX_1_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(1)), + /** MUX setting RX_1_TX_2_XCK_3 */ + USART_RX_1_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(1) | SERCOM_USART_CTRLA_TXPO), + /** MUX setting RX_2_TX_0_XCK_1 */ + USART_RX_2_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(2)), + /** MUX setting RX_2_TX_2_XCK_3 */ + USART_RX_2_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(2) | SERCOM_USART_CTRLA_TXPO), + /** MUX setting RX_3_TX_0_XCK_1 */ + USART_RX_3_TX_0_XCK_1 = (SERCOM_USART_CTRLA_RXPO(3)), + /** MUX setting RX_3_TX_2_XCK_3 */ + USART_RX_3_TX_2_XCK_3 = (SERCOM_USART_CTRLA_RXPO(3) | SERCOM_USART_CTRLA_TXPO), +#endif +}; + +/** + * \brief USART Stop Bits enum + * + * Number of stop bits for a frame. + */ +enum usart_stopbits { + /** Each transferred frame contains one stop bit */ + USART_STOPBITS_1 = 0, + /** Each transferred frame contains two stop bits */ + USART_STOPBITS_2 = SERCOM_USART_CTRLB_SBMODE, +}; + +/** + * \brief USART Character Size + * + * Number of bits for the character sent in a frame. + */ +enum usart_character_size { + /** The char being sent in a frame is five bits long */ + USART_CHARACTER_SIZE_5BIT = SERCOM_USART_CTRLB_CHSIZE(5), + /** The char being sent in a frame is six bits long */ + USART_CHARACTER_SIZE_6BIT = SERCOM_USART_CTRLB_CHSIZE(6), + /** The char being sent in a frame is seven bits long */ + USART_CHARACTER_SIZE_7BIT = SERCOM_USART_CTRLB_CHSIZE(7), + /** The char being sent in a frame is eight bits long */ + USART_CHARACTER_SIZE_8BIT = SERCOM_USART_CTRLB_CHSIZE(0), + /** The char being sent in a frame is nine bits long */ + USART_CHARACTER_SIZE_9BIT = SERCOM_USART_CTRLB_CHSIZE(1), +}; + +#ifdef FEATURE_USART_OVER_SAMPLE +/** + * \brief USART Sample Rate + * + * The value of sample rate and baudrate generation mode. + */ +enum usart_sample_rate { + /** 16x over-sampling using arithmetic baudrate generation */ + USART_SAMPLE_RATE_16X_ARITHMETIC = SERCOM_USART_CTRLA_SAMPR(0), + /** 16x over-sampling using fractional baudrate generation */ + USART_SAMPLE_RATE_16X_FRACTIONAL = SERCOM_USART_CTRLA_SAMPR(1), + /** 8x over-sampling using arithmetic baudrate generation */ + USART_SAMPLE_RATE_8X_ARITHMETIC = SERCOM_USART_CTRLA_SAMPR(2), + /** 8x over-sampling using fractional baudrate generation */ + USART_SAMPLE_RATE_8X_FRACTIONAL = SERCOM_USART_CTRLA_SAMPR(3), + /** 3x over-sampling using arithmetic baudrate generation */ + USART_SAMPLE_RATE_3X_ARITHMETIC = SERCOM_USART_CTRLA_SAMPR(4), +}; + +/** + * \brief USART Sample Adjustment + * + * The value of sample number used for majority voting. + */ +enum usart_sample_adjustment { + /** The first, middle and last sample number used for majority voting is 7-8-9 */ + USART_SAMPLE_ADJUSTMENT_7_8_9 = SERCOM_USART_CTRLA_SAMPA(0), + /** The first, middle and last sample number used for majority voting is 9-10-11 */ + USART_SAMPLE_ADJUSTMENT_9_10_11 = SERCOM_USART_CTRLA_SAMPA(1), + /** The first, middle and last sample number used for majority voting is 11-12-13 */ + USART_SAMPLE_ADJUSTMENT_11_12_13 = SERCOM_USART_CTRLA_SAMPA(2), + /** The first, middle and last sample number used for majority voting is 13-14-15 */ + USART_SAMPLE_ADJUSTMENT_13_14_15 = SERCOM_USART_CTRLA_SAMPA(3), +}; +#endif + +#ifdef FEATURE_USART_RS485 +/** + * \brief RS485 Guard Time + * + * The value of RS485 guard time. + */ +enum rs485_guard_time { + /** The guard time is 0-bit time */ + RS485_GUARD_TIME_0_BIT = 0, + /** The guard time is 1-bit time */ + RS485_GUARD_TIME_1_BIT, + /** The guard time is 2-bit times */ + RS485_GUARD_TIME_2_BIT, + /** The guard time is 3-bit times */ + RS485_GUARD_TIME_3_BIT, + /** The guard time is 4-bit times */ + RS485_GUARD_TIME_4_BIT, + /** The guard time is 5-bit times */ + RS485_GUARD_TIME_5_BIT, + /** The guard time is 6-bit times */ + RS485_GUARD_TIME_6_BIT, + /** The guard time is 7-bit times */ + RS485_GUARD_TIME_7_BIT, +}; +#endif + +/** + * \brief USART Transceiver + * + * Select Receiver or Transmitter. + */ +enum usart_transceiver_type { + /** The parameter is for the Receiver */ + USART_TRANSCEIVER_RX, + /** The parameter is for the Transmitter */ + USART_TRANSCEIVER_TX, +}; + +/** + * \brief USART configuration struct + * + * Configuration options for USART. + */ +struct usart_config { + /** USART bit order (MSB or LSB first) */ + enum usart_dataorder data_order; + /** USART in asynchronous or synchronous mode */ + enum usart_transfer_mode transfer_mode; + /** USART parity */ + enum usart_parity parity; + /** Number of stop bits */ + enum usart_stopbits stopbits; + /** USART character size */ + enum usart_character_size character_size; + /** USART pin out */ + enum usart_signal_mux_settings mux_setting; +#ifdef FEATURE_USART_OVER_SAMPLE + /** USART sample rate */ + enum usart_sample_rate sample_rate; + /** USART sample adjustment */ + enum usart_sample_adjustment sample_adjustment; +#endif +#ifdef FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATION + /** Controls when the buffer overflow status bit is asserted when a buffer overflow occurs */ + bool immediate_buffer_overflow_notification; +#endif +#ifdef FEATURE_USART_IRDA + /** Enable IrDA encoding format */ + bool encoding_format_enable; + /** The minimum pulse length required for a pulse to be accepted by the IrDA receiver */ + uint8_t receive_pulse_length; +#endif +#ifdef FEATURE_USART_LIN_SLAVE + /** Enable LIN Slave Support */ + bool lin_slave_enable; +#endif + +#ifdef FEATURE_USART_LIN_MASTER + /** LIN node type */ + enum lin_node_type lin_node; + /** LIN master header delay */ + enum lin_master_header_delay lin_header_delay; + /** LIN Master Break Length */ + enum lin_master_break_length lin_break_length; +#endif + +#ifdef FEATURE_USART_START_FRAME_DECTION + /** Enable start of frame dection */ + bool start_frame_detection_enable; +#endif +#ifdef FEATURE_USART_ISO7816 + /** Enable ISO7816 for smart card interfacing */ + struct iso7816_config_t iso7816_config; +#endif +#ifdef FEATURE_USART_RS485 + /** RS485 guard time */ + enum rs485_guard_time rs485_guard_time; +#endif +#ifdef FEATURE_USART_COLLISION_DECTION + /** Enable collision dection */ + bool collision_detection_enable; +#endif + /** USART baudrate */ + uint32_t baudrate; + /** Enable receiver */ + bool receiver_enable; + /** Enable transmitter */ + bool transmitter_enable; + + /** USART Clock Polarity. + * If true, data changes on falling XCK edge and + * is sampled at rising edge. + * If false, data changes on rising XCK edge and + * is sampled at falling edge. + * */ + bool clock_polarity_inverted; + + /** States whether to use the external clock applied to the XCK pin. + * In synchronous mode the shift register will act directly on the XCK clock. + * In asynchronous mode the XCK will be the input to the USART hardware module. + */ + bool use_external_clock; + /** External clock frequency in synchronous mode. + * This must be set if \c use_external_clock is true. */ + uint32_t ext_clock_freq; + /** If true the USART will be kept running in Standby sleep mode */ + bool run_in_standby; + /** GCLK generator source */ + enum gclk_generator generator_source; + /** PAD0 pinmux. + * + * If current USARTx has several alternative multiplexing I/O pins for PAD0, then + * only one peripheral multiplexing I/O can be enabled for current USARTx PAD0 + * function. Make sure that no other alternative multiplexing I/O is associated + * with the same USARTx PAD0. + */ + uint32_t pinmux_pad0; + /** PAD1 pinmux. + * + * If current USARTx has several alternative multiplexing I/O pins for PAD1, then + * only one peripheral multiplexing I/O can be enabled for current USARTx PAD1 + * function. Make sure that no other alternative multiplexing I/O is associated + * with the same USARTx PAD1. + */ + uint32_t pinmux_pad1; + /** PAD2 pinmux. + * + * If current USARTx has several alternative multiplexing I/O pins for PAD2, then + * only one peripheral multiplexing I/O can be enabled for current USARTx PAD2 + * function. Make sure that no other alternative multiplexing I/O is associated + * with the same USARTx PAD2. + */ + uint32_t pinmux_pad2; + /** PAD3 pinmux. + * + * If current USARTx has several alternative multiplexing I/O pins for PAD3, then + * only one peripheral multiplexing I/O can be enabled for current USARTx PAD3 + * function. Make sure that no other alternative multiplexing I/O is associated + * with the same USARTx PAD3. + */ + uint32_t pinmux_pad3; +}; + +#if USART_CALLBACK_MODE == true +/** + * \brief USART module instance + * + * Forward Declaration for the device instance. + */ +struct usart_module; + +/** + * \brief USART callback type + * + * Type of the callback functions. + */ +typedef void (*usart_callback_t)(struct usart_module *const module); +#endif + +/** + * \brief SERCOM USART driver software device instance structure. + * + * SERCOM USART driver software instance structure, used to retain software + * state information of an associated hardware module instance. + * + * \note The fields of this structure should not be altered by the user + * application; they are reserved for module-internal use only. + */ +struct usart_module { +#if !defined(__DOXYGEN__) + /** Pointer to the hardware instance */ + Sercom *hw; + /** Module lock */ + volatile bool locked; + /** Character size of the data being transferred */ + enum usart_character_size character_size; + /** Receiver enabled */ + bool receiver_enabled; + /** Transmitter enabled */ + bool transmitter_enabled; +#ifdef FEATURE_USART_LIN_SLAVE + /** LIN Slave Support enabled */ + bool lin_slave_enabled; +#endif +#ifdef FEATURE_USART_START_FRAME_DECTION + /** Start of frame dection enabled */ + bool start_frame_detection_enabled; +#endif +#ifdef FEATURE_USART_ISO7816 + /** ISO7816 mode enable */ + bool iso7816_mode_enabled; +#endif +# if USART_CALLBACK_MODE == true + /** Array to store callback function pointers in */ + usart_callback_t callback[USART_CALLBACK_N]; + /** Buffer pointer to where the next received character will be put */ + volatile uint8_t *rx_buffer_ptr; + + /** Buffer pointer to where the next character will be transmitted from + **/ + volatile uint8_t *tx_buffer_ptr; + /** Remaining characters to receive */ + volatile uint16_t remaining_rx_buffer_length; + /** Remaining characters to transmit */ + volatile uint16_t remaining_tx_buffer_length; + /** Bit mask for callbacks registered */ + uint8_t callback_reg_mask; + /** Bit mask for callbacks enabled */ + uint8_t callback_enable_mask; + /** Holds the status of the ongoing or last read operation */ + volatile enum status_code rx_status; + /** Holds the status of the ongoing or last write operation */ + volatile enum status_code tx_status; +# endif +#endif +}; + + /** + * \name Lock/Unlock + * @{ + */ + +/** + * \brief Attempt to get lock on driver instance + * + * This function checks the instance's lock, which indicates whether or not it + * is currently in use, and sets the lock if it was not already set. + * + * The purpose of this is to enable exclusive access to driver instances, so + * that, e.g., transactions by different services will not interfere with each + * other. + * + * \param[in,out] module Pointer to the driver instance to lock + * + * \retval STATUS_OK If the module was locked + * \retval STATUS_BUSY If the module was already locked + */ +static inline enum status_code usart_lock( + struct usart_module *const module) +{ + enum status_code status; + + system_interrupt_enter_critical_section(); + + if (module->locked) { + status = STATUS_BUSY; + } else { + module->locked = true; + status = STATUS_OK; + } + + system_interrupt_leave_critical_section(); + + return status; +} + +/** + * \brief Unlock driver instance + * + * This function clears the instance lock, indicating that it is available for + * use. + * + * \param[in,out] module Pointer to the driver instance to lock + * + */ +static inline void usart_unlock(struct usart_module *const module) +{ + module->locked = false; +} + +/** @} */ + +/** + * \brief Check if peripheral is busy syncing registers across clock domains + * + * Return peripheral synchronization status. If doing a non-blocking + * implementation this function can be used to check the sync state and hold of + * any new actions until sync is complete. If this function is not run; the + * functions will block until the sync has completed. + * + * \param[in] module Pointer to peripheral module + * + * \return Peripheral sync status. + * + * \retval true Peripheral is busy syncing + * \retval false Peripheral is not busy syncing and can be read/written without + * stalling the bus + */ +static inline bool usart_is_syncing( + const struct usart_module *const module) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + SercomUsart *const usart_hw = &(module->hw->USART); + +#ifdef FEATURE_USART_SYNC_SCHEME_V2 + return (usart_hw->SYNCBUSY.reg); +#else + return (usart_hw->STATUS.reg & SERCOM_USART_STATUS_SYNCBUSY); +#endif +} + +#if !defined (__DOXYGEN__) +/** + * \internal + * Waits until synchronization is complete + */ +static inline void _usart_wait_for_sync( + const struct usart_module *const module) +{ + /* Sanity check */ + Assert(module); + + while (usart_is_syncing(module)) { + /* Wait until the synchronization is complete */ + } +} +#endif + +/** + * \brief Initializes the device to predefined defaults + * + * Initialize the USART device to predefined defaults: + * - 8-bit asynchronous USART + * - No parity + * - One stop bit + * - 9600 baud + * - Transmitter enabled + * - Receiver enabled + * - GCLK generator 0 as clock source + * - Default pin configuration + * + * The configuration struct will be updated with the default + * configuration. + * + * \param[in,out] config Pointer to configuration struct + */ +static inline void usart_get_config_defaults( + struct usart_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Set default config in the config struct */ + config->data_order = USART_DATAORDER_LSB; + config->transfer_mode = USART_TRANSFER_ASYNCHRONOUSLY; + config->parity = USART_PARITY_NONE; + config->stopbits = USART_STOPBITS_1; + config->character_size = USART_CHARACTER_SIZE_8BIT; + config->baudrate = 9600; + config->receiver_enable = true; + config->transmitter_enable = true; + config->clock_polarity_inverted = false; + config->use_external_clock = false; + config->ext_clock_freq = 0; + config->mux_setting = USART_RX_1_TX_2_XCK_3; + config->run_in_standby = false; + config->generator_source = GCLK_GENERATOR_0; + config->pinmux_pad0 = PINMUX_DEFAULT; + config->pinmux_pad1 = PINMUX_DEFAULT; + config->pinmux_pad2 = PINMUX_DEFAULT; + config->pinmux_pad3 = PINMUX_DEFAULT; +#ifdef FEATURE_USART_OVER_SAMPLE + config->sample_adjustment = USART_SAMPLE_ADJUSTMENT_7_8_9; + config->sample_rate = USART_SAMPLE_RATE_16X_ARITHMETIC; +#endif +#ifdef FEATURE_USART_LIN_SLAVE + config->lin_slave_enable = false; +#endif + +#ifdef FEATURE_USART_LIN_MASTER + config->lin_node = LIN_INVALID_MODE; + config->lin_header_delay = LIN_MASTER_HEADER_DELAY_0; + config->lin_break_length = LIN_MASTER_BREAK_LENGTH_13_BIT; +#endif + +#ifdef FEATURE_USART_IMMEDIATE_BUFFER_OVERFLOW_NOTIFICATION + config->immediate_buffer_overflow_notification = false; +#endif +#ifdef FEATURE_USART_START_FRAME_DECTION + config->start_frame_detection_enable = false; +#endif +#ifdef FEATURE_USART_IRDA + config->encoding_format_enable = false; + config->receive_pulse_length = 19; +#endif +#ifdef FEATURE_USART_ISO7816 + config->iso7816_config.enabled = false; + config->iso7816_config.guard_time = ISO7816_GUARD_TIME_2_BIT; + config->iso7816_config.protocol_t = ISO7816_PROTOCOL_T_0; + config->iso7816_config.enable_inverse = false; + config->iso7816_config.inhibit_nack = ISO7816_INHIBIT_NACK_DISABLE; + config->iso7816_config.successive_recv_nack = ISO7816_SUCCESSIVE_RECV_NACK_DISABLE; + config->iso7816_config.max_iterations = 7; +#endif +#ifdef FEATURE_USART_COLLISION_DECTION + config->collision_detection_enable = false; +#endif +#ifdef FEATURE_USART_RS485 + config->rs485_guard_time = RS485_GUARD_TIME_0_BIT; +#endif +} + +enum status_code usart_init( + struct usart_module *const module, + Sercom *const hw, + const struct usart_config *const config); + +/** + * \brief Enable the module + * + * Enables the USART module. + * + * \param[in] module Pointer to USART software instance struct + */ +static inline void usart_enable( + const struct usart_module *const module) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + +#if USART_CALLBACK_MODE == true + /* Enable Global interrupt for module */ + system_interrupt_enable(_sercom_get_interrupt_vector(module->hw)); +#endif + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Enable USART module */ + usart_hw->CTRLA.reg |= SERCOM_USART_CTRLA_ENABLE; +} + +/** + * \brief Disable module + * + * Disables the USART module. + * + * \param[in] module Pointer to USART software instance struct + */ +static inline void usart_disable( + const struct usart_module *const module) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + +#if USART_CALLBACK_MODE == true + /* Disable Global interrupt for module */ + system_interrupt_disable(_sercom_get_interrupt_vector(module->hw)); +#endif + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Disable USART module */ + usart_hw->CTRLA.reg &= ~SERCOM_USART_CTRLA_ENABLE; +} + +/** + * \brief Resets the USART module + * + * Disables and resets the USART module. + * + * \param[in] module Pointer to the USART software instance struct + */ +static inline void usart_reset( + const struct usart_module *const module) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + usart_disable(module); + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + /* Reset module */ + usart_hw->CTRLA.reg = SERCOM_USART_CTRLA_SWRST; +} + +/** + * \name Writing and Reading + * @{ + */ +enum status_code usart_write_wait( + struct usart_module *const module, + const uint16_t tx_data); + +enum status_code usart_read_wait( + struct usart_module *const module, + uint16_t *const rx_data); + +enum status_code usart_write_buffer_wait( + struct usart_module *const module, + const uint8_t *tx_data, + uint16_t length); + +enum status_code usart_read_buffer_wait( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length); +/** @} */ + +/** + * \name Enabling/Disabling Receiver and Transmitter + * @{ + */ + +/** + * \brief Enable Transceiver + * + * Enable the given transceiver. Either RX or TX. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] transceiver_type Transceiver type + */ +static inline void usart_enable_transceiver( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + switch (transceiver_type) { + case USART_TRANSCEIVER_RX: + /* Enable RX */ + usart_hw->CTRLB.reg |= SERCOM_USART_CTRLB_RXEN; + module->receiver_enabled = true; + break; + + case USART_TRANSCEIVER_TX: + /* Enable TX */ + usart_hw->CTRLB.reg |= SERCOM_USART_CTRLB_TXEN; + module->transmitter_enabled = true; + break; + } + _usart_wait_for_sync(module); +} + +/** + * \brief Disable Transceiver + * + * Disable the given transceiver (RX or TX). + * + * \param[in] module Pointer to USART software instance struct + * \param[in] transceiver_type Transceiver type + */ +static inline void usart_disable_transceiver( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + /* Wait until synchronization is complete */ + _usart_wait_for_sync(module); + + switch (transceiver_type) { + case USART_TRANSCEIVER_RX: + /* Disable RX */ + usart_hw->CTRLB.reg &= ~SERCOM_USART_CTRLB_RXEN; + module->receiver_enabled = false; + break; + + case USART_TRANSCEIVER_TX: + /* Disable TX */ + usart_hw->CTRLB.reg &= ~SERCOM_USART_CTRLB_TXEN; + module->transmitter_enabled = false; + break; + } +} + +/** @} */ + +#ifdef FEATURE_USART_LIN_MASTER +/** + * \name LIN Master Command and Status + * @{ + */ + +/** + * \brief Sending LIN command. + * + * Sending LIN command. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] cmd Cammand type + */ +static inline void lin_master_send_cmd( + struct usart_module *const module, + enum lin_master_cmd cmd) +{ + SercomUsart *const usart_hw = &(module->hw->USART); + _usart_wait_for_sync(module); + usart_hw->CTRLB.reg |= cmd; +} + +/** + * \brief Get LIN transmission status + * + * Get LIN transmission status. + * + * \param[in] module Pointer to USART software instance struct + * + * \return Status of LIN master transmission. + * \retval true Data transmission completed + * \retval false Transmission is ongoing + */ +static inline bool lin_master_transmission_status(struct usart_module *const module) +{ + SercomUsart *const usart_hw = &(module->hw->USART); + return ((usart_hw->STATUS.reg & SERCOM_USART_STATUS_TXE)? true:false); +} + +/** @} */ +#endif + +#ifdef __cplusplus +} +#endif + +/** @} */ + +/** +* \page asfdoc_sam0_sercom_usart_extra Extra Information for SERCOM USART Driver +* +* \section asfdoc_sam0_sercom_usart_extra_acronyms Acronyms +* +* Below is a table listing the acronyms used in this module, along with their +* intended meanings. +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +* +*
    AcronymDescription
    SERCOMSerial Communication Interface
    USARTUniversal Synchronous and Asynchronous Serial Receiver and Transmitter
    LSBLeast Significant Bit
    MSBMost Significant Bit
    DMADirect Memory Access
    +* +* +* \section asfdoc_sam0_sercom_usart_extra_dependencies Dependencies +* This driver has the following dependencies: +* +* - \ref asfdoc_sam0_system_pinmux_group "System Pin Multiplexer Driver" +* - \ref asfdoc_sam0_system_clock_group "System clock configuration" +* +* +* \section asfdoc_sam0_sercom_usart_extra_errata Errata +* There are no errata related to this driver. +* +* +* \section asfdoc_sam0_sercom_usart_extra_history Module History +* An overview of the module history is presented in the table below, with +* details on the enhancements and fixes made to the module since its first +* release. The current version of this corresponds to the newest version in +* the table. +* + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Changelog
    Added new feature as below: + * \li ISO7816 + *
    Added new features as below: + * \li LIN master + * \li RS485 + *
    Added new features as below: + * \li Oversample + * \li Buffer overflow notification + * \li Irda + * \li Lin slave + * \li Start frame detection + * \li Hardware flow control + * \li Collision detection + * \li DMA support
    \li Added new \c transmitter_enable and \c receiver_enable Boolean + * values to \c struct usart_config + * \li Altered \c usart_write_* and usart_read_* functions to abort with + * an error code if the relevant transceiver is not enabled + * \li Fixed \c usart_write_buffer_wait() and \c usart_read_buffer_wait() + * not aborting correctly when a timeout condition occurs
    Initial Release
    +*/ + +/** + * \page asfdoc_sam0_sercom_usart_exqsg Examples for SERCOM USART Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_sercom_usart_group. QSGs are simple examples with + * step-by-step instructions to configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_sercom_usart_basic_use_case + * \if USART_CALLBACK_MODE + * - \subpage asfdoc_sam0_sercom_usart_callback_use_case + * \endif + * - \subpage asfdoc_sam0_sercom_usart_dma_use_case + * - \subpage asfdoc_sam0_sercom_usart_lin_use_case + */ + +/** + * \page asfdoc_sam0_sercom_usart_mux_settings SERCOM USART MUX Settings + * + * The following lists the possible internal SERCOM module pad function + * assignments, for the four SERCOM pads when in USART mode. Note that this is + * in addition to the physical GPIO pin MUX of the device, and can be used in + * conjunction to optimize the serial data pin-out. + * + * When TX and RX are connected to the same pin, the USART will operate in + * half-duplex mode if both one transmitter and several receivers are enabled. + * + * \note When RX and XCK are connected to the same pin, the receiver must not + * be enabled if the USART is configured to use an external clock. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    MUX/PadPAD 0PAD 1PAD 2PAD 3
    RX_0_TX_0_XCK_1TX / RXXCK--
    RX_0_TX_2_XCK_3RX-TXXCK
    RX_1_TX_0_XCK_1TXRX / XCK--
    RX_1_TX_2_XCK_3-RXTXXCK
    RX_2_TX_0_XCK_1TXXCKRX-
    RX_2_TX_2_XCK_3--TX / RXXCK
    RX_3_TX_0_XCK_1TXXCK-RX
    RX_3_TX_2_XCK_3--TXRX / XCK
    + * + * \page asfdoc_sam0_sercom_usart_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev. + * Date + * Comments + *
    42118F12/2015Added support for SAM L21/L22, SAM DA1, SAM D09, SAMR30/R34 and SAM C20/C21
    42118E12/2014Added support for SAM R21 and SAM D10/D11
    42118D01/2014Added support for SAM D21
    42118C10/2013Replaced the pad multiplexing documentation with a condensed table
    42118B06/2013Corrected documentation typos
    42118A06/2013Initial release
    + */ +#endif /* USART_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c new file mode 100644 index 0000000..151dba2 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.c @@ -0,0 +1,656 @@ +/** + * \file + * + * \brief SAM SERCOM USART Asynchronous Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "usart_interrupt.h" + +/** + * \internal + * Asynchronous write of a buffer with a given length + * + * \param[in] module Pointer to USART software instance struct + * \param[in] tx_data Pointer to data to be transmitted + * \param[in] length Length of data buffer + * + */ +enum status_code _usart_write_buffer( + struct usart_module *const module, + uint8_t *tx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + Assert(tx_data); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + system_interrupt_enter_critical_section(); + + /* Check if the USART transmitter is busy */ + if (module->remaining_tx_buffer_length > 0) { + system_interrupt_leave_critical_section(); + return STATUS_BUSY; + } + + /* Write parameters to the device instance */ + module->remaining_tx_buffer_length = length; + + system_interrupt_leave_critical_section(); + + module->tx_buffer_ptr = tx_data; + module->tx_status = STATUS_BUSY; + + /* Enable the Data Register Empty Interrupt */ + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_DRE; + + return STATUS_OK; +} + +/** + * \internal + * Asynchronous read of a buffer with a given length + * + * \param[in] module Pointer to USART software instance struct + * \param[in] rx_data Pointer to data to be received + * \param[in] length Length of data buffer + * + */ +enum status_code _usart_read_buffer( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + Assert(rx_data); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + system_interrupt_enter_critical_section(); + + /* Check if the USART receiver is busy */ + if (module->remaining_rx_buffer_length > 0) { + system_interrupt_leave_critical_section(); + return STATUS_BUSY; + } + + /* Set length for the buffer and the pointer, and let + * the interrupt handler do the rest */ + module->remaining_rx_buffer_length = length; + + system_interrupt_leave_critical_section(); + + module->rx_buffer_ptr = rx_data; + module->rx_status = STATUS_BUSY; + + /* Enable the RX Complete Interrupt */ + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_RXC; + +#ifdef FEATURE_USART_LIN_SLAVE + /* Enable the break character is received Interrupt */ + if(module->lin_slave_enabled) { + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_RXBRK; + } +#endif + +#ifdef FEATURE_USART_START_FRAME_DECTION + /* Enable a start condition is detected Interrupt */ + if(module->start_frame_detection_enabled) { + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_RXS; + } +#endif + + return STATUS_OK; +} + +/** + * \brief Registers a callback + * + * Registers a callback function, which is implemented by the user. + * + * \note The callback must be enabled by \ref usart_enable_callback + * in order for the interrupt handler to call it when the conditions for + * the callback type are met. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] callback_func Pointer to callback function + * \param[in] callback_type Callback type given by an enum + * + */ +void usart_register_callback( + struct usart_module *const module, + usart_callback_t callback_func, + enum usart_callback callback_type) +{ + /* Sanity check arguments */ + Assert(module); + Assert(callback_func); + + /* Register callback function */ + module->callback[callback_type] = callback_func; + + /* Set the bit corresponding to the callback_type */ + module->callback_reg_mask |= (1 << callback_type); +} + +/** + * \brief Unregisters a callback + * + * Unregisters a callback function, which is implemented by the user. + * + * \param[in,out] module Pointer to USART software instance struct + * \param[in] callback_type Callback type given by an enum + * + */ +void usart_unregister_callback( + struct usart_module *const module, + enum usart_callback callback_type) +{ + /* Sanity check arguments */ + Assert(module); + + /* Unregister callback function */ + module->callback[callback_type] = NULL; + + /* Clear the bit corresponding to the callback_type */ + module->callback_reg_mask &= ~(1 << callback_type); +} + +/** + * \brief Asynchronous write a single char + * + * Sets up the driver to write the data given. If registered and enabled, + * a callback function will be called when the transmit is completed. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] tx_data Data to transfer + * + * \returns Status of the operation. + * \retval STATUS_OK If operation was completed + * \retval STATUS_BUSY If operation was not completed, due to the + * USART module being busy + * \retval STATUS_ERR_DENIED If the transmitter is not enabled + */ +enum status_code usart_write_job( + struct usart_module *const module, + const uint16_t *tx_data) +{ + /* Sanity check arguments */ + Assert(module); + Assert(tx_data); + + + /* Check that the transmitter is enabled */ + if (!(module->transmitter_enabled)) { + return STATUS_ERR_DENIED; + } + + /* Call internal write buffer function with length 1 */ + return _usart_write_buffer(module, (uint8_t *)tx_data, 1); +} + +/** + * \brief Asynchronous read a single char + * + * Sets up the driver to read data from the USART module to the data + * pointer given. If registered and enabled, a callback will be called + * when the receiving is completed. + * + * \param[in] module Pointer to USART software instance struct + * \param[out] rx_data Pointer to where received data should be put + * + * \returns Status of the operation. + * \retval STATUS_OK If operation was completed + * \retval STATUS_BUSY If operation was not completed + */ +enum status_code usart_read_job( + struct usart_module *const module, + uint16_t *const rx_data) +{ + /* Sanity check arguments */ + Assert(module); + Assert(rx_data); + + /* Call internal read buffer function with length 1 */ + return _usart_read_buffer(module, (uint8_t *)rx_data, 1); +} + +/** + * \brief Asynchronous buffer write + * + * Sets up the driver to write a given buffer over the USART. If registered and + * enabled, a callback function will be called. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] tx_data Pointer do data buffer to transmit + * \param[in] length Length of the data to transmit + * + * \note If using 9-bit data, the array that *tx_data point to should be defined + * as uint16_t array and should be casted to uint8_t* pointer. Because it + * is an address pointer, the highest byte is not discarded. For example: + * \code + #define TX_LEN 3 + uint16_t tx_buf[TX_LEN] = {0x0111, 0x0022, 0x0133}; + usart_write_buffer_job(&module, (uint8_t*)tx_buf, TX_LEN); + \endcode + * + * \returns Status of the operation. + * \retval STATUS_OK If operation was completed successfully. + * \retval STATUS_BUSY If operation was not completed, due to the + * USART module being busy + * \retval STATUS_ERR_INVALID_ARG If operation was not completed, due to invalid + * arguments + * \retval STATUS_ERR_DENIED If the transmitter is not enabled + */ +enum status_code usart_write_buffer_job( + struct usart_module *const module, + uint8_t *tx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(tx_data); + + if (length == 0) { + return STATUS_ERR_INVALID_ARG; + } + + /* Check that the transmitter is enabled */ + if (!(module->transmitter_enabled)) { + return STATUS_ERR_DENIED; + } + + /* Issue internal asynchronous write */ + return _usart_write_buffer(module, tx_data, length); +} + +/** + * \brief Asynchronous buffer read + * + * Sets up the driver to read from the USART to a given buffer. If registered + * and enabled, a callback function will be called. + * + * \param[in] module Pointer to USART software instance struct + * \param[out] rx_data Pointer to data buffer to receive + * \param[in] length Data buffer length + * + * \note If using 9-bit data, the array that *rx_data point to should be defined + * as uint16_t array and should be casted to uint8_t* pointer. Because it + * is an address pointer, the highest byte is not discarded. For example: + * \code + #define RX_LEN 3 + uint16_t rx_buf[RX_LEN] = {0x0,}; + usart_read_buffer_job(&module, (uint8_t*)rx_buf, RX_LEN); + \endcode + * + * \returns Status of the operation. + * \retval STATUS_OK If operation was completed + * \retval STATUS_BUSY If operation was not completed, due to the + * USART module being busy + * \retval STATUS_ERR_INVALID_ARG If operation was not completed, due to invalid + * arguments + * \retval STATUS_ERR_DENIED If the transmitter is not enabled + */ +enum status_code usart_read_buffer_job( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length) +{ + /* Sanity check arguments */ + Assert(module); + Assert(rx_data); + + if (length == 0) { + return STATUS_ERR_INVALID_ARG; + } + + /* Check that the receiver is enabled */ + if (!(module->receiver_enabled)) { + return STATUS_ERR_DENIED; + } + + /* Issue internal asynchronous read */ + return _usart_read_buffer(module, rx_data, length); +} + +/** + * \brief Cancels ongoing read/write operation + * + * Cancels the ongoing read/write operation modifying parameters in the + * USART software struct. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] transceiver_type Transfer type to cancel + */ +void usart_abort_job( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type) +{ + /* Sanity check arguments */ + Assert(module); + Assert(module->hw); + + /* Get a pointer to the hardware module instance */ + SercomUsart *const usart_hw = &(module->hw->USART); + + switch(transceiver_type) { + case USART_TRANSCEIVER_RX: + /* Clear the interrupt flag in order to prevent the receive + * complete callback to fire */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_RXC; + + /* Clear the software reception buffer */ + module->remaining_rx_buffer_length = 0; + + break; + + case USART_TRANSCEIVER_TX: + /* Clear the interrupt flag in order to prevent the receive + * complete callback to fire */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_TXC; + + /* Clear the software reception buffer */ + module->remaining_tx_buffer_length = 0; + + break; + } +} + +/** + * \brief Get status from the ongoing or last asynchronous transfer operation + * + * Returns the error from a given ongoing or last asynchronous transfer operation. + * Either from a read or write transfer. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] transceiver_type Transfer type to check + * + * \return Status of the given job. + * \retval STATUS_OK No error occurred during the last transfer + * \retval STATUS_BUSY A transfer is ongoing + * \retval STATUS_ERR_BAD_DATA The last operation was aborted due to a + * parity error. The transfer could be affected + * by external noise + * \retval STATUS_ERR_BAD_FORMAT The last operation was aborted due to a + * frame error + * \retval STATUS_ERR_OVERFLOW The last operation was aborted due to a + * buffer overflow + * \retval STATUS_ERR_INVALID_ARG An invalid transceiver enum given + */ +enum status_code usart_get_job_status( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type) +{ + /* Sanity check arguments */ + Assert(module); + + /* Variable for status code */ + enum status_code status_code; + + switch(transceiver_type) { + case USART_TRANSCEIVER_RX: + status_code = module->rx_status; + break; + + case USART_TRANSCEIVER_TX: + status_code = module->tx_status; + break; + + default: + status_code = STATUS_ERR_INVALID_ARG; + break; + } + + return status_code; +} + +/** + * \internal + * Handles interrupts as they occur, and it will run callback functions + * which are registered and enabled. + * + * \param[in] instance ID of the SERCOM instance calling the interrupt + * handler. + */ +void _usart_interrupt_handler( + uint8_t instance) +{ + /* Temporary variables */ + uint16_t interrupt_status; + uint16_t callback_status; + uint8_t error_code; + + + /* Get device instance from the look-up table */ + struct usart_module *module + = (struct usart_module *)_sercom_instances[instance]; + + /* Pointer to the hardware module instance */ + SercomUsart *const usart_hw + = &(module->hw->USART); + + /* Wait for the synchronization to complete */ + _usart_wait_for_sync(module); + + /* Read and mask interrupt flag register */ + interrupt_status = usart_hw->INTFLAG.reg; + interrupt_status &= usart_hw->INTENSET.reg; + callback_status = module->callback_reg_mask & + module->callback_enable_mask; + + /* Check if a DATA READY interrupt has occurred, + * and if there is more to transfer */ + if (interrupt_status & SERCOM_USART_INTFLAG_DRE) { + if (module->remaining_tx_buffer_length) { + /* Write value will be at least 8-bits long */ + uint16_t data_to_send = *(module->tx_buffer_ptr); + /* Increment 8-bit pointer */ + (module->tx_buffer_ptr)++; + + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + data_to_send |= (*(module->tx_buffer_ptr) << 8); + /* Increment 8-bit pointer */ + (module->tx_buffer_ptr)++; + } + /* Write the data to send */ + usart_hw->DATA.reg = (data_to_send & SERCOM_USART_DATA_MASK); + + if (--(module->remaining_tx_buffer_length) == 0) { + /* Disable the Data Register Empty Interrupt */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_DRE; + /* Enable Transmission Complete interrupt */ + usart_hw->INTENSET.reg = SERCOM_USART_INTFLAG_TXC; + + } + } else { + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_DRE; + } + } + + /* Check if the Transmission Complete interrupt has occurred and + * that the transmit buffer is empty */ + if (interrupt_status & SERCOM_USART_INTFLAG_TXC) { + + /* Disable TX Complete Interrupt, and set STATUS_OK */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_TXC; + module->tx_status = STATUS_OK; + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_BUFFER_TRANSMITTED)) { + (*(module->callback[USART_CALLBACK_BUFFER_TRANSMITTED]))(module); + } + } + + /* Check if the Receive Complete interrupt has occurred, and that + * there's more data to receive */ + if (interrupt_status & SERCOM_USART_INTFLAG_RXC) { + + if (module->remaining_rx_buffer_length) { + /* Read out the status code and mask away all but the 4 LSBs*/ + error_code = (uint8_t)(usart_hw->STATUS.reg & SERCOM_USART_STATUS_MASK); +#if !SAMD20 + /* CTS status should not be considered as an error */ + if(error_code & SERCOM_USART_STATUS_CTS) { + error_code &= ~SERCOM_USART_STATUS_CTS; + } +#endif +#ifdef FEATURE_USART_LIN_MASTER + /* TXE status should not be considered as an error */ + if(error_code & SERCOM_USART_STATUS_TXE) { + error_code &= ~SERCOM_USART_STATUS_TXE; + } +#endif + /* Check if an error has occurred during the receiving */ + if (error_code) { + /* Check which error occurred */ + if (error_code & SERCOM_USART_STATUS_FERR) { + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_BAD_FORMAT; + usart_hw->STATUS.reg = SERCOM_USART_STATUS_FERR; + } else if (error_code & SERCOM_USART_STATUS_BUFOVF) { + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_OVERFLOW; + usart_hw->STATUS.reg = SERCOM_USART_STATUS_BUFOVF; + } else if (error_code & SERCOM_USART_STATUS_PERR) { + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_BAD_DATA; + usart_hw->STATUS.reg = SERCOM_USART_STATUS_PERR; + } +#ifdef FEATURE_USART_LIN_SLAVE + else if (error_code & SERCOM_USART_STATUS_ISF) { + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_PROTOCOL; + usart_hw->STATUS.reg = SERCOM_USART_STATUS_ISF; + } +#endif +#ifdef FEATURE_USART_COLLISION_DECTION + else if (error_code & SERCOM_USART_STATUS_COLL) { + /* Store the error code and clear flag by writing 1 to it */ + module->rx_status = STATUS_ERR_PACKET_COLLISION; + usart_hw->STATUS.reg = SERCOM_USART_STATUS_COLL; + } +#endif + + /* Run callback if registered and enabled */ + if (callback_status + & (1 << USART_CALLBACK_ERROR)) { + (*(module->callback[USART_CALLBACK_ERROR]))(module); + } + + } else { + + /* Read current packet from DATA register, + * increment buffer pointer and decrement buffer length */ + uint16_t received_data = (usart_hw->DATA.reg & SERCOM_USART_DATA_MASK); + + /* Read value will be at least 8-bits long */ + *(module->rx_buffer_ptr) = received_data; + /* Increment 8-bit pointer */ + module->rx_buffer_ptr += 1; + + if (module->character_size == USART_CHARACTER_SIZE_9BIT) { + /* 9-bit data, write next received byte to the buffer */ + *(module->rx_buffer_ptr) = (received_data >> 8); + /* Increment 8-bit pointer */ + module->rx_buffer_ptr += 1; + } + + /* Check if the last character have been received */ + if(--(module->remaining_rx_buffer_length) == 0) { + /* Disable RX Complete Interrupt, + * and set STATUS_OK */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_RXC; + module->rx_status = STATUS_OK; + + /* Run callback if registered and enabled */ + if (callback_status + & (1 << USART_CALLBACK_BUFFER_RECEIVED)) { + (*(module->callback[USART_CALLBACK_BUFFER_RECEIVED]))(module); + } + } + } + } else { + /* This should not happen. Disable Receive Complete interrupt. */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTFLAG_RXC; + } + } + +#ifdef FEATURE_USART_HARDWARE_FLOW_CONTROL + if (interrupt_status & SERCOM_USART_INTFLAG_CTSIC) { + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_CTSIC; + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_CTSIC; + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_CTS_INPUT_CHANGE)) { + (*(module->callback[USART_CALLBACK_CTS_INPUT_CHANGE]))(module); + } + } +#endif + +#ifdef FEATURE_USART_LIN_SLAVE + if (interrupt_status & SERCOM_USART_INTFLAG_RXBRK) { + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_RXBRK; + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_RXBRK; + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_BREAK_RECEIVED)) { + (*(module->callback[USART_CALLBACK_BREAK_RECEIVED]))(module); + } + } +#endif + +#ifdef FEATURE_USART_START_FRAME_DECTION + if (interrupt_status & SERCOM_USART_INTFLAG_RXS) { + /* Disable interrupts */ + usart_hw->INTENCLR.reg = SERCOM_USART_INTENCLR_RXS; + /* Clear interrupt flag */ + usart_hw->INTFLAG.reg = SERCOM_USART_INTFLAG_RXS; + + /* Run callback if registered and enabled */ + if (callback_status & (1 << USART_CALLBACK_START_RECEIVED)) { + (*(module->callback[USART_CALLBACK_START_RECEIVED]))(module); + } + } +#endif +} + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h new file mode 100644 index 0000000..9dcbbae --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/sercom/usart/usart_interrupt.h @@ -0,0 +1,167 @@ +/** + * \file + * + * \brief SAM SERCOM USART Asynchronous Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef USART_INTERRUPT_H_INCLUDED +#define USART_INTERRUPT_H_INCLUDED + +#include "usart.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#if !defined(__DOXYGEN__) +enum status_code _usart_write_buffer( + struct usart_module *const module, + uint8_t *tx_data, + uint16_t length); + +enum status_code _usart_read_buffer( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length); + +void _usart_interrupt_handler( + uint8_t instance); +#endif + +/** + * \addtogroup asfdoc_sam0_sercom_usart_group + * + * @{ + */ + +/** + * \name Callback Management + * @{ + */ +void usart_register_callback( + struct usart_module *const module, + usart_callback_t callback_func, + enum usart_callback callback_type); + +void usart_unregister_callback( + struct usart_module *module, + enum usart_callback callback_type); + +/** + * \brief Enables callback + * + * Enables the callback function registered by the \ref usart_register_callback. + * The callback function will be called from the interrupt handler when the + * conditions for the callback type are met. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] callback_type Callback type given by an enum + */ +static inline void usart_enable_callback( + struct usart_module *const module, + enum usart_callback callback_type) +{ + /* Sanity check arguments */ + Assert(module); + + /* Enable callback */ + module->callback_enable_mask |= (1 << callback_type); + +} + +/** + * \brief Disable callback + * + * Disables the callback function registered by the \ref usart_register_callback, + * and the callback will not be called from the interrupt routine. + * + * \param[in] module Pointer to USART software instance struct + * \param[in] callback_type Callback type given by an enum + */ +static inline void usart_disable_callback( + struct usart_module *const module, + enum usart_callback callback_type) +{ + /* Sanity check arguments */ + Assert(module); + + /* Disable callback */ + module->callback_enable_mask &= ~(1 << callback_type); +} + +/** + * @} + */ + +/** + * \name Writing and Reading + * @{ + */ +enum status_code usart_write_job( + struct usart_module *const module, + const uint16_t *tx_data); + +enum status_code usart_read_job( + struct usart_module *const module, + uint16_t *const rx_data); + +enum status_code usart_write_buffer_job( + struct usart_module *const module, + uint8_t *tx_data, + uint16_t length); + +enum status_code usart_read_buffer_job( + struct usart_module *const module, + uint8_t *rx_data, + uint16_t length); + +void usart_abort_job( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type); + +enum status_code usart_get_job_status( + struct usart_module *const module, + enum usart_transceiver_type transceiver_type); +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /* USART_INTERRUPT_H_INCLUDED */ + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock.h new file mode 100644 index 0000000..9abd168 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock.h @@ -0,0 +1,43 @@ +/** + * \file + * + * \brief SAM Clock Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SYSTEM_CLOCK_H_INCLUDED +#define SYSTEM_CLOCK_H_INCLUDED + +#include +#include +#include + +#endif /* SYSTEM_CLOCK_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c new file mode 100644 index 0000000..ecbf122 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock.c @@ -0,0 +1,1031 @@ +/** + * \file + * + * \brief SAM D21/R21/DA/HA Clock Driver + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include +#include +#include + +#ifndef SYSCTRL_FUSES_OSC32K_ADDR +#if (SAMR21) || (SAMD) || (SAMHA1) || (SAMHA0) +# define SYSCTRL_FUSES_OSC32K_ADDR FUSES_OSC32K_CAL_ADDR +# define SYSCTRL_FUSES_OSC32K_Pos FUSES_OSC32K_CAL_Pos +#elif (SAML21) +# define SYSCTRL_FUSES_OSC32K_ADDR NVMCTRL_OTP4 +# define SYSCTRL_FUSES_OSC32K_Pos 6 + +#else +# define SYSCTRL_FUSES_OSC32K_ADDR SYSCTRL_FUSES_OSC32K_CAL_ADDR +# define SYSCTRL_FUSES_OSC32K_Pos SYSCTRL_FUSES_OSC32K_CAL_Pos +#endif +#endif + +/** + * \internal + * \brief DFLL-specific data container. + */ +struct _system_clock_dfll_config { + uint32_t control; + uint32_t val; + uint32_t mul; +}; + +/** + * \internal + * \brief DPLL-specific data container. + */ +struct _system_clock_dpll_config { + uint32_t frequency; +}; + + +/** + * \internal + * \brief XOSC-specific data container. + */ +struct _system_clock_xosc_config { + uint32_t frequency; +}; + +/** + * \internal + * \brief System clock module data container. + */ +struct _system_clock_module { + volatile struct _system_clock_dfll_config dfll; + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + volatile struct _system_clock_dpll_config dpll; +#endif + + volatile struct _system_clock_xosc_config xosc; + volatile struct _system_clock_xosc_config xosc32k; +}; + +/** + * \internal + * \brief Internal module instance to cache configuration values. + */ +static struct _system_clock_module _system_clock_inst = { + .dfll = { + .control = 0, + .val = 0, + .mul = 0, + }, + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + .dpll = { + .frequency = 0, + }, +#endif + .xosc = { + .frequency = 0, + }, + .xosc32k = { + .frequency = 0, + }, + }; + +/** + * \internal + * \brief Wait for sync to the DFLL control registers. + */ +static inline void _system_dfll_wait_for_sync(void) +{ + while (!(SYSCTRL->PCLKSR.reg & SYSCTRL_PCLKSR_DFLLRDY)) { + /* Wait for DFLL sync */ + } +} + +/** + * \internal + * \brief Wait for sync to the OSC32K control registers. + */ +static inline void _system_osc32k_wait_for_sync(void) +{ + while (!(SYSCTRL->PCLKSR.reg & SYSCTRL_PCLKSR_OSC32KRDY)) { + /* Wait for OSC32K sync */ + } +} + +static inline void _system_clock_source_dfll_set_config_errata_9905(void) +{ + + /* Disable ONDEMAND mode while writing configurations */ + SYSCTRL->DFLLCTRL.reg = SYSCTRL_DFLLCTRL_ENABLE; + _system_dfll_wait_for_sync(); + + SYSCTRL->DFLLMUL.reg = _system_clock_inst.dfll.mul; + SYSCTRL->DFLLVAL.reg = _system_clock_inst.dfll.val; + + /* Write full configuration to DFLL control register */ + SYSCTRL->DFLLCTRL.reg = 0; + _system_dfll_wait_for_sync(); + SYSCTRL->DFLLCTRL.reg = _system_clock_inst.dfll.control; +} + +/** + * \brief Retrieve the frequency of a clock source. + * + * Determines the current operating frequency of a given clock source. + * + * \param[in] clock_source Clock source to get the frequency + * + * \returns Frequency of the given clock source, in Hz. + */ +uint32_t system_clock_source_get_hz( + const enum system_clock_source clock_source) +{ + switch (clock_source) { + case SYSTEM_CLOCK_SOURCE_XOSC: + return _system_clock_inst.xosc.frequency; + + case SYSTEM_CLOCK_SOURCE_OSC8M: + return 8000000UL >> SYSCTRL->OSC8M.bit.PRESC; + + case SYSTEM_CLOCK_SOURCE_OSC32K: + return 32768UL; + + case SYSTEM_CLOCK_SOURCE_ULP32K: + return 32768UL; + + case SYSTEM_CLOCK_SOURCE_XOSC32K: + return _system_clock_inst.xosc32k.frequency; + + case SYSTEM_CLOCK_SOURCE_DFLL: + + /* Check if the DFLL has been configured */ + if (!(_system_clock_inst.dfll.control & SYSCTRL_DFLLCTRL_ENABLE)) + return 0; + + /* Make sure that the DFLL module is ready */ + _system_dfll_wait_for_sync(); + + /* Check if operating in closed loop (USB) mode */ + switch(_system_clock_inst.dfll.control & + (SYSCTRL_DFLLCTRL_MODE | SYSCTRL_DFLLCTRL_USBCRM)) { + case SYSCTRL_DFLLCTRL_MODE: + return system_gclk_chan_get_hz(SYSCTRL_GCLK_ID_DFLL48) * + (_system_clock_inst.dfll.mul & 0xffff); + default: + return 48000000UL; + } + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + case SYSTEM_CLOCK_SOURCE_DPLL: + if (!(SYSCTRL->DPLLSTATUS.reg & SYSCTRL_DPLLSTATUS_ENABLE)) { + return 0; + } + + return _system_clock_inst.dpll.frequency; +#endif + + default: + return 0; + } +} + +/** + * \brief Configure the internal OSC8M oscillator clock source. + * + * Configures the 8MHz (nominal) internal RC oscillator with the given + * configuration settings. + * + * \param[in] config OSC8M configuration structure containing the new config + */ +void system_clock_source_osc8m_set_config( + struct system_clock_source_osc8m_config *const config) +{ + SYSCTRL_OSC8M_Type temp = SYSCTRL->OSC8M; + + /* Use temporary struct to reduce register access */ + temp.bit.PRESC = config->prescaler; + temp.bit.ONDEMAND = config->on_demand; + temp.bit.RUNSTDBY = config->run_in_standby; + + SYSCTRL->OSC8M = temp; +} + +/** + * \brief Configure the internal OSC32K oscillator clock source. + * + * Configures the 32KHz (nominal) internal RC oscillator with the given + * configuration settings. + * + * \param[in] config OSC32K configuration structure containing the new config + */ +void system_clock_source_osc32k_set_config( + struct system_clock_source_osc32k_config *const config) +{ + SYSCTRL_OSC32K_Type temp = SYSCTRL->OSC32K; + + /* Update settings via a temporary struct to reduce register access */ + temp.bit.EN1K = config->enable_1khz_output; + temp.bit.EN32K = config->enable_32khz_output; + temp.bit.STARTUP = config->startup_time; + temp.bit.ONDEMAND = config->on_demand; + temp.bit.RUNSTDBY = config->run_in_standby; + temp.bit.WRTLOCK = config->write_once; + + SYSCTRL->OSC32K = temp; +} + +/** + * \brief Configure the external oscillator clock source. + * + * Configures the external oscillator clock source with the given configuration + * settings. + * + * \param[in] config External oscillator configuration structure containing + * the new config + */ +void system_clock_source_xosc_set_config( + struct system_clock_source_xosc_config *const config) +{ + SYSCTRL_XOSC_Type temp = SYSCTRL->XOSC; + + temp.bit.STARTUP = config->startup_time; + + if (config->external_clock == SYSTEM_CLOCK_EXTERNAL_CRYSTAL) { + temp.bit.XTALEN = 1; + } else { + temp.bit.XTALEN = 0; + } + + temp.bit.AMPGC = config->auto_gain_control; + + /* Set gain */ + if (config->frequency <= 2000000) { + temp.bit.GAIN = 0; + } else if (config->frequency <= 4000000) { + temp.bit.GAIN = 1; + } else if (config->frequency <= 8000000) { + temp.bit.GAIN = 2; + } else if (config->frequency <= 16000000) { + temp.bit.GAIN = 3; + } else if (config->frequency <= 32000000) { + temp.bit.GAIN = 4; + } + + temp.bit.ONDEMAND = config->on_demand; + temp.bit.RUNSTDBY = config->run_in_standby; + + /* Store XOSC frequency for internal use */ + _system_clock_inst.xosc.frequency = config->frequency; + + SYSCTRL->XOSC = temp; +} + +/** + * \brief Configure the XOSC32K external 32KHz oscillator clock source. + * + * Configures the external 32KHz oscillator clock source with the given + * configuration settings. + * + * \param[in] config XOSC32K configuration structure containing the new config + */ +void system_clock_source_xosc32k_set_config( + struct system_clock_source_xosc32k_config *const config) +{ + SYSCTRL_XOSC32K_Type temp = SYSCTRL->XOSC32K; + + temp.bit.STARTUP = config->startup_time; + + if (config->external_clock == SYSTEM_CLOCK_EXTERNAL_CRYSTAL) { + temp.bit.XTALEN = 1; + } else { + temp.bit.XTALEN = 0; + } + + temp.bit.AAMPEN = config->auto_gain_control; + temp.bit.EN1K = config->enable_1khz_output; + temp.bit.EN32K = config->enable_32khz_output; + + temp.bit.ONDEMAND = config->on_demand; + temp.bit.RUNSTDBY = config->run_in_standby; + temp.bit.WRTLOCK = config->write_once; + + /* Cache the new frequency in case the user needs to check the current + * operating frequency later */ + _system_clock_inst.xosc32k.frequency = config->frequency; + + SYSCTRL->XOSC32K = temp; +} + +/** + * \brief Configure the DFLL clock source. + * + * Configures the Digital Frequency Locked Loop clock source with the given + * configuration settings. + * + * \note The DFLL will be running when this function returns, as the DFLL module + * needs to be enabled in order to perform the module configuration. + * + * \param[in] config DFLL configuration structure containing the new config + */ +void system_clock_source_dfll_set_config( + struct system_clock_source_dfll_config *const config) +{ + _system_clock_inst.dfll.val = + SYSCTRL_DFLLVAL_COARSE(config->coarse_value) | + SYSCTRL_DFLLVAL_FINE(config->fine_value); + + _system_clock_inst.dfll.control = + (uint32_t)config->wakeup_lock | + (uint32_t)config->stable_tracking | + (uint32_t)config->quick_lock | + (uint32_t)config->chill_cycle | + ((uint32_t)config->on_demand << SYSCTRL_DFLLCTRL_ONDEMAND_Pos); + + if (config->loop_mode == SYSTEM_CLOCK_DFLL_LOOP_MODE_CLOSED) { + + _system_clock_inst.dfll.mul = + SYSCTRL_DFLLMUL_CSTEP(config->coarse_max_step) | + SYSCTRL_DFLLMUL_FSTEP(config->fine_max_step) | + SYSCTRL_DFLLMUL_MUL(config->multiply_factor); + + /* Enable the closed loop mode */ + _system_clock_inst.dfll.control |= config->loop_mode; + } + if (config->loop_mode == SYSTEM_CLOCK_DFLL_LOOP_MODE_USB_RECOVERY) { + + _system_clock_inst.dfll.mul = + SYSCTRL_DFLLMUL_CSTEP(config->coarse_max_step) | + SYSCTRL_DFLLMUL_FSTEP(config->fine_max_step) | + SYSCTRL_DFLLMUL_MUL(config->multiply_factor); + + /* Enable the USB recovery mode */ + _system_clock_inst.dfll.control |= config->loop_mode | + SYSCTRL_DFLLCTRL_MODE | SYSCTRL_DFLLCTRL_BPLCKC; + } +} + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL +/** + * \brief Configure the DPLL clock source. + * + * Configures the Digital Phase-Locked Loop clock source with the given + * configuration settings. + * + * \note The DPLL will be running when this function returns, as the DPLL module + * needs to be enabled in order to perform the module configuration. + * + * \param[in] config DPLL configuration structure containing the new config + */ +void system_clock_source_dpll_set_config( + struct system_clock_source_dpll_config *const config) +{ + + uint32_t tmpldr; + uint8_t tmpldrfrac; + uint32_t refclk; + + refclk = config->reference_frequency; + + /* Only reference clock REF1 can be divided */ + if (config->reference_clock == SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC) { + refclk = refclk / (2 * (config->reference_divider + 1)); + } + + /* Calculate LDRFRAC and LDR */ + tmpldr = (config->output_frequency << 4) / refclk; + tmpldrfrac = tmpldr & 0x0f; + tmpldr = (tmpldr >> 4) - 1; + + SYSCTRL->DPLLCTRLA.reg = + ((uint32_t)config->on_demand << SYSCTRL_DPLLCTRLA_ONDEMAND_Pos) | + ((uint32_t)config->run_in_standby << SYSCTRL_DPLLCTRLA_RUNSTDBY_Pos); + + SYSCTRL->DPLLRATIO.reg = + SYSCTRL_DPLLRATIO_LDRFRAC(tmpldrfrac) | + SYSCTRL_DPLLRATIO_LDR(tmpldr); + + SYSCTRL->DPLLCTRLB.reg = + SYSCTRL_DPLLCTRLB_DIV(config->reference_divider) | + ((uint32_t)config->lock_bypass << SYSCTRL_DPLLCTRLB_LBYPASS_Pos) | + SYSCTRL_DPLLCTRLB_LTIME(config->lock_time) | + SYSCTRL_DPLLCTRLB_REFCLK(config->reference_clock) | + ((uint32_t)config->wake_up_fast << SYSCTRL_DPLLCTRLB_WUF_Pos) | + ((uint32_t)config->low_power_enable << SYSCTRL_DPLLCTRLB_LPEN_Pos) | + SYSCTRL_DPLLCTRLB_FILTER(config->filter); + + /* + * Fck = Fckrx * (LDR + 1 + LDRFRAC / 16) + */ + _system_clock_inst.dpll.frequency = + (refclk * (((tmpldr + 1) << 4) + tmpldrfrac)) >> 4; +} +#endif + +/** + * \brief Writes the calibration values for a given oscillator clock source. + * + * Writes an oscillator calibration value to the given oscillator control + * registers. The acceptable ranges are: + * + * For OSC32K: + * - 7 bits (max value 128) + * For OSC8MHZ: + * - 8 bits (Max value 255) + * For OSCULP: + * - 5 bits (Max value 32) + * + * \note The frequency range parameter applies only when configuring the 8MHz + * oscillator and will be ignored for the other oscillators. + * + * \param[in] clock_source Clock source to calibrate + * \param[in] calibration_value Calibration value to write + * \param[in] freq_range Frequency range (8MHz oscillator only) + * + * \retval STATUS_OK The calibration value was written + * successfully. + * \retval STATUS_ERR_INVALID_ARG The setting is not valid for selected clock + * source. + */ +enum status_code system_clock_source_write_calibration( + const enum system_clock_source clock_source, + const uint16_t calibration_value, + const uint8_t freq_range) +{ + switch (clock_source) { + case SYSTEM_CLOCK_SOURCE_OSC8M: + + if (calibration_value > 0xfff || freq_range > 4) { + return STATUS_ERR_INVALID_ARG; + } + + SYSCTRL->OSC8M.bit.CALIB = calibration_value; + SYSCTRL->OSC8M.bit.FRANGE = freq_range; + break; + + case SYSTEM_CLOCK_SOURCE_OSC32K: + + if (calibration_value > 128) { + return STATUS_ERR_INVALID_ARG; + } + + _system_osc32k_wait_for_sync(); + SYSCTRL->OSC32K.bit.CALIB = calibration_value; + break; + + case SYSTEM_CLOCK_SOURCE_ULP32K: + + if (calibration_value > 32) { + return STATUS_ERR_INVALID_ARG; + } + + SYSCTRL->OSCULP32K.bit.CALIB = calibration_value; + break; + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + break; + } + + return STATUS_OK; +} + +/** + * \brief Enables a clock source. + * + * Enables a clock source which has been previously configured. + * + * \param[in] clock_source Clock source to enable + * + * \retval STATUS_OK Clock source was enabled successfully and + * is ready + * \retval STATUS_ERR_INVALID_ARG The clock source is not available on this + * device + */ +enum status_code system_clock_source_enable( + const enum system_clock_source clock_source) +{ + switch (clock_source) { + case SYSTEM_CLOCK_SOURCE_OSC8M: + SYSCTRL->OSC8M.reg |= SYSCTRL_OSC8M_ENABLE; + return STATUS_OK; + + case SYSTEM_CLOCK_SOURCE_OSC32K: + SYSCTRL->OSC32K.reg |= SYSCTRL_OSC32K_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC: + SYSCTRL->XOSC.reg |= SYSCTRL_XOSC_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC32K: + SYSCTRL->XOSC32K.reg |= SYSCTRL_XOSC32K_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_DFLL: + _system_clock_inst.dfll.control |= SYSCTRL_DFLLCTRL_ENABLE; + _system_clock_source_dfll_set_config_errata_9905(); + break; + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + case SYSTEM_CLOCK_SOURCE_DPLL: + SYSCTRL->DPLLCTRLA.reg |= SYSCTRL_DPLLCTRLA_ENABLE; + break; +#endif + + case SYSTEM_CLOCK_SOURCE_ULP32K: + /* Always enabled */ + return STATUS_OK; + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + + return STATUS_OK; +} + +/** + * \brief Disables a clock source. + * + * Disables a clock source that was previously enabled. + * + * \param[in] clock_source Clock source to disable + * + * \retval STATUS_OK Clock source was disabled successfully + * \retval STATUS_ERR_INVALID_ARG An invalid or unavailable clock source was + * given + */ +enum status_code system_clock_source_disable( + const enum system_clock_source clock_source) +{ + switch (clock_source) { + case SYSTEM_CLOCK_SOURCE_OSC8M: + SYSCTRL->OSC8M.reg &= ~SYSCTRL_OSC8M_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_OSC32K: + SYSCTRL->OSC32K.reg &= ~SYSCTRL_OSC32K_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC: + SYSCTRL->XOSC.reg &= ~SYSCTRL_XOSC_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC32K: + SYSCTRL->XOSC32K.reg &= ~SYSCTRL_XOSC32K_ENABLE; + break; + + case SYSTEM_CLOCK_SOURCE_DFLL: + _system_clock_inst.dfll.control &= ~SYSCTRL_DFLLCTRL_ENABLE; + SYSCTRL->DFLLCTRL.reg = _system_clock_inst.dfll.control; + break; + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + case SYSTEM_CLOCK_SOURCE_DPLL: + SYSCTRL->DPLLCTRLA.reg &= ~SYSCTRL_DPLLCTRLA_ENABLE; + break; +#endif + + case SYSTEM_CLOCK_SOURCE_ULP32K: + /* Not possible to disable */ + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + + } + + return STATUS_OK; +} + +/** + * \brief Checks if a clock source is ready. + * + * Checks if a given clock source is ready to be used. + * + * \param[in] clock_source Clock source to check if ready + * + * \returns Ready state of the given clock source. + * + * \retval true Clock source is enabled and ready + * \retval false Clock source is disabled or not yet ready + */ +bool system_clock_source_is_ready( + const enum system_clock_source clock_source) +{ + uint32_t mask = 0; + + switch (clock_source) { + case SYSTEM_CLOCK_SOURCE_OSC8M: + mask = SYSCTRL_PCLKSR_OSC8MRDY; + break; + + case SYSTEM_CLOCK_SOURCE_OSC32K: + mask = SYSCTRL_PCLKSR_OSC32KRDY; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC: + mask = SYSCTRL_PCLKSR_XOSCRDY; + break; + + case SYSTEM_CLOCK_SOURCE_XOSC32K: + mask = SYSCTRL_PCLKSR_XOSC32KRDY; + break; + + case SYSTEM_CLOCK_SOURCE_DFLL: + if (CONF_CLOCK_DFLL_LOOP_MODE == SYSTEM_CLOCK_DFLL_LOOP_MODE_CLOSED) { + mask = (SYSCTRL_PCLKSR_DFLLRDY | + SYSCTRL_PCLKSR_DFLLLCKF | SYSCTRL_PCLKSR_DFLLLCKC); + } else { + mask = SYSCTRL_PCLKSR_DFLLRDY; + } + break; + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + case SYSTEM_CLOCK_SOURCE_DPLL: + return ((SYSCTRL->DPLLSTATUS.reg & + (SYSCTRL_DPLLSTATUS_CLKRDY | SYSCTRL_DPLLSTATUS_LOCK)) == + (SYSCTRL_DPLLSTATUS_CLKRDY | SYSCTRL_DPLLSTATUS_LOCK)); +#endif + + case SYSTEM_CLOCK_SOURCE_ULP32K: + /* Not possible to disable */ + return true; + + default: + return false; + } + + return ((SYSCTRL->PCLKSR.reg & mask) == mask); +} + +/* Include some checks for conf_clocks.h validation */ +#include "clock_config_check.h" + +#if !defined(__DOXYGEN__) +/** \internal + * + * Configures a Generic Clock Generator with the configuration from \c conf_clocks.h. + */ +# define _CONF_CLOCK_GCLK_CONFIG(n, unused) \ + if (CONF_CLOCK_GCLK_##n##_ENABLE == true) { \ + struct system_gclk_gen_config gclk_conf; \ + system_gclk_gen_get_config_defaults(&gclk_conf); \ + gclk_conf.source_clock = CONF_CLOCK_GCLK_##n##_CLOCK_SOURCE; \ + gclk_conf.division_factor = CONF_CLOCK_GCLK_##n##_PRESCALER; \ + gclk_conf.run_in_standby = CONF_CLOCK_GCLK_##n##_RUN_IN_STANDBY; \ + gclk_conf.output_enable = CONF_CLOCK_GCLK_##n##_OUTPUT_ENABLE; \ + system_gclk_gen_set_config(GCLK_GENERATOR_##n, &gclk_conf); \ + system_gclk_gen_enable(GCLK_GENERATOR_##n); \ + } + +/** \internal + * + * Configures a Generic Clock Generator with the configuration from \c conf_clocks.h, + * provided that it is not the main Generic Clock Generator channel. + */ +# define _CONF_CLOCK_GCLK_CONFIG_NONMAIN(n, unused) \ + if (n > 0) { _CONF_CLOCK_GCLK_CONFIG(n, unused); } +#endif + +/** \internal + * + * Switch all peripheral clock to a not enabled general clock + * to save power. + */ +static void _switch_peripheral_gclk(void) +{ + uint32_t gclk_id; + struct system_gclk_chan_config gclk_conf; + +#if CONF_CLOCK_GCLK_1_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_1; +#elif CONF_CLOCK_GCLK_2_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_2; +#elif CONF_CLOCK_GCLK_3_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_3; +#elif CONF_CLOCK_GCLK_4_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_4; +#elif CONF_CLOCK_GCLK_5_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_5; +#elif CONF_CLOCK_GCLK_6_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_6; +#elif CONF_CLOCK_GCLK_7_ENABLE == false + gclk_conf.source_generator = GCLK_GENERATOR_7; +#else + gclk_conf.source_generator = GCLK_GENERATOR_7; +#endif + + for (gclk_id = 0; gclk_id < GCLK_NUM; gclk_id++) { + system_gclk_chan_set_config(gclk_id, &gclk_conf); + } +} + +/** + * \brief Initialize clock system based on the configuration in conf_clocks.h. + * + * This function will apply the settings in conf_clocks.h when run from the user + * application. All clock sources and GCLK generators are running when this function + * returns. + * + * \note OSC8M is always enabled and if user selects other clocks for GCLK generators, + * the OSC8M default enable can be disabled after system_clock_init. Make sure the + * clock switch successfully before disabling OSC8M. + */ +void system_clock_init(void) +{ + /* Various bits in the INTFLAG register can be set to one at startup. + This will ensure that these bits are cleared */ + SYSCTRL->INTFLAG.reg = SYSCTRL_INTFLAG_BOD33RDY | SYSCTRL_INTFLAG_BOD33DET | + SYSCTRL_INTFLAG_DFLLRDY; + + system_flash_set_waitstates(CONF_CLOCK_FLASH_WAIT_STATES); + + /* Switch all peripheral clock to a not enabled general clock to save power. */ + _switch_peripheral_gclk(); + + /* XOSC */ +#if CONF_CLOCK_XOSC_ENABLE == true + struct system_clock_source_xosc_config xosc_conf; + system_clock_source_xosc_get_config_defaults(&xosc_conf); + + xosc_conf.external_clock = CONF_CLOCK_XOSC_EXTERNAL_CRYSTAL; + xosc_conf.startup_time = CONF_CLOCK_XOSC_STARTUP_TIME; + xosc_conf.frequency = CONF_CLOCK_XOSC_EXTERNAL_FREQUENCY; + xosc_conf.run_in_standby = CONF_CLOCK_XOSC_RUN_IN_STANDBY; + + system_clock_source_xosc_set_config(&xosc_conf); + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_XOSC); + while(!system_clock_source_is_ready(SYSTEM_CLOCK_SOURCE_XOSC)); + if (CONF_CLOCK_XOSC_ON_DEMAND || CONF_CLOCK_XOSC_AUTO_GAIN_CONTROL) { + SYSCTRL->XOSC.reg |= + (CONF_CLOCK_XOSC_ON_DEMAND << SYSCTRL_XOSC_ONDEMAND_Pos) | + (CONF_CLOCK_XOSC_AUTO_GAIN_CONTROL << SYSCTRL_XOSC_AMPGC_Pos); + } +#endif + + + /* XOSC32K */ +#if CONF_CLOCK_XOSC32K_ENABLE == true + struct system_clock_source_xosc32k_config xosc32k_conf; + system_clock_source_xosc32k_get_config_defaults(&xosc32k_conf); + + xosc32k_conf.frequency = 32768UL; + xosc32k_conf.external_clock = CONF_CLOCK_XOSC32K_EXTERNAL_CRYSTAL; + xosc32k_conf.startup_time = CONF_CLOCK_XOSC32K_STARTUP_TIME; + xosc32k_conf.auto_gain_control = CONF_CLOCK_XOSC32K_AUTO_AMPLITUDE_CONTROL; + xosc32k_conf.enable_1khz_output = CONF_CLOCK_XOSC32K_ENABLE_1KHZ_OUPUT; + xosc32k_conf.enable_32khz_output = CONF_CLOCK_XOSC32K_ENABLE_32KHZ_OUTPUT; + xosc32k_conf.on_demand = false; + xosc32k_conf.run_in_standby = CONF_CLOCK_XOSC32K_RUN_IN_STANDBY; + + system_clock_source_xosc32k_set_config(&xosc32k_conf); + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_XOSC32K); + while(!system_clock_source_is_ready(SYSTEM_CLOCK_SOURCE_XOSC32K)); + if (CONF_CLOCK_XOSC32K_ON_DEMAND) { + SYSCTRL->XOSC32K.bit.ONDEMAND = 1; + } +#endif + + + /* OSCK32K */ +#if CONF_CLOCK_OSC32K_ENABLE == true + SYSCTRL->OSC32K.bit.CALIB = + ((*(uint32_t *)SYSCTRL_FUSES_OSC32K_ADDR >> + SYSCTRL_FUSES_OSC32K_Pos) & 0x7Ful); + + struct system_clock_source_osc32k_config osc32k_conf; + system_clock_source_osc32k_get_config_defaults(&osc32k_conf); + + osc32k_conf.startup_time = CONF_CLOCK_OSC32K_STARTUP_TIME; + osc32k_conf.enable_1khz_output = CONF_CLOCK_OSC32K_ENABLE_1KHZ_OUTPUT; + osc32k_conf.enable_32khz_output = CONF_CLOCK_OSC32K_ENABLE_32KHZ_OUTPUT; + osc32k_conf.on_demand = CONF_CLOCK_OSC32K_ON_DEMAND; + osc32k_conf.run_in_standby = CONF_CLOCK_OSC32K_RUN_IN_STANDBY; + + system_clock_source_osc32k_set_config(&osc32k_conf); + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_OSC32K); +#endif + + + /* DFLL Config (Open and Closed Loop) */ +#if CONF_CLOCK_DFLL_ENABLE == true + struct system_clock_source_dfll_config dfll_conf; + system_clock_source_dfll_get_config_defaults(&dfll_conf); + + dfll_conf.loop_mode = CONF_CLOCK_DFLL_LOOP_MODE; + dfll_conf.on_demand = false; + + /* Using DFLL48M COARSE CAL value from NVM Software Calibration Area Mapping + in DFLL.COARSE helps to output a frequency close to 48 MHz.*/ +#define NVM_DFLL_COARSE_POS 58 /* DFLL48M Coarse calibration value bit position.*/ +#define NVM_DFLL_COARSE_SIZE 6 /* DFLL48M Coarse calibration value bit size.*/ + + uint32_t coarse =( *((uint32_t *)(NVMCTRL_OTP4) + + (NVM_DFLL_COARSE_POS / 32)) + >> (NVM_DFLL_COARSE_POS % 32)) + & ((1 << NVM_DFLL_COARSE_SIZE) - 1); + /* In some revision chip, the coarse calibration value is not correct. */ + if (coarse == 0x3f) { + coarse = 0x1f; + } + dfll_conf.coarse_value = coarse; + + if (CONF_CLOCK_DFLL_LOOP_MODE == SYSTEM_CLOCK_DFLL_LOOP_MODE_OPEN) { + dfll_conf.fine_value = CONF_CLOCK_DFLL_FINE_VALUE; + } + +# if CONF_CLOCK_DFLL_QUICK_LOCK == true + dfll_conf.quick_lock = SYSTEM_CLOCK_DFLL_QUICK_LOCK_ENABLE; +# else + dfll_conf.quick_lock = SYSTEM_CLOCK_DFLL_QUICK_LOCK_DISABLE; +# endif + +# if CONF_CLOCK_DFLL_TRACK_AFTER_FINE_LOCK == true + dfll_conf.stable_tracking = SYSTEM_CLOCK_DFLL_STABLE_TRACKING_TRACK_AFTER_LOCK; +# else + dfll_conf.stable_tracking = SYSTEM_CLOCK_DFLL_STABLE_TRACKING_FIX_AFTER_LOCK; +# endif + +# if CONF_CLOCK_DFLL_KEEP_LOCK_ON_WAKEUP == true + dfll_conf.wakeup_lock = SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_KEEP; +# else + dfll_conf.wakeup_lock = SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_LOSE; +# endif + +# if CONF_CLOCK_DFLL_ENABLE_CHILL_CYCLE == true + dfll_conf.chill_cycle = SYSTEM_CLOCK_DFLL_CHILL_CYCLE_ENABLE; +# else + dfll_conf.chill_cycle = SYSTEM_CLOCK_DFLL_CHILL_CYCLE_DISABLE; +# endif + + if (CONF_CLOCK_DFLL_LOOP_MODE == SYSTEM_CLOCK_DFLL_LOOP_MODE_CLOSED) { + dfll_conf.multiply_factor = CONF_CLOCK_DFLL_MULTIPLY_FACTOR; + } + + dfll_conf.coarse_max_step = CONF_CLOCK_DFLL_MAX_COARSE_STEP_SIZE; + dfll_conf.fine_max_step = CONF_CLOCK_DFLL_MAX_FINE_STEP_SIZE; + + if (CONF_CLOCK_DFLL_LOOP_MODE == SYSTEM_CLOCK_DFLL_LOOP_MODE_USB_RECOVERY) { + dfll_conf.fine_max_step = 10; + dfll_conf.fine_value = 0x1ff; + dfll_conf.quick_lock = SYSTEM_CLOCK_DFLL_QUICK_LOCK_ENABLE; + dfll_conf.stable_tracking = SYSTEM_CLOCK_DFLL_STABLE_TRACKING_TRACK_AFTER_LOCK; + dfll_conf.wakeup_lock = SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_KEEP; + dfll_conf.chill_cycle = SYSTEM_CLOCK_DFLL_CHILL_CYCLE_DISABLE; + + dfll_conf.multiply_factor = 48000; + } + + system_clock_source_dfll_set_config(&dfll_conf); +#endif + + + /* OSC8M */ + struct system_clock_source_osc8m_config osc8m_conf; + system_clock_source_osc8m_get_config_defaults(&osc8m_conf); + + osc8m_conf.prescaler = CONF_CLOCK_OSC8M_PRESCALER; + osc8m_conf.on_demand = CONF_CLOCK_OSC8M_ON_DEMAND; + osc8m_conf.run_in_standby = CONF_CLOCK_OSC8M_RUN_IN_STANDBY; + + system_clock_source_osc8m_set_config(&osc8m_conf); + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_OSC8M); + + + /* GCLK */ +#if CONF_CLOCK_CONFIGURE_GCLK == true + system_gclk_init(); + + /* Configure all GCLK generators except for the main generator, which + * is configured later after all other clock systems are set up */ + MREPEAT(GCLK_GEN_NUM, _CONF_CLOCK_GCLK_CONFIG_NONMAIN, ~); + +# if CONF_CLOCK_DFLL_ENABLE == true + /* Enable DFLL reference clock if in closed loop mode */ + if (CONF_CLOCK_DFLL_LOOP_MODE == SYSTEM_CLOCK_DFLL_LOOP_MODE_CLOSED) { + struct system_gclk_chan_config dfll_gclk_chan_conf; + + system_gclk_chan_get_config_defaults(&dfll_gclk_chan_conf); + dfll_gclk_chan_conf.source_generator = CONF_CLOCK_DFLL_SOURCE_GCLK_GENERATOR; + system_gclk_chan_set_config(SYSCTRL_GCLK_ID_DFLL48, &dfll_gclk_chan_conf); + system_gclk_chan_enable(SYSCTRL_GCLK_ID_DFLL48); + } +# endif + +# if CONF_CLOCK_DPLL_ENABLE == true + /* Enable DPLL internal lock timer and reference clock */ + struct system_gclk_chan_config dpll_gclk_chan_conf; + system_gclk_chan_get_config_defaults(&dpll_gclk_chan_conf); + if (CONF_CLOCK_DPLL_LOCK_TIME != SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_DEFAULT) { + dpll_gclk_chan_conf.source_generator = CONF_CLOCK_DPLL_LOCK_GCLK_GENERATOR; + system_gclk_chan_set_config(SYSCTRL_GCLK_ID_FDPLL32K, &dpll_gclk_chan_conf); + system_gclk_chan_enable(SYSCTRL_GCLK_ID_FDPLL32K); + } + + if (CONF_CLOCK_DPLL_REFERENCE_CLOCK == SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_GCLK) { + dpll_gclk_chan_conf.source_generator = CONF_CLOCK_DPLL_REFERENCE_GCLK_GENERATOR; + system_gclk_chan_set_config(SYSCTRL_GCLK_ID_FDPLL, &dpll_gclk_chan_conf); + system_gclk_chan_enable(SYSCTRL_GCLK_ID_FDPLL); + } +# endif +#endif + + + /* DFLL Enable (Open and Closed Loop) */ +#if CONF_CLOCK_DFLL_ENABLE == true + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_DFLL); + while(!system_clock_source_is_ready(SYSTEM_CLOCK_SOURCE_DFLL)); + if (CONF_CLOCK_DFLL_ON_DEMAND) { + SYSCTRL->DFLLCTRL.bit.ONDEMAND = 1; + } +#endif + + /* DPLL */ +#ifdef FEATURE_SYSTEM_CLOCK_DPLL +# if (CONF_CLOCK_DPLL_ENABLE == true) + + /* Enable DPLL reference clock */ + if (CONF_CLOCK_DPLL_REFERENCE_CLOCK == SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC32K) { + /* XOSC32K should have been enabled for DPLL_REF0 */ + Assert(CONF_CLOCK_XOSC32K_ENABLE); + } else if (CONF_CLOCK_DPLL_REFERENCE_CLOCK == SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC) { + /* XOSC should have been enabled for DPLL_REF1 */ + Assert(CONF_CLOCK_XOSC_ENABLE); + } + else if (CONF_CLOCK_DPLL_REFERENCE_CLOCK == SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_GCLK) { + /* GCLK should have been enabled */ + Assert(CONF_CLOCK_CONFIGURE_GCLK); + } + else { + Assert(false); + } + + struct system_clock_source_dpll_config dpll_config; + system_clock_source_dpll_get_config_defaults(&dpll_config); + + dpll_config.on_demand = false; + dpll_config.run_in_standby = CONF_CLOCK_DPLL_RUN_IN_STANDBY; + dpll_config.lock_bypass = CONF_CLOCK_DPLL_LOCK_BYPASS; + dpll_config.wake_up_fast = CONF_CLOCK_DPLL_WAKE_UP_FAST; + dpll_config.low_power_enable = CONF_CLOCK_DPLL_LOW_POWER_ENABLE; + + dpll_config.filter = CONF_CLOCK_DPLL_FILTER; + dpll_config.lock_time = CONF_CLOCK_DPLL_LOCK_TIME; + + dpll_config.reference_clock = CONF_CLOCK_DPLL_REFERENCE_CLOCK; + dpll_config.reference_frequency = CONF_CLOCK_DPLL_REFERENCE_FREQUENCY; + dpll_config.reference_divider = CONF_CLOCK_DPLL_REFERENCE_DIVIDER; + dpll_config.output_frequency = CONF_CLOCK_DPLL_OUTPUT_FREQUENCY; + + system_clock_source_dpll_set_config(&dpll_config); + system_clock_source_enable(SYSTEM_CLOCK_SOURCE_DPLL); + while(!system_clock_source_is_ready(SYSTEM_CLOCK_SOURCE_DPLL)); + if (CONF_CLOCK_DPLL_ON_DEMAND) { + SYSCTRL->DPLLCTRLA.bit.ONDEMAND = 1; + } + +# endif +#endif + + /* CPU and BUS clocks */ + system_cpu_clock_set_divider(CONF_CLOCK_CPU_DIVIDER); + + system_apb_clock_set_divider(SYSTEM_CLOCK_APB_APBA, CONF_CLOCK_APBA_DIVIDER); + system_apb_clock_set_divider(SYSTEM_CLOCK_APB_APBB, CONF_CLOCK_APBB_DIVIDER); + system_apb_clock_set_divider(SYSTEM_CLOCK_APB_APBC, CONF_CLOCK_APBC_DIVIDER); + + /* GCLK 0 */ +#if CONF_CLOCK_CONFIGURE_GCLK == true + /* Configure the main GCLK last as it might depend on other generators */ + _CONF_CLOCK_GCLK_CONFIG(0, ~); +#endif +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h new file mode 100644 index 0000000..a18e31b --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_config_check.h @@ -0,0 +1,444 @@ +/** + * \file + * + * \brief SAM D21/R21/DA/HA Clock Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef CLOCK_CONFIG_CHECK_H +# define CLOCK_CONFIG_CHECK_H + +#if !defined(CONF_CLOCK_FLASH_WAIT_STATES) +# error CONF_CLOCK_FLASH_WAIT_STATES not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_CPU_DIVIDER) +# error CONF_CLOCK_CPU_DIVIDER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_APBA_DIVIDER) +# error CONF_CLOCK_APBA_DIVIDER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_APBB_DIVIDER) +# error CONF_CLOCK_APBB_DIVIDER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_APBC_DIVIDER) +# error CONF_CLOCK_APBC_DIVIDER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC8M_PRESCALER) +# error CONF_CLOCK_OSC8M_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC8M_ON_DEMAND) +# error CONF_CLOCK_OSC8M_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC8M_RUN_IN_STANDBY) +# error CONF_CLOCK_OSC8M_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_ENABLE) +# error CONF_CLOCK_XOSC_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_EXTERNAL_CRYSTAL) +# error CONF_CLOCK_XOSC_EXTERNAL_CRYSTAL not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_EXTERNAL_FREQUENCY) +# error CONF_CLOCK_XOSC_EXTERNAL_FREQUENCY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_STARTUP_TIME) +# error CONF_CLOCK_XOSC_STARTUP_TIME not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_AUTO_GAIN_CONTROL) +# error CONF_CLOCK_XOSC_AUTO_GAIN_CONTROL not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_ON_DEMAND) +# error CONF_CLOCK_XOSC_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC_RUN_IN_STANDBY) +# error CONF_CLOCK_XOSC_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_ENABLE) +# error CONF_CLOCK_XOSC32K_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_EXTERNAL_CRYSTAL) +# error CONF_CLOCK_XOSC32K_EXTERNAL_CRYSTAL not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_STARTUP_TIME) +# error CONF_CLOCK_XOSC32K_STARTUP_TIME not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_AUTO_AMPLITUDE_CONTROL) +# error CONF_CLOCK_XOSC32K_AUTO_AMPLITUDE_CONTROL not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_ENABLE_1KHZ_OUPUT) +# error CONF_CLOCK_XOSC32K_ENABLE_1KHZ_OUPUT not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_ENABLE_32KHZ_OUTPUT) +# error CONF_CLOCK_XOSC32K_ENABLE_32KHZ_OUTPUT not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_ON_DEMAND) +# error CONF_CLOCK_XOSC32K_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_XOSC32K_RUN_IN_STANDBY) +# error CONF_CLOCK_XOSC32K_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_ENABLE) +# error CONF_CLOCK_OSC32K_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_STARTUP_TIME) +# error CONF_CLOCK_OSC32K_STARTUP_TIME not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_ENABLE_1KHZ_OUTPUT) +# error CONF_CLOCK_OSC32K_ENABLE_1KHZ_OUTPUT not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_ENABLE_32KHZ_OUTPUT) +# error CONF_CLOCK_OSC32K_ENABLE_32KHZ_OUTPUT not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_ON_DEMAND) +# error CONF_CLOCK_OSC32K_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_OSC32K_RUN_IN_STANDBY) +# error CONF_CLOCK_OSC32K_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_ENABLE) +# error CONF_CLOCK_DFLL_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_LOOP_MODE) +# error CONF_CLOCK_DFLL_LOOP_MODE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_ON_DEMAND) +# error CONF_CLOCK_DFLL_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_FINE_VALUE) +# error CONF_CLOCK_DFLL_FINE_VALUE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_SOURCE_GCLK_GENERATOR) +# error CONF_CLOCK_DFLL_SOURCE_GCLK_GENERATOR not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_MULTIPLY_FACTOR) +# error CONF_CLOCK_DFLL_MULTIPLY_FACTOR not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_QUICK_LOCK) +# error CONF_CLOCK_DFLL_QUICK_LOCK not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_TRACK_AFTER_FINE_LOCK) +# error CONF_CLOCK_DFLL_TRACK_AFTER_FINE_LOCK not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_KEEP_LOCK_ON_WAKEUP) +# error CONF_CLOCK_DFLL_KEEP_LOCK_ON_WAKEUP not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_ENABLE_CHILL_CYCLE) +# error CONF_CLOCK_DFLL_ENABLE_CHILL_CYCLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_MAX_COARSE_STEP_SIZE) +# error CONF_CLOCK_DFLL_MAX_COARSE_STEP_SIZE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DFLL_MAX_FINE_STEP_SIZE) +# error CONF_CLOCK_DFLL_MAX_FINE_STEP_SIZE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_ENABLE) +# error CONF_CLOCK_DPLL_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_ON_DEMAND) +# error CONF_CLOCK_DPLL_ON_DEMAND not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_RUN_IN_STANDBY) +# error CONF_CLOCK_DPLL_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_LOCK_BYPASS) +# error CONF_CLOCK_DPLL_LOCK_BYPASS not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_WAKE_UP_FAST) +# error CONF_CLOCK_DPLL_WAKE_UP_FAST not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_LOW_POWER_ENABLE) +# error CONF_CLOCK_DPLL_LOW_POWER_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_LOCK_TIME) +# error CONF_CLOCK_DPLL_LOCK_TIME not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_REFERENCE_CLOCK) +# error CONF_CLOCK_DPLL_REFERENCE_CLOCK not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_FILTER) +# error CONF_CLOCK_DPLL_FILTER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_REFERENCE_FREQUENCY) +# error CONF_CLOCK_DPLL_REFERENCE_FREQUENCY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_REFERENCE_DIVIDER) +# error CONF_CLOCK_DPLL_REFERENCE_DIVIDER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_OUTPUT_FREQUENCY) +# error CONF_CLOCK_DPLL_OUTPUT_FREQUENCY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_REFERENCE_GCLK_GENERATOR) +# error CONF_CLOCK_DPLL_REFERENCE_GCLK_GENERATOR not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_DPLL_LOCK_GCLK_GENERATOR) +# error CONF_CLOCK_DPLL_LOCK_GCLK_GENERATOR not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_CONFIGURE_GCLK) +# error CONF_CLOCK_CONFIGURE_GCLK not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_0_ENABLE) +# error CONF_CLOCK_GCLK_0_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_0_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_0_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_0_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_0_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_0_PRESCALER) +# error CONF_CLOCK_GCLK_0_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_0_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_0_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_1_ENABLE) +# error CONF_CLOCK_GCLK_1_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_1_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_1_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_1_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_1_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_1_PRESCALER) +# error CONF_CLOCK_GCLK_1_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_1_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_1_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_2_ENABLE) +# error CONF_CLOCK_GCLK_2_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_2_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_2_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_2_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_2_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_2_PRESCALER) +# error CONF_CLOCK_GCLK_2_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_2_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_2_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_3_ENABLE) +# error CONF_CLOCK_GCLK_3_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_3_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_3_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_3_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_3_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_3_PRESCALER) +# error CONF_CLOCK_GCLK_3_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_3_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_3_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_4_ENABLE) +# error CONF_CLOCK_GCLK_4_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_4_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_4_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_4_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_4_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_4_PRESCALER) +# error CONF_CLOCK_GCLK_4_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_4_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_4_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_5_ENABLE) +# error CONF_CLOCK_GCLK_5_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_5_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_5_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_5_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_5_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_5_PRESCALER) +# error CONF_CLOCK_GCLK_5_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_5_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_5_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_6_ENABLE) +# error CONF_CLOCK_GCLK_6_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_6_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_6_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_6_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_6_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_6_PRESCALER) +# error CONF_CLOCK_GCLK_6_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_6_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_6_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_7_ENABLE) +# error CONF_CLOCK_GCLK_7_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_7_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_7_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_7_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_7_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_7_PRESCALER) +# error CONF_CLOCK_GCLK_7_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_7_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_7_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_8_ENABLE) +# error CONF_CLOCK_GCLK_8_ENABLE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_8_RUN_IN_STANDBY) +# error CONF_CLOCK_GCLK_8_RUN_IN_STANDBY not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_8_CLOCK_SOURCE) +# error CONF_CLOCK_GCLK_8_CLOCK_SOURCE not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_8_PRESCALER) +# error CONF_CLOCK_GCLK_8_PRESCALER not defined in conf_clocks.h +#endif + +#if !defined(CONF_CLOCK_GCLK_8_OUTPUT_ENABLE) +# error CONF_CLOCK_GCLK_8_OUTPUT_ENABLE not defined in conf_clocks.h +#endif + +#endif /* CLOCK_CONFIG_CHECK_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h new file mode 100644 index 0000000..425581d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h @@ -0,0 +1,1483 @@ +/** + * \file + * + * \brief SAM Clock Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SYSTEM_CLOCK_FEATURE_H_INCLUDED +#define SYSTEM_CLOCK_FEATURE_H_INCLUDED + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \defgroup asfdoc_sam0_system_clock_group SAM System Clock Management (SYSTEM CLOCK) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides an interface for the configuration + * and management of the device's clocking related functions. This includes + * the various clock sources, bus clocks, and generic clocks within the device, + * with functions to manage the enabling, disabling, source selection, and + * prescaling of clocks to various internal peripherals. + * + * The following peripherals are used by this module: + * + * - GCLK (Generic Clock Management) + * - PM (Power Management) + * - SYSCTRL (Clock Source Control) + * + * The following devices can use this module: + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM HA1 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_system_clock_prerequisites + * - \ref asfdoc_sam0_system_clock_module_overview + * - \ref asfdoc_sam0_system_clock_special_considerations + * - \ref asfdoc_sam0_system_clock_extra_info + * - \ref asfdoc_sam0_system_clock_examples + * - \ref asfdoc_sam0_system_clock_api_overview + * + * + * \section asfdoc_sam0_system_clock_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_system_clock_module_overview Module Overview + * The SAM devices contain a sophisticated clocking system, which is designed + * to give the maximum flexibility to the user application. This system allows + * a system designer to tune the performance and power consumption of the device + * in a dynamic manner, to achieve the best trade-off between the two for a + * particular application. + * + * This driver provides a set of functions for the configuration and management + * of the various clock related functionality within the device. + * + * \subsection asfdoc_sam0_system_clock_module_features Driver Feature Macro Definition + * + * + * + * + * + * + * + * + * + *
    Driver Feature MacroSupported devices
    FEATURE_SYSTEM_CLOCK_DPLLSAM D21, SAM R21, SAM D10, SAM D11, SAM DA1
    + * \note The specific features are only available in the driver when the + * selected device supports those features. + * + * \subsection asfdoc_sam0_system_clock_module_overview_clock_sources Clock Sources + * The SAM devices have a number of master clock source modules, each of + * which being capable of producing a stabilized output frequency, which can then + * be fed into the various peripherals and modules within the device. + * + * Possible clock source modules include internal R/C oscillators, internal + * DFLL modules, as well as external crystal oscillators and/or clock inputs. + * + * \subsection asfdoc_sam0_system_clock_module_overview_cpu_clock CPU / Bus Clocks + * The CPU and AHB/APBx buses are clocked by the same physical clock source + * (referred in this module as the Main Clock), however the APBx buses may + * have additional prescaler division ratios set to give each peripheral bus a + * different clock speed. + * + * The general main clock tree for the CPU and associated buses is shown in + * \ref asfdoc_sam0_system_clock_module_clock_tree "the figure below". + * + * \anchor asfdoc_sam0_system_clock_module_clock_tree + * \dot + * digraph overview { + * rankdir=LR; + * clk_src [label="Clock Sources", shape=none, height=0]; + * node [label="CPU Bus" shape=ellipse] cpu_bus; + * node [label="AHB Bus" shape=ellipse] ahb_bus; + * node [label="APBA Bus" shape=ellipse] apb_a_bus; + * node [label="APBB Bus" shape=ellipse] apb_b_bus; + * node [label="APBC Bus" shape=ellipse] apb_c_bus; + * node [label="Main Bus\nPrescaler" shape=square] main_prescaler; + * node [label="APBA Bus\nPrescaler" shape=square] apb_a_prescaler; + * node [label="APBB Bus\nPrescaler" shape=square] apb_b_prescaler; + * node [label="APBC Bus\nPrescaler" shape=square] apb_c_prescaler; + * node [label="", shape=polygon, sides=4, distortion=0.6, orientation=90, style=filled, fillcolor=black, height=0.9, width=0.2] main_clock_mux; + * + * clk_src -> main_clock_mux; + * main_clock_mux -> main_prescaler; + * main_prescaler -> cpu_bus; + * main_prescaler -> ahb_bus; + * main_prescaler -> apb_a_prescaler; + * main_prescaler -> apb_b_prescaler; + * main_prescaler -> apb_c_prescaler; + * apb_a_prescaler -> apb_a_bus; + * apb_b_prescaler -> apb_b_bus; + * apb_c_prescaler -> apb_c_bus; + * } + * \enddot + * + * \subsection asfdoc_sam0_system_clock_module_overview_clock_masking Clock Masking + * To save power, the input clock to one or more peripherals on the AHB and APBx + * buses can be masked away - when masked, no clock is passed into the module. + * Disabling of clocks of unused modules will prevent all access to the masked + * module, but will reduce the overall device power consumption. + * + * \subsection asfdoc_sam0_system_clock_module_overview_gclk Generic Clocks + * Within the SAM devices there are a number of Generic Clocks; these are used to + * provide clocks to the various peripheral clock domains in the device in a + * standardized manner. One or more master source clocks can be selected as the + * input clock to a Generic Clock Generator, which can prescale down the input + * frequency to a slower rate for use in a peripheral. + * + * Additionally, a number of individually selectable Generic Clock Channels are + * provided, which multiplex and gate the various generator outputs for one or + * more peripherals within the device. This setup allows for a single common + * generator to feed one or more channels, which can then be enabled or disabled + * individually as required. + * + * \anchor asfdoc_sam0_system_clock_module_chain_overview + * \dot + * digraph overview { + * rankdir=LR; + * node [label="Clock\nSource a" shape=square] system_clock_source; + * node [label="Generator 1" shape=square] clock_gen; + * node [label="Channel x" shape=square] clock_chan0; + * node [label="Channel y" shape=square] clock_chan1; + * node [label="Peripheral x" shape=ellipse style=filled fillcolor=lightgray] peripheral0; + * node [label="Peripheral y" shape=ellipse style=filled fillcolor=lightgray] peripheral1; + * + * system_clock_source -> clock_gen; + * clock_gen -> clock_chan0; + * clock_chan0 -> peripheral0; + * clock_gen -> clock_chan1; + * clock_chan1 -> peripheral1; + * } + * \enddot + * + * \subsubsection asfdoc_sam0_system_clock_module_chain_example Clock Chain Example + * An example setup of a complete clock chain within the device is shown in + * \ref asfdoc_sam0_system_clock_module_chain_example_fig "the figure below". + * + * \anchor asfdoc_sam0_system_clock_module_chain_example_fig + * \dot + * digraph overview { + * rankdir=LR; + * node [label="External\nOscillator" shape=square] system_clock_source0; + * node [label="Generator 0" shape=square] clock_gen0; + * node [label="Channel x" shape=square] clock_chan0; + * node [label="Core CPU" shape=ellipse style=filled fillcolor=lightgray] peripheral0; + * + * system_clock_source0 -> clock_gen0; + * clock_gen0 -> clock_chan0; + * clock_chan0 -> peripheral0; + * node [label="8MHz R/C\nOscillator (OSC8M)" shape=square fillcolor=white] system_clock_source1; + * node [label="Generator 1" shape=square] clock_gen1; + * node [label="Channel y" shape=square] clock_chan1; + * node [label="Channel z" shape=square] clock_chan2; + * node [label="SERCOM\nModule" shape=ellipse style=filled fillcolor=lightgray] peripheral1; + * node [label="Timer\nModule" shape=ellipse style=filled fillcolor=lightgray] peripheral2; + * + * system_clock_source1 -> clock_gen1; + * clock_gen1 -> clock_chan1; + * clock_gen1 -> clock_chan2; + * clock_chan1 -> peripheral1; + * clock_chan2 -> peripheral2; + * } + * \enddot + * + * \subsubsection asfdoc_sam0_system_clock_module_overview_gclk_generators Generic Clock Generators + * Each Generic Clock generator within the device can source its input clock + * from one of the provided Source Clocks, and prescale the output for one or + * more Generic Clock Channels in a one-to-many relationship. The generators + * thus allow for several clocks to be generated of different frequencies, + * power usages, and accuracies, which can be turned on and off individually to + * disable the clocks to multiple peripherals as a group. + * + * \subsubsection asfdoc_sam0_system_clock_module_overview_gclk_channels Generic Clock Channels + * To connect a Generic Clock Generator to a peripheral within the + * device, a Generic Clock Channel is used. Each peripheral or + * peripheral group has an associated Generic Clock Channel, which serves as the + * clock input for the peripheral(s). To supply a clock to the peripheral + * module(s), the associated channel must be connected to a running Generic + * Clock Generator and the channel enabled. + * + * \section asfdoc_sam0_system_clock_special_considerations Special Considerations + * + * There are no special considerations for this module. + * + * + * \section asfdoc_sam0_system_clock_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_system_clock_extra. This includes: + * - \ref asfdoc_sam0_system_clock_extra_acronyms + * - \ref asfdoc_sam0_system_clock_extra_dependencies + * - \ref asfdoc_sam0_system_clock_extra_errata + * - \ref asfdoc_sam0_system_clock_extra_history + * + * + * \section asfdoc_sam0_system_clock_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_system_clock_exqsg. + * + * + * \section asfdoc_sam0_system_clock_api_overview API Overview + * @{ + */ + +#include +#include + +/** + * \name Driver Feature Definition + * Define system clock features set according to different device family. + * @{ + */ +#if (SAMD21) || (SAMR21) || (SAMD11) || (SAMD10) || (SAMDA1) || (SAMHA1) || (SAMHA0) || defined(__DOXYGEN__) +/** Digital Phase Locked Loop (DPLL) feature support. */ +# define FEATURE_SYSTEM_CLOCK_DPLL +#endif +/*@}*/ + +/** + * \brief Available start-up times for the XOSC32K. + * + * Available external 32KHz oscillator start-up times, as a number of external + * clock cycles. + */ +enum system_xosc32k_startup { + /** Wait zero clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_0, + /** Wait 32 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_32, + /** Wait 2048 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_2048, + /** Wait 4096 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_4096, + /** Wait 16384 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_16384, + /** Wait 32768 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_32768, + /** Wait 65536 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_65536, + /** Wait 131072 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC32K_STARTUP_131072, +}; + +/** + * \brief Available start-up times for the XOSC. + * + * Available external oscillator start-up times, as a number of external clock + * cycles. + */ +enum system_xosc_startup { + /** Wait one clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_1, + /** Wait two clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_2, + /** Wait four clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_4, + /** Wait eight clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_8, + /** Wait 16 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_16, + /** Wait 32 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_32, + /** Wait 64 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_64, + /** Wait 128 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_128, + /** Wait 256 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_256, + /** Wait 512 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_512, + /** Wait 1024 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_1024, + /** Wait 2048 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_2048, + /** Wait 4096 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_4096, + /** Wait 8192 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_8192, + /** Wait 16384 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_16384, + /** Wait 32768 clock cycles until the clock source is considered stable */ + SYSTEM_XOSC_STARTUP_32768, +}; + +/** + * \brief Available start-up times for the OSC32K. + * + * Available internal 32KHz oscillator start-up times, as a number of internal + * OSC32K clock cycles. + */ +enum system_osc32k_startup { + /** Wait three clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_3, + /** Wait four clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_4, + /** Wait six clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_6, + /** Wait ten clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_10, + /** Wait 18 clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_18, + /** Wait 34 clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_34, + /** Wait 66 clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_66, + /** Wait 130 clock cycles until the clock source is considered stable */ + SYSTEM_OSC32K_STARTUP_130, +}; + +/** + * \brief Division prescalers for the internal 8MHz system clock. + * + * Available prescalers for the internal 8MHz (nominal) system clock. + */ +enum system_osc8m_div { + /** Do not divide the 8MHz RC oscillator output */ + SYSTEM_OSC8M_DIV_1, + /** Divide the 8MHz RC oscillator output by two */ + SYSTEM_OSC8M_DIV_2, + /** Divide the 8MHz RC oscillator output by four */ + SYSTEM_OSC8M_DIV_4, + /** Divide the 8MHz RC oscillator output by eight */ + SYSTEM_OSC8M_DIV_8, +}; + +/** + * \brief Frequency range for the internal 8MHz RC oscillator. + * + * Internal 8MHz RC oscillator frequency range setting. + */ +enum system_osc8m_frequency_range { + /** Frequency range 4MHz to 6MHz */ + SYSTEM_OSC8M_FREQUENCY_RANGE_4_TO_6, + /** Frequency range 6MHz to 8MHz */ + SYSTEM_OSC8M_FREQUENCY_RANGE_6_TO_8, + /** Frequency range 8MHz to 11MHz */ + SYSTEM_OSC8M_FREQUENCY_RANGE_8_TO_11, + /** Frequency range 11MHz to 15MHz */ + SYSTEM_OSC8M_FREQUENCY_RANGE_11_TO_15, +}; + +/** + * \brief Main CPU and APB/AHB bus clock source prescaler values. + * + * Available division ratios for the CPU and APB/AHB bus clocks. + */ +enum system_main_clock_div { + /** Divide Main clock by one */ + SYSTEM_MAIN_CLOCK_DIV_1, + /** Divide Main clock by two */ + SYSTEM_MAIN_CLOCK_DIV_2, + /** Divide Main clock by four */ + SYSTEM_MAIN_CLOCK_DIV_4, + /** Divide Main clock by eight */ + SYSTEM_MAIN_CLOCK_DIV_8, + /** Divide Main clock by 16 */ + SYSTEM_MAIN_CLOCK_DIV_16, + /** Divide Main clock by 32 */ + SYSTEM_MAIN_CLOCK_DIV_32, + /** Divide Main clock by 64 */ + SYSTEM_MAIN_CLOCK_DIV_64, + /** Divide Main clock by 128 */ + SYSTEM_MAIN_CLOCK_DIV_128, +}; + +/** + * \brief External clock source types. + * + * Available external clock source types. + */ +enum system_clock_external { + /** The external clock source is a crystal oscillator */ + SYSTEM_CLOCK_EXTERNAL_CRYSTAL, + /** The connected clock source is an external logic level clock signal */ + SYSTEM_CLOCK_EXTERNAL_CLOCK, +}; + +/** + * \brief Operating modes of the DFLL clock source. + * + * Available operating modes of the DFLL clock source module. + */ +enum system_clock_dfll_loop_mode { + /** The DFLL is operating in open loop mode with no feedback */ + SYSTEM_CLOCK_DFLL_LOOP_MODE_OPEN, + /** The DFLL is operating in closed loop mode with frequency feedback from + * a low frequency reference clock + */ + SYSTEM_CLOCK_DFLL_LOOP_MODE_CLOSED = SYSCTRL_DFLLCTRL_MODE, + +#ifdef SYSCTRL_DFLLCTRL_USBCRM + /** The DFLL is operating in USB recovery mode with frequency feedback + * from USB SOF. + */ + SYSTEM_CLOCK_DFLL_LOOP_MODE_USB_RECOVERY = SYSCTRL_DFLLCTRL_USBCRM, +#endif +}; + +/** + * \brief Locking behavior for the DFLL during device wake-up. + * + * DFLL lock behavior modes on device wake-up from sleep. + */ +enum system_clock_dfll_wakeup_lock { + /** Keep DFLL lock when the device wakes from sleep */ + SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_KEEP, + /** Lose DFLL lock when the devices wakes from sleep */ + SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_LOSE = SYSCTRL_DFLLCTRL_LLAW, +}; + +/** + * \brief Fine tracking behavior for the DFLL once a lock has been acquired. + * + * DFLL fine tracking behavior modes after a lock has been acquired. + */ +enum system_clock_dfll_stable_tracking { + /** Keep tracking after the DFLL has gotten a fine lock */ + SYSTEM_CLOCK_DFLL_STABLE_TRACKING_TRACK_AFTER_LOCK, + /** Stop tracking after the DFLL has gotten a fine lock */ + SYSTEM_CLOCK_DFLL_STABLE_TRACKING_FIX_AFTER_LOCK = SYSCTRL_DFLLCTRL_STABLE, +}; + +/** + * \brief Chill-cycle behavior of the DFLL module. + * + * DFLL chill-cycle behavior modes of the DFLL module. A chill cycle is a period + * of time when the DFLL output frequency is not measured by the unit, to allow + * the output to stabilize after a change in the input clock source. + */ +enum system_clock_dfll_chill_cycle { + /** Enable a chill cycle, where the DFLL output frequency is not measured */ + SYSTEM_CLOCK_DFLL_CHILL_CYCLE_ENABLE, + /** Disable a chill cycle, where the DFLL output frequency is not measured */ + SYSTEM_CLOCK_DFLL_CHILL_CYCLE_DISABLE = SYSCTRL_DFLLCTRL_CCDIS, +}; + +/** + * \brief QuickLock settings for the DFLL module. + * + * DFLL QuickLock settings for the DFLL module, to allow for a faster lock of + * the DFLL output frequency at the expense of accuracy. + */ +enum system_clock_dfll_quick_lock { + /** Enable the QuickLock feature for looser lock requirements on the DFLL */ + SYSTEM_CLOCK_DFLL_QUICK_LOCK_ENABLE, + /** Disable the QuickLock feature for strict lock requirements on the DFLL */ + SYSTEM_CLOCK_DFLL_QUICK_LOCK_DISABLE = SYSCTRL_DFLLCTRL_QLDIS, +}; + +/** + * \brief Available clock sources in the system. + * + * Clock sources available to the GCLK generators. + */ +enum system_clock_source { + /** Internal 8MHz RC oscillator */ + SYSTEM_CLOCK_SOURCE_OSC8M = GCLK_SOURCE_OSC8M, + /** Internal 32KHz RC oscillator */ + SYSTEM_CLOCK_SOURCE_OSC32K = GCLK_SOURCE_OSC32K, + /** External oscillator */ + SYSTEM_CLOCK_SOURCE_XOSC = GCLK_SOURCE_XOSC , + /** External 32KHz oscillator */ + SYSTEM_CLOCK_SOURCE_XOSC32K = GCLK_SOURCE_XOSC32K, + /** Digital Frequency Locked Loop (DFLL) */ + SYSTEM_CLOCK_SOURCE_DFLL = GCLK_SOURCE_DFLL48M, + /** Internal Ultra Low Power 32KHz oscillator */ + SYSTEM_CLOCK_SOURCE_ULP32K = GCLK_SOURCE_OSCULP32K, + /** Generator input pad */ + SYSTEM_CLOCK_SOURCE_GCLKIN = GCLK_SOURCE_GCLKIN, + /** Generic clock generator one output */ + SYSTEM_CLOCK_SOURCE_GCLKGEN1 = GCLK_SOURCE_GCLKGEN1, +#ifdef FEATURE_SYSTEM_CLOCK_DPLL + /** Digital Phase Locked Loop (DPLL). + * Check \c FEATURE_SYSTEM_CLOCK_DPLL for which device support it. + */ + SYSTEM_CLOCK_SOURCE_DPLL = GCLK_SOURCE_FDPLL, +#endif +}; + +/** + * \brief List of APB peripheral buses. + * + * Available bus clock domains on the APB bus. + */ +enum system_clock_apb_bus { + /** Peripheral bus A on the APB bus */ + SYSTEM_CLOCK_APB_APBA, + /** Peripheral bus B on the APB bus */ + SYSTEM_CLOCK_APB_APBB, + /** Peripheral bus C on the APB bus */ + SYSTEM_CLOCK_APB_APBC, +}; + +/** + * \brief Configuration structure for XOSC. + * + * External oscillator clock configuration structure. + */ +struct system_clock_source_xosc_config { + /** External clock type */ + enum system_clock_external external_clock; + /** Crystal oscillator start-up time */ + enum system_xosc_startup startup_time; + /** Enable automatic amplitude gain control */ + bool auto_gain_control; + /** External clock/crystal frequency */ + uint32_t frequency; + /** Keep the XOSC enabled in standby sleep mode */ + bool run_in_standby; + /** Run On Demand. If this is set the XOSC won't run + * until requested by a peripheral. */ + bool on_demand; +}; + +/** + * \brief Configuration structure for XOSC32K. + * + * External 32KHz oscillator clock configuration structure. + */ +struct system_clock_source_xosc32k_config { + /** External clock type */ + enum system_clock_external external_clock; + /** Crystal oscillator start-up time */ + enum system_xosc32k_startup startup_time; + /** Enable automatic amplitude control */ + bool auto_gain_control; + /** Enable 1KHz output */ + bool enable_1khz_output; + /** Enable 32KHz output */ + bool enable_32khz_output; + /** External clock/crystal frequency */ + uint32_t frequency; + /** Keep the XOSC32K enabled in standby sleep mode */ + bool run_in_standby; + /** Run On Demand. If this is set the XOSC32K won't run + * until requested by a peripheral. */ + bool on_demand; + /** Lock configuration after it has been written, + * a device reset will release the lock */ + bool write_once; +}; + +/** + * \brief Configuration structure for OSC8M. + * + * Internal 8MHz (nominal) oscillator configuration structure. + */ +struct system_clock_source_osc8m_config { + /** Internal 8MHz RC oscillator prescaler */ + enum system_osc8m_div prescaler; + /** Keep the OSC8M enabled in standby sleep mode */ + bool run_in_standby; + /** Run On Demand. If this is set the OSC8M won't run + * until requested by a peripheral. */ + bool on_demand; +}; + +/** + * \brief Configuration structure for OSC32K. + * + * Internal 32KHz (nominal) oscillator configuration structure. + */ +struct system_clock_source_osc32k_config { + /** Startup time */ + enum system_osc32k_startup startup_time; + /** Enable 1KHz output */ + bool enable_1khz_output; + /** Enable 32KHz output */ + bool enable_32khz_output; + /** Keep the OSC32K enabled in standby sleep mode */ + bool run_in_standby; + /** Run On Demand. If this is set the OSC32K won't run + * until requested by a peripheral */ + bool on_demand; + /** Lock configuration after it has been written, + * a device reset will release the lock */ + bool write_once; +}; + +/** + * \brief Configuration structure for DFLL. + * + * DFLL oscillator configuration structure. + */ +struct system_clock_source_dfll_config { + /** Loop mode */ + enum system_clock_dfll_loop_mode loop_mode; + /** Run On Demand. If this is set the DFLL won't run + * until requested by a peripheral. */ + bool on_demand; + /** Enable Quick Lock */ + enum system_clock_dfll_quick_lock quick_lock; + /** Enable Chill Cycle */ + enum system_clock_dfll_chill_cycle chill_cycle; + /** DFLL lock state on wakeup */ + enum system_clock_dfll_wakeup_lock wakeup_lock; + /** DFLL tracking after fine lock */ + enum system_clock_dfll_stable_tracking stable_tracking; + /** Coarse calibration value (Open loop mode) */ + uint8_t coarse_value; + /** Fine calibration value (Open loop mode) */ + uint16_t fine_value; + /** Coarse adjustment maximum step size (Closed loop mode) */ + uint8_t coarse_max_step; + /** Fine adjustment maximum step size (Closed loop mode) */ + uint16_t fine_max_step; + /** DFLL multiply factor (Closed loop mode */ + uint16_t multiply_factor; +}; + +/** + * \name External Oscillator Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for XOSC. + * + * Fills a configuration structure with the default configuration for an + * external oscillator module: + * - External Crystal + * - Start-up time of 16384 external clock cycles + * - Automatic crystal gain control mode disabled + * - Frequency of 12MHz + * - Don't run in STANDBY sleep mode + * - Run when it's enabled (not on demand) + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_xosc_get_config_defaults( + struct system_clock_source_xosc_config *const config) +{ + Assert(config); + + config->external_clock = SYSTEM_CLOCK_EXTERNAL_CRYSTAL; + config->startup_time = SYSTEM_XOSC_STARTUP_16384; + config->auto_gain_control = false; + config->frequency = 12000000UL; + config->run_in_standby = false; + config->on_demand = false; +} + +void system_clock_source_xosc_set_config( + struct system_clock_source_xosc_config *const config); + +/** + * @} + */ + + +/** + * \name External 32KHz Oscillator Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for XOSC32K. + * + * Fills a configuration structure with the default configuration for an + * external 32KHz oscillator module: + * - External Crystal + * - Start-up time of 16384 external clock cycles + * - Automatic crystal gain control mode disabled + * - Frequency of 32.768KHz + * - 1KHz clock output disabled + * - 32KHz clock output enabled + * - Don't run in STANDBY sleep mode + * - Run only when requested by peripheral (on demand) + * - Don't lock registers after configuration has been written + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_xosc32k_get_config_defaults( + struct system_clock_source_xosc32k_config *const config) +{ + Assert(config); + + config->external_clock = SYSTEM_CLOCK_EXTERNAL_CRYSTAL; + config->startup_time = SYSTEM_XOSC32K_STARTUP_16384; + config->auto_gain_control = false; + config->frequency = 32768UL; + config->enable_1khz_output = false; + config->enable_32khz_output = true; + config->run_in_standby = false; + config->on_demand = true; + config->write_once = false; +} + +void system_clock_source_xosc32k_set_config( + struct system_clock_source_xosc32k_config *const config); +/** + * @} + */ + + +/** + * \name Internal 32KHz Oscillator Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for OSC32K. + * + * Fills a configuration structure with the default configuration for an + * internal 32KHz oscillator module: + * - 1KHz clock output enabled + * - 32KHz clock output enabled + * - Don't run in STANDBY sleep mode + * - Run only when requested by peripheral (on demand) + * - Set startup time to 130 cycles + * - Don't lock registers after configuration has been written + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_osc32k_get_config_defaults( + struct system_clock_source_osc32k_config *const config) +{ + Assert(config); + + config->enable_1khz_output = true; + config->enable_32khz_output = true; + config->run_in_standby = false; + config->on_demand = true; + config->startup_time = SYSTEM_OSC32K_STARTUP_130; + config->write_once = false; +} + +void system_clock_source_osc32k_set_config( + struct system_clock_source_osc32k_config *const config); + +/** + * @} + */ + + +/** + * \name Internal 8MHz Oscillator Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for OSC8M. + * + * Fills a configuration structure with the default configuration for an + * internal 8MHz (nominal) oscillator module: + * - Clock output frequency divided by a factor of eight + * - Don't run in STANDBY sleep mode + * - Run only when requested by peripheral (on demand) + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_osc8m_get_config_defaults( + struct system_clock_source_osc8m_config *const config) +{ + Assert(config); + + config->prescaler = SYSTEM_OSC8M_DIV_8; + config->run_in_standby = false; + config->on_demand = true; +} + +void system_clock_source_osc8m_set_config( + struct system_clock_source_osc8m_config *const config); + +/** + * @} + */ + + +/** + * \name Internal DFLL Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for DFLL. + * + * Fills a configuration structure with the default configuration for a + * DFLL oscillator module: + * - Open loop mode + * - QuickLock mode enabled + * - Chill cycle enabled + * - Output frequency lock maintained during device wake-up + * - Continuous tracking of the output frequency + * - Default tracking values at the mid-points for both coarse and fine + * tracking parameters + * - Don't run in STANDBY sleep mode + * - Run only when requested by peripheral (on demand) + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_dfll_get_config_defaults( + struct system_clock_source_dfll_config *const config) +{ + Assert(config); + + config->loop_mode = SYSTEM_CLOCK_DFLL_LOOP_MODE_OPEN; + config->quick_lock = SYSTEM_CLOCK_DFLL_QUICK_LOCK_ENABLE; + config->chill_cycle = SYSTEM_CLOCK_DFLL_CHILL_CYCLE_ENABLE; + config->wakeup_lock = SYSTEM_CLOCK_DFLL_WAKEUP_LOCK_KEEP; + config->stable_tracking = SYSTEM_CLOCK_DFLL_STABLE_TRACKING_TRACK_AFTER_LOCK; + config->on_demand = true; + + /* Open loop mode calibration value */ + config->coarse_value = 0x1f / 4; /* Midpoint */ + config->fine_value = 0xff / 4; /* Midpoint */ + + /* Closed loop mode */ + config->coarse_max_step = 1; + config->fine_max_step = 1; + config->multiply_factor = 6; /* Multiply 8MHz by 6 to get 48MHz */ +} + +void system_clock_source_dfll_set_config( + struct system_clock_source_dfll_config *const config); + +/** + * @} + */ + +/** + * \name Clock Source Management + * @{ + */ +enum status_code system_clock_source_write_calibration( + const enum system_clock_source system_clock_source, + const uint16_t calibration_value, + const uint8_t freq_range); + +enum status_code system_clock_source_enable( + const enum system_clock_source system_clock_source); + +enum status_code system_clock_source_disable( + const enum system_clock_source clk_source); + +bool system_clock_source_is_ready( + const enum system_clock_source clk_source); + +uint32_t system_clock_source_get_hz( + const enum system_clock_source clk_source); + +/** + * @} + */ + +/** + * \name Main Clock Management + * @{ + */ + +/** + * \brief Set main CPU clock divider. + * + * Sets the clock divider used on the main clock to provide the CPU clock. + * + * \param[in] divider CPU clock divider to set + */ +static inline void system_cpu_clock_set_divider( + const enum system_main_clock_div divider) +{ + Assert(((uint32_t)divider & PM_CPUSEL_CPUDIV_Msk) == divider); + PM->CPUSEL.reg = (uint32_t)divider; +} + +/** + * \brief Retrieves the current frequency of the CPU core. + * + * Retrieves the operating frequency of the CPU core, obtained from the main + * generic clock and the set CPU bus divider. + * + * \return Current CPU frequency in Hz. + */ +static inline uint32_t system_cpu_clock_get_hz(void) +{ + return (system_gclk_gen_get_hz(GCLK_GENERATOR_0) >> PM->CPUSEL.reg); +} + +/** + * \brief Set APBx clock divider. + * + * Set the clock divider used on the main clock to provide the clock for the + * given APBx bus. + * + * \param[in] divider APBx bus divider to set + * \param[in] bus APBx bus to set divider + * + * \returns Status of the clock division change operation. + * + * \retval STATUS_ERR_INVALID_ARG Invalid bus ID was given + * \retval STATUS_OK The APBx clock was set successfully + */ +static inline enum status_code system_apb_clock_set_divider( + const enum system_clock_apb_bus bus, + const enum system_main_clock_div divider) +{ + switch (bus) { + case SYSTEM_CLOCK_APB_APBA: + PM->APBASEL.reg = (uint32_t)divider; + break; + case SYSTEM_CLOCK_APB_APBB: + PM->APBBSEL.reg = (uint32_t)divider; + break; + case SYSTEM_CLOCK_APB_APBC: + PM->APBCSEL.reg = (uint32_t)divider; + break; + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + + return STATUS_OK; +} + +/** + * \brief Retrieves the current frequency of a ABPx. + * + * Retrieves the operating frequency of an APBx bus, obtained from the main + * generic clock and the set APBx bus divider. + * + * \return Current APBx bus frequency in Hz. + */ +static inline uint32_t system_apb_clock_get_hz( + const enum system_clock_apb_bus bus) +{ + uint16_t bus_divider = 0; + + switch (bus) { + case SYSTEM_CLOCK_APB_APBA: + bus_divider = PM->APBASEL.reg; + break; + case SYSTEM_CLOCK_APB_APBB: + bus_divider = PM->APBBSEL.reg; + break; + case SYSTEM_CLOCK_APB_APBC: + bus_divider = PM->APBCSEL.reg; + break; + default: + Assert(false); + return 0; + } + + return (system_gclk_gen_get_hz(GCLK_GENERATOR_0) >> bus_divider); +} + + +/** + * @} + */ + +/** + * \name Bus Clock Masking + * @{ + */ + +/** + * \brief Set bits in the clock mask for the AHB bus. + * + * This function will set bits in the clock mask for the AHB bus. + * Any bits set to 1 will enable that clock, 0 bits in the mask + * will be ignored. + * + * \param[in] ahb_mask AHB clock mask to enable + */ +static inline void system_ahb_clock_set_mask( + const uint32_t ahb_mask) +{ + PM->AHBMASK.reg |= ahb_mask; +} + +/** + * \brief Clear bits in the clock mask for the AHB bus. + * + * This function will clear bits in the clock mask for the AHB bus. + * Any bits set to 1 will disable that clock, 0 bits in the mask + * will be ignored. + * + * \param[in] ahb_mask AHB clock mask to disable + */ +static inline void system_ahb_clock_clear_mask( + const uint32_t ahb_mask) +{ + PM->AHBMASK.reg &= ~ahb_mask; +} + +/** + * \brief Set bits in the clock mask for an APBx bus. + * + * This function will set bits in the clock mask for an APBx bus. + * Any bits set to 1 will enable the corresponding module clock, zero bits in + * the mask will be ignored. + * + * \param[in] mask APBx clock mask, a \c SYSTEM_CLOCK_APB_APBx constant from + * the device header files + * \param[in] bus Bus to set clock mask bits for, a mask of \c PM_APBxMASK_* + * constants from the device header files + * + * \returns Status indicating the result of the clock mask change operation. + * + * \retval STATUS_ERR_INVALID_ARG Invalid bus given + * \retval STATUS_OK The clock mask was set successfully + */ +static inline enum status_code system_apb_clock_set_mask( + const enum system_clock_apb_bus bus, + const uint32_t mask) +{ + switch (bus) { + case SYSTEM_CLOCK_APB_APBA: + PM->APBAMASK.reg |= mask; + break; + + case SYSTEM_CLOCK_APB_APBB: + PM->APBBMASK.reg |= mask; + break; + + case SYSTEM_CLOCK_APB_APBC: + PM->APBCMASK.reg |= mask; + break; + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + + } + + return STATUS_OK; +} + +/** + * \brief Clear bits in the clock mask for an APBx bus. + * + * This function will clear bits in the clock mask for an APBx bus. + * Any bits set to 1 will disable the corresponding module clock, zero bits in + * the mask will be ignored. + * + * \param[in] mask APBx clock mask, a \c SYSTEM_CLOCK_APB_APBx constant from + * the device header files + * \param[in] bus Bus to clear clock mask bits + * + * \returns Status indicating the result of the clock mask change operation. + * + * \retval STATUS_ERR_INVALID_ARG Invalid bus ID was given + * \retval STATUS_OK The clock mask was changed successfully + */ +static inline enum status_code system_apb_clock_clear_mask( + const enum system_clock_apb_bus bus, + const uint32_t mask) +{ + switch (bus) { + case SYSTEM_CLOCK_APB_APBA: + PM->APBAMASK.reg &= ~mask; + break; + + case SYSTEM_CLOCK_APB_APBB: + PM->APBBMASK.reg &= ~mask; + break; + + case SYSTEM_CLOCK_APB_APBC: + PM->APBCMASK.reg &= ~mask; + break; + + default: + Assert(false); + return STATUS_ERR_INVALID_ARG; + } + + return STATUS_OK; +} + +/** + * @} + */ + +#ifdef FEATURE_SYSTEM_CLOCK_DPLL +/** + * \brief Reference clock source of the DPLL module. + */ +enum system_clock_source_dpll_reference_clock { + /** Select XOSC32K as clock reference. */ + SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC32K, + /** Select XOSC as clock reference. */ + SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC, + /** Select GCLK as clock reference. */ + SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_GCLK, +}; + +/** + * \brief Lock time-out value of the DPLL module. + */ +enum system_clock_source_dpll_lock_time { + /** Set no time-out as default. */ + SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_DEFAULT, + /** Set time-out if no lock within 8ms. */ + SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_8MS = 0x04, + /** Set time-out if no lock within 9ms. */ + SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_9MS, + /** Set time-out if no lock within 10ms. */ + SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_10MS, + /** Set time-out if no lock within 11ms. */ + SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_11MS, +}; + +/** + * \brief Filter type of the DPLL module. + */ +enum system_clock_source_dpll_filter { + /** Default filter mode. */ + SYSTEM_CLOCK_SOURCE_DPLL_FILTER_DEFAULT, + /** Low bandwidth filter. */ + SYSTEM_CLOCK_SOURCE_DPLL_FILTER_LOW_BANDWIDTH_FILTER, + /** High bandwidth filter. */ + SYSTEM_CLOCK_SOURCE_DPLL_FILTER_HIGH_BANDWIDTH_FILTER, + /** High damping filter. */ + SYSTEM_CLOCK_SOURCE_DPLL_FILTER_HIGH_DAMPING_FILTER, +}; + +/** + * \brief Configuration structure for DPLL. + * + * DPLL oscillator configuration structure. + */ +struct system_clock_source_dpll_config { + /** Run On Demand. If this is set the DPLL won't run + * until requested by a peripheral. */ + bool on_demand; + /** Keep the DPLL enabled in standby sleep mode. */ + bool run_in_standby; + /** Bypass lock signal. */ + bool lock_bypass; + /** Wake up fast. If this is set DPLL output clock is enabled after + * the startup time. */ + bool wake_up_fast; + /** Enable low power mode. */ + bool low_power_enable; + + /** Output frequency of the clock. */ + uint32_t output_frequency; + /** Reference frequency of the clock. */ + uint32_t reference_frequency; + /** Devider of reference clock. */ + uint16_t reference_divider; + + /** Filter type of the DPLL module. */ + enum system_clock_source_dpll_filter filter; + /** Lock time-out value of the DPLL module. */ + enum system_clock_source_dpll_lock_time lock_time; + /** Reference clock source of the DPLL module. */ + enum system_clock_source_dpll_reference_clock reference_clock; +}; + +/** + * \name Internal DPLL Management + * @{ + */ + +/** + * \brief Retrieve the default configuration for DPLL. + * + * Fills a configuration structure with the default configuration for a + * DPLL oscillator module: + * - Run only when requested by peripheral (on demand) + * - Don't run in STANDBY sleep mode + * - Lock bypass disabled + * - Fast wake up disabled + * - Low power mode disabled + * - Output frequency is 48MHz + * - Reference clock frequency is 32768Hz + * - Not divide reference clock + * - Select REF0 as reference clock + * - Set lock time to default mode + * - Use default filter + * + * \param[out] config Configuration structure to fill with default values + */ +static inline void system_clock_source_dpll_get_config_defaults( + struct system_clock_source_dpll_config *const config) +{ + config->on_demand = true; + config->run_in_standby = false; + config->lock_bypass = false; + config->wake_up_fast = false; + config->low_power_enable = false; + + config->output_frequency = 48000000; + config->reference_frequency = 32768; + config->reference_divider = 1; + config->reference_clock = SYSTEM_CLOCK_SOURCE_DPLL_REFERENCE_CLOCK_XOSC32K; + + config->lock_time = SYSTEM_CLOCK_SOURCE_DPLL_LOCK_TIME_DEFAULT; + config->filter = SYSTEM_CLOCK_SOURCE_DPLL_FILTER_DEFAULT; +}; + +void system_clock_source_dpll_set_config( + struct system_clock_source_dpll_config *const config); + +/* @} */ +#endif + +/** + * \name System Clock Initialization + * @{ + */ + +void system_clock_init(void); + +/** + * @} + */ + +/** + * \name System Flash Wait States + * @{ + */ + +/** + * \brief Set flash controller wait states. + * + * Will set the number of wait states that are used by the onboard + * flash memory. The number of wait states depend on both device + * supply voltage and CPU speed. The required number of wait states + * can be found in the electrical characteristics of the device. + * + * \param[in] wait_states Number of wait states to use for internal flash + */ +static inline void system_flash_set_waitstates(uint8_t wait_states) +{ + Assert(NVMCTRL_CTRLB_RWS((uint32_t)wait_states) == + ((uint32_t)wait_states << NVMCTRL_CTRLB_RWS_Pos)); + + NVMCTRL->CTRLB.bit.RWS = wait_states; +} +/** + * @} + */ + +/** + * @} + */ + +/** + * \page asfdoc_sam0_system_clock_extra Extra Information for SYSTEM CLOCK Driver + * + * \section asfdoc_sam0_system_clock_extra_acronyms Acronyms + * Below is a table listing the acronyms used in this module, along with their + * intended meanings. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    DFLLDigital Frequency Locked Loop
    MUXMultiplexer
    OSC32KInternal 32KHz Oscillator
    OSC8MInternal 8MHz Oscillator
    PLLPhase Locked Loop
    OSCOscillator
    XOSCExternal Oscillator
    XOSC32KExternal 32KHz Oscillator
    AHBAdvanced High-performance Bus
    APBAdvanced Peripheral Bus
    DPLLDigital Phase Locked Loop
    + * + * + * \section asfdoc_sam0_system_clock_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - None + * + * + * \section asfdoc_sam0_system_clock_extra_errata Errata + * + * - This driver implements experimental workaround for errata 9905 + * + * "The DFLL clock must be requested before being configured otherwise a + * write access to a DFLL register can freeze the device." + * This driver will enable and configure the DFLL before the ONDEMAND bit is set. + * + * + * \section asfdoc_sam0_system_clock_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Changelog
    + * \li Corrected OSC32K startup time definitions + * \li Support locking of OSC32K and XOSC32K config register (default: false) + * \li Added DPLL support, functions added: + * \c system_clock_source_dpll_get_config_defaults() and + * \c system_clock_source_dpll_set_config() + * \li Moved gclk channel locking feature out of the config struct + * functions added: + * \c system_gclk_chan_lock(), + * \c system_gclk_chan_is_locked() + * \c system_gclk_chan_is_enabled() and + * \c system_gclk_gen_is_enabled() + *
    Fixed \c system_gclk_chan_disable() deadlocking if a channel is enabled + * and configured to a failed/not running clock generator
    + * \li Changed default value for CONF_CLOCK_DFLL_ON_DEMAND from \c true to \c false + * \li Fixed system_flash_set_waitstates() failing with an assertion + * if an odd number of wait states provided + *
    + * \li Updated DFLL configuration function to implement workaround for + * errata 9905 in the DFLL module + * \li Updated \c system_clock_init() to reset interrupt flags before + * they are used + * \li Fixed \c system_clock_source_get_hz() to return correcy DFLL + * frequency number + *
    \li Fixed \c system_clock_source_is_ready not returning the correct + * state for \c SYSTEM_CLOCK_SOURCE_OSC8M + * \li Renamed the various \c system_clock_source_*_get_default_config() + * functions to \c system_clock_source_*_get_config_defaults() to + * match the remainder of ASF + * \li Added OSC8M calibration constant loading from the device signature + * row when the oscillator is initialized + * \li Updated default configuration of the XOSC32 to disable Automatic + * Gain Control due to silicon errata + *
    Initial Release
    + */ + +/** + * \page asfdoc_sam0_system_clock_exqsg Examples for System Clock Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_system_clock_group. QSGs are simple + * examples with step-by-step instructions to configure and use this driver in + * a selection of use cases. Note that a QSG can be compiled as a standalone + * application or be added to the user application. + * + * - \subpage asfdoc_sam0_system_clock_basic_use_case + * - \subpage asfdoc_sam0_system_gclk_basic_use_case + * + * \page asfdoc_sam0_system_clock_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev.DateComments
    42119E12/2015Added support for SAM DA1 and SAM D09
    42119D12/2014Added support for SAM R21 and SAM D10/D11
    42119C01/2014Added support for SAM D21
    42119B06/2013Corrected documentation typos. Fixed missing steps in the Basic + * Use Case Quick Start Guide
    42119A06/2013Initial release
    + */ + +#ifdef __cplusplus +} +#endif + +#endif /* SYSTEM_CLOCK_FEATURE_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c new file mode 100644 index 0000000..61c2084 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/gclk.c @@ -0,0 +1,512 @@ +/** + * \file + * + * \brief SAM D21/R21/DA/HA Generic Clock Driver + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include +#include +#include + +/** + * \brief Determines if the hardware module(s) are currently synchronizing to the bus. + * + * Checks to see if the underlying hardware peripheral module(s) are currently + * synchronizing across multiple clock domains to the hardware bus, This + * function can be used to delay further operations on a module until such time + * that it is ready, to prevent blocking delays for synchronization in the + * user application. + * + * \return Synchronization status of the underlying hardware module(s). + * + * \retval false if the module has completed synchronization + * \retval true if the module synchronization is ongoing + */ +static inline bool system_gclk_is_syncing(void) +{ + if (GCLK->STATUS.reg & GCLK_STATUS_SYNCBUSY){ + return true; + } + + return false; +} + +/** + * \brief Initializes the GCLK driver. + * + * Initializes the Generic Clock module, disabling and resetting all active + * Generic Clock Generators and Channels to their power-on default values. + */ +void system_gclk_init(void) +{ + /* Turn on the digital interface clock */ + system_apb_clock_set_mask(SYSTEM_CLOCK_APB_APBA, PM_APBAMASK_GCLK); + + /* Software reset the module to ensure it is re-initialized correctly */ + GCLK->CTRL.reg = GCLK_CTRL_SWRST; + while (GCLK->CTRL.reg & GCLK_CTRL_SWRST) { + /* Wait for reset to complete */ + } +} + +/** + * \brief Writes a Generic Clock Generator configuration to the hardware module. + * + * Writes out a given configuration of a Generic Clock Generator configuration + * to the hardware module. + * + * \note Changing the clock source on the fly (on a running + * generator) can take additional time if the clock source is configured + * to only run on-demand (ONDEMAND bit is set) and it is not currently + * running (no peripheral is requesting the clock source). In this case + * the GCLK will request the new clock while still keeping a request to + * the old clock source until the new clock source is ready. + * + * \note This function will not start a generator that is not already running; + * to start the generator, call \ref system_gclk_gen_enable() + * after configuring a generator. + * + * \param[in] generator Generic Clock Generator index to configure + * \param[in] config Configuration settings for the generator + */ +void system_gclk_gen_set_config( + const uint8_t generator, + struct system_gclk_gen_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Cache new register configurations to minimize sync requirements. */ + uint32_t new_genctrl_config = (generator << GCLK_GENCTRL_ID_Pos); + uint32_t new_gendiv_config = (generator << GCLK_GENDIV_ID_Pos); + + /* Select the requested source clock for the generator */ + new_genctrl_config |= config->source_clock << GCLK_GENCTRL_SRC_Pos; + + /* Configure the clock to be either high or low when disabled */ + if (config->high_when_disabled) { + new_genctrl_config |= GCLK_GENCTRL_OOV; + } + + /* Configure if the clock output to I/O pin should be enabled. */ + if (config->output_enable) { + new_genctrl_config |= GCLK_GENCTRL_OE; + } + + /* Set division factor */ + if (config->division_factor > 1) { + /* Check if division is a power of two */ + if (((config->division_factor & (config->division_factor - 1)) == 0)) { + /* Determine the index of the highest bit set to get the + * division factor that must be loaded into the division + * register */ + + uint32_t div2_count = 0; + + uint32_t mask; + for (mask = (1UL << 1); mask < config->division_factor; + mask <<= 1) { + div2_count++; + } + + /* Set binary divider power of 2 division factor */ + new_gendiv_config |= div2_count << GCLK_GENDIV_DIV_Pos; + new_genctrl_config |= GCLK_GENCTRL_DIVSEL; + } else { + /* Set integer division factor */ + + new_gendiv_config |= + (config->division_factor) << GCLK_GENDIV_DIV_Pos; + + /* Enable non-binary division with increased duty cycle accuracy */ + new_genctrl_config |= GCLK_GENCTRL_IDC; + } + + } + + /* Enable or disable the clock in standby mode */ + if (config->run_in_standby) { + new_genctrl_config |= GCLK_GENCTRL_RUNSTDBY; + } + + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + system_interrupt_enter_critical_section(); + + /* Select the correct generator */ + *((uint8_t*)&GCLK->GENDIV.reg) = generator; + + /* Write the new generator configuration */ + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + GCLK->GENDIV.reg = new_gendiv_config; + + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + GCLK->GENCTRL.reg = new_genctrl_config | (GCLK->GENCTRL.reg & GCLK_GENCTRL_GENEN); + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Enables a Generic Clock Generator that was previously configured. + * + * Starts the clock generation of a Generic Clock Generator that was previously + * configured via a call to \ref system_gclk_gen_set_config(). + * + * \param[in] generator Generic Clock Generator index to enable + */ +void system_gclk_gen_enable( + const uint8_t generator) +{ + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + system_interrupt_enter_critical_section(); + + /* Select the requested generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + /* Enable generator */ + GCLK->GENCTRL.reg |= GCLK_GENCTRL_GENEN; + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Disables a Generic Clock Generator that was previously enabled. + * + * Stops the clock generation of a Generic Clock Generator that was previously + * started via a call to \ref system_gclk_gen_enable(). + * + * \param[in] generator Generic Clock Generator index to disable + */ +void system_gclk_gen_disable( + const uint8_t generator) +{ + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + system_interrupt_enter_critical_section(); + + /* Select the requested generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + /* Disable generator */ + GCLK->GENCTRL.reg &= ~GCLK_GENCTRL_GENEN; + while (GCLK->GENCTRL.reg & GCLK_GENCTRL_GENEN) { + /* Wait for clock to become disabled */ + } + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Determins if the specified Generic Clock Generator is enabled. + * + * \param[in] generator Generic Clock Generator index to check + * + * \return The enabled status. + * \retval true The Generic Clock Generator is enabled + * \retval false The Generic Clock Generator is disabled + */ +bool system_gclk_gen_is_enabled( + const uint8_t generator) +{ + bool enabled; + + system_interrupt_enter_critical_section(); + + /* Select the requested generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + /* Obtain the enabled status */ + enabled = (GCLK->GENCTRL.reg & GCLK_GENCTRL_GENEN); + + system_interrupt_leave_critical_section(); + + return enabled; +} + +/** + * \brief Retrieves the clock frequency of a Generic Clock generator. + * + * Determines the clock frequency (in Hz) of a specified Generic Clock + * generator, used as a source to a Generic Clock Channel module. + * + * \param[in] generator Generic Clock Generator index + * + * \return The frequency of the generic clock generator, in Hz. + */ +uint32_t system_gclk_gen_get_hz( + const uint8_t generator) +{ + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + system_interrupt_enter_critical_section(); + + /* Select the appropriate generator */ + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + /* Get the frequency of the source connected to the GCLK generator */ + uint32_t gen_input_hz = system_clock_source_get_hz( + (enum system_clock_source)GCLK->GENCTRL.bit.SRC); + + *((uint8_t*)&GCLK->GENCTRL.reg) = generator; + + uint8_t divsel = GCLK->GENCTRL.bit.DIVSEL; + + /* Select the appropriate generator division register */ + *((uint8_t*)&GCLK->GENDIV.reg) = generator; + while (system_gclk_is_syncing()) { + /* Wait for synchronization */ + }; + + uint32_t divider = GCLK->GENDIV.bit.DIV; + + system_interrupt_leave_critical_section(); + + /* Check if the generator is using fractional or binary division */ + if (!divsel && divider > 1) { + gen_input_hz /= divider; + } else if (divsel) { + gen_input_hz >>= (divider+1); + } + + return gen_input_hz; +} + +/** + * \brief Writes a Generic Clock configuration to the hardware module. + * + * Writes out a given configuration of a Generic Clock configuration to the + * hardware module. If the clock is currently running, it will be stopped. + * + * \note Once called the clock will not be running; to start the clock, + * call \ref system_gclk_chan_enable() after configuring a clock channel. + * + * \param[in] channel Generic Clock channel to configure + * \param[in] config Configuration settings for the clock + * + */ +void system_gclk_chan_set_config( + const uint8_t channel, + struct system_gclk_chan_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Cache the new config to reduce sync requirements */ + uint32_t new_clkctrl_config = (channel << GCLK_CLKCTRL_ID_Pos); + + /* Select the desired generic clock generator */ + new_clkctrl_config |= config->source_generator << GCLK_CLKCTRL_GEN_Pos; + + /* Disable generic clock channel */ + system_gclk_chan_disable(channel); + + /* Write the new configuration */ + GCLK->CLKCTRL.reg = new_clkctrl_config; +} + +/** + * \brief Enables a Generic Clock that was previously configured. + * + * Starts the clock generation of a Generic Clock that was previously + * configured via a call to \ref system_gclk_chan_set_config(). + * + * \param[in] channel Generic Clock channel to enable + */ +void system_gclk_chan_enable( + const uint8_t channel) +{ + system_interrupt_enter_critical_section(); + + /* Select the requested generator channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + + /* Enable the generic clock */ + GCLK->CLKCTRL.reg |= GCLK_CLKCTRL_CLKEN; + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Disables a Generic Clock that was previously enabled. + * + * Stops the clock generation of a Generic Clock that was previously started + * via a call to \ref system_gclk_chan_enable(). + * + * \param[in] channel Generic Clock channel to disable + */ +void system_gclk_chan_disable( + const uint8_t channel) +{ + system_interrupt_enter_critical_section(); + + /* Select the requested generator channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + + /* Sanity check WRTLOCK */ + Assert(!GCLK->CLKCTRL.bit.WRTLOCK); + + /* Switch to known-working source so that the channel can be disabled */ + uint32_t prev_gen_id = GCLK->CLKCTRL.bit.GEN; + GCLK->CLKCTRL.bit.GEN = 0; + + /* Disable the generic clock */ + GCLK->CLKCTRL.reg &= ~GCLK_CLKCTRL_CLKEN; + while (GCLK->CLKCTRL.reg & GCLK_CLKCTRL_CLKEN) { + /* Wait for clock to become disabled */ + } + + /* Restore previous configured clock generator */ + GCLK->CLKCTRL.bit.GEN = prev_gen_id; + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Determins if the specified Generic Clock channel is enabled. + * + * \param[in] channel Generic Clock Channel index + * + * \return The enabled status. + * \retval true The Generic Clock channel is enabled + * \retval false The Generic Clock channel is disabled + */ +bool system_gclk_chan_is_enabled( + const uint8_t channel) +{ + bool enabled; + + system_interrupt_enter_critical_section(); + + /* Select the requested generic clock channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + enabled = GCLK->CLKCTRL.bit.CLKEN; + + system_interrupt_leave_critical_section(); + + return enabled; +} + +/** + * \brief Locks a Generic Clock channel from further configuration writes. + * + * Locks a generic clock channel from further configuration writes. It is only + * possible to unlock the channel configuration through a power on reset. + * + * \param[in] channel Generic Clock channel to enable + */ +void system_gclk_chan_lock( + const uint8_t channel) +{ + system_interrupt_enter_critical_section(); + + /* Select the requested generator channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + + /* Lock the generic clock */ + GCLK->CLKCTRL.reg |= GCLK_CLKCTRL_WRTLOCK | GCLK_CLKCTRL_CLKEN; + + system_interrupt_leave_critical_section(); +} + +/** + * \brief Determins if the specified Generic Clock channel is locked. + * + * \param[in] channel Generic Clock Channel index + * + * \return The lock status. + * \retval true The Generic Clock channel is locked + * \retval false The Generic Clock channel is not locked + */ +bool system_gclk_chan_is_locked( + const uint8_t channel) +{ + bool locked; + + system_interrupt_enter_critical_section(); + + /* Select the requested generic clock channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + locked = GCLK->CLKCTRL.bit.WRTLOCK; + + system_interrupt_leave_critical_section(); + + return locked; +} + +/** + * \brief Retrieves the clock frequency of a Generic Clock channel. + * + * Determines the clock frequency (in Hz) of a specified Generic Clock + * channel, used as a source to a device peripheral module. + * + * \param[in] channel Generic Clock Channel index + * + * \return The frequency of the generic clock channel, in Hz. + */ +uint32_t system_gclk_chan_get_hz( + const uint8_t channel) +{ + uint8_t gen_id; + + system_interrupt_enter_critical_section(); + + /* Select the requested generic clock channel */ + *((uint8_t*)&GCLK->CLKCTRL.reg) = channel; + gen_id = GCLK->CLKCTRL.bit.GEN; + + system_interrupt_leave_critical_section(); + + /* Return the clock speed of the associated GCLK generator */ + return system_gclk_gen_get_hz(gen_id); +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/gclk.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/gclk.h new file mode 100644 index 0000000..874b050 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/clock/gclk.h @@ -0,0 +1,297 @@ +/** + * \file + * + * \brief SAM Generic Clock Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SYSTEM_CLOCK_GCLK_H_INCLUDED +#define SYSTEM_CLOCK_GCLK_H_INCLUDED + +/** + * \addtogroup asfdoc_sam0_system_clock_group + * + * @{ + */ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \brief List of available GCLK generators. + * + * List of Available GCLK generators. This enum is used in the peripheral + * device drivers to select the GCLK generator to be used for its operation. + * + * The number of GCLK generators available is device dependent. + */ +enum gclk_generator { + /** GCLK generator channel 0 */ + GCLK_GENERATOR_0, +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 0) + /** GCLK generator channel 1 */ + GCLK_GENERATOR_1, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 1) + /** GCLK generator channel 2 */ + GCLK_GENERATOR_2, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 2) + /** GCLK generator channel 3 */ + GCLK_GENERATOR_3, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 3) + /** GCLK generator channel 4 */ + GCLK_GENERATOR_4, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 4) + /** GCLK generator channel 5 */ + GCLK_GENERATOR_5, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 5) + /** GCLK generator channel 6 */ + GCLK_GENERATOR_6, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 6) + /** GCLK generator channel 7 */ + GCLK_GENERATOR_7, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 7) + /** GCLK generator channel 8 */ + GCLK_GENERATOR_8, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 8) + /** GCLK generator channel 9 */ + GCLK_GENERATOR_9, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 9) + /** GCLK generator channel 10 */ + GCLK_GENERATOR_10, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 10) + /** GCLK generator channel 11 */ + GCLK_GENERATOR_11, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 11) + /** GCLK generator channel 12 */ + GCLK_GENERATOR_12, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 12) + /** GCLK generator channel 13 */ + GCLK_GENERATOR_13, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 13) + /** GCLK generator channel 14 */ + GCLK_GENERATOR_14, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 14) + /** GCLK generator channel 15 */ + GCLK_GENERATOR_15, +#endif +#if defined(__DOXYGEN__) || (GCLK_GEN_NUM_MSB > 15) + /** GCLK generator channel 16 */ + GCLK_GENERATOR_16, +#endif +}; + +/** + * \brief Generic Clock Generator configuration structure. + * + * Configuration structure for a Generic Clock Generator channel. This + * structure should be initialized by the + * \ref system_gclk_gen_get_config_defaults() function before being modified by + * the user application. + */ +struct system_gclk_gen_config { + /** Source clock input channel index, see the \ref system_clock_source */ + uint8_t source_clock; + /** If \c true, the generator output level is high when disabled */ + bool high_when_disabled; + /** Integer division factor of the clock output compared to the input */ + uint32_t division_factor; + /** If \c true, the clock is kept enabled during device standby mode */ + bool run_in_standby; + /** If \c true, enables GCLK generator clock output to a GPIO pin */ + bool output_enable; +}; + +/** + * \brief Generic Clock configuration structure. + * + * Configuration structure for a Generic Clock channel. This structure + * should be initialized by the \ref system_gclk_chan_get_config_defaults() + * function before being modified by the user application. + */ +struct system_gclk_chan_config { + /** Generic Clock Generator source channel */ + enum gclk_generator source_generator; +}; + +/** \name Generic Clock Management + * @{ + */ +void system_gclk_init(void); + +/** @} */ + + +/** + * \name Generic Clock Management (Generators) + * @{ + */ + +/** + * \brief Initializes a Generic Clock Generator configuration structure to defaults. + * + * Initializes a given Generic Clock Generator configuration structure to + * a set of known default values. This function should be called on all + * new instances of these configuration structures before being modified + * by the user application. + * + * The default configuration is: + * \li The clock is generated undivided from the source frequency + * \li The clock generator output is low when the generator is disabled + * \li The input clock is sourced from input clock channel 0 + * \li The clock will be disabled during sleep + * \li The clock output will not be routed to a physical GPIO pin + * + * \param[out] config Configuration structure to initialize to default values + */ +static inline void system_gclk_gen_get_config_defaults( + struct system_gclk_gen_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->division_factor = 1; + config->high_when_disabled = false; +#if SAML21 || SAML22 || SAMR30 || SAMR34 || SAMR35 + config->source_clock = GCLK_SOURCE_OSC16M; +#elif (SAMC20) || (SAMC21) + config->source_clock = GCLK_SOURCE_OSC48M; +#else + config->source_clock = GCLK_SOURCE_OSC8M; +#endif + config->run_in_standby = false; + config->output_enable = false; +} + +void system_gclk_gen_set_config( + const uint8_t generator, + struct system_gclk_gen_config *const config); + +void system_gclk_gen_enable( + const uint8_t generator); + +void system_gclk_gen_disable( + const uint8_t generator); + +bool system_gclk_gen_is_enabled( + const uint8_t generator); + +/** @} */ + + +/** + * \name Generic Clock Management (Channels) + * @{ + */ + +/** + * \brief Initializes a Generic Clock configuration structure to defaults. + * + * Initializes a given Generic Clock configuration structure to a set of + * known default values. This function should be called on all new + * instances of these configuration structures before being modified by the + * user application. + * + * The default configuration is as follows: + * \li The clock is sourced from the Generic Clock Generator channel 0 + * \li The clock configuration will not be write-locked when set + * + * \param[out] config Configuration structure to initialize to default values + */ +static inline void system_gclk_chan_get_config_defaults( + struct system_gclk_chan_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->source_generator = GCLK_GENERATOR_0; +} + +void system_gclk_chan_set_config( + const uint8_t channel, + struct system_gclk_chan_config *const config); + +void system_gclk_chan_enable( + const uint8_t channel); + +void system_gclk_chan_disable( + const uint8_t channel); + +bool system_gclk_chan_is_enabled( + const uint8_t channel); + +void system_gclk_chan_lock( + const uint8_t channel); + +bool system_gclk_chan_is_locked( + const uint8_t channel); + +/** @} */ + + +/** + * \name Generic Clock Frequency Retrieval + * @{ + */ + +uint32_t system_gclk_gen_get_hz( + const uint8_t generator); + +uint32_t system_gclk_chan_get_hz( + const uint8_t channel); + +/** @} */ + +#ifdef __cplusplus +} +#endif + +/** @} */ + +#endif diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.c new file mode 100644 index 0000000..348a774 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.c @@ -0,0 +1,207 @@ +/** + * \file + * + * \brief SAM System Interrupt Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include "system_interrupt.h" + +/** + * \brief Check if a interrupt line is pending. + * + * Checks if the requested interrupt vector is pending. + * + * \param[in] vector Interrupt vector number to check + * + * \returns A boolean identifying if the requested interrupt vector is pending. + * + * \retval true Specified interrupt vector is pending + * \retval false Specified interrupt vector is not pending + * + */ +bool system_interrupt_is_pending( + const enum system_interrupt_vector vector) +{ + bool result; + + if (vector >= _SYSTEM_INTERRUPT_EXTERNAL_VECTOR_START) { + result = ((NVIC->ISPR[0] & (1 << vector)) != 0); + } else if (vector == SYSTEM_INTERRUPT_SYSTICK) { + result = ((SCB->ICSR & SCB_ICSR_PENDSTSET_Msk) != 0); + } else { + Assert(false); + result = false; + } + + return result; +} + +/** + * \brief Set a interrupt vector as pending. + * + * Set the requested interrupt vector as pending (i.e. issues a software + * interrupt request for the specified vector). The software handler will be + * handled (if enabled) in a priority order based on vector number and + * configured priority settings. + * + * \param[in] vector Interrupt vector number which is set as pending + * + * \returns Status code identifying if the vector was successfully set as + * pending. + * + * \retval STATUS_OK If no error was detected + * \retval STATUS_INVALID_ARG If an unsupported interrupt vector number was given + */ +enum status_code system_interrupt_set_pending( + const enum system_interrupt_vector vector) +{ + enum status_code status = STATUS_OK; + + if (vector >= _SYSTEM_INTERRUPT_EXTERNAL_VECTOR_START) { + NVIC->ISPR[0] = (1 << vector); + } else if (vector == SYSTEM_INTERRUPT_NON_MASKABLE) { + /* Note: Because NMI has highest priority it will be executed + * immediately after it has been set pending */ + SCB->ICSR = SCB_ICSR_NMIPENDSET_Msk; + } else if (vector == SYSTEM_INTERRUPT_SYSTICK) { + SCB->ICSR = SCB_ICSR_PENDSTSET_Msk; + } else { + /* The user want to set something unsupported as pending */ + Assert(false); + status = STATUS_ERR_INVALID_ARG; + } + + return status; +} + +/** + * \brief Clear pending interrupt vector. + * + * Clear a pending interrupt vector, so the software handler is not executed. + * + * \param[in] vector Interrupt vector number to clear + * + * \returns A status code identifying if the interrupt pending state was + * successfully cleared. + * + * \retval STATUS_OK If no error was detected + * \retval STATUS_INVALID_ARG If an unsupported interrupt vector number was given + */ +enum status_code system_interrupt_clear_pending( + const enum system_interrupt_vector vector) +{ + enum status_code status = STATUS_OK; + + if (vector >= _SYSTEM_INTERRUPT_EXTERNAL_VECTOR_START) { + NVIC->ICPR[0] = (1 << vector); + } else if (vector == SYSTEM_INTERRUPT_NON_MASKABLE) { + /* Note: Clearing of NMI pending interrupts does not make sense and is + * not supported by the device, as it has the highest priority and will + * always be executed at the moment it is set */ + return STATUS_ERR_INVALID_ARG; + } else if (vector == SYSTEM_INTERRUPT_SYSTICK) { + SCB->ICSR = SCB_ICSR_PENDSTCLR_Msk; + } else { + Assert(false); + status = STATUS_ERR_INVALID_ARG; + } + + return status; +} + +/** + * \brief Set interrupt vector priority level. + * + * Set the priority level of an external interrupt or exception. + * + * \param[in] vector Interrupt vector to change + * \param[in] priority_level New vector priority level to set + * + * \returns Status code indicating if the priority level of the interrupt was + * successfully set. + * + * \retval STATUS_OK If no error was detected + * \retval STATUS_INVALID_ARG If an unsupported interrupt vector number was given + */ +enum status_code system_interrupt_set_priority( + const enum system_interrupt_vector vector, + const enum system_interrupt_priority_level priority_level) +{ + enum status_code status = STATUS_OK; + + if (vector >= _SYSTEM_INTERRUPT_EXTERNAL_VECTOR_START) { + uint8_t register_num = vector / 4; + uint8_t priority_pos = ((vector % 4) * 8) + (8 - __NVIC_PRIO_BITS); + + NVIC->IP[register_num] = + (NVIC->IP[register_num] & ~(_SYSTEM_INTERRUPT_PRIORITY_MASK << priority_pos)) | + (priority_level << priority_pos); + + } else if (vector == SYSTEM_INTERRUPT_SYSTICK) { + SCB->SHP[1] = (priority_level << _SYSTEM_INTERRUPT_SYSTICK_PRI_POS); + } else { + Assert(false); + status = STATUS_ERR_INVALID_ARG; + } + + return status; +} + +/** + * \brief Get interrupt vector priority level. + * + * Retrieves the priority level of the requested external interrupt or exception. + * + * \param[in] vector Interrupt vector of which the priority level will be read + * + * \return Currently configured interrupt priority level of the given interrupt + * vector. + */ +enum system_interrupt_priority_level system_interrupt_get_priority( + const enum system_interrupt_vector vector) +{ + uint8_t register_num = vector / 4; + uint8_t priority_pos = ((vector % 4) * 8) + (8 - __NVIC_PRIO_BITS); + + enum system_interrupt_priority_level priority = SYSTEM_INTERRUPT_PRIORITY_LEVEL_0; + + if (vector >= 0) { + priority = (enum system_interrupt_priority_level) + ((NVIC->IP[register_num] >> priority_pos) & _SYSTEM_INTERRUPT_PRIORITY_MASK); + } else if (vector == SYSTEM_INTERRUPT_SYSTICK) { + priority = (enum system_interrupt_priority_level) + ((SCB->SHP[1] >> _SYSTEM_INTERRUPT_SYSTICK_PRI_POS) & _SYSTEM_INTERRUPT_PRIORITY_MASK); + } + + return priority; +} + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.h new file mode 100644 index 0000000..76ee5e6 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt.h @@ -0,0 +1,423 @@ +/** + * \file + * + * \brief SAM System Interrupt Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SYSTEM_INTERRUPT_H_INCLUDED +#define SYSTEM_INTERRUPT_H_INCLUDED + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \defgroup asfdoc_sam0_system_interrupt_group SAM System Interrupt (SYSTEM INTERRUPT) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides + * an interface for the configuration and management of internal software and + * hardware interrupts/exceptions. + * + * The following peripheral is used by this module: + * - NVIC (Nested Vector Interrupt Controller) + * + * The following devices can use this module: + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM HA1 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34 + * - Atmel | SMART SAM R35 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_system_interrupt_prerequisites + * - \ref asfdoc_sam0_system_interrupt_module_overview + * - \ref asfdoc_sam0_system_interrupt_special_considerations + * - \ref asfdoc_sam0_system_interrupt_extra_info + * - \ref asfdoc_sam0_system_interrupt_examples + * - \ref asfdoc_sam0_system_interrupt_api_overview + * + * + * \section asfdoc_sam0_system_interrupt_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_system_interrupt_module_overview Module Overview + * + * The ARM® Cortex® M0+ core contains an interrupt and exception vector table, which + * can be used to configure the device's interrupt handlers; individual + * interrupts and exceptions can be enabled and disabled, as well as configured + * with a variable priority. + * + * This driver provides a set of wrappers around the core interrupt functions, + * to expose a simple API for the management of global and individual interrupts + * within the device. + * + * \subsection asfdoc_sam0_system_interrupt_module_overview_criticalsec Critical Sections + * In some applications it is important to ensure that no interrupts may be + * executed by the system whilst a critical portion of code is being run; for + * example, a buffer may be copied from one context to another - during which + * interrupts must be disabled to avoid corruption of the source buffer contents + * until the copy has completed. This driver provides a basic API to enter and + * exit nested critical sections, so that global interrupts can be kept disabled + * for as long as necessary to complete a critical application code section. + * + * \subsection asfdoc_sam0_system_interrupt_module_overview_softints Software Interrupts + * For some applications, it may be desirable to raise a module or core + * interrupt via software. For this reason, a set of APIs to set an interrupt or + * exception as pending are provided to the user application. + * + * \section asfdoc_sam0_system_interrupt_special_considerations Special Considerations + * + * Interrupts from peripherals in the SAM devices are on a per-module basis; + * an interrupt raised from any source within a module will cause a single, + * module-common handler to execute. It is the user application or driver's + * responsibility to de-multiplex the module-common interrupt to determine the + * exact interrupt cause. + * + * \section asfdoc_sam0_system_interrupt_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_system_interrupt_extra. This includes: + * - \ref asfdoc_sam0_system_interrupt_extra_acronyms + * - \ref asfdoc_sam0_system_interrupt_extra_dependencies + * - \ref asfdoc_sam0_system_interrupt_extra_errata + * - \ref asfdoc_sam0_system_interrupt_extra_history + * + * + * \section asfdoc_sam0_system_interrupt_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_system_interrupt_exqsg. + * + * \section asfdoc_sam0_system_interrupt_api_overview API Overview + * @{ + */ + +#include +#include +#include "system_interrupt_features.h" + +/** + * \brief Table of possible system interrupt/exception vector priorities. + * + * Table of all possible interrupt and exception vector priorities within the + * device. + */ +enum system_interrupt_priority_level { + /** Priority level 0, the highest possible interrupt priority */ + SYSTEM_INTERRUPT_PRIORITY_LEVEL_0 = 0, + /** Priority level 1 */ + SYSTEM_INTERRUPT_PRIORITY_LEVEL_1 = 1, + /** Priority level 2 */ + SYSTEM_INTERRUPT_PRIORITY_LEVEL_2 = 2, + /** Priority level 3, the lowest possible interrupt priority */ + SYSTEM_INTERRUPT_PRIORITY_LEVEL_3 = 3, +}; + +/** + * \name Critical Section Management + * @{ + */ + +/** + * \brief Enters a critical section. + * + * Disables global interrupts. To support nested critical sections, an internal + * count of the critical section nesting will be kept, so that global interrupts + * are only re-enabled upon leaving the outermost nested critical section. + * + */ +static inline void system_interrupt_enter_critical_section(void) +{ + cpu_irq_enter_critical(); +} + +/** + * \brief Leaves a critical section. + * + * Enables global interrupts. To support nested critical sections, an internal + * count of the critical section nesting will be kept, so that global interrupts + * are only re-enabled upon leaving the outermost nested critical section. + * + */ +static inline void system_interrupt_leave_critical_section(void) +{ + cpu_irq_leave_critical(); +} + +/** @} */ + +/** + * \name Interrupt Enabling/Disabling + * @{ + */ + +/** + * \brief Check if global interrupts are enabled. + * + * Checks if global interrupts are currently enabled. + * + * \returns A boolean that identifies if the global interrupts are enabled or not. + * + * \retval true Global interrupts are currently enabled + * \retval false Global interrupts are currently disabled + * + */ +static inline bool system_interrupt_is_global_enabled(void) +{ + return cpu_irq_is_enabled(); +} + +/** + * \brief Enables global interrupts. + * + * Enables global interrupts in the device to fire any enabled interrupt handlers. + */ +static inline void system_interrupt_enable_global(void) +{ + cpu_irq_enable(); +} + +/** + * \brief Disables global interrupts. + * + * Disabled global interrupts in the device, preventing any enabled interrupt + * handlers from executing. + */ +static inline void system_interrupt_disable_global(void) +{ + cpu_irq_disable(); +} + +/** + * \brief Checks if an interrupt vector is enabled or not. + * + * Checks if a specific interrupt vector is currently enabled. + * + * \param[in] vector Interrupt vector number to check + * + * \returns A variable identifying if the requested interrupt vector is enabled. + * + * \retval true Specified interrupt vector is currently enabled + * \retval false Specified interrupt vector is currently disabled + * + */ +static inline bool system_interrupt_is_enabled( + const enum system_interrupt_vector vector) +{ + return (bool)((NVIC->ISER[0] >> (uint32_t)vector) & 0x00000001); +} + +/** + * \brief Enable interrupt vector. + * + * Enables execution of the software handler for the requested interrupt vector. + * + * \param[in] vector Interrupt vector to enable + */ +static inline void system_interrupt_enable( + const enum system_interrupt_vector vector) +{ + NVIC->ISER[0] = (uint32_t)(1 << ((uint32_t)vector & 0x0000001f)); +} + +/** + * \brief Disable interrupt vector. + * + * Disables execution of the software handler for the requested interrupt vector. + * + * \param[in] vector Interrupt vector to disable + */ +static inline void system_interrupt_disable( + const enum system_interrupt_vector vector) +{ + NVIC->ICER[0] = (uint32_t)(1 << ((uint32_t)vector & 0x0000001f)); +} + +/** @} */ + +/** + * \name Interrupt State Management + * @{ + */ + +/** + * \brief Get active interrupt (if any). + * + * Return the vector number for the current executing software handler, if any. + * + * \return Interrupt number that is currently executing. + */ +static inline enum system_interrupt_vector system_interrupt_get_active(void) +{ + uint32_t IPSR = __get_IPSR(); + /* The IPSR returns the Exception number, which with an offset 16 to IRQ number. */ + return (enum system_interrupt_vector)((IPSR & _SYSTEM_INTERRUPT_IPSR_MASK) - 16); +} + +bool system_interrupt_is_pending( + const enum system_interrupt_vector vector); + +enum status_code system_interrupt_set_pending( + const enum system_interrupt_vector vector); + +enum status_code system_interrupt_clear_pending( + const enum system_interrupt_vector vector); + +/** @} */ + +/** + * \name Interrupt Priority Management + * @{ + */ + +enum status_code system_interrupt_set_priority( + const enum system_interrupt_vector vector, + const enum system_interrupt_priority_level priority_level); + +enum system_interrupt_priority_level system_interrupt_get_priority( + const enum system_interrupt_vector vector); + +/** @} */ + +/** @} */ + +/** + * \page asfdoc_sam0_system_interrupt_extra Extra Information for SYSTEM INTERRUPT Driver + * + * \section asfdoc_sam0_system_interrupt_extra_acronyms Acronyms + * The table below presents the acronyms used in this module: + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    ISRInterrupt Service Routine
    NMINon-maskable Interrupt
    SERCOMSerial Communication Interface
    + * + * + * \section asfdoc_sam0_system_interrupt_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - None + * + * + * \section asfdoc_sam0_system_interrupt_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_system_interrupt_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * + * + * + *
    Changelog
    Initial Release
    + */ + +/** + * \page asfdoc_sam0_system_interrupt_exqsg Examples for SYSTEM INTERRUPT Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_system_interrupt_group. QSGs are simple examples with + * step-by-step instructions to configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_system_interrupt_critsec_use_case + * - \subpage asfdoc_sam0_system_interrupt_enablemodint_use_case + * + * \page asfdoc_sam0_system_interrupt_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev.DateComments
    42122E12/2015Added support for SAM L21/L22, SAM DA1, SAM D09, and SAM C20/C21
    42122D12/2014Added support for SAM R21 and SAM D10/D11
    42122C01/2014Added support for SAM D21
    42122B06/2013Corrected documentation typos
    42122A06/2013Initial release
    + */ + +#ifdef __cplusplus +} +#endif + +#endif // #ifndef SYSTEM_INTERRUPT_H_INCLUDED diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h new file mode 100644 index 0000000..7c40bf6 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h @@ -0,0 +1,185 @@ +/** + * \file + * + * \brief SAM D21 System Interrupt Driver + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef SYSTEM_INTERRUPT_FEATURES_H_INCLUDED +#define SYSTEM_INTERRUPT_FEATURES_H_INCLUDED + +#if !defined(__DOXYGEN__) + +/* Generates a interrupt vector table enum list entry for a given module type + and index (e.g. "SYSTEM_INTERRUPT_MODULE_TC0 = TC0_IRQn,"). */ +# define _MODULE_IRQn(n, module) \ + SYSTEM_INTERRUPT_MODULE_##module##n = module##n##_IRQn, + +/* Generates interrupt vector table enum list entries for all instances of a + given module type on the selected device. */ +# define _SYSTEM_INTERRUPT_MODULES(name) \ + MREPEAT(name##_INST_NUM, _MODULE_IRQn, name) + +# define _SYSTEM_INTERRUPT_IPSR_MASK 0x0000003f +# define _SYSTEM_INTERRUPT_PRIORITY_MASK 0x00000003 + +# define _SYSTEM_INTERRUPT_EXTERNAL_VECTOR_START 0 + +# define _SYSTEM_INTERRUPT_SYSTICK_PRI_POS 30 +#endif + +/** + * \addtogroup asfdoc_sam0_system_interrupt_group + * @{ + */ + +/** + * \brief Table of possible system interrupt/exception vector numbers. + * + * Table of all possible interrupt and exception vector indexes within the + * SAM D21 device. Check peripherals configuration in SAM D21 datasheet for + * available vector index for specific device. + * + */ +#if defined(__DOXYGEN__) +/** \note The actual enumeration name is "system_interrupt_vector". */ +enum system_interrupt_vector_samd21 { +#else +enum system_interrupt_vector { +#endif + /** Interrupt vector index for a NMI interrupt */ + SYSTEM_INTERRUPT_NON_MASKABLE = NonMaskableInt_IRQn, + /** Interrupt vector index for a Hard Fault memory access exception */ + SYSTEM_INTERRUPT_HARD_FAULT = HardFault_IRQn, + /** Interrupt vector index for a Supervisor Call exception */ + SYSTEM_INTERRUPT_SV_CALL = SVCall_IRQn, + /** Interrupt vector index for a Pending Supervisor interrupt */ + SYSTEM_INTERRUPT_PENDING_SV = PendSV_IRQn, + /** Interrupt vector index for a System Tick interrupt */ + SYSTEM_INTERRUPT_SYSTICK = SysTick_IRQn, + + /** Interrupt vector index for a Power Manager peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_PM = PM_IRQn, + /** Interrupt vector index for a System Control peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_SYSCTRL = SYSCTRL_IRQn, + /** Interrupt vector index for a Watch Dog peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_WDT = WDT_IRQn, + /** Interrupt vector index for a Real Time Clock peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_RTC = RTC_IRQn, + /** Interrupt vector index for an External Interrupt peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_EIC = EIC_IRQn, + /** Interrupt vector index for a Non Volatile Memory Controller interrupt */ + SYSTEM_INTERRUPT_MODULE_NVMCTRL = NVMCTRL_IRQn, + /** Interrupt vector index for a Direct Memory Access interrupt */ + SYSTEM_INTERRUPT_MODULE_DMA = DMAC_IRQn, +#if defined(__DOXYGEN__) || defined(ID_USB) + /** Interrupt vector index for a Universal Serial Bus interrupt */ + SYSTEM_INTERRUPT_MODULE_USB = USB_IRQn, +#endif + /** Interrupt vector index for an Event System interrupt */ + SYSTEM_INTERRUPT_MODULE_EVSYS = EVSYS_IRQn, +#if defined(__DOXYGEN__) + /** Interrupt vector index for a SERCOM peripheral interrupt. + * + * Each specific device may contain several SERCOM peripherals; each module + * instance will have its own entry in the table, with the instance number + * substituted for "n" in the entry name (e.g. + * \c SYSTEM_INTERRUPT_MODULE_SERCOM0). + */ + SYSTEM_INTERRUPT_MODULE_SERCOMn = SERCOMn_IRQn, + + /** Interrupt vector index for a Timer/Counter Control peripheral interrupt. + * + * Each specific device may contain several TCC peripherals; each module + * instance will have its own entry in the table, with the instance number + * substituted for "n" in the entry name (e.g. + * \c SYSTEM_INTERRUPT_MODULE_TCC0). + */ + SYSTEM_INTERRUPT_MODULE_TCCn = TCCn_IRQn, + + /** Interrupt vector index for a Timer/Counter peripheral interrupt. + * + * Each specific device may contain several TC peripherals; each module + * instance will have its own entry in the table, with the instance number + * substituted for "n" in the entry name (e.g. + * \c SYSTEM_INTERRUPT_MODULE_TC3). + */ + SYSTEM_INTERRUPT_MODULE_TCn = TCn_IRQn, +#else + _SYSTEM_INTERRUPT_MODULES(SERCOM) + + _SYSTEM_INTERRUPT_MODULES(TCC) + + SYSTEM_INTERRUPT_MODULE_TC3 = TC3_IRQn, + SYSTEM_INTERRUPT_MODULE_TC4 = TC4_IRQn, + SYSTEM_INTERRUPT_MODULE_TC5 = TC5_IRQn, +# if defined(ID_TC6) + SYSTEM_INTERRUPT_MODULE_TC6 = TC6_IRQn, +# endif +# if defined(ID_TC7) + SYSTEM_INTERRUPT_MODULE_TC7 = TC7_IRQn, +# endif +#endif + +#if defined(__DOXYGEN__) || defined(ID_ADC) + /** Interrupt vector index for an Analog-to-Digital peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_ADC = ADC_IRQn, +#endif + +#if defined(__DOXYGEN__) || defined(ID_AC) + /** Interrupt vector index for an Analog Comparator peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_AC = AC_IRQn, +#endif + +#if defined(__DOXYGEN__) || defined(ID_DAC) + /** Interrupt vector index for a Digital-to-Analog peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_DAC = DAC_IRQn, +#endif +#if defined(__DOXYGEN__) || defined(ID_PTC) + /** Interrupt vector index for a Peripheral Touch Controller peripheral + * interrupt */ + SYSTEM_INTERRUPT_MODULE_PTC = PTC_IRQn, +#endif +#if defined(__DOXYGEN__) || defined(ID_I2S) + /** Interrupt vector index for a Inter-IC Sound Interface peripheral + * interrupt */ + SYSTEM_INTERRUPT_MODULE_I2S = I2S_IRQn, +#endif +#if defined(__DOXYGEN__) || defined(ID_AC1) + /** Interrupt vector index for an Analog Comparator 1 peripheral interrupt */ + SYSTEM_INTERRUPT_MODULE_AC1 = AC1_IRQn, +#endif +}; + +/** @} */ + +#endif diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.c new file mode 100644 index 0000000..7eeba52 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.c @@ -0,0 +1,301 @@ +/** + * \file + * + * \brief SAM Pin Multiplexer Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#include + +/** + * \internal + * Writes out a given configuration of a Port pin configuration to the + * hardware module. + * + * \note If the pin direction is set as an output, the pull-up/pull-down input + * configuration setting is ignored. + * + * \param[in] port Base of the PORT module to configure + * \param[in] pin_mask Mask of the port pin to configure + * \param[in] config Configuration settings for the pin + */ +static void _system_pinmux_config( + PortGroup *const port, + const uint32_t pin_mask, + const struct system_pinmux_config *const config) +{ + Assert(port); + Assert(config); + + /* Track the configuration bits into a temporary variable before writing */ + uint32_t pin_cfg = 0; + + /* Enabled powersave mode, don't create configuration */ + if (!config->powersave) { + /* Enable the pin peripheral MUX flag if non-GPIO selected (pinmux will + * be written later) and store the new MUX mask */ + if (config->mux_position != SYSTEM_PINMUX_GPIO) { + pin_cfg |= PORT_WRCONFIG_PMUXEN; + pin_cfg |= (config->mux_position << PORT_WRCONFIG_PMUX_Pos); + } + + /* Check if the user has requested that the input buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_INPUT) || + (config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK)) { + /* Enable input buffer flag */ + pin_cfg |= PORT_WRCONFIG_INEN; + + /* Enable pull-up/pull-down control flag if requested */ + if (config->input_pull != SYSTEM_PINMUX_PIN_PULL_NONE) { + pin_cfg |= PORT_WRCONFIG_PULLEN; + } + + /* Clear the port DIR bits to disable the output buffer */ + port->DIRCLR.reg = pin_mask; + } + + /* Check if the user has requested that the output buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT) || + (config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK)) { + /* Cannot use a pull-up if the output driver is enabled, + * if requested the input buffer can only sample the current + * output state */ + pin_cfg &= ~PORT_WRCONFIG_PULLEN; + } + } else { + port->DIRCLR.reg = pin_mask; + } + + /* The Write Configuration register (WRCONFIG) requires the + * pins to to grouped into two 16-bit half-words - split them out here */ + uint32_t lower_pin_mask = (pin_mask & 0xFFFF); + uint32_t upper_pin_mask = (pin_mask >> 16); + + /* Configure the lower 16-bits of the port to the desired configuration, + * including the pin peripheral multiplexer just in case it is enabled */ + port->WRCONFIG.reg + = (lower_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG; + + /* Configure the upper 16-bits of the port to the desired configuration, + * including the pin peripheral multiplexer just in case it is enabled */ + port->WRCONFIG.reg + = (upper_pin_mask << PORT_WRCONFIG_PINMASK_Pos) | + pin_cfg | PORT_WRCONFIG_WRPMUX | PORT_WRCONFIG_WRPINCFG | + PORT_WRCONFIG_HWSEL; + + if(!config->powersave) { + /* Set the pull-up state once the port pins are configured if one was + * requested and it does not violate the valid set of port + * configurations */ + if (pin_cfg & PORT_WRCONFIG_PULLEN) { + /* Set the OUT register bits to enable the pull-up if requested, + * clear to enable pull-down */ + if (config->input_pull == SYSTEM_PINMUX_PIN_PULL_UP) { + port->OUTSET.reg = pin_mask; + } else { + port->OUTCLR.reg = pin_mask; + } + } + + /* Check if the user has requested that the output buffer be enabled */ + if ((config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT) || + (config->direction == SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK)) { + /* Set the port DIR bits to enable the output buffer */ + port->DIRSET.reg = pin_mask; + } + } +} + +/** + * \brief Writes a Port pin configuration to the hardware module. + * + * Writes out a given configuration of a Port pin configuration to the hardware + * module. + * + * \note If the pin direction is set as an output, the pull-up/pull-down input + * configuration setting is ignored. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] config Configuration settings for the pin + */ +void system_pinmux_pin_set_config( + const uint8_t gpio_pin, + const struct system_pinmux_config *const config) +{ + PortGroup *const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_mask = (1UL << (gpio_pin % 32)); + + _system_pinmux_config(port, pin_mask, config); +} + +/** + * \brief Writes a Port pin group configuration to the hardware module. + * + * Writes out a given configuration of a Port pin group configuration to the + * hardware module. + * + * \note If the pin direction is set as an output, the pull-up/pull-down input + * configuration setting is ignored. + * + * \param[in] port Base of the PORT module to configure + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] config Configuration settings for the pin + */ +void system_pinmux_group_set_config( + PortGroup *const port, + const uint32_t mask, + const struct system_pinmux_config *const config) +{ + Assert(port); + + for (int i = 0; i < 32; i++) { + if (mask & (1UL << i)) { + _system_pinmux_config(port, (1UL << i), config); + } + } +} + +/** + * \brief Configures the input sampling mode for a group of pins. + * + * Configures the input sampling mode for a group of pins, to + * control when the physical I/O pin value is sampled and + * stored inside the microcontroller. + * + * \param[in] port Base of the PORT module to configure + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] mode New pin sampling mode to configure + */ +void system_pinmux_group_set_input_sample_mode( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_sample mode) +{ + Assert(port); + + if (mode == SYSTEM_PINMUX_PIN_SAMPLE_ONDEMAND) { + port->CTRL.reg |= mask; + } else { + port->CTRL.reg &= ~mask; + } +} + +#ifdef FEATURE_SYSTEM_PINMUX_SLEWRATE_LIMITER +/** + * \brief Configures the output slew rate mode for a group of pins. + * + * Configures the output slew rate mode for a group of pins, to + * control the speed at which the physical output pin can react to + * logical changes of the I/O pin value. + * + * \param[in] port Base of the PORT module to configure + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] mode New pin slew rate mode to configure + */ +void system_pinmux_group_set_output_slew_rate( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_slew_rate mode) +{ + Assert(port); + + for (int i = 0; i < 32; i++) { + if (mask & (1UL << i)) { + if (mode == SYSTEM_PINMUX_PIN_SLEW_RATE_LIMITED) { + port->PINCFG[i].reg |= PORT_PINCFG_SLEWLIM; + } else { + port->PINCFG[i].reg &= ~PORT_PINCFG_SLEWLIM; + } + } + } +} +#endif + +#ifdef FEATURE_SYSTEM_PINMUX_DRIVE_STRENGTH +/** + * \brief Configures the output driver strength mode for a group of pins. + * + * Configures the output drive strength for a group of pins, to + * control the amount of current the pad is able to sink/source. + * + * \param[in] port Base of the PORT module to configure + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] mode New output driver strength mode to configure + */ +void system_pinmux_group_set_output_strength( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_strength mode) +{ + Assert(port); + + for (int i = 0; i < 32; i++) { + if (mask & (1UL << i)) { + if (mode == SYSTEM_PINMUX_PIN_STRENGTH_HIGH) { + port->PINCFG[i].reg |= PORT_PINCFG_DRVSTR; + } else { + port->PINCFG[i].reg &= ~PORT_PINCFG_DRVSTR; + } + } + } +} +#endif + +#ifdef FEATURE_SYSTEM_PINMUX_OPEN_DRAIN +/** + * \brief Configures the output driver mode for a group of pins. + * + * Configures the output driver mode for a group of pins, to + * control the pad behavior. + * + * \param[in] port Base of the PORT module to configure + * \param[in] mask Mask of the port pin(s) to configure + * \param[in] mode New pad output driver mode to configure + */ +void system_pinmux_group_set_output_drive( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_drive mode) +{ + Assert(port); + + for (int i = 0; i < 32; i++) { + if (mask & (1UL << i)) { + if (mode == SYSTEM_PINMUX_PIN_DRIVE_OPEN_DRAIN) { + port->PINCFG[i].reg |= PORT_PINCFG_ODRAIN; + } else { + port->PINCFG[i].reg &= ~PORT_PINCFG_ODRAIN; + } + } + } +} +#endif diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.h new file mode 100644 index 0000000..0c70694 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/pinmux.h @@ -0,0 +1,669 @@ +/** + * \file + * + * \brief SAM Pin Multiplexer Driver + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef PINMUX_H_INCLUDED +#define PINMUX_H_INCLUDED + +/** + * \defgroup asfdoc_sam0_system_pinmux_group SAM System Pin Multiplexer (SYSTEM PINMUX) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides + * an interface for the configuration and management of the device's physical + * I/O Pins, to alter the direction and input/drive characteristics as well as + * to configure the pin peripheral multiplexer selection. + * + * The following peripheral is used by this module: + * - PORT (Port I/O Management) + * + * The following devices can use this module: + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM L21/L22 + * - Atmel | SMART SAM DA1 + * - Atmel | SMART SAM C20/C21 + * - Atmel | SMART SAM HA1 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34 + * - Atmel | SMART SAM R35 + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_system_pinmux_prerequisites + * - \ref asfdoc_sam0_system_pinmux_module_overview + * - \ref asfdoc_sam0_system_pinmux_special_considerations + * - \ref asfdoc_sam0_system_pinmux_extra_info + * - \ref asfdoc_sam0_system_pinmux_examples + * - \ref asfdoc_sam0_system_pinmux_api_overview + * + * + * \section asfdoc_sam0_system_pinmux_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_system_pinmux_module_overview Module Overview + * + * The SAM devices contain a number of General Purpose I/O pins, used to + * interface the user application logic and internal hardware peripherals to + * an external system. The Pin Multiplexer (PINMUX) driver provides a method + * of configuring the individual pin peripheral multiplexers to select + * alternate pin functions. + * + * \subsection asfdoc_sam0_system_pinmux_features Driver Feature Macro Definition + * + * + * + * + * + * + * + * + * + *
    Driver Feature MacroSupported devices
    FEATURE_SYSTEM_PINMUX_DRIVE_STRENGTHSAM L21, SAM C20/C21, SAM R34/R35
    + * \note The specific features are only available in the driver when the + * selected device supports those features. + * + * \subsection asfdoc_sam0_system_pinmux_physical_logical_pins Physical and Logical GPIO Pins + * SAM devices use two naming conventions for the I/O pins in the device; one + * physical and one logical. Each physical pin on a device package is assigned + * both a physical port and pin identifier (e.g. "PORTA.0") as well as a + * monotonically incrementing logical GPIO number (e.g. "GPIO0"). While the + * former is used to map physical pins to their physical internal device module + * counterparts, for simplicity the design of this driver uses the logical GPIO + * numbers instead. + * + * \subsection asfdoc_sam0_system_pinmux_peripheral_muxing Peripheral Multiplexing + * SAM devices contain a peripheral MUX, which is individually controllable + * for each I/O pin of the device. The peripheral MUX allows you to select the + * function of a physical package pin - whether it will be controlled as a user + * controllable GPIO pin, or whether it will be connected internally to one of + * several peripheral modules (such as an I2C module). When a pin is + * configured in GPIO mode, other peripherals connected to the same pin will be + * disabled. + * + * \subsection asfdoc_sam0_system_pinmux_pad_characteristics Special Pad Characteristics + * There are several special modes that can be selected on one or more I/O pins + * of the device, which alter the input and output characteristics of the pad. + * + * \subsubsection asfdoc_sam0_system_pinmux_drive_strength Drive Strength + * The Drive Strength configures the strength of the output driver on the + * pad. Normally, there is a fixed current limit that each I/O pin can safely + * drive, however some I/O pads offer a higher drive mode which increases this + * limit for that I/O pin at the expense of an increased power consumption. + * + * \subsubsection asfdoc_sam0_system_pinmux_slew_rate Slew Rate + * The Slew Rate configures the slew rate of the output driver, limiting the + * rate at which the pad output voltage can change with time. + * + * \subsubsection asfdoc_sam0_system_pinmux_input_sample_mode Input Sample Mode + * The Input Sample Mode configures the input sampler buffer of the pad. By + * default, the input buffer is only sampled "on-demand", i.e. when the user + * application attempts to read from the input buffer. This mode is the most + * power efficient, but increases the latency of the input sample by two clock + * cycles of the port clock. To reduce latency, the input sampler can instead + * be configured to always sample the input buffer on each port clock cycle, at + * the expense of an increased power consumption. + * + * \subsection asfdoc_sam0_system_pinmux_module_overview_physical Physical Connection + * + * \ref asfdoc_sam0_system_pinmux_intconnections "The diagram below" shows + * how this module is interconnected within the device: + * + * \anchor asfdoc_sam0_system_pinmux_intconnections + * \dot + * digraph overview { + * node [label="Port Pad" shape=square] pad; + * + * subgraph driver { + * node [label="Peripheral MUX" shape=trapezium] pinmux; + * node [label="GPIO Module" shape=ellipse shape=ellipse style=filled fillcolor=lightgray] gpio; + * node [label="Other Peripheral Modules" shape=ellipse style=filled fillcolor=lightgray] peripherals; + * } + * + * pinmux -> gpio; + * pad -> pinmux; + * pinmux -> peripherals; + * } + * \enddot + * + * \section asfdoc_sam0_system_pinmux_special_considerations Special Considerations + * + * The SAM port pin input sampling mode is set in groups of four physical + * pins; setting the sampling mode of any pin in a sub-group of eight I/O pins + * will configure the sampling mode of the entire sub-group. + * + * High Drive Strength output driver mode is not available on all device pins - + * refer to your device specific datasheet. + * + * + * \section asfdoc_sam0_system_pinmux_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_system_pinmux_extra. This includes: + * - \ref asfdoc_sam0_system_pinmux_extra_acronyms + * - \ref asfdoc_sam0_system_pinmux_extra_dependencies + * - \ref asfdoc_sam0_system_pinmux_extra_errata + * - \ref asfdoc_sam0_system_pinmux_extra_history + * + * + * \section asfdoc_sam0_system_pinmux_examples Examples + * + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_system_pinmux_exqsg. + * + * + * \section asfdoc_sam0_system_pinmux_api_overview API Overview + * @{ + */ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/*@{*/ +#if (SAML21) || (SAMC20) || (SAMC21) || (SAMD21) || (SAMD10) || (SAMD11) || (SAMR30) || (SAMR34) || (SAMR35) || defined(__DOXYGEN__) +/** Output Driver Strength Selection feature support */ +# define FEATURE_SYSTEM_PINMUX_DRIVE_STRENGTH +#endif +/*@}*/ + +/** Peripheral multiplexer index to select GPIO mode for a pin */ +#define SYSTEM_PINMUX_GPIO (1 << 7) + +/** + * \brief Port pin direction configuration enum. + * + * Enum for the possible pin direction settings of the port pin configuration + * structure, to indicate the direction the pin should use. + */ +enum system_pinmux_pin_dir { + /** The pin's input buffer should be enabled, so that the pin state can + * be read */ + SYSTEM_PINMUX_PIN_DIR_INPUT, + /** The pin's output buffer should be enabled, so that the pin state can + * be set (but not read back) */ + SYSTEM_PINMUX_PIN_DIR_OUTPUT, + /** The pin's output and input buffers should both be enabled, so that the + * pin state can be set and read back */ + SYSTEM_PINMUX_PIN_DIR_OUTPUT_WITH_READBACK, +}; + +/** + * \brief Port pin input pull configuration enum. + * + * Enum for the possible pin pull settings of the port pin configuration + * structure, to indicate the type of logic level pull the pin should use. + */ +enum system_pinmux_pin_pull { + /** No logical pull should be applied to the pin */ + SYSTEM_PINMUX_PIN_PULL_NONE, + /** Pin should be pulled up when idle */ + SYSTEM_PINMUX_PIN_PULL_UP, + /** Pin should be pulled down when idle */ + SYSTEM_PINMUX_PIN_PULL_DOWN, +}; + +/** + * \brief Port pin digital input sampling mode enum. + * + * Enum for the possible input sampling modes for the port pin configuration + * structure, to indicate the type of sampling a port pin should use. + */ +enum system_pinmux_pin_sample { + /** Pin input buffer should continuously sample the pin state */ + SYSTEM_PINMUX_PIN_SAMPLE_CONTINUOUS, + /** Pin input buffer should be enabled when the IN register is read */ + SYSTEM_PINMUX_PIN_SAMPLE_ONDEMAND, +}; + +/** + * \brief Port pin configuration structure. + * + * Configuration structure for a port pin instance. This structure should + * be initialized by the \ref system_pinmux_get_config_defaults() function + * before being modified by the user application. + */ +struct system_pinmux_config { + /** MUX index of the peripheral that should control the pin, if peripheral + * control is desired. For GPIO use, this should be set to + * \ref SYSTEM_PINMUX_GPIO. */ + uint8_t mux_position; + + /** Port buffer input/output direction */ + enum system_pinmux_pin_dir direction; + + /** Logic level pull of the input buffer */ + enum system_pinmux_pin_pull input_pull; + + /** Enable lowest possible powerstate on the pin + * + * \note All other configurations will be ignored, the pin will be disabled. + */ + bool powersave; +}; + +/** \name Configuration and Initialization + * @{ + */ + +/** + * \brief Initializes a Port pin configuration structure to defaults. + * + * Initializes a given Port pin configuration structure to a set of + * known default values. This function should be called on all new + * instances of these configuration structures before being modified by the + * user application. + * + * The default configuration is as follows: + * \li Non peripheral (i.e. GPIO) controlled + * \li Input mode with internal pull-up enabled + * + * \param[out] config Configuration structure to initialize to default values + */ +static inline void system_pinmux_get_config_defaults( + struct system_pinmux_config *const config) +{ + /* Sanity check arguments */ + Assert(config); + + /* Default configuration values */ + config->mux_position = SYSTEM_PINMUX_GPIO; + config->direction = SYSTEM_PINMUX_PIN_DIR_INPUT; + config->input_pull = SYSTEM_PINMUX_PIN_PULL_UP; + config->powersave = false; +} + +void system_pinmux_pin_set_config( + const uint8_t gpio_pin, + const struct system_pinmux_config *const config); + +void system_pinmux_group_set_config( + PortGroup *const port, + const uint32_t mask, + const struct system_pinmux_config *const config); + +/** @} */ + +/** \name Special Mode Configuration (Physical Group Orientated) + * @{ + */ + +/** + * \brief Retrieves the PORT module group instance from a given GPIO pin number. + * + * Retrieves the PORT module group instance associated with a given logical + * GPIO pin number. + * + * \param[in] gpio_pin Index of the GPIO pin to convert + * + * \return Base address of the associated PORT module. + */ +static inline PortGroup* system_pinmux_get_group_from_gpio_pin( + const uint8_t gpio_pin) +{ + uint8_t port_index = (gpio_pin / 128); + uint8_t group_index = (gpio_pin / 32); + + /* Array of available ports */ + Port *const ports[PORT_INST_NUM] = PORT_INSTS; + + if (port_index < PORT_INST_NUM) { + return &(ports[port_index]->Group[group_index]); + } else { + Assert(false); + return NULL; + } +} + +void system_pinmux_group_set_input_sample_mode( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_sample mode); + +/** @} */ + +/** \name Special Mode Configuration (Logical Pin Orientated) + * @{ + */ + +/** + * \brief Retrieves the currently selected MUX position of a logical pin. + * + * Retrieves the selected MUX peripheral on a given logical GPIO pin. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * + * \return Currently selected peripheral index on the specified pin. + */ +static inline uint8_t system_pinmux_pin_get_mux_position( + const uint8_t gpio_pin) +{ + PortGroup *const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_index = (gpio_pin % 32); + + if (!(port->PINCFG[pin_index].reg & PORT_PINCFG_PMUXEN)) { + return SYSTEM_PINMUX_GPIO; + } + + uint32_t pmux_reg = port->PMUX[pin_index / 2].reg; + + if (pin_index & 1) { + return (pmux_reg & PORT_PMUX_PMUXO_Msk) >> PORT_PMUX_PMUXO_Pos; + } + else { + return (pmux_reg & PORT_PMUX_PMUXE_Msk) >> PORT_PMUX_PMUXE_Pos; + } +} + +/** + * \brief Configures the input sampling mode for a GPIO pin. + * + * Configures the input sampling mode for a GPIO input, to + * control when the physical I/O pin value is sampled and + * stored inside the microcontroller. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] mode New pin sampling mode to configure + */ +static inline void system_pinmux_pin_set_input_sample_mode( + const uint8_t gpio_pin, + const enum system_pinmux_pin_sample mode) +{ + PortGroup* const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_index = (gpio_pin % 32); + + if (mode == SYSTEM_PINMUX_PIN_SAMPLE_ONDEMAND) { + port->CTRL.reg |= (1 << pin_index); + } else { + port->CTRL.reg &= ~(1 << pin_index); + } +} + +/** @} */ + +#ifdef FEATURE_SYSTEM_PINMUX_DRIVE_STRENGTH +/** + * \brief Port pin drive output strength enum. + * + * Enum for the possible output drive strengths for the port pin + * configuration structure, to indicate the driver strength the pin should + * use. + */ +enum system_pinmux_pin_strength { + /** Normal output driver strength */ + SYSTEM_PINMUX_PIN_STRENGTH_NORMAL, + /** High current output driver strength */ + SYSTEM_PINMUX_PIN_STRENGTH_HIGH, +}; + +/** + * \brief Configures the output driver strength mode for a GPIO pin. + * + * Configures the output drive strength for a GPIO output, to + * control the amount of current the pad is able to sink/source. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] mode New output driver strength mode to configure + */ +static inline void system_pinmux_pin_set_output_strength( + const uint8_t gpio_pin, + const enum system_pinmux_pin_strength mode) +{ + PortGroup* const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_index = (gpio_pin % 32); + + if (mode == SYSTEM_PINMUX_PIN_STRENGTH_HIGH) { + port->PINCFG[pin_index].reg |= PORT_PINCFG_DRVSTR; + } + else { + port->PINCFG[pin_index].reg &= ~PORT_PINCFG_DRVSTR; + } +} + +void system_pinmux_group_set_output_strength( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_strength mode); +#endif + +#ifdef FEATURE_SYSTEM_PINMUX_SLEWRATE_LIMITER +/** + * \brief Port pin output slew rate enum. + * + * Enum for the possible output drive slew rates for the port pin + * configuration structure, to indicate the driver slew rate the pin should + * use. + */ +enum system_pinmux_pin_slew_rate { + /** Normal pin output slew rate */ + SYSTEM_PINMUX_PIN_SLEW_RATE_NORMAL, + /** Enable slew rate limiter on the pin */ + SYSTEM_PINMUX_PIN_SLEW_RATE_LIMITED, +}; + +/** + * \brief Configures the output slew rate mode for a GPIO pin. + * + * Configures the output slew rate mode for a GPIO output, to + * control the speed at which the physical output pin can react to + * logical changes of the I/O pin value. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] mode New pin slew rate mode to configure + */ +static inline void system_pinmux_pin_set_output_slew_rate( + const uint8_t gpio_pin, + const enum system_pinmux_pin_slew_rate mode) +{ + PortGroup* const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_index = (gpio_pin % 32); + + if (mode == SYSTEM_PINMUX_PIN_SLEW_RATE_LIMITED) { + port->PINCFG[pin_index].reg |= PORT_PINCFG_SLEWLIM; + } + else { + port->PINCFG[pin_index].reg &= ~PORT_PINCFG_SLEWLIM; + } +} + +void system_pinmux_group_set_output_slew_rate( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_slew_rate mode); +#endif + +#ifdef FEATURE_SYSTEM_PINMUX_OPEN_DRAIN +/** + * \brief Port pin output drive mode enum. + * + * Enum for the possible output drive modes for the port pin configuration + * structure, to indicate the output mode the pin should use. + */ +enum system_pinmux_pin_drive { + /** Use totem pole output drive mode */ + SYSTEM_PINMUX_PIN_DRIVE_TOTEM, + /** Use open drain output drive mode */ + SYSTEM_PINMUX_PIN_DRIVE_OPEN_DRAIN, +}; + +/** + * \brief Configures the output driver mode for a GPIO pin. + * + * Configures the output driver mode for a GPIO output, to + * control the pad behavior. + * + * \param[in] gpio_pin Index of the GPIO pin to configure + * \param[in] mode New pad output driver mode to configure + */ +static inline void system_pinmux_pin_set_output_drive( + const uint8_t gpio_pin, + const enum system_pinmux_pin_drive mode) +{ + PortGroup* const port = system_pinmux_get_group_from_gpio_pin(gpio_pin); + uint32_t pin_index = (gpio_pin % 32); + + if (mode == SYSTEM_PINMUX_PIN_DRIVE_OPEN_DRAIN) { + port->PINCFG[pin_index].reg |= PORT_PINCFG_ODRAIN; + } + else { + port->PINCFG[pin_index].reg &= ~PORT_PINCFG_ODRAIN; + } +} + +void system_pinmux_group_set_output_drive( + PortGroup *const port, + const uint32_t mask, + const enum system_pinmux_pin_drive mode); +#endif + +#ifdef __cplusplus +} +#endif + +/** @} */ + +/** + * \page asfdoc_sam0_system_pinmux_extra Extra Information for SYSTEM PINMUX Driver + * + * \section asfdoc_sam0_system_pinmux_extra_acronyms Acronyms + * The table below presents the acronyms used in this module: + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    AcronymDescription
    GPIOGeneral Purpose Input/Output
    MUXMultiplexer
    + * + * + * \section asfdoc_sam0_system_pinmux_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - None + * + * + * \section asfdoc_sam0_system_pinmux_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_system_pinmux_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Changelog
    Removed code of open drain, slew limit and drive strength + * features
    Fixed broken sampling mode function implementations, which wrote + * corrupt configuration values to the device registers
    Added missing NULL pointer asserts to the PORT driver functions
    Initial Release
    + */ + +/** + * \page asfdoc_sam0_system_pinmux_exqsg Examples for SYSTEM PINMUX Driver + * + * This is a list of the available Quick Start guides (QSGs) and example + * applications for \ref asfdoc_sam0_system_pinmux_group. QSGs are simple + * examples with step-by-step instructions to configure and use this driver in a + * selection of use cases. Note that a QSG can be compiled as a standalone + * application or be added to the user application. + * + * - \subpage asfdoc_sam0_system_pinmux_basic_use_case + * + * \page asfdoc_sam0_system_pinmux_document_revision_history Document Revision History + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Doc. Rev. + * Date + * Comments + *
    42121F12/2015Added support for SAM L21/L22, SAM DA1, SAM D09, and SAM C20/C21
    42121E12/2014Added support for SAM R21 and SAM D10/D11
    42121D01/2014Added support for SAM D21
    42121C09/2013Fixed incorrect documentation for the device pin sampling mode
    42121B06/2013Corrected documentation typos
    42121A06/2013Initial release
    + */ + +#endif diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/quick_start/qs_pinmux_basic.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/quick_start/qs_pinmux_basic.h new file mode 100644 index 0000000..2d0b417 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/pinmux/quick_start/qs_pinmux_basic.h @@ -0,0 +1,86 @@ +/** + * \file + * + * \brief SAM PINMUX Driver Quick Start + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +/** + * \page asfdoc_sam0_system_pinmux_basic_use_case Quick Start Guide for SYSTEM PINMUX - Basic + * + * In this use case, the PINMUX module is configured for: + * \li One pin in input mode, with pull-up enabled, connected to the GPIO + * module + * \li Sampling mode of the pin changed to sample on demand + * + * This use case sets up the PINMUX to configure a physical I/O pin set as + * an input with pull-up and changes the sampling mode of the pin to reduce + * power by only sampling the physical pin state when the user application + * attempts to read it. + * + * \section asfdoc_sam0_system_pinmux_basic_use_case_setup Setup + * + * \subsection asfdoc_sam0_system_pinmux_basic_use_case_setup_prereq Prerequisites + * There are no special setup requirements for this use-case. + * + * \subsection asfdoc_sam0_system_pinmux_basic_use_case_setup_code Code + * Copy-paste the following setup code to your application: + * \snippet qs_pinmux_basic.c setup + * + * \subsection asfdoc_sam0_system_pinmux_basic_use_case_setup_flow Workflow + * -# Create a PINMUX module pin configuration struct, which can be filled out + * to adjust the configuration of a single port pin. + * \snippet qs_pinmux_basic.c pinmux_config + * -# Initialize the pin configuration struct with the module's default values. + * \snippet qs_pinmux_basic.c pinmux_config_defaults + * \note This should always be performed before using the configuration + * struct to ensure that all values are initialized to known default + * settings. + * + * -# Adjust the configuration struct to request an input pin with pull-up + * connected to the GPIO peripheral. + * \snippet qs_pinmux_basic.c pinmux_update_config_values + * -# Configure GPIO10 with the initialized pin configuration struct, to enable + * the input sampler on the pin. + * \snippet qs_pinmux_basic.c pinmux_set_config + * + * \section asfdoc_sam0_system_pinmux_basic_use_case_use_main Use Case + * + * \subsection asfdoc_sam0_system_pinmux_basic_use_case_code Code + * Copy-paste the following code to your user application: + * \snippet qs_pinmux_basic.c main + * + * \subsection asfdoc_sam0_system_pinmux_basic_use_case_flow Workflow + + * -# Adjust the configuration of the pin to enable on-demand sampling mode. + * \snippet qs_pinmux_basic.c pinmux_change_input_sampling + */ +/* + * Support and FAQ: visit Microchip Support + */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h new file mode 100644 index 0000000..90a5b91 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h @@ -0,0 +1,239 @@ +/** + * \file + * + * \brief SAM Power related functionality + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef POWER_H_INCLUDED +#define POWER_H_INCLUDED + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* MCU revision number */ +#define _SYSTEM_MCU_REVISION_D 3 +#define _SYSTEM_MCU_REVISION_E 4 + +/** + * \addtogroup asfdoc_sam0_system_group + * @{ + */ + +/** + * \brief Voltage references within the device. + * + * List of available voltage references (VREF) that may be used within the + * device. + */ +enum system_voltage_reference { + /** Temperature sensor voltage reference */ + SYSTEM_VOLTAGE_REFERENCE_TEMPSENSE, + /** Bandgap voltage reference */ + SYSTEM_VOLTAGE_REFERENCE_BANDGAP, +}; + +/** + * \brief Device sleep modes. + * + * List of available sleep modes in the device. A table of clocks available in + * different sleep modes can be found in \ref asfdoc_sam0_system_module_overview_sleep_mode. + */ +enum system_sleepmode { + /** IDLE 0 sleep mode */ + SYSTEM_SLEEPMODE_IDLE_0, + /** IDLE 1 sleep mode */ + SYSTEM_SLEEPMODE_IDLE_1, + /** IDLE 2 sleep mode */ + SYSTEM_SLEEPMODE_IDLE_2, + /** Standby sleep mode */ + SYSTEM_SLEEPMODE_STANDBY, +}; + + + +/** + * \name Voltage References + * @{ + */ + +/** + * \brief Enable the selected voltage reference + * + * Enables the selected voltage reference source, making the voltage reference + * available on a pin as well as an input source to the analog peripherals. + * + * \param[in] vref Voltage reference to enable + */ +static inline void system_voltage_reference_enable( + const enum system_voltage_reference vref) +{ + switch (vref) { + case SYSTEM_VOLTAGE_REFERENCE_TEMPSENSE: + SYSCTRL->VREF.reg |= SYSCTRL_VREF_TSEN; + break; + + case SYSTEM_VOLTAGE_REFERENCE_BANDGAP: + SYSCTRL->VREF.reg |= SYSCTRL_VREF_BGOUTEN; + break; + + default: + Assert(false); + return; + } +} + +/** + * \brief Disable the selected voltage reference + * + * Disables the selected voltage reference source. + * + * \param[in] vref Voltage reference to disable + */ +static inline void system_voltage_reference_disable( + const enum system_voltage_reference vref) +{ + switch (vref) { + case SYSTEM_VOLTAGE_REFERENCE_TEMPSENSE: + SYSCTRL->VREF.reg &= ~SYSCTRL_VREF_TSEN; + break; + + case SYSTEM_VOLTAGE_REFERENCE_BANDGAP: + SYSCTRL->VREF.reg &= ~SYSCTRL_VREF_BGOUTEN; + break; + + default: + Assert(false); + return; + } +} + +/** + * @} + */ + + +/** + * \name Device Sleep Control + * @{ + */ + +/** + * \brief Set the sleep mode of the device + * + * Sets the sleep mode of the device; the configured sleep mode will be entered + * upon the next call of the \ref system_sleep() function. + * + * For an overview of which systems are disabled in sleep for the different + * sleep modes, see \ref asfdoc_sam0_system_module_overview_sleep_mode. + * + * \param[in] sleep_mode Sleep mode to configure for the next sleep operation + * + * \retval STATUS_OK Operation completed successfully + * \retval STATUS_ERR_INVALID_ARG The requested sleep mode was invalid or not + * available + */ +static inline enum status_code system_set_sleepmode( + const enum system_sleepmode sleep_mode) +{ + +#if (SAMD20 || SAMD21 || SAMR21) + + /* Get MCU revision */ + uint32_t rev = DSU->DID.reg; + + rev &= DSU_DID_REVISION_Msk; + rev = rev >> DSU_DID_REVISION_Pos; + +#if (SAMD20) + if (rev < _SYSTEM_MCU_REVISION_E) { + /* Errata 13140: Make sure that the Flash does not power all the way down + * when in sleep mode. */ + NVMCTRL->CTRLB.bit.SLEEPPRM = NVMCTRL_CTRLB_SLEEPPRM_DISABLED_Val; + } +#endif + +#if (SAMD21 || SAMR21) + if (rev < _SYSTEM_MCU_REVISION_D) { + /* Errata 13140: Make sure that the Flash does not power all the way down + * when in sleep mode. */ + NVMCTRL->CTRLB.bit.SLEEPPRM = NVMCTRL_CTRLB_SLEEPPRM_DISABLED_Val; + } +#endif + +#endif + + switch (sleep_mode) { + case SYSTEM_SLEEPMODE_IDLE_0: + case SYSTEM_SLEEPMODE_IDLE_1: + case SYSTEM_SLEEPMODE_IDLE_2: + SCB->SCR &= ~SCB_SCR_SLEEPDEEP_Msk; + PM->SLEEP.reg = sleep_mode; + break; + + case SYSTEM_SLEEPMODE_STANDBY: + SCB->SCR |= SCB_SCR_SLEEPDEEP_Msk; + break; + + default: + return STATUS_ERR_INVALID_ARG; + } + + return STATUS_OK; +} + +/** + * \brief Put the system to sleep waiting for interrupt + * + * Executes a device DSB (Data Synchronization Barrier) instruction to ensure + * all ongoing memory accesses have completed, then a WFI (Wait For Interrupt) + * instruction to place the device into the sleep mode specified by + * \ref system_set_sleepmode until woken by an interrupt. + */ +static inline void system_sleep(void) +{ + __DSB(); + __WFI(); +} + +/** + * @} + */ + +/** @} */ +#ifdef __cplusplus +} +#endif + +#endif /* POWER_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h new file mode 100644 index 0000000..d7b21ce --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h @@ -0,0 +1,109 @@ +/** + * \file + * + * \brief SAM Reset related functionality + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef RESET_H_INCLUDED +#define RESET_H_INCLUDED + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \addtogroup asfdoc_sam0_system_group + * @{ + */ + +/** + * \brief Reset causes of the system. + * + * List of possible reset causes of the system. + */ +enum system_reset_cause { + /** The system was last reset by a software reset */ + SYSTEM_RESET_CAUSE_SOFTWARE = PM_RCAUSE_SYST, + /** The system was last reset by the watchdog timer */ + SYSTEM_RESET_CAUSE_WDT = PM_RCAUSE_WDT, + /** The system was last reset because the external reset line was pulled low */ + SYSTEM_RESET_CAUSE_EXTERNAL_RESET = PM_RCAUSE_EXT, + /** The system was last reset by the BOD33 */ + SYSTEM_RESET_CAUSE_BOD33 = PM_RCAUSE_BOD33, + /** The system was last reset by the BOD12 */ + SYSTEM_RESET_CAUSE_BOD12 = PM_RCAUSE_BOD12, + /** The system was last reset by the POR (Power on reset) */ + SYSTEM_RESET_CAUSE_POR = PM_RCAUSE_POR, +}; + + +/** + * \name Reset Control + * @{ + */ + +/** + * \brief Reset the MCU. + * + * Resets the MCU and all associated peripherals and registers, except RTC, all 32KHz sources, + * WDT (if ALWAYSON is set) and GCLK (if WRTLOCK is set). + * + */ +static inline void system_reset(void) +{ + NVIC_SystemReset(); +} + +/** + * \brief Return the reset cause. + * + * Retrieves the cause of the last system reset. + * + * \return An enum value indicating the cause of the last system reset. + */ +static inline enum system_reset_cause system_get_reset_cause(void) +{ + return (enum system_reset_cause)PM->RCAUSE.reg; +} + +/** + * @} + */ + +/** @} */ +#ifdef __cplusplus +} +#endif + +#endif /* RESET_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.c b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.c new file mode 100644 index 0000000..f5f6709 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.c @@ -0,0 +1,101 @@ +/** + * \file + * + * \brief SAM System related functionality + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include + +/** + * \internal + * Dummy initialization function, used as a weak alias target for the various + * init functions called by \ref system_init(). + */ +void _system_dummy_init(void); +void _system_dummy_init(void) +{ + return; +} + +#if !defined(__DOXYGEN__) +# if defined(__GNUC__) +void system_clock_init(void) WEAK __attribute__((alias("_system_dummy_init"))); +void system_board_init(void) WEAK __attribute__((alias("_system_dummy_init"))); +void _system_events_init(void) WEAK __attribute__((alias("_system_dummy_init"))); +void _system_extint_init(void) WEAK __attribute__((alias("_system_dummy_init"))); +void _system_divas_init(void) WEAK __attribute__((alias("_system_dummy_init"))); +# elif defined(__ICCARM__) +void system_clock_init(void); +void system_board_init(void); +void _system_events_init(void); +void _system_extint_init(void); +void _system_divas_init(void); +# pragma weak system_clock_init=_system_dummy_init +# pragma weak system_board_init=_system_dummy_init +# pragma weak _system_events_init=_system_dummy_init +# pragma weak _system_extint_init=_system_dummy_init +# pragma weak _system_divas_init=_system_dummy_init +# endif +#endif + +/** + * \brief Initialize system + * + * This function will call the various initialization functions within the + * system namespace. If a given optional system module is not available, the + * associated call will effectively be a NOP (No Operation). + * + * Currently the following initialization functions are supported: + * - System clock initialization (via the SYSTEM CLOCK sub-module) + * - Board hardware initialization (via the Board module) + * - Event system driver initialization (via the EVSYS module) + * - External Interrupt driver initialization (via the EXTINT module) + */ +void system_init(void) +{ + /* Configure GCLK and clock sources according to conf_clocks.h */ + system_clock_init(); + + /* Initialize board hardware */ + system_board_init(); + + /* Initialize EVSYS hardware */ + _system_events_init(); + + /* Initialize External hardware */ + _system_extint_init(); + + /* Initialize DIVAS hardware */ + _system_divas_init(); +} + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.h b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.h new file mode 100644 index 0000000..49a410d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/drivers/system/system.h @@ -0,0 +1,721 @@ +/** + * \file + * + * \brief SAM System related functionality + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ +#ifndef SYSTEM_H_INCLUDED +#define SYSTEM_H_INCLUDED + +#include +#include +#include +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \defgroup asfdoc_sam0_system_group SAM System (SYSTEM) Driver + * + * This driver for Atmel® | SMART ARM®-based microcontrollers provides an interface for the configuration + * and management of the device's system relation functionality, necessary for + * the basic device operation. This is not limited to a single peripheral, but + * extends across multiple hardware peripherals. + * + * The following peripherals are used by this module: + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * - PM (Power Manager) + * - RSTC (Reset Controller) + * - SUPC (Supply Controller) + * \endif + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * - PM (Power Manager) + * - RSTC (Reset Controller) + * - SUPC (Supply Controller) + * \endif + * \if DEVICE_SAMD21_SYSTEM_SUPPORT + * - SYSCTRL (System Control) + * - PM (Power Manager) + * \endif + * + * The following devices can use this module: + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * - Atmel | SMART SAM L21 + * - Atmel | SMART SAM R30 + * - Atmel | SMART SAM R34 + * - Atmel | SMART SAM R35 + * \endif + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * - Atmel | SMART SAM C20/C21 + * \endif + * \if DEVICE_SAMD21_SYSTEM_SUPPORT + * - Atmel | SMART SAM D20/D21 + * - Atmel | SMART SAM R21 + * - Atmel | SMART SAM D09/D10/D11 + * - Atmel | SMART SAM DA1 + * \endif + * + * The outline of this documentation is as follows: + * - \ref asfdoc_sam0_system_prerequisites + * - \ref asfdoc_sam0_system_module_overview + * - \ref asfdoc_sam0_system_special_considerations + * - \ref asfdoc_sam0_system_extra_info + * - \ref asfdoc_sam0_system_examples + * - \ref asfdoc_sam0_system_api_overview + * + * + * \section asfdoc_sam0_system_prerequisites Prerequisites + * + * There are no prerequisites for this module. + * + * + * \section asfdoc_sam0_system_module_overview Module Overview + * + * The System driver provides a collection of interfaces between the user + * application logic, and the core device functionality (such as clocks, reset + * cause determination, etc.) that is required for all applications. It contains + * a number of sub-modules that control one specific aspect of the device: + * + * - System Core (this module) + * - \ref asfdoc_sam0_system_clock_group "System Clock Control" (sub-module) + * - \ref asfdoc_sam0_system_interrupt_group "System Interrupt Control" (sub-module) + * - \ref asfdoc_sam0_system_pinmux_group "System Pin Multiplexer Control" (sub-module) + * + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * \subsection asfdoc_sam0_system_module_overview_vreg_l21 Voltage Regulator + * The SAM device controls the voltage regulators for the core (VDDCORE) and + * backup (VDDBU) domains. It sets the voltage regulators according to the sleep + * modes, the performance level, or the user configuration. + * + * In active mode, the voltage regulator can be chosen on the fly between a LDO + * or a Buck converter. In standby mode, the low power voltage regulator is used + * to supply VDDCORE. + * + * \subsection asfdoc_sam0_system_module_overview_bbps Battery Backup Power Switch + * The SAM device supports connection of a battery backup to the VBAT power pin. + * It includes functionality that enables automatic power switching between main + * power and battery backup power. This will ensure power to the backup domain, + * when the main battery or power source is unavailable. + * \endif + * + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * \subsection asfdoc_sam0_system_module_overview_vreg_c21 Voltage Regulator + * The SAM device controls the voltage regulators for the core (VDDCORE). It sets + * the voltage regulators according to the sleep modes. + * + * There are a selectable reference voltage and voltage dependent on the temperature + * which can be used by analog modules like the ADC. + * \endif + * + * \subsection asfdoc_sam0_system_module_overview_vref Voltage References + * The various analog modules within the SAM devices (such as AC, ADC, and + * DAC) require a voltage reference to be configured to act as a reference point + * for comparisons and conversions. + * + * The SAM devices contain multiple references, including an internal + * temperature sensor and a fixed band-gap voltage source. When enabled, the + * associated voltage reference can be selected within the desired peripheral + * where applicable. + * + * \subsection asfdoc_sam0_system_module_overview_reset_cause System Reset Cause + * In some applications there may be a need to execute a different program + * flow based on how the device was reset. For example, if the cause of reset + * was the Watchdog timer (WDT), this might indicate an error in the application, + * and a form of error handling or error logging might be needed. + * + * For this reason, an API is provided to retrieve the cause of the last system + * reset, so that appropriate action can be taken. + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * There are three groups of reset sources: + * - Power supply reset: Resets caused by an electrical issue. It covers POR and BOD reset. + * - User reset: Resets caused by the application. It covers external reset, + * system reset, and watchdog reset. + * - Backup reset: Resets caused by a backup mode exit condition. + * + * \subsection asfdoc_sam0_system_module_overview_performance_level Performance Level + * Performance level allows the user to adjust the regulator output voltage to reduce + * power consumption. The user can on the fly select the most suitable performance + * level, depending on the application demands. + * + * The SAM device can operate at two different performance levels (PL0 and PL2). + * When operating at PL0, the voltage applied on the full logic area is reduced + * by voltage scaling. This voltage scaling technique allows to reduce the active + * power consumption while decreasing the maximum frequency of the device. When + * operating at PL2, the voltage regulator supplies the highest voltage, allowing + * the device to run at higher clock speeds. + * + * Performance level transition is possible only when the device is in active + * mode. After a reset, the device starts at the lowest performance level + * (lowest power consumption and lowest max. frequency). The application can then + * switch to another performance level at any time without any stop in the code + * execution. As shown in \ref asfdoc_sam0_system_performance_level_transition_figure. + * + * \note When scaling down the performance level, the bus frequency should first be + * scaled down in order to not exceed the maximum frequency allowed for the + * low performance level. + * When scaling up the performance level (e.g. from PL0 to PL2), check the performance + * level status before increasing the bus frequency. It can be increased only + * when the performance level transition is completed. + * + * \anchor asfdoc_sam0_system_performance_level_transition_figure + * \image html performance_level_transition.svg "Performance Level Transition" + * + * \subsection asfdoc_sam0_system_module_overview_power_domain Power Domain Gating + * Power domain gating allows power saving by reducing the voltage in logic + * areas in the device to a low-power supply. The feature is available in + * Standby sleep mode and will reduce the voltage in domains where all peripherals + * are idle. Internal logic will maintain its content, meaning the corresponding + * peripherals will not need to be reconfigured when normal operating voltage + * is returned. Most power domains can be in the following three states: + * + * - Active state: The power domain is powered on. + * - Retention state: The main voltage supply for the power domain is switched off, + * while maintaining a secondary low-power supply for the sequential cells. The + * logic context is restored when waking up. + * - Off state: The power domain is entirely powered off. The logic context is lost. + * + * The SAM L21 device contains three power domains which can be controlled using + * power domain gating, namely PD0, PD1, and PD2. These power domains can be + * configured to the following cases: + * - Default with no sleepwalking peripherals: A power domain is automatically set + * to retention state in standby sleep mode if no activity require it. The application + * can force all power domains to remain in active state during standby sleep mode + * in order to accelerate wakeup time. + * - Default with sleepwalking peripherals: If one or more peripherals are enabled + * to perform sleepwalking tasks in standby sleep mode, the corresponding power + * domain (PDn) remains in active state as well as all inferior power domains (PDn) in order + * to perform a sleepwalking task. The superior power domain is then automatically + * set to active state. At the end of the sleepwalking task, the device can either + * be woken up or the superior power domain can return to retention state. + * + * Power domains can be linked to each other, it allows a power domain (PDn) to be kept + * in active state if the inferior power domain (PDn-1) is in active state too. + * + * \ref asfdoc_sam0_system_power_domain_overview_table illustrates the + * four cases to consider in standby mode. + * + * \anchor asfdoc_sam0_system_power_domain_overview_table + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    Sleep Mode versus Power Domain State Overview
    Sleep modePD0PD1PD2PDTOPPDBACKUP
    Idleactiveactiveactiveactiveactive
    Standby - Case 1activeactiveactiveactiveactive
    Standby - Case 2activeactiveretentionactiveactive
    Standby - Case 3activeretentionretentionactiveactive
    Standby - Case 4retentionretentionretentionactiveactive
    Backupoffoffoffoffactive
    Offoffoffoffoffoff
    + * + * \subsection asfdoc_sam0_system_module_overview_ram_state RAMs Low Power Mode + * By default, in standby sleep mode, RAM is in low power mode (back biased) + * if its power domain is in retention state. + * \ref asfdoc_sam0_system_power_ram_state_table lists RAMs low power mode. + * + * \anchor asfdoc_sam0_system_power_ram_state_table + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + *
    RAM Back-biasing Mode
    RAM modeDescription
    Retention Back-biasing modeRAM is back-biased if its power domain is in retention mode
    Standby Back-biasing modeRAM is back-biased if the device is in standby mode
    Standby OFF modeRAM is OFF if the device is in standby mode
    Always OFF modeRAM is OFF if the device is in RET mode
    + * + * \endif + * + * \subsection asfdoc_sam0_system_module_overview_sleep_mode Sleep Modes + * The SAM devices have several sleep modes. The sleep mode controls + * which clock systems on the device will remain enabled or disabled when the + * device enters a low power sleep mode. + * \ref asfdoc_sam0_system_module_sleep_mode_table "The table below" lists the + * clock settings of the different sleep modes. + * + * \anchor asfdoc_sam0_system_module_sleep_mode_table + * + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * \else + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * \endif + *
    SAM Device Sleep Modes
    Sleep modeSystem clockCPU clockAHB/AHB clockGCLK clocksOscillators (ONDEMAND = 0)Oscillators (ONDEMAND = 1)Regulator modeRAM mode
    IdleRunStopRun if requestedRunRunRun if requestedNormalNormal
    StandbyStopStopRun if requestedRun if requestedRun if requested or RUNSTDBY = 1Run if requestedLow pwerLow pwer
    BackupStopStopStopStopStopStopBackupOff
    OffOffOffOffOffOffOffOffOff
    Sleep modeCPU clockAHB clockAPB clocksClock sourcesSystem clock32KHzReg modeRAM mode
    Idle 0StopRunRunRunRunRunNormalNormal
    Idle 1StopStopRunRunRunRunNormalNormal
    Idle 2StopStopStopRunRunRunNormalNormal
    StandbyStopStopStopStopStopStopLow PowerSource/Drain biasing
    + * + * Before entering device sleep, one of the available sleep modes must be set. + * The device will automatically wake up in response to an interrupt being + * generated or upon any other sleep mode exit condition. + * + * Some peripheral clocks will remain enabled during sleep, depending on their + * configuration. If desired, the modules can remain clocked during sleep to allow + * them continue to operate while other parts of the system are powered down + * to save power. + * + * + * \section asfdoc_sam0_system_special_considerations Special Considerations + * + * Most of the functions in this driver have device specific restrictions and + * caveats; refer to your device datasheet. + * + * + * \section asfdoc_sam0_system_extra_info Extra Information + * + * For extra information, see \ref asfdoc_sam0_system_extra. This includes: + * - \ref asfdoc_sam0_system_extra_acronyms + * - \ref asfdoc_sam0_system_extra_dependencies + * - \ref asfdoc_sam0_system_extra_errata + * - \ref asfdoc_sam0_system_extra_history + * + * + * \section asfdoc_sam0_system_examples Examples + * + * For SYSTEM module related examples, refer to the sub-modules listed in + * the \ref asfdoc_sam0_system_module_overview "Module Overview". + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * For a list of examples related to this driver, see + * \ref asfdoc_sam0_drivers_power_exqsg. + * \endif + * + * + * \section asfdoc_sam0_system_api_overview API Overview + * @{ + */ + +/** + * \name System Debugger + * @{ + */ + +/** + * \brief Check if debugger is present. + * + * Check if debugger is connected to the onboard debug system (DAP). + * + * \return A bool identifying if a debugger is present. + * + * \retval true Debugger is connected to the system + * \retval false Debugger is not connected to the system + * + */ +static inline bool system_is_debugger_present(void) +{ + return DSU->STATUSB.reg & DSU_STATUSB_DBGPRES; +} + +/** + * @} + */ + +/** + * \name System Identification + * @{ + */ + +/** + * \brief Retrieve the device identification signature. + * + * Retrieves the signature of the current device. + * + * \return Device ID signature as a 32-bit integer. + */ +static inline uint32_t system_get_device_id(void) +{ + return DSU->DID.reg; +} + +/** + * @} + */ + +/** + * \name System Initialization + * @{ + */ + +void system_init(void); + +/** + * @} + */ + + +/** + * @} + */ + +/** + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * \page asfdoc_sam0_drivers_power_exqsg Examples for SYSTEM Driver + * + * This is a list of the available Quick Start Guides (QSGs) and example + * applications for \ref asfdoc_sam0_system_group. QSGs are simple examples with step-by-step instructions to + * configure and use this driver in a selection of + * use cases. Note that a QSG can be compiled as a standalone application or be + * added to the user application. + * + * - \subpage asfdoc_sam0_power_basic_use_case + * \endif + * + * \page asfdoc_sam0_system_extra Extra Information for SYSTEM Driver + * + * \section asfdoc_sam0_system_extra_acronyms Acronyms + * Below is a table listing the acronyms used in this module, along with their + * intended meanings. + * + * + * + * + * + * + * + * + * + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * + * + * + * + * + * + * + * + * \endif + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * + * + * + * + * + * + * + * + * \endif + * \if DEVICE_SAMD21_SYSTEM_SUPPORT + * + * + * + * + * \endif + *
    AcronymDefinition
    PMPower Manager
    SUPCSupply Controller
    RSTCReset Controller
    SUPCSupply Controller
    RSTCReset Controller
    SYSCTRLSystem control interface
    + * + * + * \section asfdoc_sam0_system_extra_dependencies Dependencies + * This driver has the following dependencies: + * + * - None + * + * + * \section asfdoc_sam0_system_extra_errata Errata + * There are no errata related to this driver. + * + * + * \section asfdoc_sam0_system_extra_history Module History + * An overview of the module history is presented in the table below, with + * details on the enhancements and fixes made to the module since its first + * release. The current version of this corresponds to the newest version in + * the table. + * + * + * + * + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * + * + * + * \endif + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * + * + * + * \endif + * \if DEVICE_SAMD21_SYSTEM_SUPPORT + * + * + * + * + * + * + * + * + * + * \endif + *
    Changelog
    Initial Release
    Initial Release
    Added new \c system_reset() to reset the complete MCU with some exceptions
    Added new \c system_get_device_id() function to retrieved the device + * ID
    Initial Release
    + * + * \page asfdoc_sam0_system_document_revision_history Document Revision History + * + * + * + * + * + * + * + * \if DEVICE_SAML21_SYSTEM_SUPPORT + * + * + * + * + * + * \endif + * \if DEVICE_SAMC21_SYSTEM_SUPPORT + * + * + * + * + * + * \endif + * \if DEVICE_SAMD21_SYSTEM_SUPPORT + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * + * \endif + *
    Doc. Rev.DateComments
    42449A07/2015Initial document release
    42484A12/2015Initial document release.
    42120E12/2015Added support for SAM DA1 and SAM D09
    42120D12/2014Added support for SAM R21 and SAM D10/D11
    42120C01/2014Added support for SAM D21
    42120B06/2013Corrected documentation typos
    42120A06/2013Initial document release
    + */ + +#ifdef __cplusplus +} +#endif + +#endif /* SYSTEM_H_INCLUDED */ + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h new file mode 100644 index 0000000..0697186 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h @@ -0,0 +1,549 @@ +/** + * \file + * + * \brief Component description for AC + * + * Copyright (c) 2016-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21_AC_COMPONENT_ +#define _SAMD21_AC_COMPONENT_ + +/* ========================================================================== */ +/** SOFTWARE API DEFINITION FOR AC */ +/* ========================================================================== */ +/** \addtogroup SAMD21_AC Analog Comparators */ +/*@{*/ + +#define AC_U2205 +#define REV_AC 0x112 + +/* -------- AC_CTRLA : (AC Offset: 0x00) (R/W 8) Control A -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SWRST:1; /*!< bit: 0 Software Reset */ + uint8_t ENABLE:1; /*!< bit: 1 Enable */ + uint8_t RUNSTDBY:1; /*!< bit: 2 Run in Standby */ + uint8_t :4; /*!< bit: 3.. 6 Reserved */ + uint8_t LPMUX:1; /*!< bit: 7 Low-Power Mux */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} AC_CTRLA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_CTRLA_OFFSET 0x00 /**< \brief (AC_CTRLA offset) Control A */ +#define AC_CTRLA_RESETVALUE 0x00ul /**< \brief (AC_CTRLA reset_value) Control A */ + +#define AC_CTRLA_SWRST_Pos 0 /**< \brief (AC_CTRLA) Software Reset */ +#define AC_CTRLA_SWRST (0x1ul << AC_CTRLA_SWRST_Pos) +#define AC_CTRLA_ENABLE_Pos 1 /**< \brief (AC_CTRLA) Enable */ +#define AC_CTRLA_ENABLE (0x1ul << AC_CTRLA_ENABLE_Pos) +#define AC_CTRLA_RUNSTDBY_Pos 2 /**< \brief (AC_CTRLA) Run in Standby */ +#define AC_CTRLA_RUNSTDBY_Msk (0x1ul << AC_CTRLA_RUNSTDBY_Pos) +#define AC_CTRLA_RUNSTDBY(value) (AC_CTRLA_RUNSTDBY_Msk & ((value) << AC_CTRLA_RUNSTDBY_Pos)) +#define AC_CTRLA_LPMUX_Pos 7 /**< \brief (AC_CTRLA) Low-Power Mux */ +#define AC_CTRLA_LPMUX (0x1ul << AC_CTRLA_LPMUX_Pos) +#define AC_CTRLA_MASK 0x87ul /**< \brief (AC_CTRLA) MASK Register */ + +/* -------- AC_CTRLB : (AC Offset: 0x01) ( /W 8) Control B -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t START0:1; /*!< bit: 0 Comparator 0 Start Comparison */ + uint8_t START1:1; /*!< bit: 1 Comparator 1 Start Comparison */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t START:2; /*!< bit: 0.. 1 Comparator x Start Comparison */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_CTRLB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_CTRLB_OFFSET 0x01 /**< \brief (AC_CTRLB offset) Control B */ +#define AC_CTRLB_RESETVALUE 0x00ul /**< \brief (AC_CTRLB reset_value) Control B */ + +#define AC_CTRLB_START0_Pos 0 /**< \brief (AC_CTRLB) Comparator 0 Start Comparison */ +#define AC_CTRLB_START0 (1 << AC_CTRLB_START0_Pos) +#define AC_CTRLB_START1_Pos 1 /**< \brief (AC_CTRLB) Comparator 1 Start Comparison */ +#define AC_CTRLB_START1 (1 << AC_CTRLB_START1_Pos) +#define AC_CTRLB_START_Pos 0 /**< \brief (AC_CTRLB) Comparator x Start Comparison */ +#define AC_CTRLB_START_Msk (0x3ul << AC_CTRLB_START_Pos) +#define AC_CTRLB_START(value) (AC_CTRLB_START_Msk & ((value) << AC_CTRLB_START_Pos)) +#define AC_CTRLB_MASK 0x03ul /**< \brief (AC_CTRLB) MASK Register */ + +/* -------- AC_EVCTRL : (AC Offset: 0x02) (R/W 16) Event Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t COMPEO0:1; /*!< bit: 0 Comparator 0 Event Output Enable */ + uint16_t COMPEO1:1; /*!< bit: 1 Comparator 1 Event Output Enable */ + uint16_t :2; /*!< bit: 2.. 3 Reserved */ + uint16_t WINEO0:1; /*!< bit: 4 Window 0 Event Output Enable */ + uint16_t :3; /*!< bit: 5.. 7 Reserved */ + uint16_t COMPEI0:1; /*!< bit: 8 Comparator 0 Event Input */ + uint16_t COMPEI1:1; /*!< bit: 9 Comparator 1 Event Input */ + uint16_t :6; /*!< bit: 10..15 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint16_t COMPEO:2; /*!< bit: 0.. 1 Comparator x Event Output Enable */ + uint16_t :2; /*!< bit: 2.. 3 Reserved */ + uint16_t WINEO:1; /*!< bit: 4 Window x Event Output Enable */ + uint16_t :3; /*!< bit: 5.. 7 Reserved */ + uint16_t COMPEI:2; /*!< bit: 8.. 9 Comparator x Event Input */ + uint16_t :6; /*!< bit: 10..15 Reserved */ + } vec; /*!< Structure used for vec access */ + uint16_t reg; /*!< Type used for register access */ +} AC_EVCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_EVCTRL_OFFSET 0x02 /**< \brief (AC_EVCTRL offset) Event Control */ +#define AC_EVCTRL_RESETVALUE 0x0000ul /**< \brief (AC_EVCTRL reset_value) Event Control */ + +#define AC_EVCTRL_COMPEO0_Pos 0 /**< \brief (AC_EVCTRL) Comparator 0 Event Output Enable */ +#define AC_EVCTRL_COMPEO0 (1 << AC_EVCTRL_COMPEO0_Pos) +#define AC_EVCTRL_COMPEO1_Pos 1 /**< \brief (AC_EVCTRL) Comparator 1 Event Output Enable */ +#define AC_EVCTRL_COMPEO1 (1 << AC_EVCTRL_COMPEO1_Pos) +#define AC_EVCTRL_COMPEO_Pos 0 /**< \brief (AC_EVCTRL) Comparator x Event Output Enable */ +#define AC_EVCTRL_COMPEO_Msk (0x3ul << AC_EVCTRL_COMPEO_Pos) +#define AC_EVCTRL_COMPEO(value) (AC_EVCTRL_COMPEO_Msk & ((value) << AC_EVCTRL_COMPEO_Pos)) +#define AC_EVCTRL_WINEO0_Pos 4 /**< \brief (AC_EVCTRL) Window 0 Event Output Enable */ +#define AC_EVCTRL_WINEO0 (1 << AC_EVCTRL_WINEO0_Pos) +#define AC_EVCTRL_WINEO_Pos 4 /**< \brief (AC_EVCTRL) Window x Event Output Enable */ +#define AC_EVCTRL_WINEO_Msk (0x1ul << AC_EVCTRL_WINEO_Pos) +#define AC_EVCTRL_WINEO(value) (AC_EVCTRL_WINEO_Msk & ((value) << AC_EVCTRL_WINEO_Pos)) +#define AC_EVCTRL_COMPEI0_Pos 8 /**< \brief (AC_EVCTRL) Comparator 0 Event Input */ +#define AC_EVCTRL_COMPEI0 (1 << AC_EVCTRL_COMPEI0_Pos) +#define AC_EVCTRL_COMPEI1_Pos 9 /**< \brief (AC_EVCTRL) Comparator 1 Event Input */ +#define AC_EVCTRL_COMPEI1 (1 << AC_EVCTRL_COMPEI1_Pos) +#define AC_EVCTRL_COMPEI_Pos 8 /**< \brief (AC_EVCTRL) Comparator x Event Input */ +#define AC_EVCTRL_COMPEI_Msk (0x3ul << AC_EVCTRL_COMPEI_Pos) +#define AC_EVCTRL_COMPEI(value) (AC_EVCTRL_COMPEI_Msk & ((value) << AC_EVCTRL_COMPEI_Pos)) +#define AC_EVCTRL_MASK 0x0313ul /**< \brief (AC_EVCTRL) MASK Register */ + +/* -------- AC_INTENCLR : (AC Offset: 0x04) (R/W 8) Interrupt Enable Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t COMP0:1; /*!< bit: 0 Comparator 0 Interrupt Enable */ + uint8_t COMP1:1; /*!< bit: 1 Comparator 1 Interrupt Enable */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WIN0:1; /*!< bit: 4 Window 0 Interrupt Enable */ + uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t COMP:2; /*!< bit: 0.. 1 Comparator x Interrupt Enable */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WIN:1; /*!< bit: 4 Window x Interrupt Enable */ + uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_INTENCLR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_INTENCLR_OFFSET 0x04 /**< \brief (AC_INTENCLR offset) Interrupt Enable Clear */ +#define AC_INTENCLR_RESETVALUE 0x00ul /**< \brief (AC_INTENCLR reset_value) Interrupt Enable Clear */ + +#define AC_INTENCLR_COMP0_Pos 0 /**< \brief (AC_INTENCLR) Comparator 0 Interrupt Enable */ +#define AC_INTENCLR_COMP0 (1 << AC_INTENCLR_COMP0_Pos) +#define AC_INTENCLR_COMP1_Pos 1 /**< \brief (AC_INTENCLR) Comparator 1 Interrupt Enable */ +#define AC_INTENCLR_COMP1 (1 << AC_INTENCLR_COMP1_Pos) +#define AC_INTENCLR_COMP_Pos 0 /**< \brief (AC_INTENCLR) Comparator x Interrupt Enable */ +#define AC_INTENCLR_COMP_Msk (0x3ul << AC_INTENCLR_COMP_Pos) +#define AC_INTENCLR_COMP(value) (AC_INTENCLR_COMP_Msk & ((value) << AC_INTENCLR_COMP_Pos)) +#define AC_INTENCLR_WIN0_Pos 4 /**< \brief (AC_INTENCLR) Window 0 Interrupt Enable */ +#define AC_INTENCLR_WIN0 (1 << AC_INTENCLR_WIN0_Pos) +#define AC_INTENCLR_WIN_Pos 4 /**< \brief (AC_INTENCLR) Window x Interrupt Enable */ +#define AC_INTENCLR_WIN_Msk (0x1ul << AC_INTENCLR_WIN_Pos) +#define AC_INTENCLR_WIN(value) (AC_INTENCLR_WIN_Msk & ((value) << AC_INTENCLR_WIN_Pos)) +#define AC_INTENCLR_MASK 0x13ul /**< \brief (AC_INTENCLR) MASK Register */ + +/* -------- AC_INTENSET : (AC Offset: 0x05) (R/W 8) Interrupt Enable Set -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t COMP0:1; /*!< bit: 0 Comparator 0 Interrupt Enable */ + uint8_t COMP1:1; /*!< bit: 1 Comparator 1 Interrupt Enable */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WIN0:1; /*!< bit: 4 Window 0 Interrupt Enable */ + uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t COMP:2; /*!< bit: 0.. 1 Comparator x Interrupt Enable */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WIN:1; /*!< bit: 4 Window x Interrupt Enable */ + uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_INTENSET_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_INTENSET_OFFSET 0x05 /**< \brief (AC_INTENSET offset) Interrupt Enable Set */ +#define AC_INTENSET_RESETVALUE 0x00ul /**< \brief (AC_INTENSET reset_value) Interrupt Enable Set */ + +#define AC_INTENSET_COMP0_Pos 0 /**< \brief (AC_INTENSET) Comparator 0 Interrupt Enable */ +#define AC_INTENSET_COMP0 (1 << AC_INTENSET_COMP0_Pos) +#define AC_INTENSET_COMP1_Pos 1 /**< \brief (AC_INTENSET) Comparator 1 Interrupt Enable */ +#define AC_INTENSET_COMP1 (1 << AC_INTENSET_COMP1_Pos) +#define AC_INTENSET_COMP_Pos 0 /**< \brief (AC_INTENSET) Comparator x Interrupt Enable */ +#define AC_INTENSET_COMP_Msk (0x3ul << AC_INTENSET_COMP_Pos) +#define AC_INTENSET_COMP(value) (AC_INTENSET_COMP_Msk & ((value) << AC_INTENSET_COMP_Pos)) +#define AC_INTENSET_WIN0_Pos 4 /**< \brief (AC_INTENSET) Window 0 Interrupt Enable */ +#define AC_INTENSET_WIN0 (1 << AC_INTENSET_WIN0_Pos) +#define AC_INTENSET_WIN_Pos 4 /**< \brief (AC_INTENSET) Window x Interrupt Enable */ +#define AC_INTENSET_WIN_Msk (0x1ul << AC_INTENSET_WIN_Pos) +#define AC_INTENSET_WIN(value) (AC_INTENSET_WIN_Msk & ((value) << AC_INTENSET_WIN_Pos)) +#define AC_INTENSET_MASK 0x13ul /**< \brief (AC_INTENSET) MASK Register */ + +/* -------- AC_INTFLAG : (AC Offset: 0x06) (R/W 8) Interrupt Flag Status and Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { // __I to avoid read-modify-write on write-to-clear register + struct { + __I uint8_t COMP0:1; /*!< bit: 0 Comparator 0 */ + __I uint8_t COMP1:1; /*!< bit: 1 Comparator 1 */ + __I uint8_t :2; /*!< bit: 2.. 3 Reserved */ + __I uint8_t WIN0:1; /*!< bit: 4 Window 0 */ + __I uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + __I uint8_t COMP:2; /*!< bit: 0.. 1 Comparator x */ + __I uint8_t :2; /*!< bit: 2.. 3 Reserved */ + __I uint8_t WIN:1; /*!< bit: 4 Window x */ + __I uint8_t :3; /*!< bit: 5.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_INTFLAG_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_INTFLAG_OFFSET 0x06 /**< \brief (AC_INTFLAG offset) Interrupt Flag Status and Clear */ +#define AC_INTFLAG_RESETVALUE 0x00ul /**< \brief (AC_INTFLAG reset_value) Interrupt Flag Status and Clear */ + +#define AC_INTFLAG_COMP0_Pos 0 /**< \brief (AC_INTFLAG) Comparator 0 */ +#define AC_INTFLAG_COMP0 (1 << AC_INTFLAG_COMP0_Pos) +#define AC_INTFLAG_COMP1_Pos 1 /**< \brief (AC_INTFLAG) Comparator 1 */ +#define AC_INTFLAG_COMP1 (1 << AC_INTFLAG_COMP1_Pos) +#define AC_INTFLAG_COMP_Pos 0 /**< \brief (AC_INTFLAG) Comparator x */ +#define AC_INTFLAG_COMP_Msk (0x3ul << AC_INTFLAG_COMP_Pos) +#define AC_INTFLAG_COMP(value) (AC_INTFLAG_COMP_Msk & ((value) << AC_INTFLAG_COMP_Pos)) +#define AC_INTFLAG_WIN0_Pos 4 /**< \brief (AC_INTFLAG) Window 0 */ +#define AC_INTFLAG_WIN0 (1 << AC_INTFLAG_WIN0_Pos) +#define AC_INTFLAG_WIN_Pos 4 /**< \brief (AC_INTFLAG) Window x */ +#define AC_INTFLAG_WIN_Msk (0x1ul << AC_INTFLAG_WIN_Pos) +#define AC_INTFLAG_WIN(value) (AC_INTFLAG_WIN_Msk & ((value) << AC_INTFLAG_WIN_Pos)) +#define AC_INTFLAG_MASK 0x13ul /**< \brief (AC_INTFLAG) MASK Register */ + +/* -------- AC_STATUSA : (AC Offset: 0x08) (R/ 8) Status A -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t STATE0:1; /*!< bit: 0 Comparator 0 Current State */ + uint8_t STATE1:1; /*!< bit: 1 Comparator 1 Current State */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WSTATE0:2; /*!< bit: 4.. 5 Window 0 Current State */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t STATE:2; /*!< bit: 0.. 1 Comparator x Current State */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_STATUSA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_STATUSA_OFFSET 0x08 /**< \brief (AC_STATUSA offset) Status A */ +#define AC_STATUSA_RESETVALUE 0x00ul /**< \brief (AC_STATUSA reset_value) Status A */ + +#define AC_STATUSA_STATE0_Pos 0 /**< \brief (AC_STATUSA) Comparator 0 Current State */ +#define AC_STATUSA_STATE0 (1 << AC_STATUSA_STATE0_Pos) +#define AC_STATUSA_STATE1_Pos 1 /**< \brief (AC_STATUSA) Comparator 1 Current State */ +#define AC_STATUSA_STATE1 (1 << AC_STATUSA_STATE1_Pos) +#define AC_STATUSA_STATE_Pos 0 /**< \brief (AC_STATUSA) Comparator x Current State */ +#define AC_STATUSA_STATE_Msk (0x3ul << AC_STATUSA_STATE_Pos) +#define AC_STATUSA_STATE(value) (AC_STATUSA_STATE_Msk & ((value) << AC_STATUSA_STATE_Pos)) +#define AC_STATUSA_WSTATE0_Pos 4 /**< \brief (AC_STATUSA) Window 0 Current State */ +#define AC_STATUSA_WSTATE0_Msk (0x3ul << AC_STATUSA_WSTATE0_Pos) +#define AC_STATUSA_WSTATE0(value) (AC_STATUSA_WSTATE0_Msk & ((value) << AC_STATUSA_WSTATE0_Pos)) +#define AC_STATUSA_WSTATE0_ABOVE_Val 0x0ul /**< \brief (AC_STATUSA) Signal is above window */ +#define AC_STATUSA_WSTATE0_INSIDE_Val 0x1ul /**< \brief (AC_STATUSA) Signal is inside window */ +#define AC_STATUSA_WSTATE0_BELOW_Val 0x2ul /**< \brief (AC_STATUSA) Signal is below window */ +#define AC_STATUSA_WSTATE0_ABOVE (AC_STATUSA_WSTATE0_ABOVE_Val << AC_STATUSA_WSTATE0_Pos) +#define AC_STATUSA_WSTATE0_INSIDE (AC_STATUSA_WSTATE0_INSIDE_Val << AC_STATUSA_WSTATE0_Pos) +#define AC_STATUSA_WSTATE0_BELOW (AC_STATUSA_WSTATE0_BELOW_Val << AC_STATUSA_WSTATE0_Pos) +#define AC_STATUSA_MASK 0x33ul /**< \brief (AC_STATUSA) MASK Register */ + +/* -------- AC_STATUSB : (AC Offset: 0x09) (R/ 8) Status B -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t READY0:1; /*!< bit: 0 Comparator 0 Ready */ + uint8_t READY1:1; /*!< bit: 1 Comparator 1 Ready */ + uint8_t :5; /*!< bit: 2.. 6 Reserved */ + uint8_t SYNCBUSY:1; /*!< bit: 7 Synchronization Busy */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t READY:2; /*!< bit: 0.. 1 Comparator x Ready */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_STATUSB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_STATUSB_OFFSET 0x09 /**< \brief (AC_STATUSB offset) Status B */ +#define AC_STATUSB_RESETVALUE 0x00ul /**< \brief (AC_STATUSB reset_value) Status B */ + +#define AC_STATUSB_READY0_Pos 0 /**< \brief (AC_STATUSB) Comparator 0 Ready */ +#define AC_STATUSB_READY0 (1 << AC_STATUSB_READY0_Pos) +#define AC_STATUSB_READY1_Pos 1 /**< \brief (AC_STATUSB) Comparator 1 Ready */ +#define AC_STATUSB_READY1 (1 << AC_STATUSB_READY1_Pos) +#define AC_STATUSB_READY_Pos 0 /**< \brief (AC_STATUSB) Comparator x Ready */ +#define AC_STATUSB_READY_Msk (0x3ul << AC_STATUSB_READY_Pos) +#define AC_STATUSB_READY(value) (AC_STATUSB_READY_Msk & ((value) << AC_STATUSB_READY_Pos)) +#define AC_STATUSB_SYNCBUSY_Pos 7 /**< \brief (AC_STATUSB) Synchronization Busy */ +#define AC_STATUSB_SYNCBUSY (0x1ul << AC_STATUSB_SYNCBUSY_Pos) +#define AC_STATUSB_MASK 0x83ul /**< \brief (AC_STATUSB) MASK Register */ + +/* -------- AC_STATUSC : (AC Offset: 0x0A) (R/ 8) Status C -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t STATE0:1; /*!< bit: 0 Comparator 0 Current State */ + uint8_t STATE1:1; /*!< bit: 1 Comparator 1 Current State */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t WSTATE0:2; /*!< bit: 4.. 5 Window 0 Current State */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint8_t STATE:2; /*!< bit: 0.. 1 Comparator x Current State */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } vec; /*!< Structure used for vec access */ + uint8_t reg; /*!< Type used for register access */ +} AC_STATUSC_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_STATUSC_OFFSET 0x0A /**< \brief (AC_STATUSC offset) Status C */ +#define AC_STATUSC_RESETVALUE 0x00ul /**< \brief (AC_STATUSC reset_value) Status C */ + +#define AC_STATUSC_STATE0_Pos 0 /**< \brief (AC_STATUSC) Comparator 0 Current State */ +#define AC_STATUSC_STATE0 (1 << AC_STATUSC_STATE0_Pos) +#define AC_STATUSC_STATE1_Pos 1 /**< \brief (AC_STATUSC) Comparator 1 Current State */ +#define AC_STATUSC_STATE1 (1 << AC_STATUSC_STATE1_Pos) +#define AC_STATUSC_STATE_Pos 0 /**< \brief (AC_STATUSC) Comparator x Current State */ +#define AC_STATUSC_STATE_Msk (0x3ul << AC_STATUSC_STATE_Pos) +#define AC_STATUSC_STATE(value) (AC_STATUSC_STATE_Msk & ((value) << AC_STATUSC_STATE_Pos)) +#define AC_STATUSC_WSTATE0_Pos 4 /**< \brief (AC_STATUSC) Window 0 Current State */ +#define AC_STATUSC_WSTATE0_Msk (0x3ul << AC_STATUSC_WSTATE0_Pos) +#define AC_STATUSC_WSTATE0(value) (AC_STATUSC_WSTATE0_Msk & ((value) << AC_STATUSC_WSTATE0_Pos)) +#define AC_STATUSC_WSTATE0_ABOVE_Val 0x0ul /**< \brief (AC_STATUSC) Signal is above window */ +#define AC_STATUSC_WSTATE0_INSIDE_Val 0x1ul /**< \brief (AC_STATUSC) Signal is inside window */ +#define AC_STATUSC_WSTATE0_BELOW_Val 0x2ul /**< \brief (AC_STATUSC) Signal is below window */ +#define AC_STATUSC_WSTATE0_ABOVE (AC_STATUSC_WSTATE0_ABOVE_Val << AC_STATUSC_WSTATE0_Pos) +#define AC_STATUSC_WSTATE0_INSIDE (AC_STATUSC_WSTATE0_INSIDE_Val << AC_STATUSC_WSTATE0_Pos) +#define AC_STATUSC_WSTATE0_BELOW (AC_STATUSC_WSTATE0_BELOW_Val << AC_STATUSC_WSTATE0_Pos) +#define AC_STATUSC_MASK 0x33ul /**< \brief (AC_STATUSC) MASK Register */ + +/* -------- AC_WINCTRL : (AC Offset: 0x0C) (R/W 8) Window Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t WEN0:1; /*!< bit: 0 Window 0 Mode Enable */ + uint8_t WINTSEL0:2; /*!< bit: 1.. 2 Window 0 Interrupt Selection */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} AC_WINCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_WINCTRL_OFFSET 0x0C /**< \brief (AC_WINCTRL offset) Window Control */ +#define AC_WINCTRL_RESETVALUE 0x00ul /**< \brief (AC_WINCTRL reset_value) Window Control */ + +#define AC_WINCTRL_WEN0_Pos 0 /**< \brief (AC_WINCTRL) Window 0 Mode Enable */ +#define AC_WINCTRL_WEN0 (0x1ul << AC_WINCTRL_WEN0_Pos) +#define AC_WINCTRL_WINTSEL0_Pos 1 /**< \brief (AC_WINCTRL) Window 0 Interrupt Selection */ +#define AC_WINCTRL_WINTSEL0_Msk (0x3ul << AC_WINCTRL_WINTSEL0_Pos) +#define AC_WINCTRL_WINTSEL0(value) (AC_WINCTRL_WINTSEL0_Msk & ((value) << AC_WINCTRL_WINTSEL0_Pos)) +#define AC_WINCTRL_WINTSEL0_ABOVE_Val 0x0ul /**< \brief (AC_WINCTRL) Interrupt on signal above window */ +#define AC_WINCTRL_WINTSEL0_INSIDE_Val 0x1ul /**< \brief (AC_WINCTRL) Interrupt on signal inside window */ +#define AC_WINCTRL_WINTSEL0_BELOW_Val 0x2ul /**< \brief (AC_WINCTRL) Interrupt on signal below window */ +#define AC_WINCTRL_WINTSEL0_OUTSIDE_Val 0x3ul /**< \brief (AC_WINCTRL) Interrupt on signal outside window */ +#define AC_WINCTRL_WINTSEL0_ABOVE (AC_WINCTRL_WINTSEL0_ABOVE_Val << AC_WINCTRL_WINTSEL0_Pos) +#define AC_WINCTRL_WINTSEL0_INSIDE (AC_WINCTRL_WINTSEL0_INSIDE_Val << AC_WINCTRL_WINTSEL0_Pos) +#define AC_WINCTRL_WINTSEL0_BELOW (AC_WINCTRL_WINTSEL0_BELOW_Val << AC_WINCTRL_WINTSEL0_Pos) +#define AC_WINCTRL_WINTSEL0_OUTSIDE (AC_WINCTRL_WINTSEL0_OUTSIDE_Val << AC_WINCTRL_WINTSEL0_Pos) +#define AC_WINCTRL_MASK 0x07ul /**< \brief (AC_WINCTRL) MASK Register */ + +/* -------- AC_COMPCTRL : (AC Offset: 0x10) (R/W 32) Comparator Control n -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t ENABLE:1; /*!< bit: 0 Enable */ + uint32_t SINGLE:1; /*!< bit: 1 Single-Shot Mode */ + uint32_t SPEED:2; /*!< bit: 2.. 3 Speed Selection */ + uint32_t :1; /*!< bit: 4 Reserved */ + uint32_t INTSEL:2; /*!< bit: 5.. 6 Interrupt Selection */ + uint32_t :1; /*!< bit: 7 Reserved */ + uint32_t MUXNEG:3; /*!< bit: 8..10 Negative Input Mux Selection */ + uint32_t :1; /*!< bit: 11 Reserved */ + uint32_t MUXPOS:2; /*!< bit: 12..13 Positive Input Mux Selection */ + uint32_t :1; /*!< bit: 14 Reserved */ + uint32_t SWAP:1; /*!< bit: 15 Swap Inputs and Invert */ + uint32_t OUT:2; /*!< bit: 16..17 Output */ + uint32_t :1; /*!< bit: 18 Reserved */ + uint32_t HYST:1; /*!< bit: 19 Hysteresis Enable */ + uint32_t :4; /*!< bit: 20..23 Reserved */ + uint32_t FLEN:3; /*!< bit: 24..26 Filter Length */ + uint32_t :5; /*!< bit: 27..31 Reserved */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} AC_COMPCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_COMPCTRL_OFFSET 0x10 /**< \brief (AC_COMPCTRL offset) Comparator Control n */ +#define AC_COMPCTRL_RESETVALUE 0x00000000ul /**< \brief (AC_COMPCTRL reset_value) Comparator Control n */ + +#define AC_COMPCTRL_ENABLE_Pos 0 /**< \brief (AC_COMPCTRL) Enable */ +#define AC_COMPCTRL_ENABLE (0x1ul << AC_COMPCTRL_ENABLE_Pos) +#define AC_COMPCTRL_SINGLE_Pos 1 /**< \brief (AC_COMPCTRL) Single-Shot Mode */ +#define AC_COMPCTRL_SINGLE (0x1ul << AC_COMPCTRL_SINGLE_Pos) +#define AC_COMPCTRL_SPEED_Pos 2 /**< \brief (AC_COMPCTRL) Speed Selection */ +#define AC_COMPCTRL_SPEED_Msk (0x3ul << AC_COMPCTRL_SPEED_Pos) +#define AC_COMPCTRL_SPEED(value) (AC_COMPCTRL_SPEED_Msk & ((value) << AC_COMPCTRL_SPEED_Pos)) +#define AC_COMPCTRL_SPEED_LOW_Val 0x0ul /**< \brief (AC_COMPCTRL) Low speed */ +#define AC_COMPCTRL_SPEED_HIGH_Val 0x1ul /**< \brief (AC_COMPCTRL) High speed */ +#define AC_COMPCTRL_SPEED_LOW (AC_COMPCTRL_SPEED_LOW_Val << AC_COMPCTRL_SPEED_Pos) +#define AC_COMPCTRL_SPEED_HIGH (AC_COMPCTRL_SPEED_HIGH_Val << AC_COMPCTRL_SPEED_Pos) +#define AC_COMPCTRL_INTSEL_Pos 5 /**< \brief (AC_COMPCTRL) Interrupt Selection */ +#define AC_COMPCTRL_INTSEL_Msk (0x3ul << AC_COMPCTRL_INTSEL_Pos) +#define AC_COMPCTRL_INTSEL(value) (AC_COMPCTRL_INTSEL_Msk & ((value) << AC_COMPCTRL_INTSEL_Pos)) +#define AC_COMPCTRL_INTSEL_TOGGLE_Val 0x0ul /**< \brief (AC_COMPCTRL) Interrupt on comparator output toggle */ +#define AC_COMPCTRL_INTSEL_RISING_Val 0x1ul /**< \brief (AC_COMPCTRL) Interrupt on comparator output rising */ +#define AC_COMPCTRL_INTSEL_FALLING_Val 0x2ul /**< \brief (AC_COMPCTRL) Interrupt on comparator output falling */ +#define AC_COMPCTRL_INTSEL_EOC_Val 0x3ul /**< \brief (AC_COMPCTRL) Interrupt on end of comparison (single-shot mode only) */ +#define AC_COMPCTRL_INTSEL_TOGGLE (AC_COMPCTRL_INTSEL_TOGGLE_Val << AC_COMPCTRL_INTSEL_Pos) +#define AC_COMPCTRL_INTSEL_RISING (AC_COMPCTRL_INTSEL_RISING_Val << AC_COMPCTRL_INTSEL_Pos) +#define AC_COMPCTRL_INTSEL_FALLING (AC_COMPCTRL_INTSEL_FALLING_Val << AC_COMPCTRL_INTSEL_Pos) +#define AC_COMPCTRL_INTSEL_EOC (AC_COMPCTRL_INTSEL_EOC_Val << AC_COMPCTRL_INTSEL_Pos) +#define AC_COMPCTRL_MUXNEG_Pos 8 /**< \brief (AC_COMPCTRL) Negative Input Mux Selection */ +#define AC_COMPCTRL_MUXNEG_Msk (0x7ul << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG(value) (AC_COMPCTRL_MUXNEG_Msk & ((value) << AC_COMPCTRL_MUXNEG_Pos)) +#define AC_COMPCTRL_MUXNEG_PIN0_Val 0x0ul /**< \brief (AC_COMPCTRL) I/O pin 0 */ +#define AC_COMPCTRL_MUXNEG_PIN1_Val 0x1ul /**< \brief (AC_COMPCTRL) I/O pin 1 */ +#define AC_COMPCTRL_MUXNEG_PIN2_Val 0x2ul /**< \brief (AC_COMPCTRL) I/O pin 2 */ +#define AC_COMPCTRL_MUXNEG_PIN3_Val 0x3ul /**< \brief (AC_COMPCTRL) I/O pin 3 */ +#define AC_COMPCTRL_MUXNEG_GND_Val 0x4ul /**< \brief (AC_COMPCTRL) Ground */ +#define AC_COMPCTRL_MUXNEG_VSCALE_Val 0x5ul /**< \brief (AC_COMPCTRL) VDD scaler */ +#define AC_COMPCTRL_MUXNEG_BANDGAP_Val 0x6ul /**< \brief (AC_COMPCTRL) Internal bandgap voltage */ +#define AC_COMPCTRL_MUXNEG_DAC_Val 0x7ul /**< \brief (AC_COMPCTRL) DAC output */ +#define AC_COMPCTRL_MUXNEG_PIN0 (AC_COMPCTRL_MUXNEG_PIN0_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_PIN1 (AC_COMPCTRL_MUXNEG_PIN1_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_PIN2 (AC_COMPCTRL_MUXNEG_PIN2_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_PIN3 (AC_COMPCTRL_MUXNEG_PIN3_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_GND (AC_COMPCTRL_MUXNEG_GND_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_VSCALE (AC_COMPCTRL_MUXNEG_VSCALE_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_BANDGAP (AC_COMPCTRL_MUXNEG_BANDGAP_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXNEG_DAC (AC_COMPCTRL_MUXNEG_DAC_Val << AC_COMPCTRL_MUXNEG_Pos) +#define AC_COMPCTRL_MUXPOS_Pos 12 /**< \brief (AC_COMPCTRL) Positive Input Mux Selection */ +#define AC_COMPCTRL_MUXPOS_Msk (0x3ul << AC_COMPCTRL_MUXPOS_Pos) +#define AC_COMPCTRL_MUXPOS(value) (AC_COMPCTRL_MUXPOS_Msk & ((value) << AC_COMPCTRL_MUXPOS_Pos)) +#define AC_COMPCTRL_MUXPOS_PIN0_Val 0x0ul /**< \brief (AC_COMPCTRL) I/O pin 0 */ +#define AC_COMPCTRL_MUXPOS_PIN1_Val 0x1ul /**< \brief (AC_COMPCTRL) I/O pin 1 */ +#define AC_COMPCTRL_MUXPOS_PIN2_Val 0x2ul /**< \brief (AC_COMPCTRL) I/O pin 2 */ +#define AC_COMPCTRL_MUXPOS_PIN3_Val 0x3ul /**< \brief (AC_COMPCTRL) I/O pin 3 */ +#define AC_COMPCTRL_MUXPOS_PIN0 (AC_COMPCTRL_MUXPOS_PIN0_Val << AC_COMPCTRL_MUXPOS_Pos) +#define AC_COMPCTRL_MUXPOS_PIN1 (AC_COMPCTRL_MUXPOS_PIN1_Val << AC_COMPCTRL_MUXPOS_Pos) +#define AC_COMPCTRL_MUXPOS_PIN2 (AC_COMPCTRL_MUXPOS_PIN2_Val << AC_COMPCTRL_MUXPOS_Pos) +#define AC_COMPCTRL_MUXPOS_PIN3 (AC_COMPCTRL_MUXPOS_PIN3_Val << AC_COMPCTRL_MUXPOS_Pos) +#define AC_COMPCTRL_SWAP_Pos 15 /**< \brief (AC_COMPCTRL) Swap Inputs and Invert */ +#define AC_COMPCTRL_SWAP (0x1ul << AC_COMPCTRL_SWAP_Pos) +#define AC_COMPCTRL_OUT_Pos 16 /**< \brief (AC_COMPCTRL) Output */ +#define AC_COMPCTRL_OUT_Msk (0x3ul << AC_COMPCTRL_OUT_Pos) +#define AC_COMPCTRL_OUT(value) (AC_COMPCTRL_OUT_Msk & ((value) << AC_COMPCTRL_OUT_Pos)) +#define AC_COMPCTRL_OUT_OFF_Val 0x0ul /**< \brief (AC_COMPCTRL) The output of COMPn is not routed to the COMPn I/O port */ +#define AC_COMPCTRL_OUT_ASYNC_Val 0x1ul /**< \brief (AC_COMPCTRL) The asynchronous output of COMPn is routed to the COMPn I/O port */ +#define AC_COMPCTRL_OUT_SYNC_Val 0x2ul /**< \brief (AC_COMPCTRL) The synchronous output (including filtering) of COMPn is routed to the COMPn I/O port */ +#define AC_COMPCTRL_OUT_OFF (AC_COMPCTRL_OUT_OFF_Val << AC_COMPCTRL_OUT_Pos) +#define AC_COMPCTRL_OUT_ASYNC (AC_COMPCTRL_OUT_ASYNC_Val << AC_COMPCTRL_OUT_Pos) +#define AC_COMPCTRL_OUT_SYNC (AC_COMPCTRL_OUT_SYNC_Val << AC_COMPCTRL_OUT_Pos) +#define AC_COMPCTRL_HYST_Pos 19 /**< \brief (AC_COMPCTRL) Hysteresis Enable */ +#define AC_COMPCTRL_HYST (0x1ul << AC_COMPCTRL_HYST_Pos) +#define AC_COMPCTRL_FLEN_Pos 24 /**< \brief (AC_COMPCTRL) Filter Length */ +#define AC_COMPCTRL_FLEN_Msk (0x7ul << AC_COMPCTRL_FLEN_Pos) +#define AC_COMPCTRL_FLEN(value) (AC_COMPCTRL_FLEN_Msk & ((value) << AC_COMPCTRL_FLEN_Pos)) +#define AC_COMPCTRL_FLEN_OFF_Val 0x0ul /**< \brief (AC_COMPCTRL) No filtering */ +#define AC_COMPCTRL_FLEN_MAJ3_Val 0x1ul /**< \brief (AC_COMPCTRL) 3-bit majority function (2 of 3) */ +#define AC_COMPCTRL_FLEN_MAJ5_Val 0x2ul /**< \brief (AC_COMPCTRL) 5-bit majority function (3 of 5) */ +#define AC_COMPCTRL_FLEN_OFF (AC_COMPCTRL_FLEN_OFF_Val << AC_COMPCTRL_FLEN_Pos) +#define AC_COMPCTRL_FLEN_MAJ3 (AC_COMPCTRL_FLEN_MAJ3_Val << AC_COMPCTRL_FLEN_Pos) +#define AC_COMPCTRL_FLEN_MAJ5 (AC_COMPCTRL_FLEN_MAJ5_Val << AC_COMPCTRL_FLEN_Pos) +#define AC_COMPCTRL_MASK 0x070BB76Ful /**< \brief (AC_COMPCTRL) MASK Register */ + +/* -------- AC_SCALER : (AC Offset: 0x20) (R/W 8) Scaler n -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t VALUE:6; /*!< bit: 0.. 5 Scaler Value */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} AC_SCALER_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define AC_SCALER_OFFSET 0x20 /**< \brief (AC_SCALER offset) Scaler n */ +#define AC_SCALER_RESETVALUE 0x00ul /**< \brief (AC_SCALER reset_value) Scaler n */ + +#define AC_SCALER_VALUE_Pos 0 /**< \brief (AC_SCALER) Scaler Value */ +#define AC_SCALER_VALUE_Msk (0x3Ful << AC_SCALER_VALUE_Pos) +#define AC_SCALER_VALUE(value) (AC_SCALER_VALUE_Msk & ((value) << AC_SCALER_VALUE_Pos)) +#define AC_SCALER_MASK 0x3Ful /**< \brief (AC_SCALER) MASK Register */ + +/** \brief AC hardware registers */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef struct { + __IO AC_CTRLA_Type CTRLA; /**< \brief Offset: 0x00 (R/W 8) Control A */ + __O AC_CTRLB_Type CTRLB; /**< \brief Offset: 0x01 ( /W 8) Control B */ + __IO AC_EVCTRL_Type EVCTRL; /**< \brief Offset: 0x02 (R/W 16) Event Control */ + __IO AC_INTENCLR_Type INTENCLR; /**< \brief Offset: 0x04 (R/W 8) Interrupt Enable Clear */ + __IO AC_INTENSET_Type INTENSET; /**< \brief Offset: 0x05 (R/W 8) Interrupt Enable Set */ + __IO AC_INTFLAG_Type INTFLAG; /**< \brief Offset: 0x06 (R/W 8) Interrupt Flag Status and Clear */ + RoReg8 Reserved1[0x1]; + __I AC_STATUSA_Type STATUSA; /**< \brief Offset: 0x08 (R/ 8) Status A */ + __I AC_STATUSB_Type STATUSB; /**< \brief Offset: 0x09 (R/ 8) Status B */ + __I AC_STATUSC_Type STATUSC; /**< \brief Offset: 0x0A (R/ 8) Status C */ + RoReg8 Reserved2[0x1]; + __IO AC_WINCTRL_Type WINCTRL; /**< \brief Offset: 0x0C (R/W 8) Window Control */ + RoReg8 Reserved3[0x3]; + __IO AC_COMPCTRL_Type COMPCTRL[2]; /**< \brief Offset: 0x10 (R/W 32) Comparator Control n */ + RoReg8 Reserved4[0x8]; + __IO AC_SCALER_Type SCALER[2]; /**< \brief Offset: 0x20 (R/W 8) Scaler n */ +} Ac; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +/*@}*/ + +#endif /* _SAMD21_AC_COMPONENT_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h new file mode 100644 index 0000000..bbfcdfc --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h @@ -0,0 +1,689 @@ +/** + * \file + * + * \brief Component description for ADC + * + * Copyright (c) 2016-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21_ADC_COMPONENT_ +#define _SAMD21_ADC_COMPONENT_ + +/* ========================================================================== */ +/** SOFTWARE API DEFINITION FOR ADC */ +/* ========================================================================== */ +/** \addtogroup SAMD21_ADC Analog Digital Converter */ +/*@{*/ + +#define ADC_U2204 +#define REV_ADC 0x120 + +/* -------- ADC_CTRLA : (ADC Offset: 0x00) (R/W 8) Control A -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SWRST:1; /*!< bit: 0 Software Reset */ + uint8_t ENABLE:1; /*!< bit: 1 Enable */ + uint8_t RUNSTDBY:1; /*!< bit: 2 Run in Standby */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_CTRLA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_CTRLA_OFFSET 0x00 /**< \brief (ADC_CTRLA offset) Control A */ +#define ADC_CTRLA_RESETVALUE 0x00ul /**< \brief (ADC_CTRLA reset_value) Control A */ + +#define ADC_CTRLA_SWRST_Pos 0 /**< \brief (ADC_CTRLA) Software Reset */ +#define ADC_CTRLA_SWRST (0x1ul << ADC_CTRLA_SWRST_Pos) +#define ADC_CTRLA_ENABLE_Pos 1 /**< \brief (ADC_CTRLA) Enable */ +#define ADC_CTRLA_ENABLE (0x1ul << ADC_CTRLA_ENABLE_Pos) +#define ADC_CTRLA_RUNSTDBY_Pos 2 /**< \brief (ADC_CTRLA) Run in Standby */ +#define ADC_CTRLA_RUNSTDBY (0x1ul << ADC_CTRLA_RUNSTDBY_Pos) +#define ADC_CTRLA_MASK 0x07ul /**< \brief (ADC_CTRLA) MASK Register */ + +/* -------- ADC_REFCTRL : (ADC Offset: 0x01) (R/W 8) Reference Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t REFSEL:4; /*!< bit: 0.. 3 Reference Selection */ + uint8_t :3; /*!< bit: 4.. 6 Reserved */ + uint8_t REFCOMP:1; /*!< bit: 7 Reference Buffer Offset Compensation Enable */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_REFCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_REFCTRL_OFFSET 0x01 /**< \brief (ADC_REFCTRL offset) Reference Control */ +#define ADC_REFCTRL_RESETVALUE 0x00ul /**< \brief (ADC_REFCTRL reset_value) Reference Control */ + +#define ADC_REFCTRL_REFSEL_Pos 0 /**< \brief (ADC_REFCTRL) Reference Selection */ +#define ADC_REFCTRL_REFSEL_Msk (0xFul << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFSEL(value) (ADC_REFCTRL_REFSEL_Msk & ((value) << ADC_REFCTRL_REFSEL_Pos)) +#define ADC_REFCTRL_REFSEL_INT1V_Val 0x0ul /**< \brief (ADC_REFCTRL) 1.0V voltage reference */ +#define ADC_REFCTRL_REFSEL_INTVCC0_Val 0x1ul /**< \brief (ADC_REFCTRL) 1/1.48 VDDANA */ +#define ADC_REFCTRL_REFSEL_INTVCC1_Val 0x2ul /**< \brief (ADC_REFCTRL) 1/2 VDDANA (only for VDDANA > 2.0V) */ +#define ADC_REFCTRL_REFSEL_AREFA_Val 0x3ul /**< \brief (ADC_REFCTRL) External reference */ +#define ADC_REFCTRL_REFSEL_AREFB_Val 0x4ul /**< \brief (ADC_REFCTRL) External reference */ +#define ADC_REFCTRL_REFSEL_INT1V (ADC_REFCTRL_REFSEL_INT1V_Val << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFSEL_INTVCC0 (ADC_REFCTRL_REFSEL_INTVCC0_Val << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFSEL_INTVCC1 (ADC_REFCTRL_REFSEL_INTVCC1_Val << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFSEL_AREFA (ADC_REFCTRL_REFSEL_AREFA_Val << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFSEL_AREFB (ADC_REFCTRL_REFSEL_AREFB_Val << ADC_REFCTRL_REFSEL_Pos) +#define ADC_REFCTRL_REFCOMP_Pos 7 /**< \brief (ADC_REFCTRL) Reference Buffer Offset Compensation Enable */ +#define ADC_REFCTRL_REFCOMP (0x1ul << ADC_REFCTRL_REFCOMP_Pos) +#define ADC_REFCTRL_MASK 0x8Ful /**< \brief (ADC_REFCTRL) MASK Register */ + +/* -------- ADC_AVGCTRL : (ADC Offset: 0x02) (R/W 8) Average Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SAMPLENUM:4; /*!< bit: 0.. 3 Number of Samples to be Collected */ + uint8_t ADJRES:3; /*!< bit: 4.. 6 Adjusting Result / Division Coefficient */ + uint8_t :1; /*!< bit: 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_AVGCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_AVGCTRL_OFFSET 0x02 /**< \brief (ADC_AVGCTRL offset) Average Control */ +#define ADC_AVGCTRL_RESETVALUE 0x00ul /**< \brief (ADC_AVGCTRL reset_value) Average Control */ + +#define ADC_AVGCTRL_SAMPLENUM_Pos 0 /**< \brief (ADC_AVGCTRL) Number of Samples to be Collected */ +#define ADC_AVGCTRL_SAMPLENUM_Msk (0xFul << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM(value) (ADC_AVGCTRL_SAMPLENUM_Msk & ((value) << ADC_AVGCTRL_SAMPLENUM_Pos)) +#define ADC_AVGCTRL_SAMPLENUM_1_Val 0x0ul /**< \brief (ADC_AVGCTRL) 1 sample */ +#define ADC_AVGCTRL_SAMPLENUM_2_Val 0x1ul /**< \brief (ADC_AVGCTRL) 2 samples */ +#define ADC_AVGCTRL_SAMPLENUM_4_Val 0x2ul /**< \brief (ADC_AVGCTRL) 4 samples */ +#define ADC_AVGCTRL_SAMPLENUM_8_Val 0x3ul /**< \brief (ADC_AVGCTRL) 8 samples */ +#define ADC_AVGCTRL_SAMPLENUM_16_Val 0x4ul /**< \brief (ADC_AVGCTRL) 16 samples */ +#define ADC_AVGCTRL_SAMPLENUM_32_Val 0x5ul /**< \brief (ADC_AVGCTRL) 32 samples */ +#define ADC_AVGCTRL_SAMPLENUM_64_Val 0x6ul /**< \brief (ADC_AVGCTRL) 64 samples */ +#define ADC_AVGCTRL_SAMPLENUM_128_Val 0x7ul /**< \brief (ADC_AVGCTRL) 128 samples */ +#define ADC_AVGCTRL_SAMPLENUM_256_Val 0x8ul /**< \brief (ADC_AVGCTRL) 256 samples */ +#define ADC_AVGCTRL_SAMPLENUM_512_Val 0x9ul /**< \brief (ADC_AVGCTRL) 512 samples */ +#define ADC_AVGCTRL_SAMPLENUM_1024_Val 0xAul /**< \brief (ADC_AVGCTRL) 1024 samples */ +#define ADC_AVGCTRL_SAMPLENUM_1 (ADC_AVGCTRL_SAMPLENUM_1_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_2 (ADC_AVGCTRL_SAMPLENUM_2_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_4 (ADC_AVGCTRL_SAMPLENUM_4_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_8 (ADC_AVGCTRL_SAMPLENUM_8_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_16 (ADC_AVGCTRL_SAMPLENUM_16_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_32 (ADC_AVGCTRL_SAMPLENUM_32_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_64 (ADC_AVGCTRL_SAMPLENUM_64_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_128 (ADC_AVGCTRL_SAMPLENUM_128_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_256 (ADC_AVGCTRL_SAMPLENUM_256_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_512 (ADC_AVGCTRL_SAMPLENUM_512_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_SAMPLENUM_1024 (ADC_AVGCTRL_SAMPLENUM_1024_Val << ADC_AVGCTRL_SAMPLENUM_Pos) +#define ADC_AVGCTRL_ADJRES_Pos 4 /**< \brief (ADC_AVGCTRL) Adjusting Result / Division Coefficient */ +#define ADC_AVGCTRL_ADJRES_Msk (0x7ul << ADC_AVGCTRL_ADJRES_Pos) +#define ADC_AVGCTRL_ADJRES(value) (ADC_AVGCTRL_ADJRES_Msk & ((value) << ADC_AVGCTRL_ADJRES_Pos)) +#define ADC_AVGCTRL_MASK 0x7Ful /**< \brief (ADC_AVGCTRL) MASK Register */ + +/* -------- ADC_SAMPCTRL : (ADC Offset: 0x03) (R/W 8) Sampling Time Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SAMPLEN:6; /*!< bit: 0.. 5 Sampling Time Length */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_SAMPCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_SAMPCTRL_OFFSET 0x03 /**< \brief (ADC_SAMPCTRL offset) Sampling Time Control */ +#define ADC_SAMPCTRL_RESETVALUE 0x00ul /**< \brief (ADC_SAMPCTRL reset_value) Sampling Time Control */ + +#define ADC_SAMPCTRL_SAMPLEN_Pos 0 /**< \brief (ADC_SAMPCTRL) Sampling Time Length */ +#define ADC_SAMPCTRL_SAMPLEN_Msk (0x3Ful << ADC_SAMPCTRL_SAMPLEN_Pos) +#define ADC_SAMPCTRL_SAMPLEN(value) (ADC_SAMPCTRL_SAMPLEN_Msk & ((value) << ADC_SAMPCTRL_SAMPLEN_Pos)) +#define ADC_SAMPCTRL_MASK 0x3Ful /**< \brief (ADC_SAMPCTRL) MASK Register */ + +/* -------- ADC_CTRLB : (ADC Offset: 0x04) (R/W 16) Control B -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t DIFFMODE:1; /*!< bit: 0 Differential Mode */ + uint16_t LEFTADJ:1; /*!< bit: 1 Left-Adjusted Result */ + uint16_t FREERUN:1; /*!< bit: 2 Free Running Mode */ + uint16_t CORREN:1; /*!< bit: 3 Digital Correction Logic Enabled */ + uint16_t RESSEL:2; /*!< bit: 4.. 5 Conversion Result Resolution */ + uint16_t :2; /*!< bit: 6.. 7 Reserved */ + uint16_t PRESCALER:3; /*!< bit: 8..10 Prescaler Configuration */ + uint16_t :5; /*!< bit: 11..15 Reserved */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_CTRLB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_CTRLB_OFFSET 0x04 /**< \brief (ADC_CTRLB offset) Control B */ +#define ADC_CTRLB_RESETVALUE 0x0000ul /**< \brief (ADC_CTRLB reset_value) Control B */ + +#define ADC_CTRLB_DIFFMODE_Pos 0 /**< \brief (ADC_CTRLB) Differential Mode */ +#define ADC_CTRLB_DIFFMODE (0x1ul << ADC_CTRLB_DIFFMODE_Pos) +#define ADC_CTRLB_LEFTADJ_Pos 1 /**< \brief (ADC_CTRLB) Left-Adjusted Result */ +#define ADC_CTRLB_LEFTADJ (0x1ul << ADC_CTRLB_LEFTADJ_Pos) +#define ADC_CTRLB_FREERUN_Pos 2 /**< \brief (ADC_CTRLB) Free Running Mode */ +#define ADC_CTRLB_FREERUN (0x1ul << ADC_CTRLB_FREERUN_Pos) +#define ADC_CTRLB_CORREN_Pos 3 /**< \brief (ADC_CTRLB) Digital Correction Logic Enabled */ +#define ADC_CTRLB_CORREN (0x1ul << ADC_CTRLB_CORREN_Pos) +#define ADC_CTRLB_RESSEL_Pos 4 /**< \brief (ADC_CTRLB) Conversion Result Resolution */ +#define ADC_CTRLB_RESSEL_Msk (0x3ul << ADC_CTRLB_RESSEL_Pos) +#define ADC_CTRLB_RESSEL(value) (ADC_CTRLB_RESSEL_Msk & ((value) << ADC_CTRLB_RESSEL_Pos)) +#define ADC_CTRLB_RESSEL_12BIT_Val 0x0ul /**< \brief (ADC_CTRLB) 12-bit result */ +#define ADC_CTRLB_RESSEL_16BIT_Val 0x1ul /**< \brief (ADC_CTRLB) For averaging mode output */ +#define ADC_CTRLB_RESSEL_10BIT_Val 0x2ul /**< \brief (ADC_CTRLB) 10-bit result */ +#define ADC_CTRLB_RESSEL_8BIT_Val 0x3ul /**< \brief (ADC_CTRLB) 8-bit result */ +#define ADC_CTRLB_RESSEL_12BIT (ADC_CTRLB_RESSEL_12BIT_Val << ADC_CTRLB_RESSEL_Pos) +#define ADC_CTRLB_RESSEL_16BIT (ADC_CTRLB_RESSEL_16BIT_Val << ADC_CTRLB_RESSEL_Pos) +#define ADC_CTRLB_RESSEL_10BIT (ADC_CTRLB_RESSEL_10BIT_Val << ADC_CTRLB_RESSEL_Pos) +#define ADC_CTRLB_RESSEL_8BIT (ADC_CTRLB_RESSEL_8BIT_Val << ADC_CTRLB_RESSEL_Pos) +#define ADC_CTRLB_PRESCALER_Pos 8 /**< \brief (ADC_CTRLB) Prescaler Configuration */ +#define ADC_CTRLB_PRESCALER_Msk (0x7ul << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER(value) (ADC_CTRLB_PRESCALER_Msk & ((value) << ADC_CTRLB_PRESCALER_Pos)) +#define ADC_CTRLB_PRESCALER_DIV4_Val 0x0ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 4 */ +#define ADC_CTRLB_PRESCALER_DIV8_Val 0x1ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 8 */ +#define ADC_CTRLB_PRESCALER_DIV16_Val 0x2ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 16 */ +#define ADC_CTRLB_PRESCALER_DIV32_Val 0x3ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 32 */ +#define ADC_CTRLB_PRESCALER_DIV64_Val 0x4ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 64 */ +#define ADC_CTRLB_PRESCALER_DIV128_Val 0x5ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 128 */ +#define ADC_CTRLB_PRESCALER_DIV256_Val 0x6ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 256 */ +#define ADC_CTRLB_PRESCALER_DIV512_Val 0x7ul /**< \brief (ADC_CTRLB) Peripheral clock divided by 512 */ +#define ADC_CTRLB_PRESCALER_DIV4 (ADC_CTRLB_PRESCALER_DIV4_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV8 (ADC_CTRLB_PRESCALER_DIV8_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV16 (ADC_CTRLB_PRESCALER_DIV16_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV32 (ADC_CTRLB_PRESCALER_DIV32_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV64 (ADC_CTRLB_PRESCALER_DIV64_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV128 (ADC_CTRLB_PRESCALER_DIV128_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV256 (ADC_CTRLB_PRESCALER_DIV256_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_PRESCALER_DIV512 (ADC_CTRLB_PRESCALER_DIV512_Val << ADC_CTRLB_PRESCALER_Pos) +#define ADC_CTRLB_MASK 0x073Ful /**< \brief (ADC_CTRLB) MASK Register */ + +/* -------- ADC_WINCTRL : (ADC Offset: 0x08) (R/W 8) Window Monitor Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t WINMODE:3; /*!< bit: 0.. 2 Window Monitor Mode */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_WINCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_WINCTRL_OFFSET 0x08 /**< \brief (ADC_WINCTRL offset) Window Monitor Control */ +#define ADC_WINCTRL_RESETVALUE 0x00ul /**< \brief (ADC_WINCTRL reset_value) Window Monitor Control */ + +#define ADC_WINCTRL_WINMODE_Pos 0 /**< \brief (ADC_WINCTRL) Window Monitor Mode */ +#define ADC_WINCTRL_WINMODE_Msk (0x7ul << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_WINMODE(value) (ADC_WINCTRL_WINMODE_Msk & ((value) << ADC_WINCTRL_WINMODE_Pos)) +#define ADC_WINCTRL_WINMODE_DISABLE_Val 0x0ul /**< \brief (ADC_WINCTRL) No window mode (default) */ +#define ADC_WINCTRL_WINMODE_MODE1_Val 0x1ul /**< \brief (ADC_WINCTRL) Mode 1: RESULT > WINLT */ +#define ADC_WINCTRL_WINMODE_MODE2_Val 0x2ul /**< \brief (ADC_WINCTRL) Mode 2: RESULT < WINUT */ +#define ADC_WINCTRL_WINMODE_MODE3_Val 0x3ul /**< \brief (ADC_WINCTRL) Mode 3: WINLT < RESULT < WINUT */ +#define ADC_WINCTRL_WINMODE_MODE4_Val 0x4ul /**< \brief (ADC_WINCTRL) Mode 4: !(WINLT < RESULT < WINUT) */ +#define ADC_WINCTRL_WINMODE_DISABLE (ADC_WINCTRL_WINMODE_DISABLE_Val << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_WINMODE_MODE1 (ADC_WINCTRL_WINMODE_MODE1_Val << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_WINMODE_MODE2 (ADC_WINCTRL_WINMODE_MODE2_Val << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_WINMODE_MODE3 (ADC_WINCTRL_WINMODE_MODE3_Val << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_WINMODE_MODE4 (ADC_WINCTRL_WINMODE_MODE4_Val << ADC_WINCTRL_WINMODE_Pos) +#define ADC_WINCTRL_MASK 0x07ul /**< \brief (ADC_WINCTRL) MASK Register */ + +/* -------- ADC_SWTRIG : (ADC Offset: 0x0C) (R/W 8) Software Trigger -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t FLUSH:1; /*!< bit: 0 ADC Conversion Flush */ + uint8_t START:1; /*!< bit: 1 ADC Start Conversion */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_SWTRIG_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_SWTRIG_OFFSET 0x0C /**< \brief (ADC_SWTRIG offset) Software Trigger */ +#define ADC_SWTRIG_RESETVALUE 0x00ul /**< \brief (ADC_SWTRIG reset_value) Software Trigger */ + +#define ADC_SWTRIG_FLUSH_Pos 0 /**< \brief (ADC_SWTRIG) ADC Conversion Flush */ +#define ADC_SWTRIG_FLUSH (0x1ul << ADC_SWTRIG_FLUSH_Pos) +#define ADC_SWTRIG_START_Pos 1 /**< \brief (ADC_SWTRIG) ADC Start Conversion */ +#define ADC_SWTRIG_START (0x1ul << ADC_SWTRIG_START_Pos) +#define ADC_SWTRIG_MASK 0x03ul /**< \brief (ADC_SWTRIG) MASK Register */ + +/* -------- ADC_INPUTCTRL : (ADC Offset: 0x10) (R/W 32) Input Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t MUXPOS:5; /*!< bit: 0.. 4 Positive Mux Input Selection */ + uint32_t :3; /*!< bit: 5.. 7 Reserved */ + uint32_t MUXNEG:5; /*!< bit: 8..12 Negative Mux Input Selection */ + uint32_t :3; /*!< bit: 13..15 Reserved */ + uint32_t INPUTSCAN:4; /*!< bit: 16..19 Number of Input Channels Included in Scan */ + uint32_t INPUTOFFSET:4; /*!< bit: 20..23 Positive Mux Setting Offset */ + uint32_t GAIN:4; /*!< bit: 24..27 Gain Factor Selection */ + uint32_t :4; /*!< bit: 28..31 Reserved */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} ADC_INPUTCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_INPUTCTRL_OFFSET 0x10 /**< \brief (ADC_INPUTCTRL offset) Input Control */ +#define ADC_INPUTCTRL_RESETVALUE 0x00000000ul /**< \brief (ADC_INPUTCTRL reset_value) Input Control */ + +#define ADC_INPUTCTRL_MUXPOS_Pos 0 /**< \brief (ADC_INPUTCTRL) Positive Mux Input Selection */ +#define ADC_INPUTCTRL_MUXPOS_Msk (0x1Ful << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS(value) (ADC_INPUTCTRL_MUXPOS_Msk & ((value) << ADC_INPUTCTRL_MUXPOS_Pos)) +#define ADC_INPUTCTRL_MUXPOS_PIN0_Val 0x0ul /**< \brief (ADC_INPUTCTRL) ADC AIN0 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN1_Val 0x1ul /**< \brief (ADC_INPUTCTRL) ADC AIN1 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN2_Val 0x2ul /**< \brief (ADC_INPUTCTRL) ADC AIN2 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN3_Val 0x3ul /**< \brief (ADC_INPUTCTRL) ADC AIN3 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN4_Val 0x4ul /**< \brief (ADC_INPUTCTRL) ADC AIN4 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN5_Val 0x5ul /**< \brief (ADC_INPUTCTRL) ADC AIN5 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN6_Val 0x6ul /**< \brief (ADC_INPUTCTRL) ADC AIN6 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN7_Val 0x7ul /**< \brief (ADC_INPUTCTRL) ADC AIN7 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN8_Val 0x8ul /**< \brief (ADC_INPUTCTRL) ADC AIN8 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN9_Val 0x9ul /**< \brief (ADC_INPUTCTRL) ADC AIN9 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN10_Val 0xAul /**< \brief (ADC_INPUTCTRL) ADC AIN10 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN11_Val 0xBul /**< \brief (ADC_INPUTCTRL) ADC AIN11 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN12_Val 0xCul /**< \brief (ADC_INPUTCTRL) ADC AIN12 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN13_Val 0xDul /**< \brief (ADC_INPUTCTRL) ADC AIN13 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN14_Val 0xEul /**< \brief (ADC_INPUTCTRL) ADC AIN14 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN15_Val 0xFul /**< \brief (ADC_INPUTCTRL) ADC AIN15 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN16_Val 0x10ul /**< \brief (ADC_INPUTCTRL) ADC AIN16 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN17_Val 0x11ul /**< \brief (ADC_INPUTCTRL) ADC AIN17 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN18_Val 0x12ul /**< \brief (ADC_INPUTCTRL) ADC AIN18 Pin */ +#define ADC_INPUTCTRL_MUXPOS_PIN19_Val 0x13ul /**< \brief (ADC_INPUTCTRL) ADC AIN19 Pin */ +#define ADC_INPUTCTRL_MUXPOS_TEMP_Val 0x18ul /**< \brief (ADC_INPUTCTRL) Temperature Reference */ +#define ADC_INPUTCTRL_MUXPOS_BANDGAP_Val 0x19ul /**< \brief (ADC_INPUTCTRL) Bandgap Voltage */ +#define ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC_Val 0x1Aul /**< \brief (ADC_INPUTCTRL) 1/4 Scaled Core Supply */ +#define ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC_Val 0x1Bul /**< \brief (ADC_INPUTCTRL) 1/4 Scaled I/O Supply */ +#define ADC_INPUTCTRL_MUXPOS_DAC_Val 0x1Cul /**< \brief (ADC_INPUTCTRL) DAC Output */ +#define ADC_INPUTCTRL_MUXPOS_PIN0 (ADC_INPUTCTRL_MUXPOS_PIN0_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN1 (ADC_INPUTCTRL_MUXPOS_PIN1_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN2 (ADC_INPUTCTRL_MUXPOS_PIN2_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN3 (ADC_INPUTCTRL_MUXPOS_PIN3_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN4 (ADC_INPUTCTRL_MUXPOS_PIN4_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN5 (ADC_INPUTCTRL_MUXPOS_PIN5_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN6 (ADC_INPUTCTRL_MUXPOS_PIN6_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN7 (ADC_INPUTCTRL_MUXPOS_PIN7_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN8 (ADC_INPUTCTRL_MUXPOS_PIN8_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN9 (ADC_INPUTCTRL_MUXPOS_PIN9_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN10 (ADC_INPUTCTRL_MUXPOS_PIN10_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN11 (ADC_INPUTCTRL_MUXPOS_PIN11_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN12 (ADC_INPUTCTRL_MUXPOS_PIN12_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN13 (ADC_INPUTCTRL_MUXPOS_PIN13_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN14 (ADC_INPUTCTRL_MUXPOS_PIN14_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN15 (ADC_INPUTCTRL_MUXPOS_PIN15_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN16 (ADC_INPUTCTRL_MUXPOS_PIN16_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN17 (ADC_INPUTCTRL_MUXPOS_PIN17_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN18 (ADC_INPUTCTRL_MUXPOS_PIN18_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_PIN19 (ADC_INPUTCTRL_MUXPOS_PIN19_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_TEMP (ADC_INPUTCTRL_MUXPOS_TEMP_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_BANDGAP (ADC_INPUTCTRL_MUXPOS_BANDGAP_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC (ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC (ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXPOS_DAC (ADC_INPUTCTRL_MUXPOS_DAC_Val << ADC_INPUTCTRL_MUXPOS_Pos) +#define ADC_INPUTCTRL_MUXNEG_Pos 8 /**< \brief (ADC_INPUTCTRL) Negative Mux Input Selection */ +#define ADC_INPUTCTRL_MUXNEG_Msk (0x1Ful << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG(value) (ADC_INPUTCTRL_MUXNEG_Msk & ((value) << ADC_INPUTCTRL_MUXNEG_Pos)) +#define ADC_INPUTCTRL_MUXNEG_PIN0_Val 0x0ul /**< \brief (ADC_INPUTCTRL) ADC AIN0 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN1_Val 0x1ul /**< \brief (ADC_INPUTCTRL) ADC AIN1 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN2_Val 0x2ul /**< \brief (ADC_INPUTCTRL) ADC AIN2 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN3_Val 0x3ul /**< \brief (ADC_INPUTCTRL) ADC AIN3 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN4_Val 0x4ul /**< \brief (ADC_INPUTCTRL) ADC AIN4 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN5_Val 0x5ul /**< \brief (ADC_INPUTCTRL) ADC AIN5 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN6_Val 0x6ul /**< \brief (ADC_INPUTCTRL) ADC AIN6 Pin */ +#define ADC_INPUTCTRL_MUXNEG_PIN7_Val 0x7ul /**< \brief (ADC_INPUTCTRL) ADC AIN7 Pin */ +#define ADC_INPUTCTRL_MUXNEG_GND_Val 0x18ul /**< \brief (ADC_INPUTCTRL) Internal Ground */ +#define ADC_INPUTCTRL_MUXNEG_IOGND_Val 0x19ul /**< \brief (ADC_INPUTCTRL) I/O Ground */ +#define ADC_INPUTCTRL_MUXNEG_PIN0 (ADC_INPUTCTRL_MUXNEG_PIN0_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN1 (ADC_INPUTCTRL_MUXNEG_PIN1_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN2 (ADC_INPUTCTRL_MUXNEG_PIN2_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN3 (ADC_INPUTCTRL_MUXNEG_PIN3_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN4 (ADC_INPUTCTRL_MUXNEG_PIN4_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN5 (ADC_INPUTCTRL_MUXNEG_PIN5_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN6 (ADC_INPUTCTRL_MUXNEG_PIN6_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_PIN7 (ADC_INPUTCTRL_MUXNEG_PIN7_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_GND (ADC_INPUTCTRL_MUXNEG_GND_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_MUXNEG_IOGND (ADC_INPUTCTRL_MUXNEG_IOGND_Val << ADC_INPUTCTRL_MUXNEG_Pos) +#define ADC_INPUTCTRL_INPUTSCAN_Pos 16 /**< \brief (ADC_INPUTCTRL) Number of Input Channels Included in Scan */ +#define ADC_INPUTCTRL_INPUTSCAN_Msk (0xFul << ADC_INPUTCTRL_INPUTSCAN_Pos) +#define ADC_INPUTCTRL_INPUTSCAN(value) (ADC_INPUTCTRL_INPUTSCAN_Msk & ((value) << ADC_INPUTCTRL_INPUTSCAN_Pos)) +#define ADC_INPUTCTRL_INPUTOFFSET_Pos 20 /**< \brief (ADC_INPUTCTRL) Positive Mux Setting Offset */ +#define ADC_INPUTCTRL_INPUTOFFSET_Msk (0xFul << ADC_INPUTCTRL_INPUTOFFSET_Pos) +#define ADC_INPUTCTRL_INPUTOFFSET(value) (ADC_INPUTCTRL_INPUTOFFSET_Msk & ((value) << ADC_INPUTCTRL_INPUTOFFSET_Pos)) +#define ADC_INPUTCTRL_GAIN_Pos 24 /**< \brief (ADC_INPUTCTRL) Gain Factor Selection */ +#define ADC_INPUTCTRL_GAIN_Msk (0xFul << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN(value) (ADC_INPUTCTRL_GAIN_Msk & ((value) << ADC_INPUTCTRL_GAIN_Pos)) +#define ADC_INPUTCTRL_GAIN_1X_Val 0x0ul /**< \brief (ADC_INPUTCTRL) 1x */ +#define ADC_INPUTCTRL_GAIN_2X_Val 0x1ul /**< \brief (ADC_INPUTCTRL) 2x */ +#define ADC_INPUTCTRL_GAIN_4X_Val 0x2ul /**< \brief (ADC_INPUTCTRL) 4x */ +#define ADC_INPUTCTRL_GAIN_8X_Val 0x3ul /**< \brief (ADC_INPUTCTRL) 8x */ +#define ADC_INPUTCTRL_GAIN_16X_Val 0x4ul /**< \brief (ADC_INPUTCTRL) 16x */ +#define ADC_INPUTCTRL_GAIN_DIV2_Val 0xFul /**< \brief (ADC_INPUTCTRL) 1/2x */ +#define ADC_INPUTCTRL_GAIN_1X (ADC_INPUTCTRL_GAIN_1X_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN_2X (ADC_INPUTCTRL_GAIN_2X_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN_4X (ADC_INPUTCTRL_GAIN_4X_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN_8X (ADC_INPUTCTRL_GAIN_8X_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN_16X (ADC_INPUTCTRL_GAIN_16X_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_GAIN_DIV2 (ADC_INPUTCTRL_GAIN_DIV2_Val << ADC_INPUTCTRL_GAIN_Pos) +#define ADC_INPUTCTRL_MASK 0x0FFF1F1Ful /**< \brief (ADC_INPUTCTRL) MASK Register */ + +/* -------- ADC_EVCTRL : (ADC Offset: 0x14) (R/W 8) Event Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t STARTEI:1; /*!< bit: 0 Start Conversion Event In */ + uint8_t SYNCEI:1; /*!< bit: 1 Synchronization Event In */ + uint8_t :2; /*!< bit: 2.. 3 Reserved */ + uint8_t RESRDYEO:1; /*!< bit: 4 Result Ready Event Out */ + uint8_t WINMONEO:1; /*!< bit: 5 Window Monitor Event Out */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_EVCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_EVCTRL_OFFSET 0x14 /**< \brief (ADC_EVCTRL offset) Event Control */ +#define ADC_EVCTRL_RESETVALUE 0x00ul /**< \brief (ADC_EVCTRL reset_value) Event Control */ + +#define ADC_EVCTRL_STARTEI_Pos 0 /**< \brief (ADC_EVCTRL) Start Conversion Event In */ +#define ADC_EVCTRL_STARTEI (0x1ul << ADC_EVCTRL_STARTEI_Pos) +#define ADC_EVCTRL_SYNCEI_Pos 1 /**< \brief (ADC_EVCTRL) Synchronization Event In */ +#define ADC_EVCTRL_SYNCEI (0x1ul << ADC_EVCTRL_SYNCEI_Pos) +#define ADC_EVCTRL_RESRDYEO_Pos 4 /**< \brief (ADC_EVCTRL) Result Ready Event Out */ +#define ADC_EVCTRL_RESRDYEO (0x1ul << ADC_EVCTRL_RESRDYEO_Pos) +#define ADC_EVCTRL_WINMONEO_Pos 5 /**< \brief (ADC_EVCTRL) Window Monitor Event Out */ +#define ADC_EVCTRL_WINMONEO (0x1ul << ADC_EVCTRL_WINMONEO_Pos) +#define ADC_EVCTRL_MASK 0x33ul /**< \brief (ADC_EVCTRL) MASK Register */ + +/* -------- ADC_INTENCLR : (ADC Offset: 0x16) (R/W 8) Interrupt Enable Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t RESRDY:1; /*!< bit: 0 Result Ready Interrupt Enable */ + uint8_t OVERRUN:1; /*!< bit: 1 Overrun Interrupt Enable */ + uint8_t WINMON:1; /*!< bit: 2 Window Monitor Interrupt Enable */ + uint8_t SYNCRDY:1; /*!< bit: 3 Synchronization Ready Interrupt Enable */ + uint8_t :4; /*!< bit: 4.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_INTENCLR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_INTENCLR_OFFSET 0x16 /**< \brief (ADC_INTENCLR offset) Interrupt Enable Clear */ +#define ADC_INTENCLR_RESETVALUE 0x00ul /**< \brief (ADC_INTENCLR reset_value) Interrupt Enable Clear */ + +#define ADC_INTENCLR_RESRDY_Pos 0 /**< \brief (ADC_INTENCLR) Result Ready Interrupt Enable */ +#define ADC_INTENCLR_RESRDY (0x1ul << ADC_INTENCLR_RESRDY_Pos) +#define ADC_INTENCLR_OVERRUN_Pos 1 /**< \brief (ADC_INTENCLR) Overrun Interrupt Enable */ +#define ADC_INTENCLR_OVERRUN (0x1ul << ADC_INTENCLR_OVERRUN_Pos) +#define ADC_INTENCLR_WINMON_Pos 2 /**< \brief (ADC_INTENCLR) Window Monitor Interrupt Enable */ +#define ADC_INTENCLR_WINMON (0x1ul << ADC_INTENCLR_WINMON_Pos) +#define ADC_INTENCLR_SYNCRDY_Pos 3 /**< \brief (ADC_INTENCLR) Synchronization Ready Interrupt Enable */ +#define ADC_INTENCLR_SYNCRDY (0x1ul << ADC_INTENCLR_SYNCRDY_Pos) +#define ADC_INTENCLR_MASK 0x0Ful /**< \brief (ADC_INTENCLR) MASK Register */ + +/* -------- ADC_INTENSET : (ADC Offset: 0x17) (R/W 8) Interrupt Enable Set -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t RESRDY:1; /*!< bit: 0 Result Ready Interrupt Enable */ + uint8_t OVERRUN:1; /*!< bit: 1 Overrun Interrupt Enable */ + uint8_t WINMON:1; /*!< bit: 2 Window Monitor Interrupt Enable */ + uint8_t SYNCRDY:1; /*!< bit: 3 Synchronization Ready Interrupt Enable */ + uint8_t :4; /*!< bit: 4.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_INTENSET_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_INTENSET_OFFSET 0x17 /**< \brief (ADC_INTENSET offset) Interrupt Enable Set */ +#define ADC_INTENSET_RESETVALUE 0x00ul /**< \brief (ADC_INTENSET reset_value) Interrupt Enable Set */ + +#define ADC_INTENSET_RESRDY_Pos 0 /**< \brief (ADC_INTENSET) Result Ready Interrupt Enable */ +#define ADC_INTENSET_RESRDY (0x1ul << ADC_INTENSET_RESRDY_Pos) +#define ADC_INTENSET_OVERRUN_Pos 1 /**< \brief (ADC_INTENSET) Overrun Interrupt Enable */ +#define ADC_INTENSET_OVERRUN (0x1ul << ADC_INTENSET_OVERRUN_Pos) +#define ADC_INTENSET_WINMON_Pos 2 /**< \brief (ADC_INTENSET) Window Monitor Interrupt Enable */ +#define ADC_INTENSET_WINMON (0x1ul << ADC_INTENSET_WINMON_Pos) +#define ADC_INTENSET_SYNCRDY_Pos 3 /**< \brief (ADC_INTENSET) Synchronization Ready Interrupt Enable */ +#define ADC_INTENSET_SYNCRDY (0x1ul << ADC_INTENSET_SYNCRDY_Pos) +#define ADC_INTENSET_MASK 0x0Ful /**< \brief (ADC_INTENSET) MASK Register */ + +/* -------- ADC_INTFLAG : (ADC Offset: 0x18) (R/W 8) Interrupt Flag Status and Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { // __I to avoid read-modify-write on write-to-clear register + struct { + __I uint8_t RESRDY:1; /*!< bit: 0 Result Ready */ + __I uint8_t OVERRUN:1; /*!< bit: 1 Overrun */ + __I uint8_t WINMON:1; /*!< bit: 2 Window Monitor */ + __I uint8_t SYNCRDY:1; /*!< bit: 3 Synchronization Ready */ + __I uint8_t :4; /*!< bit: 4.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_INTFLAG_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_INTFLAG_OFFSET 0x18 /**< \brief (ADC_INTFLAG offset) Interrupt Flag Status and Clear */ +#define ADC_INTFLAG_RESETVALUE 0x00ul /**< \brief (ADC_INTFLAG reset_value) Interrupt Flag Status and Clear */ + +#define ADC_INTFLAG_RESRDY_Pos 0 /**< \brief (ADC_INTFLAG) Result Ready */ +#define ADC_INTFLAG_RESRDY (0x1ul << ADC_INTFLAG_RESRDY_Pos) +#define ADC_INTFLAG_OVERRUN_Pos 1 /**< \brief (ADC_INTFLAG) Overrun */ +#define ADC_INTFLAG_OVERRUN (0x1ul << ADC_INTFLAG_OVERRUN_Pos) +#define ADC_INTFLAG_WINMON_Pos 2 /**< \brief (ADC_INTFLAG) Window Monitor */ +#define ADC_INTFLAG_WINMON (0x1ul << ADC_INTFLAG_WINMON_Pos) +#define ADC_INTFLAG_SYNCRDY_Pos 3 /**< \brief (ADC_INTFLAG) Synchronization Ready */ +#define ADC_INTFLAG_SYNCRDY (0x1ul << ADC_INTFLAG_SYNCRDY_Pos) +#define ADC_INTFLAG_MASK 0x0Ful /**< \brief (ADC_INTFLAG) MASK Register */ + +/* -------- ADC_STATUS : (ADC Offset: 0x19) (R/ 8) Status -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t :7; /*!< bit: 0.. 6 Reserved */ + uint8_t SYNCBUSY:1; /*!< bit: 7 Synchronization Busy */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_STATUS_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_STATUS_OFFSET 0x19 /**< \brief (ADC_STATUS offset) Status */ +#define ADC_STATUS_RESETVALUE 0x00ul /**< \brief (ADC_STATUS reset_value) Status */ + +#define ADC_STATUS_SYNCBUSY_Pos 7 /**< \brief (ADC_STATUS) Synchronization Busy */ +#define ADC_STATUS_SYNCBUSY (0x1ul << ADC_STATUS_SYNCBUSY_Pos) +#define ADC_STATUS_MASK 0x80ul /**< \brief (ADC_STATUS) MASK Register */ + +/* -------- ADC_RESULT : (ADC Offset: 0x1A) (R/ 16) Result -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t RESULT:16; /*!< bit: 0..15 Result Conversion Value */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_RESULT_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_RESULT_OFFSET 0x1A /**< \brief (ADC_RESULT offset) Result */ +#define ADC_RESULT_RESETVALUE 0x0000ul /**< \brief (ADC_RESULT reset_value) Result */ + +#define ADC_RESULT_RESULT_Pos 0 /**< \brief (ADC_RESULT) Result Conversion Value */ +#define ADC_RESULT_RESULT_Msk (0xFFFFul << ADC_RESULT_RESULT_Pos) +#define ADC_RESULT_RESULT(value) (ADC_RESULT_RESULT_Msk & ((value) << ADC_RESULT_RESULT_Pos)) +#define ADC_RESULT_MASK 0xFFFFul /**< \brief (ADC_RESULT) MASK Register */ + +/* -------- ADC_WINLT : (ADC Offset: 0x1C) (R/W 16) Window Monitor Lower Threshold -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t WINLT:16; /*!< bit: 0..15 Window Lower Threshold */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_WINLT_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_WINLT_OFFSET 0x1C /**< \brief (ADC_WINLT offset) Window Monitor Lower Threshold */ +#define ADC_WINLT_RESETVALUE 0x0000ul /**< \brief (ADC_WINLT reset_value) Window Monitor Lower Threshold */ + +#define ADC_WINLT_WINLT_Pos 0 /**< \brief (ADC_WINLT) Window Lower Threshold */ +#define ADC_WINLT_WINLT_Msk (0xFFFFul << ADC_WINLT_WINLT_Pos) +#define ADC_WINLT_WINLT(value) (ADC_WINLT_WINLT_Msk & ((value) << ADC_WINLT_WINLT_Pos)) +#define ADC_WINLT_MASK 0xFFFFul /**< \brief (ADC_WINLT) MASK Register */ + +/* -------- ADC_WINUT : (ADC Offset: 0x20) (R/W 16) Window Monitor Upper Threshold -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t WINUT:16; /*!< bit: 0..15 Window Upper Threshold */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_WINUT_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_WINUT_OFFSET 0x20 /**< \brief (ADC_WINUT offset) Window Monitor Upper Threshold */ +#define ADC_WINUT_RESETVALUE 0x0000ul /**< \brief (ADC_WINUT reset_value) Window Monitor Upper Threshold */ + +#define ADC_WINUT_WINUT_Pos 0 /**< \brief (ADC_WINUT) Window Upper Threshold */ +#define ADC_WINUT_WINUT_Msk (0xFFFFul << ADC_WINUT_WINUT_Pos) +#define ADC_WINUT_WINUT(value) (ADC_WINUT_WINUT_Msk & ((value) << ADC_WINUT_WINUT_Pos)) +#define ADC_WINUT_MASK 0xFFFFul /**< \brief (ADC_WINUT) MASK Register */ + +/* -------- ADC_GAINCORR : (ADC Offset: 0x24) (R/W 16) Gain Correction -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t GAINCORR:12; /*!< bit: 0..11 Gain Correction Value */ + uint16_t :4; /*!< bit: 12..15 Reserved */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_GAINCORR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_GAINCORR_OFFSET 0x24 /**< \brief (ADC_GAINCORR offset) Gain Correction */ +#define ADC_GAINCORR_RESETVALUE 0x0000ul /**< \brief (ADC_GAINCORR reset_value) Gain Correction */ + +#define ADC_GAINCORR_GAINCORR_Pos 0 /**< \brief (ADC_GAINCORR) Gain Correction Value */ +#define ADC_GAINCORR_GAINCORR_Msk (0xFFFul << ADC_GAINCORR_GAINCORR_Pos) +#define ADC_GAINCORR_GAINCORR(value) (ADC_GAINCORR_GAINCORR_Msk & ((value) << ADC_GAINCORR_GAINCORR_Pos)) +#define ADC_GAINCORR_MASK 0x0FFFul /**< \brief (ADC_GAINCORR) MASK Register */ + +/* -------- ADC_OFFSETCORR : (ADC Offset: 0x26) (R/W 16) Offset Correction -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t OFFSETCORR:12; /*!< bit: 0..11 Offset Correction Value */ + uint16_t :4; /*!< bit: 12..15 Reserved */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_OFFSETCORR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_OFFSETCORR_OFFSET 0x26 /**< \brief (ADC_OFFSETCORR offset) Offset Correction */ +#define ADC_OFFSETCORR_RESETVALUE 0x0000ul /**< \brief (ADC_OFFSETCORR reset_value) Offset Correction */ + +#define ADC_OFFSETCORR_OFFSETCORR_Pos 0 /**< \brief (ADC_OFFSETCORR) Offset Correction Value */ +#define ADC_OFFSETCORR_OFFSETCORR_Msk (0xFFFul << ADC_OFFSETCORR_OFFSETCORR_Pos) +#define ADC_OFFSETCORR_OFFSETCORR(value) (ADC_OFFSETCORR_OFFSETCORR_Msk & ((value) << ADC_OFFSETCORR_OFFSETCORR_Pos)) +#define ADC_OFFSETCORR_MASK 0x0FFFul /**< \brief (ADC_OFFSETCORR) MASK Register */ + +/* -------- ADC_CALIB : (ADC Offset: 0x28) (R/W 16) Calibration -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t LINEARITY_CAL:8; /*!< bit: 0.. 7 Linearity Calibration Value */ + uint16_t BIAS_CAL:3; /*!< bit: 8..10 Bias Calibration Value */ + uint16_t :5; /*!< bit: 11..15 Reserved */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} ADC_CALIB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_CALIB_OFFSET 0x28 /**< \brief (ADC_CALIB offset) Calibration */ +#define ADC_CALIB_RESETVALUE 0x0000ul /**< \brief (ADC_CALIB reset_value) Calibration */ + +#define ADC_CALIB_LINEARITY_CAL_Pos 0 /**< \brief (ADC_CALIB) Linearity Calibration Value */ +#define ADC_CALIB_LINEARITY_CAL_Msk (0xFFul << ADC_CALIB_LINEARITY_CAL_Pos) +#define ADC_CALIB_LINEARITY_CAL(value) (ADC_CALIB_LINEARITY_CAL_Msk & ((value) << ADC_CALIB_LINEARITY_CAL_Pos)) +#define ADC_CALIB_BIAS_CAL_Pos 8 /**< \brief (ADC_CALIB) Bias Calibration Value */ +#define ADC_CALIB_BIAS_CAL_Msk (0x7ul << ADC_CALIB_BIAS_CAL_Pos) +#define ADC_CALIB_BIAS_CAL(value) (ADC_CALIB_BIAS_CAL_Msk & ((value) << ADC_CALIB_BIAS_CAL_Pos)) +#define ADC_CALIB_MASK 0x07FFul /**< \brief (ADC_CALIB) MASK Register */ + +/* -------- ADC_DBGCTRL : (ADC Offset: 0x2A) (R/W 8) Debug Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t DBGRUN:1; /*!< bit: 0 Debug Run */ + uint8_t :7; /*!< bit: 1.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} ADC_DBGCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define ADC_DBGCTRL_OFFSET 0x2A /**< \brief (ADC_DBGCTRL offset) Debug Control */ +#define ADC_DBGCTRL_RESETVALUE 0x00ul /**< \brief (ADC_DBGCTRL reset_value) Debug Control */ + +#define ADC_DBGCTRL_DBGRUN_Pos 0 /**< \brief (ADC_DBGCTRL) Debug Run */ +#define ADC_DBGCTRL_DBGRUN (0x1ul << ADC_DBGCTRL_DBGRUN_Pos) +#define ADC_DBGCTRL_MASK 0x01ul /**< \brief (ADC_DBGCTRL) MASK Register */ + +/** \brief ADC hardware registers */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef struct { + __IO ADC_CTRLA_Type CTRLA; /**< \brief Offset: 0x00 (R/W 8) Control A */ + __IO ADC_REFCTRL_Type REFCTRL; /**< \brief Offset: 0x01 (R/W 8) Reference Control */ + __IO ADC_AVGCTRL_Type AVGCTRL; /**< \brief Offset: 0x02 (R/W 8) Average Control */ + __IO ADC_SAMPCTRL_Type SAMPCTRL; /**< \brief Offset: 0x03 (R/W 8) Sampling Time Control */ + __IO ADC_CTRLB_Type CTRLB; /**< \brief Offset: 0x04 (R/W 16) Control B */ + RoReg8 Reserved1[0x2]; + __IO ADC_WINCTRL_Type WINCTRL; /**< \brief Offset: 0x08 (R/W 8) Window Monitor Control */ + RoReg8 Reserved2[0x3]; + __IO ADC_SWTRIG_Type SWTRIG; /**< \brief Offset: 0x0C (R/W 8) Software Trigger */ + RoReg8 Reserved3[0x3]; + __IO ADC_INPUTCTRL_Type INPUTCTRL; /**< \brief Offset: 0x10 (R/W 32) Input Control */ + __IO ADC_EVCTRL_Type EVCTRL; /**< \brief Offset: 0x14 (R/W 8) Event Control */ + RoReg8 Reserved4[0x1]; + __IO ADC_INTENCLR_Type INTENCLR; /**< \brief Offset: 0x16 (R/W 8) Interrupt Enable Clear */ + __IO ADC_INTENSET_Type INTENSET; /**< \brief Offset: 0x17 (R/W 8) Interrupt Enable Set */ + __IO ADC_INTFLAG_Type INTFLAG; /**< \brief Offset: 0x18 (R/W 8) Interrupt Flag Status and Clear */ + __I ADC_STATUS_Type STATUS; /**< \brief Offset: 0x19 (R/ 8) Status */ + __I ADC_RESULT_Type RESULT; /**< \brief Offset: 0x1A (R/ 16) Result */ + __IO ADC_WINLT_Type WINLT; /**< \brief Offset: 0x1C (R/W 16) Window Monitor Lower Threshold */ + RoReg8 Reserved5[0x2]; + __IO ADC_WINUT_Type WINUT; /**< \brief Offset: 0x20 (R/W 16) Window Monitor Upper Threshold */ + RoReg8 Reserved6[0x2]; + __IO ADC_GAINCORR_Type GAINCORR; /**< \brief Offset: 0x24 (R/W 16) Gain Correction */ + __IO ADC_OFFSETCORR_Type OFFSETCORR; /**< \brief Offset: 0x26 (R/W 16) Offset Correction */ + __IO ADC_CALIB_Type CALIB; /**< \brief Offset: 0x28 (R/W 16) Calibration */ + __IO ADC_DBGCTRL_Type DBGCTRL; /**< \brief Offset: 0x2A (R/W 8) Debug Control */ +} Adc; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +/*@}*/ + +#endif /* _SAMD21_ADC_COMPONENT_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h new file mode 100644 index 0000000..a9aff66 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h @@ -0,0 +1,276 @@ +/** + * \file + * + * \brief Component description for DAC + * + * Copyright (c) 2016-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21_DAC_COMPONENT_ +#define _SAMD21_DAC_COMPONENT_ + +/* ========================================================================== */ +/** SOFTWARE API DEFINITION FOR DAC */ +/* ========================================================================== */ +/** \addtogroup SAMD21_DAC Digital Analog Converter */ +/*@{*/ + +#define DAC_U2214 +#define REV_DAC 0x110 + +/* -------- DAC_CTRLA : (DAC Offset: 0x0) (R/W 8) Control A -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SWRST:1; /*!< bit: 0 Software Reset */ + uint8_t ENABLE:1; /*!< bit: 1 Enable */ + uint8_t RUNSTDBY:1; /*!< bit: 2 Run in Standby */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_CTRLA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_CTRLA_OFFSET 0x0 /**< \brief (DAC_CTRLA offset) Control A */ +#define DAC_CTRLA_RESETVALUE 0x00ul /**< \brief (DAC_CTRLA reset_value) Control A */ + +#define DAC_CTRLA_SWRST_Pos 0 /**< \brief (DAC_CTRLA) Software Reset */ +#define DAC_CTRLA_SWRST (0x1ul << DAC_CTRLA_SWRST_Pos) +#define DAC_CTRLA_ENABLE_Pos 1 /**< \brief (DAC_CTRLA) Enable */ +#define DAC_CTRLA_ENABLE (0x1ul << DAC_CTRLA_ENABLE_Pos) +#define DAC_CTRLA_RUNSTDBY_Pos 2 /**< \brief (DAC_CTRLA) Run in Standby */ +#define DAC_CTRLA_RUNSTDBY (0x1ul << DAC_CTRLA_RUNSTDBY_Pos) +#define DAC_CTRLA_MASK 0x07ul /**< \brief (DAC_CTRLA) MASK Register */ + +/* -------- DAC_CTRLB : (DAC Offset: 0x1) (R/W 8) Control B -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t EOEN:1; /*!< bit: 0 External Output Enable */ + uint8_t IOEN:1; /*!< bit: 1 Internal Output Enable */ + uint8_t LEFTADJ:1; /*!< bit: 2 Left Adjusted Data */ + uint8_t VPD:1; /*!< bit: 3 Voltage Pump Disable */ + uint8_t BDWP:1; /*!< bit: 4 Bypass DATABUF Write Protection */ + uint8_t :1; /*!< bit: 5 Reserved */ + uint8_t REFSEL:2; /*!< bit: 6.. 7 Reference Selection */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_CTRLB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_CTRLB_OFFSET 0x1 /**< \brief (DAC_CTRLB offset) Control B */ +#define DAC_CTRLB_RESETVALUE 0x00ul /**< \brief (DAC_CTRLB reset_value) Control B */ + +#define DAC_CTRLB_EOEN_Pos 0 /**< \brief (DAC_CTRLB) External Output Enable */ +#define DAC_CTRLB_EOEN (0x1ul << DAC_CTRLB_EOEN_Pos) +#define DAC_CTRLB_IOEN_Pos 1 /**< \brief (DAC_CTRLB) Internal Output Enable */ +#define DAC_CTRLB_IOEN (0x1ul << DAC_CTRLB_IOEN_Pos) +#define DAC_CTRLB_LEFTADJ_Pos 2 /**< \brief (DAC_CTRLB) Left Adjusted Data */ +#define DAC_CTRLB_LEFTADJ (0x1ul << DAC_CTRLB_LEFTADJ_Pos) +#define DAC_CTRLB_VPD_Pos 3 /**< \brief (DAC_CTRLB) Voltage Pump Disable */ +#define DAC_CTRLB_VPD (0x1ul << DAC_CTRLB_VPD_Pos) +#define DAC_CTRLB_BDWP_Pos 4 /**< \brief (DAC_CTRLB) Bypass DATABUF Write Protection */ +#define DAC_CTRLB_BDWP (0x1ul << DAC_CTRLB_BDWP_Pos) +#define DAC_CTRLB_REFSEL_Pos 6 /**< \brief (DAC_CTRLB) Reference Selection */ +#define DAC_CTRLB_REFSEL_Msk (0x3ul << DAC_CTRLB_REFSEL_Pos) +#define DAC_CTRLB_REFSEL(value) (DAC_CTRLB_REFSEL_Msk & ((value) << DAC_CTRLB_REFSEL_Pos)) +#define DAC_CTRLB_REFSEL_INT1V_Val 0x0ul /**< \brief (DAC_CTRLB) Internal 1.0V reference */ +#define DAC_CTRLB_REFSEL_AVCC_Val 0x1ul /**< \brief (DAC_CTRLB) AVCC */ +#define DAC_CTRLB_REFSEL_VREFP_Val 0x2ul /**< \brief (DAC_CTRLB) External reference */ +#define DAC_CTRLB_REFSEL_INT1V (DAC_CTRLB_REFSEL_INT1V_Val << DAC_CTRLB_REFSEL_Pos) +#define DAC_CTRLB_REFSEL_AVCC (DAC_CTRLB_REFSEL_AVCC_Val << DAC_CTRLB_REFSEL_Pos) +#define DAC_CTRLB_REFSEL_VREFP (DAC_CTRLB_REFSEL_VREFP_Val << DAC_CTRLB_REFSEL_Pos) +#define DAC_CTRLB_MASK 0xDFul /**< \brief (DAC_CTRLB) MASK Register */ + +/* -------- DAC_EVCTRL : (DAC Offset: 0x2) (R/W 8) Event Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t STARTEI:1; /*!< bit: 0 Start Conversion Event Input */ + uint8_t EMPTYEO:1; /*!< bit: 1 Data Buffer Empty Event Output */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_EVCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_EVCTRL_OFFSET 0x2 /**< \brief (DAC_EVCTRL offset) Event Control */ +#define DAC_EVCTRL_RESETVALUE 0x00ul /**< \brief (DAC_EVCTRL reset_value) Event Control */ + +#define DAC_EVCTRL_STARTEI_Pos 0 /**< \brief (DAC_EVCTRL) Start Conversion Event Input */ +#define DAC_EVCTRL_STARTEI (0x1ul << DAC_EVCTRL_STARTEI_Pos) +#define DAC_EVCTRL_EMPTYEO_Pos 1 /**< \brief (DAC_EVCTRL) Data Buffer Empty Event Output */ +#define DAC_EVCTRL_EMPTYEO (0x1ul << DAC_EVCTRL_EMPTYEO_Pos) +#define DAC_EVCTRL_MASK 0x03ul /**< \brief (DAC_EVCTRL) MASK Register */ + +/* -------- DAC_INTENCLR : (DAC Offset: 0x4) (R/W 8) Interrupt Enable Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t UNDERRUN:1; /*!< bit: 0 Underrun Interrupt Enable */ + uint8_t EMPTY:1; /*!< bit: 1 Data Buffer Empty Interrupt Enable */ + uint8_t SYNCRDY:1; /*!< bit: 2 Synchronization Ready Interrupt Enable */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_INTENCLR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_INTENCLR_OFFSET 0x4 /**< \brief (DAC_INTENCLR offset) Interrupt Enable Clear */ +#define DAC_INTENCLR_RESETVALUE 0x00ul /**< \brief (DAC_INTENCLR reset_value) Interrupt Enable Clear */ + +#define DAC_INTENCLR_UNDERRUN_Pos 0 /**< \brief (DAC_INTENCLR) Underrun Interrupt Enable */ +#define DAC_INTENCLR_UNDERRUN (0x1ul << DAC_INTENCLR_UNDERRUN_Pos) +#define DAC_INTENCLR_EMPTY_Pos 1 /**< \brief (DAC_INTENCLR) Data Buffer Empty Interrupt Enable */ +#define DAC_INTENCLR_EMPTY (0x1ul << DAC_INTENCLR_EMPTY_Pos) +#define DAC_INTENCLR_SYNCRDY_Pos 2 /**< \brief (DAC_INTENCLR) Synchronization Ready Interrupt Enable */ +#define DAC_INTENCLR_SYNCRDY (0x1ul << DAC_INTENCLR_SYNCRDY_Pos) +#define DAC_INTENCLR_MASK 0x07ul /**< \brief (DAC_INTENCLR) MASK Register */ + +/* -------- DAC_INTENSET : (DAC Offset: 0x5) (R/W 8) Interrupt Enable Set -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t UNDERRUN:1; /*!< bit: 0 Underrun Interrupt Enable */ + uint8_t EMPTY:1; /*!< bit: 1 Data Buffer Empty Interrupt Enable */ + uint8_t SYNCRDY:1; /*!< bit: 2 Synchronization Ready Interrupt Enable */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_INTENSET_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_INTENSET_OFFSET 0x5 /**< \brief (DAC_INTENSET offset) Interrupt Enable Set */ +#define DAC_INTENSET_RESETVALUE 0x00ul /**< \brief (DAC_INTENSET reset_value) Interrupt Enable Set */ + +#define DAC_INTENSET_UNDERRUN_Pos 0 /**< \brief (DAC_INTENSET) Underrun Interrupt Enable */ +#define DAC_INTENSET_UNDERRUN (0x1ul << DAC_INTENSET_UNDERRUN_Pos) +#define DAC_INTENSET_EMPTY_Pos 1 /**< \brief (DAC_INTENSET) Data Buffer Empty Interrupt Enable */ +#define DAC_INTENSET_EMPTY (0x1ul << DAC_INTENSET_EMPTY_Pos) +#define DAC_INTENSET_SYNCRDY_Pos 2 /**< \brief (DAC_INTENSET) Synchronization Ready Interrupt Enable */ +#define DAC_INTENSET_SYNCRDY (0x1ul << DAC_INTENSET_SYNCRDY_Pos) +#define DAC_INTENSET_MASK 0x07ul /**< \brief (DAC_INTENSET) MASK Register */ + +/* -------- DAC_INTFLAG : (DAC Offset: 0x6) (R/W 8) Interrupt Flag Status and Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { // __I to avoid read-modify-write on write-to-clear register + struct { + __I uint8_t UNDERRUN:1; /*!< bit: 0 Underrun */ + __I uint8_t EMPTY:1; /*!< bit: 1 Data Buffer Empty */ + __I uint8_t SYNCRDY:1; /*!< bit: 2 Synchronization Ready */ + __I uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_INTFLAG_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_INTFLAG_OFFSET 0x6 /**< \brief (DAC_INTFLAG offset) Interrupt Flag Status and Clear */ +#define DAC_INTFLAG_RESETVALUE 0x00ul /**< \brief (DAC_INTFLAG reset_value) Interrupt Flag Status and Clear */ + +#define DAC_INTFLAG_UNDERRUN_Pos 0 /**< \brief (DAC_INTFLAG) Underrun */ +#define DAC_INTFLAG_UNDERRUN (0x1ul << DAC_INTFLAG_UNDERRUN_Pos) +#define DAC_INTFLAG_EMPTY_Pos 1 /**< \brief (DAC_INTFLAG) Data Buffer Empty */ +#define DAC_INTFLAG_EMPTY (0x1ul << DAC_INTFLAG_EMPTY_Pos) +#define DAC_INTFLAG_SYNCRDY_Pos 2 /**< \brief (DAC_INTFLAG) Synchronization Ready */ +#define DAC_INTFLAG_SYNCRDY (0x1ul << DAC_INTFLAG_SYNCRDY_Pos) +#define DAC_INTFLAG_MASK 0x07ul /**< \brief (DAC_INTFLAG) MASK Register */ + +/* -------- DAC_STATUS : (DAC Offset: 0x7) (R/ 8) Status -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t :7; /*!< bit: 0.. 6 Reserved */ + uint8_t SYNCBUSY:1; /*!< bit: 7 Synchronization Busy Status */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DAC_STATUS_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_STATUS_OFFSET 0x7 /**< \brief (DAC_STATUS offset) Status */ +#define DAC_STATUS_RESETVALUE 0x00ul /**< \brief (DAC_STATUS reset_value) Status */ + +#define DAC_STATUS_SYNCBUSY_Pos 7 /**< \brief (DAC_STATUS) Synchronization Busy Status */ +#define DAC_STATUS_SYNCBUSY (0x1ul << DAC_STATUS_SYNCBUSY_Pos) +#define DAC_STATUS_MASK 0x80ul /**< \brief (DAC_STATUS) MASK Register */ + +/* -------- DAC_DATA : (DAC Offset: 0x8) (R/W 16) Data -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t DATA:16; /*!< bit: 0..15 Data value to be converted */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} DAC_DATA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_DATA_OFFSET 0x8 /**< \brief (DAC_DATA offset) Data */ +#define DAC_DATA_RESETVALUE 0x0000ul /**< \brief (DAC_DATA reset_value) Data */ + +#define DAC_DATA_DATA_Pos 0 /**< \brief (DAC_DATA) Data value to be converted */ +#define DAC_DATA_DATA_Msk (0xFFFFul << DAC_DATA_DATA_Pos) +#define DAC_DATA_DATA(value) (DAC_DATA_DATA_Msk & ((value) << DAC_DATA_DATA_Pos)) +#define DAC_DATA_MASK 0xFFFFul /**< \brief (DAC_DATA) MASK Register */ + +/* -------- DAC_DATABUF : (DAC Offset: 0xC) (R/W 16) Data Buffer -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t DATABUF:16; /*!< bit: 0..15 Data Buffer */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} DAC_DATABUF_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DAC_DATABUF_OFFSET 0xC /**< \brief (DAC_DATABUF offset) Data Buffer */ +#define DAC_DATABUF_RESETVALUE 0x0000ul /**< \brief (DAC_DATABUF reset_value) Data Buffer */ + +#define DAC_DATABUF_DATABUF_Pos 0 /**< \brief (DAC_DATABUF) Data Buffer */ +#define DAC_DATABUF_DATABUF_Msk (0xFFFFul << DAC_DATABUF_DATABUF_Pos) +#define DAC_DATABUF_DATABUF(value) (DAC_DATABUF_DATABUF_Msk & ((value) << DAC_DATABUF_DATABUF_Pos)) +#define DAC_DATABUF_MASK 0xFFFFul /**< \brief (DAC_DATABUF) MASK Register */ + +/** \brief DAC hardware registers */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef struct { + __IO DAC_CTRLA_Type CTRLA; /**< \brief Offset: 0x0 (R/W 8) Control A */ + __IO DAC_CTRLB_Type CTRLB; /**< \brief Offset: 0x1 (R/W 8) Control B */ + __IO DAC_EVCTRL_Type EVCTRL; /**< \brief Offset: 0x2 (R/W 8) Event Control */ + RoReg8 Reserved1[0x1]; + __IO DAC_INTENCLR_Type INTENCLR; /**< \brief Offset: 0x4 (R/W 8) Interrupt Enable Clear */ + __IO DAC_INTENSET_Type INTENSET; /**< \brief Offset: 0x5 (R/W 8) Interrupt Enable Set */ + __IO DAC_INTFLAG_Type INTFLAG; /**< \brief Offset: 0x6 (R/W 8) Interrupt Flag Status and Clear */ + __I DAC_STATUS_Type STATUS; /**< \brief Offset: 0x7 (R/ 8) Status */ + __IO DAC_DATA_Type DATA; /**< \brief Offset: 0x8 (R/W 16) Data */ + RoReg8 Reserved2[0x2]; + __IO DAC_DATABUF_Type DATABUF; /**< \brief Offset: 0xC (R/W 16) Data Buffer */ +} Dac; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +/*@}*/ + +#endif /* _SAMD21_DAC_COMPONENT_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h new file mode 100644 index 0000000..4a9f8e9 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h @@ -0,0 +1,1077 @@ +/** + * \file + * + * \brief Component description for DMAC + * + * Copyright (c) 2016-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21_DMAC_COMPONENT_ +#define _SAMD21_DMAC_COMPONENT_ + +/* ========================================================================== */ +/** SOFTWARE API DEFINITION FOR DMAC */ +/* ========================================================================== */ +/** \addtogroup SAMD21_DMAC Direct Memory Access Controller */ +/*@{*/ + +#define DMAC_U2223 +#define REV_DMAC 0x110 + +/* -------- DMAC_CTRL : (DMAC Offset: 0x00) (R/W 16) Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t SWRST:1; /*!< bit: 0 Software Reset */ + uint16_t DMAENABLE:1; /*!< bit: 1 DMA Enable */ + uint16_t CRCENABLE:1; /*!< bit: 2 CRC Enable */ + uint16_t :5; /*!< bit: 3.. 7 Reserved */ + uint16_t LVLEN0:1; /*!< bit: 8 Priority Level 0 Enable */ + uint16_t LVLEN1:1; /*!< bit: 9 Priority Level 1 Enable */ + uint16_t LVLEN2:1; /*!< bit: 10 Priority Level 2 Enable */ + uint16_t LVLEN3:1; /*!< bit: 11 Priority Level 3 Enable */ + uint16_t :4; /*!< bit: 12..15 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint16_t :8; /*!< bit: 0.. 7 Reserved */ + uint16_t LVLEN:4; /*!< bit: 8..11 Priority Level x Enable */ + uint16_t :4; /*!< bit: 12..15 Reserved */ + } vec; /*!< Structure used for vec access */ + uint16_t reg; /*!< Type used for register access */ +} DMAC_CTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CTRL_OFFSET 0x00 /**< \brief (DMAC_CTRL offset) Control */ +#define DMAC_CTRL_RESETVALUE 0x0000ul /**< \brief (DMAC_CTRL reset_value) Control */ + +#define DMAC_CTRL_SWRST_Pos 0 /**< \brief (DMAC_CTRL) Software Reset */ +#define DMAC_CTRL_SWRST (0x1ul << DMAC_CTRL_SWRST_Pos) +#define DMAC_CTRL_DMAENABLE_Pos 1 /**< \brief (DMAC_CTRL) DMA Enable */ +#define DMAC_CTRL_DMAENABLE (0x1ul << DMAC_CTRL_DMAENABLE_Pos) +#define DMAC_CTRL_CRCENABLE_Pos 2 /**< \brief (DMAC_CTRL) CRC Enable */ +#define DMAC_CTRL_CRCENABLE (0x1ul << DMAC_CTRL_CRCENABLE_Pos) +#define DMAC_CTRL_LVLEN0_Pos 8 /**< \brief (DMAC_CTRL) Priority Level 0 Enable */ +#define DMAC_CTRL_LVLEN0 (1 << DMAC_CTRL_LVLEN0_Pos) +#define DMAC_CTRL_LVLEN1_Pos 9 /**< \brief (DMAC_CTRL) Priority Level 1 Enable */ +#define DMAC_CTRL_LVLEN1 (1 << DMAC_CTRL_LVLEN1_Pos) +#define DMAC_CTRL_LVLEN2_Pos 10 /**< \brief (DMAC_CTRL) Priority Level 2 Enable */ +#define DMAC_CTRL_LVLEN2 (1 << DMAC_CTRL_LVLEN2_Pos) +#define DMAC_CTRL_LVLEN3_Pos 11 /**< \brief (DMAC_CTRL) Priority Level 3 Enable */ +#define DMAC_CTRL_LVLEN3 (1 << DMAC_CTRL_LVLEN3_Pos) +#define DMAC_CTRL_LVLEN_Pos 8 /**< \brief (DMAC_CTRL) Priority Level x Enable */ +#define DMAC_CTRL_LVLEN_Msk (0xFul << DMAC_CTRL_LVLEN_Pos) +#define DMAC_CTRL_LVLEN(value) (DMAC_CTRL_LVLEN_Msk & ((value) << DMAC_CTRL_LVLEN_Pos)) +#define DMAC_CTRL_MASK 0x0F07ul /**< \brief (DMAC_CTRL) MASK Register */ + +/* -------- DMAC_CRCCTRL : (DMAC Offset: 0x02) (R/W 16) CRC Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t CRCBEATSIZE:2; /*!< bit: 0.. 1 CRC Beat Size */ + uint16_t CRCPOLY:2; /*!< bit: 2.. 3 CRC Polynomial Type */ + uint16_t :4; /*!< bit: 4.. 7 Reserved */ + uint16_t CRCSRC:6; /*!< bit: 8..13 CRC Input Source */ + uint16_t :2; /*!< bit: 14..15 Reserved */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} DMAC_CRCCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CRCCTRL_OFFSET 0x02 /**< \brief (DMAC_CRCCTRL offset) CRC Control */ +#define DMAC_CRCCTRL_RESETVALUE 0x0000ul /**< \brief (DMAC_CRCCTRL reset_value) CRC Control */ + +#define DMAC_CRCCTRL_CRCBEATSIZE_Pos 0 /**< \brief (DMAC_CRCCTRL) CRC Beat Size */ +#define DMAC_CRCCTRL_CRCBEATSIZE_Msk (0x3ul << DMAC_CRCCTRL_CRCBEATSIZE_Pos) +#define DMAC_CRCCTRL_CRCBEATSIZE(value) (DMAC_CRCCTRL_CRCBEATSIZE_Msk & ((value) << DMAC_CRCCTRL_CRCBEATSIZE_Pos)) +#define DMAC_CRCCTRL_CRCBEATSIZE_BYTE_Val 0x0ul /**< \brief (DMAC_CRCCTRL) Byte bus access */ +#define DMAC_CRCCTRL_CRCBEATSIZE_HWORD_Val 0x1ul /**< \brief (DMAC_CRCCTRL) Half-word bus access */ +#define DMAC_CRCCTRL_CRCBEATSIZE_WORD_Val 0x2ul /**< \brief (DMAC_CRCCTRL) Word bus access */ +#define DMAC_CRCCTRL_CRCBEATSIZE_BYTE (DMAC_CRCCTRL_CRCBEATSIZE_BYTE_Val << DMAC_CRCCTRL_CRCBEATSIZE_Pos) +#define DMAC_CRCCTRL_CRCBEATSIZE_HWORD (DMAC_CRCCTRL_CRCBEATSIZE_HWORD_Val << DMAC_CRCCTRL_CRCBEATSIZE_Pos) +#define DMAC_CRCCTRL_CRCBEATSIZE_WORD (DMAC_CRCCTRL_CRCBEATSIZE_WORD_Val << DMAC_CRCCTRL_CRCBEATSIZE_Pos) +#define DMAC_CRCCTRL_CRCPOLY_Pos 2 /**< \brief (DMAC_CRCCTRL) CRC Polynomial Type */ +#define DMAC_CRCCTRL_CRCPOLY_Msk (0x3ul << DMAC_CRCCTRL_CRCPOLY_Pos) +#define DMAC_CRCCTRL_CRCPOLY(value) (DMAC_CRCCTRL_CRCPOLY_Msk & ((value) << DMAC_CRCCTRL_CRCPOLY_Pos)) +#define DMAC_CRCCTRL_CRCPOLY_CRC16_Val 0x0ul /**< \brief (DMAC_CRCCTRL) CRC-16 (CRC-CCITT) */ +#define DMAC_CRCCTRL_CRCPOLY_CRC32_Val 0x1ul /**< \brief (DMAC_CRCCTRL) CRC32 (IEEE 802.3) */ +#define DMAC_CRCCTRL_CRCPOLY_CRC16 (DMAC_CRCCTRL_CRCPOLY_CRC16_Val << DMAC_CRCCTRL_CRCPOLY_Pos) +#define DMAC_CRCCTRL_CRCPOLY_CRC32 (DMAC_CRCCTRL_CRCPOLY_CRC32_Val << DMAC_CRCCTRL_CRCPOLY_Pos) +#define DMAC_CRCCTRL_CRCSRC_Pos 8 /**< \brief (DMAC_CRCCTRL) CRC Input Source */ +#define DMAC_CRCCTRL_CRCSRC_Msk (0x3Ful << DMAC_CRCCTRL_CRCSRC_Pos) +#define DMAC_CRCCTRL_CRCSRC(value) (DMAC_CRCCTRL_CRCSRC_Msk & ((value) << DMAC_CRCCTRL_CRCSRC_Pos)) +#define DMAC_CRCCTRL_CRCSRC_NOACT_Val 0x0ul /**< \brief (DMAC_CRCCTRL) No action */ +#define DMAC_CRCCTRL_CRCSRC_IO_Val 0x1ul /**< \brief (DMAC_CRCCTRL) I/O interface */ +#define DMAC_CRCCTRL_CRCSRC_NOACT (DMAC_CRCCTRL_CRCSRC_NOACT_Val << DMAC_CRCCTRL_CRCSRC_Pos) +#define DMAC_CRCCTRL_CRCSRC_IO (DMAC_CRCCTRL_CRCSRC_IO_Val << DMAC_CRCCTRL_CRCSRC_Pos) +#define DMAC_CRCCTRL_MASK 0x3F0Ful /**< \brief (DMAC_CRCCTRL) MASK Register */ + +/* -------- DMAC_CRCDATAIN : (DMAC Offset: 0x04) (R/W 32) CRC Data Input -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t CRCDATAIN:32; /*!< bit: 0..31 CRC Data Input */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_CRCDATAIN_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CRCDATAIN_OFFSET 0x04 /**< \brief (DMAC_CRCDATAIN offset) CRC Data Input */ +#define DMAC_CRCDATAIN_RESETVALUE 0x00000000ul /**< \brief (DMAC_CRCDATAIN reset_value) CRC Data Input */ + +#define DMAC_CRCDATAIN_CRCDATAIN_Pos 0 /**< \brief (DMAC_CRCDATAIN) CRC Data Input */ +#define DMAC_CRCDATAIN_CRCDATAIN_Msk (0xFFFFFFFFul << DMAC_CRCDATAIN_CRCDATAIN_Pos) +#define DMAC_CRCDATAIN_CRCDATAIN(value) (DMAC_CRCDATAIN_CRCDATAIN_Msk & ((value) << DMAC_CRCDATAIN_CRCDATAIN_Pos)) +#define DMAC_CRCDATAIN_MASK 0xFFFFFFFFul /**< \brief (DMAC_CRCDATAIN) MASK Register */ + +/* -------- DMAC_CRCCHKSUM : (DMAC Offset: 0x08) (R/W 32) CRC Checksum -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t CRCCHKSUM:32; /*!< bit: 0..31 CRC Checksum */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_CRCCHKSUM_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CRCCHKSUM_OFFSET 0x08 /**< \brief (DMAC_CRCCHKSUM offset) CRC Checksum */ +#define DMAC_CRCCHKSUM_RESETVALUE 0x00000000ul /**< \brief (DMAC_CRCCHKSUM reset_value) CRC Checksum */ + +#define DMAC_CRCCHKSUM_CRCCHKSUM_Pos 0 /**< \brief (DMAC_CRCCHKSUM) CRC Checksum */ +#define DMAC_CRCCHKSUM_CRCCHKSUM_Msk (0xFFFFFFFFul << DMAC_CRCCHKSUM_CRCCHKSUM_Pos) +#define DMAC_CRCCHKSUM_CRCCHKSUM(value) (DMAC_CRCCHKSUM_CRCCHKSUM_Msk & ((value) << DMAC_CRCCHKSUM_CRCCHKSUM_Pos)) +#define DMAC_CRCCHKSUM_MASK 0xFFFFFFFFul /**< \brief (DMAC_CRCCHKSUM) MASK Register */ + +/* -------- DMAC_CRCSTATUS : (DMAC Offset: 0x0C) (R/W 8) CRC Status -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t CRCBUSY:1; /*!< bit: 0 CRC Module Busy */ + uint8_t CRCZERO:1; /*!< bit: 1 CRC Zero */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CRCSTATUS_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CRCSTATUS_OFFSET 0x0C /**< \brief (DMAC_CRCSTATUS offset) CRC Status */ +#define DMAC_CRCSTATUS_RESETVALUE 0x00ul /**< \brief (DMAC_CRCSTATUS reset_value) CRC Status */ + +#define DMAC_CRCSTATUS_CRCBUSY_Pos 0 /**< \brief (DMAC_CRCSTATUS) CRC Module Busy */ +#define DMAC_CRCSTATUS_CRCBUSY (0x1ul << DMAC_CRCSTATUS_CRCBUSY_Pos) +#define DMAC_CRCSTATUS_CRCZERO_Pos 1 /**< \brief (DMAC_CRCSTATUS) CRC Zero */ +#define DMAC_CRCSTATUS_CRCZERO (0x1ul << DMAC_CRCSTATUS_CRCZERO_Pos) +#define DMAC_CRCSTATUS_MASK 0x03ul /**< \brief (DMAC_CRCSTATUS) MASK Register */ + +/* -------- DMAC_DBGCTRL : (DMAC Offset: 0x0D) (R/W 8) Debug Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t DBGRUN:1; /*!< bit: 0 Debug Run */ + uint8_t :7; /*!< bit: 1.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_DBGCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_DBGCTRL_OFFSET 0x0D /**< \brief (DMAC_DBGCTRL offset) Debug Control */ +#define DMAC_DBGCTRL_RESETVALUE 0x00ul /**< \brief (DMAC_DBGCTRL reset_value) Debug Control */ + +#define DMAC_DBGCTRL_DBGRUN_Pos 0 /**< \brief (DMAC_DBGCTRL) Debug Run */ +#define DMAC_DBGCTRL_DBGRUN (0x1ul << DMAC_DBGCTRL_DBGRUN_Pos) +#define DMAC_DBGCTRL_MASK 0x01ul /**< \brief (DMAC_DBGCTRL) MASK Register */ + +/* -------- DMAC_QOSCTRL : (DMAC Offset: 0x0E) (R/W 8) QOS Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t WRBQOS:2; /*!< bit: 0.. 1 Write-Back Quality of Service */ + uint8_t FQOS:2; /*!< bit: 2.. 3 Fetch Quality of Service */ + uint8_t DQOS:2; /*!< bit: 4.. 5 Data Transfer Quality of Service */ + uint8_t :2; /*!< bit: 6.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_QOSCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_QOSCTRL_OFFSET 0x0E /**< \brief (DMAC_QOSCTRL offset) QOS Control */ +#define DMAC_QOSCTRL_RESETVALUE 0x15ul /**< \brief (DMAC_QOSCTRL reset_value) QOS Control */ + +#define DMAC_QOSCTRL_WRBQOS_Pos 0 /**< \brief (DMAC_QOSCTRL) Write-Back Quality of Service */ +#define DMAC_QOSCTRL_WRBQOS_Msk (0x3ul << DMAC_QOSCTRL_WRBQOS_Pos) +#define DMAC_QOSCTRL_WRBQOS(value) (DMAC_QOSCTRL_WRBQOS_Msk & ((value) << DMAC_QOSCTRL_WRBQOS_Pos)) +#define DMAC_QOSCTRL_WRBQOS_DISABLE_Val 0x0ul /**< \brief (DMAC_QOSCTRL) Background (no sensitive operation) */ +#define DMAC_QOSCTRL_WRBQOS_LOW_Val 0x1ul /**< \brief (DMAC_QOSCTRL) Sensitive Bandwidth */ +#define DMAC_QOSCTRL_WRBQOS_MEDIUM_Val 0x2ul /**< \brief (DMAC_QOSCTRL) Sensitive Latency */ +#define DMAC_QOSCTRL_WRBQOS_HIGH_Val 0x3ul /**< \brief (DMAC_QOSCTRL) Critical Latency */ +#define DMAC_QOSCTRL_WRBQOS_DISABLE (DMAC_QOSCTRL_WRBQOS_DISABLE_Val << DMAC_QOSCTRL_WRBQOS_Pos) +#define DMAC_QOSCTRL_WRBQOS_LOW (DMAC_QOSCTRL_WRBQOS_LOW_Val << DMAC_QOSCTRL_WRBQOS_Pos) +#define DMAC_QOSCTRL_WRBQOS_MEDIUM (DMAC_QOSCTRL_WRBQOS_MEDIUM_Val << DMAC_QOSCTRL_WRBQOS_Pos) +#define DMAC_QOSCTRL_WRBQOS_HIGH (DMAC_QOSCTRL_WRBQOS_HIGH_Val << DMAC_QOSCTRL_WRBQOS_Pos) +#define DMAC_QOSCTRL_FQOS_Pos 2 /**< \brief (DMAC_QOSCTRL) Fetch Quality of Service */ +#define DMAC_QOSCTRL_FQOS_Msk (0x3ul << DMAC_QOSCTRL_FQOS_Pos) +#define DMAC_QOSCTRL_FQOS(value) (DMAC_QOSCTRL_FQOS_Msk & ((value) << DMAC_QOSCTRL_FQOS_Pos)) +#define DMAC_QOSCTRL_FQOS_DISABLE_Val 0x0ul /**< \brief (DMAC_QOSCTRL) Background (no sensitive operation) */ +#define DMAC_QOSCTRL_FQOS_LOW_Val 0x1ul /**< \brief (DMAC_QOSCTRL) Sensitive Bandwidth */ +#define DMAC_QOSCTRL_FQOS_MEDIUM_Val 0x2ul /**< \brief (DMAC_QOSCTRL) Sensitive Latency */ +#define DMAC_QOSCTRL_FQOS_HIGH_Val 0x3ul /**< \brief (DMAC_QOSCTRL) Critical Latency */ +#define DMAC_QOSCTRL_FQOS_DISABLE (DMAC_QOSCTRL_FQOS_DISABLE_Val << DMAC_QOSCTRL_FQOS_Pos) +#define DMAC_QOSCTRL_FQOS_LOW (DMAC_QOSCTRL_FQOS_LOW_Val << DMAC_QOSCTRL_FQOS_Pos) +#define DMAC_QOSCTRL_FQOS_MEDIUM (DMAC_QOSCTRL_FQOS_MEDIUM_Val << DMAC_QOSCTRL_FQOS_Pos) +#define DMAC_QOSCTRL_FQOS_HIGH (DMAC_QOSCTRL_FQOS_HIGH_Val << DMAC_QOSCTRL_FQOS_Pos) +#define DMAC_QOSCTRL_DQOS_Pos 4 /**< \brief (DMAC_QOSCTRL) Data Transfer Quality of Service */ +#define DMAC_QOSCTRL_DQOS_Msk (0x3ul << DMAC_QOSCTRL_DQOS_Pos) +#define DMAC_QOSCTRL_DQOS(value) (DMAC_QOSCTRL_DQOS_Msk & ((value) << DMAC_QOSCTRL_DQOS_Pos)) +#define DMAC_QOSCTRL_DQOS_DISABLE_Val 0x0ul /**< \brief (DMAC_QOSCTRL) Background (no sensitive operation) */ +#define DMAC_QOSCTRL_DQOS_LOW_Val 0x1ul /**< \brief (DMAC_QOSCTRL) Sensitive Bandwidth */ +#define DMAC_QOSCTRL_DQOS_MEDIUM_Val 0x2ul /**< \brief (DMAC_QOSCTRL) Sensitive Latency */ +#define DMAC_QOSCTRL_DQOS_HIGH_Val 0x3ul /**< \brief (DMAC_QOSCTRL) Critical Latency */ +#define DMAC_QOSCTRL_DQOS_DISABLE (DMAC_QOSCTRL_DQOS_DISABLE_Val << DMAC_QOSCTRL_DQOS_Pos) +#define DMAC_QOSCTRL_DQOS_LOW (DMAC_QOSCTRL_DQOS_LOW_Val << DMAC_QOSCTRL_DQOS_Pos) +#define DMAC_QOSCTRL_DQOS_MEDIUM (DMAC_QOSCTRL_DQOS_MEDIUM_Val << DMAC_QOSCTRL_DQOS_Pos) +#define DMAC_QOSCTRL_DQOS_HIGH (DMAC_QOSCTRL_DQOS_HIGH_Val << DMAC_QOSCTRL_DQOS_Pos) +#define DMAC_QOSCTRL_MASK 0x3Ful /**< \brief (DMAC_QOSCTRL) MASK Register */ + +/* -------- DMAC_SWTRIGCTRL : (DMAC Offset: 0x10) (R/W 32) Software Trigger Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t SWTRIG0:1; /*!< bit: 0 Channel 0 Software Trigger */ + uint32_t SWTRIG1:1; /*!< bit: 1 Channel 1 Software Trigger */ + uint32_t SWTRIG2:1; /*!< bit: 2 Channel 2 Software Trigger */ + uint32_t SWTRIG3:1; /*!< bit: 3 Channel 3 Software Trigger */ + uint32_t SWTRIG4:1; /*!< bit: 4 Channel 4 Software Trigger */ + uint32_t SWTRIG5:1; /*!< bit: 5 Channel 5 Software Trigger */ + uint32_t SWTRIG6:1; /*!< bit: 6 Channel 6 Software Trigger */ + uint32_t SWTRIG7:1; /*!< bit: 7 Channel 7 Software Trigger */ + uint32_t SWTRIG8:1; /*!< bit: 8 Channel 8 Software Trigger */ + uint32_t SWTRIG9:1; /*!< bit: 9 Channel 9 Software Trigger */ + uint32_t SWTRIG10:1; /*!< bit: 10 Channel 10 Software Trigger */ + uint32_t SWTRIG11:1; /*!< bit: 11 Channel 11 Software Trigger */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint32_t SWTRIG:12; /*!< bit: 0..11 Channel x Software Trigger */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } vec; /*!< Structure used for vec access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_SWTRIGCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_SWTRIGCTRL_OFFSET 0x10 /**< \brief (DMAC_SWTRIGCTRL offset) Software Trigger Control */ +#define DMAC_SWTRIGCTRL_RESETVALUE 0x00000000ul /**< \brief (DMAC_SWTRIGCTRL reset_value) Software Trigger Control */ + +#define DMAC_SWTRIGCTRL_SWTRIG0_Pos 0 /**< \brief (DMAC_SWTRIGCTRL) Channel 0 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG0 (1 << DMAC_SWTRIGCTRL_SWTRIG0_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG1_Pos 1 /**< \brief (DMAC_SWTRIGCTRL) Channel 1 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG1 (1 << DMAC_SWTRIGCTRL_SWTRIG1_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG2_Pos 2 /**< \brief (DMAC_SWTRIGCTRL) Channel 2 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG2 (1 << DMAC_SWTRIGCTRL_SWTRIG2_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG3_Pos 3 /**< \brief (DMAC_SWTRIGCTRL) Channel 3 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG3 (1 << DMAC_SWTRIGCTRL_SWTRIG3_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG4_Pos 4 /**< \brief (DMAC_SWTRIGCTRL) Channel 4 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG4 (1 << DMAC_SWTRIGCTRL_SWTRIG4_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG5_Pos 5 /**< \brief (DMAC_SWTRIGCTRL) Channel 5 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG5 (1 << DMAC_SWTRIGCTRL_SWTRIG5_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG6_Pos 6 /**< \brief (DMAC_SWTRIGCTRL) Channel 6 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG6 (1 << DMAC_SWTRIGCTRL_SWTRIG6_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG7_Pos 7 /**< \brief (DMAC_SWTRIGCTRL) Channel 7 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG7 (1 << DMAC_SWTRIGCTRL_SWTRIG7_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG8_Pos 8 /**< \brief (DMAC_SWTRIGCTRL) Channel 8 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG8 (1 << DMAC_SWTRIGCTRL_SWTRIG8_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG9_Pos 9 /**< \brief (DMAC_SWTRIGCTRL) Channel 9 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG9 (1 << DMAC_SWTRIGCTRL_SWTRIG9_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG10_Pos 10 /**< \brief (DMAC_SWTRIGCTRL) Channel 10 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG10 (1 << DMAC_SWTRIGCTRL_SWTRIG10_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG11_Pos 11 /**< \brief (DMAC_SWTRIGCTRL) Channel 11 Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG11 (1 << DMAC_SWTRIGCTRL_SWTRIG11_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG_Pos 0 /**< \brief (DMAC_SWTRIGCTRL) Channel x Software Trigger */ +#define DMAC_SWTRIGCTRL_SWTRIG_Msk (0xFFFul << DMAC_SWTRIGCTRL_SWTRIG_Pos) +#define DMAC_SWTRIGCTRL_SWTRIG(value) (DMAC_SWTRIGCTRL_SWTRIG_Msk & ((value) << DMAC_SWTRIGCTRL_SWTRIG_Pos)) +#define DMAC_SWTRIGCTRL_MASK 0x00000FFFul /**< \brief (DMAC_SWTRIGCTRL) MASK Register */ + +/* -------- DMAC_PRICTRL0 : (DMAC Offset: 0x14) (R/W 32) Priority Control 0 -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t LVLPRI0:4; /*!< bit: 0.. 3 Level 0 Channel Priority Number */ + uint32_t :3; /*!< bit: 4.. 6 Reserved */ + uint32_t RRLVLEN0:1; /*!< bit: 7 Level 0 Round-Robin Scheduling Enable */ + uint32_t LVLPRI1:4; /*!< bit: 8..11 Level 1 Channel Priority Number */ + uint32_t :3; /*!< bit: 12..14 Reserved */ + uint32_t RRLVLEN1:1; /*!< bit: 15 Level 1 Round-Robin Scheduling Enable */ + uint32_t LVLPRI2:4; /*!< bit: 16..19 Level 2 Channel Priority Number */ + uint32_t :3; /*!< bit: 20..22 Reserved */ + uint32_t RRLVLEN2:1; /*!< bit: 23 Level 2 Round-Robin Scheduling Enable */ + uint32_t LVLPRI3:4; /*!< bit: 24..27 Level 3 Channel Priority Number */ + uint32_t :3; /*!< bit: 28..30 Reserved */ + uint32_t RRLVLEN3:1; /*!< bit: 31 Level 3 Round-Robin Scheduling Enable */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_PRICTRL0_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_PRICTRL0_OFFSET 0x14 /**< \brief (DMAC_PRICTRL0 offset) Priority Control 0 */ +#define DMAC_PRICTRL0_RESETVALUE 0x00000000ul /**< \brief (DMAC_PRICTRL0 reset_value) Priority Control 0 */ + +#define DMAC_PRICTRL0_LVLPRI0_Pos 0 /**< \brief (DMAC_PRICTRL0) Level 0 Channel Priority Number */ +#define DMAC_PRICTRL0_LVLPRI0_Msk (0xFul << DMAC_PRICTRL0_LVLPRI0_Pos) +#define DMAC_PRICTRL0_LVLPRI0(value) (DMAC_PRICTRL0_LVLPRI0_Msk & ((value) << DMAC_PRICTRL0_LVLPRI0_Pos)) +#define DMAC_PRICTRL0_RRLVLEN0_Pos 7 /**< \brief (DMAC_PRICTRL0) Level 0 Round-Robin Scheduling Enable */ +#define DMAC_PRICTRL0_RRLVLEN0 (0x1ul << DMAC_PRICTRL0_RRLVLEN0_Pos) +#define DMAC_PRICTRL0_LVLPRI1_Pos 8 /**< \brief (DMAC_PRICTRL0) Level 1 Channel Priority Number */ +#define DMAC_PRICTRL0_LVLPRI1_Msk (0xFul << DMAC_PRICTRL0_LVLPRI1_Pos) +#define DMAC_PRICTRL0_LVLPRI1(value) (DMAC_PRICTRL0_LVLPRI1_Msk & ((value) << DMAC_PRICTRL0_LVLPRI1_Pos)) +#define DMAC_PRICTRL0_RRLVLEN1_Pos 15 /**< \brief (DMAC_PRICTRL0) Level 1 Round-Robin Scheduling Enable */ +#define DMAC_PRICTRL0_RRLVLEN1 (0x1ul << DMAC_PRICTRL0_RRLVLEN1_Pos) +#define DMAC_PRICTRL0_LVLPRI2_Pos 16 /**< \brief (DMAC_PRICTRL0) Level 2 Channel Priority Number */ +#define DMAC_PRICTRL0_LVLPRI2_Msk (0xFul << DMAC_PRICTRL0_LVLPRI2_Pos) +#define DMAC_PRICTRL0_LVLPRI2(value) (DMAC_PRICTRL0_LVLPRI2_Msk & ((value) << DMAC_PRICTRL0_LVLPRI2_Pos)) +#define DMAC_PRICTRL0_RRLVLEN2_Pos 23 /**< \brief (DMAC_PRICTRL0) Level 2 Round-Robin Scheduling Enable */ +#define DMAC_PRICTRL0_RRLVLEN2 (0x1ul << DMAC_PRICTRL0_RRLVLEN2_Pos) +#define DMAC_PRICTRL0_LVLPRI3_Pos 24 /**< \brief (DMAC_PRICTRL0) Level 3 Channel Priority Number */ +#define DMAC_PRICTRL0_LVLPRI3_Msk (0xFul << DMAC_PRICTRL0_LVLPRI3_Pos) +#define DMAC_PRICTRL0_LVLPRI3(value) (DMAC_PRICTRL0_LVLPRI3_Msk & ((value) << DMAC_PRICTRL0_LVLPRI3_Pos)) +#define DMAC_PRICTRL0_RRLVLEN3_Pos 31 /**< \brief (DMAC_PRICTRL0) Level 3 Round-Robin Scheduling Enable */ +#define DMAC_PRICTRL0_RRLVLEN3 (0x1ul << DMAC_PRICTRL0_RRLVLEN3_Pos) +#define DMAC_PRICTRL0_MASK 0x8F8F8F8Ful /**< \brief (DMAC_PRICTRL0) MASK Register */ + +/* -------- DMAC_INTPEND : (DMAC Offset: 0x20) (R/W 16) Interrupt Pending -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t ID:4; /*!< bit: 0.. 3 Channel ID */ + uint16_t :4; /*!< bit: 4.. 7 Reserved */ + uint16_t TERR:1; /*!< bit: 8 Transfer Error */ + uint16_t TCMPL:1; /*!< bit: 9 Transfer Complete */ + uint16_t SUSP:1; /*!< bit: 10 Channel Suspend */ + uint16_t :2; /*!< bit: 11..12 Reserved */ + uint16_t FERR:1; /*!< bit: 13 Fetch Error */ + uint16_t BUSY:1; /*!< bit: 14 Busy */ + uint16_t PEND:1; /*!< bit: 15 Pending */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} DMAC_INTPEND_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_INTPEND_OFFSET 0x20 /**< \brief (DMAC_INTPEND offset) Interrupt Pending */ +#define DMAC_INTPEND_RESETVALUE 0x0000ul /**< \brief (DMAC_INTPEND reset_value) Interrupt Pending */ + +#define DMAC_INTPEND_ID_Pos 0 /**< \brief (DMAC_INTPEND) Channel ID */ +#define DMAC_INTPEND_ID_Msk (0xFul << DMAC_INTPEND_ID_Pos) +#define DMAC_INTPEND_ID(value) (DMAC_INTPEND_ID_Msk & ((value) << DMAC_INTPEND_ID_Pos)) +#define DMAC_INTPEND_TERR_Pos 8 /**< \brief (DMAC_INTPEND) Transfer Error */ +#define DMAC_INTPEND_TERR (0x1ul << DMAC_INTPEND_TERR_Pos) +#define DMAC_INTPEND_TCMPL_Pos 9 /**< \brief (DMAC_INTPEND) Transfer Complete */ +#define DMAC_INTPEND_TCMPL (0x1ul << DMAC_INTPEND_TCMPL_Pos) +#define DMAC_INTPEND_SUSP_Pos 10 /**< \brief (DMAC_INTPEND) Channel Suspend */ +#define DMAC_INTPEND_SUSP (0x1ul << DMAC_INTPEND_SUSP_Pos) +#define DMAC_INTPEND_FERR_Pos 13 /**< \brief (DMAC_INTPEND) Fetch Error */ +#define DMAC_INTPEND_FERR (0x1ul << DMAC_INTPEND_FERR_Pos) +#define DMAC_INTPEND_BUSY_Pos 14 /**< \brief (DMAC_INTPEND) Busy */ +#define DMAC_INTPEND_BUSY (0x1ul << DMAC_INTPEND_BUSY_Pos) +#define DMAC_INTPEND_PEND_Pos 15 /**< \brief (DMAC_INTPEND) Pending */ +#define DMAC_INTPEND_PEND (0x1ul << DMAC_INTPEND_PEND_Pos) +#define DMAC_INTPEND_MASK 0xE70Ful /**< \brief (DMAC_INTPEND) MASK Register */ + +/* -------- DMAC_INTSTATUS : (DMAC Offset: 0x24) (R/ 32) Interrupt Status -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t CHINT0:1; /*!< bit: 0 Channel 0 Pending Interrupt */ + uint32_t CHINT1:1; /*!< bit: 1 Channel 1 Pending Interrupt */ + uint32_t CHINT2:1; /*!< bit: 2 Channel 2 Pending Interrupt */ + uint32_t CHINT3:1; /*!< bit: 3 Channel 3 Pending Interrupt */ + uint32_t CHINT4:1; /*!< bit: 4 Channel 4 Pending Interrupt */ + uint32_t CHINT5:1; /*!< bit: 5 Channel 5 Pending Interrupt */ + uint32_t CHINT6:1; /*!< bit: 6 Channel 6 Pending Interrupt */ + uint32_t CHINT7:1; /*!< bit: 7 Channel 7 Pending Interrupt */ + uint32_t CHINT8:1; /*!< bit: 8 Channel 8 Pending Interrupt */ + uint32_t CHINT9:1; /*!< bit: 9 Channel 9 Pending Interrupt */ + uint32_t CHINT10:1; /*!< bit: 10 Channel 10 Pending Interrupt */ + uint32_t CHINT11:1; /*!< bit: 11 Channel 11 Pending Interrupt */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint32_t CHINT:12; /*!< bit: 0..11 Channel x Pending Interrupt */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } vec; /*!< Structure used for vec access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_INTSTATUS_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_INTSTATUS_OFFSET 0x24 /**< \brief (DMAC_INTSTATUS offset) Interrupt Status */ +#define DMAC_INTSTATUS_RESETVALUE 0x00000000ul /**< \brief (DMAC_INTSTATUS reset_value) Interrupt Status */ + +#define DMAC_INTSTATUS_CHINT0_Pos 0 /**< \brief (DMAC_INTSTATUS) Channel 0 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT0 (1 << DMAC_INTSTATUS_CHINT0_Pos) +#define DMAC_INTSTATUS_CHINT1_Pos 1 /**< \brief (DMAC_INTSTATUS) Channel 1 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT1 (1 << DMAC_INTSTATUS_CHINT1_Pos) +#define DMAC_INTSTATUS_CHINT2_Pos 2 /**< \brief (DMAC_INTSTATUS) Channel 2 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT2 (1 << DMAC_INTSTATUS_CHINT2_Pos) +#define DMAC_INTSTATUS_CHINT3_Pos 3 /**< \brief (DMAC_INTSTATUS) Channel 3 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT3 (1 << DMAC_INTSTATUS_CHINT3_Pos) +#define DMAC_INTSTATUS_CHINT4_Pos 4 /**< \brief (DMAC_INTSTATUS) Channel 4 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT4 (1 << DMAC_INTSTATUS_CHINT4_Pos) +#define DMAC_INTSTATUS_CHINT5_Pos 5 /**< \brief (DMAC_INTSTATUS) Channel 5 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT5 (1 << DMAC_INTSTATUS_CHINT5_Pos) +#define DMAC_INTSTATUS_CHINT6_Pos 6 /**< \brief (DMAC_INTSTATUS) Channel 6 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT6 (1 << DMAC_INTSTATUS_CHINT6_Pos) +#define DMAC_INTSTATUS_CHINT7_Pos 7 /**< \brief (DMAC_INTSTATUS) Channel 7 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT7 (1 << DMAC_INTSTATUS_CHINT7_Pos) +#define DMAC_INTSTATUS_CHINT8_Pos 8 /**< \brief (DMAC_INTSTATUS) Channel 8 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT8 (1 << DMAC_INTSTATUS_CHINT8_Pos) +#define DMAC_INTSTATUS_CHINT9_Pos 9 /**< \brief (DMAC_INTSTATUS) Channel 9 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT9 (1 << DMAC_INTSTATUS_CHINT9_Pos) +#define DMAC_INTSTATUS_CHINT10_Pos 10 /**< \brief (DMAC_INTSTATUS) Channel 10 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT10 (1 << DMAC_INTSTATUS_CHINT10_Pos) +#define DMAC_INTSTATUS_CHINT11_Pos 11 /**< \brief (DMAC_INTSTATUS) Channel 11 Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT11 (1 << DMAC_INTSTATUS_CHINT11_Pos) +#define DMAC_INTSTATUS_CHINT_Pos 0 /**< \brief (DMAC_INTSTATUS) Channel x Pending Interrupt */ +#define DMAC_INTSTATUS_CHINT_Msk (0xFFFul << DMAC_INTSTATUS_CHINT_Pos) +#define DMAC_INTSTATUS_CHINT(value) (DMAC_INTSTATUS_CHINT_Msk & ((value) << DMAC_INTSTATUS_CHINT_Pos)) +#define DMAC_INTSTATUS_MASK 0x00000FFFul /**< \brief (DMAC_INTSTATUS) MASK Register */ + +/* -------- DMAC_BUSYCH : (DMAC Offset: 0x28) (R/ 32) Busy Channels -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t BUSYCH0:1; /*!< bit: 0 Busy Channel 0 */ + uint32_t BUSYCH1:1; /*!< bit: 1 Busy Channel 1 */ + uint32_t BUSYCH2:1; /*!< bit: 2 Busy Channel 2 */ + uint32_t BUSYCH3:1; /*!< bit: 3 Busy Channel 3 */ + uint32_t BUSYCH4:1; /*!< bit: 4 Busy Channel 4 */ + uint32_t BUSYCH5:1; /*!< bit: 5 Busy Channel 5 */ + uint32_t BUSYCH6:1; /*!< bit: 6 Busy Channel 6 */ + uint32_t BUSYCH7:1; /*!< bit: 7 Busy Channel 7 */ + uint32_t BUSYCH8:1; /*!< bit: 8 Busy Channel 8 */ + uint32_t BUSYCH9:1; /*!< bit: 9 Busy Channel 9 */ + uint32_t BUSYCH10:1; /*!< bit: 10 Busy Channel 10 */ + uint32_t BUSYCH11:1; /*!< bit: 11 Busy Channel 11 */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint32_t BUSYCH:12; /*!< bit: 0..11 Busy Channel x */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } vec; /*!< Structure used for vec access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_BUSYCH_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_BUSYCH_OFFSET 0x28 /**< \brief (DMAC_BUSYCH offset) Busy Channels */ +#define DMAC_BUSYCH_RESETVALUE 0x00000000ul /**< \brief (DMAC_BUSYCH reset_value) Busy Channels */ + +#define DMAC_BUSYCH_BUSYCH0_Pos 0 /**< \brief (DMAC_BUSYCH) Busy Channel 0 */ +#define DMAC_BUSYCH_BUSYCH0 (1 << DMAC_BUSYCH_BUSYCH0_Pos) +#define DMAC_BUSYCH_BUSYCH1_Pos 1 /**< \brief (DMAC_BUSYCH) Busy Channel 1 */ +#define DMAC_BUSYCH_BUSYCH1 (1 << DMAC_BUSYCH_BUSYCH1_Pos) +#define DMAC_BUSYCH_BUSYCH2_Pos 2 /**< \brief (DMAC_BUSYCH) Busy Channel 2 */ +#define DMAC_BUSYCH_BUSYCH2 (1 << DMAC_BUSYCH_BUSYCH2_Pos) +#define DMAC_BUSYCH_BUSYCH3_Pos 3 /**< \brief (DMAC_BUSYCH) Busy Channel 3 */ +#define DMAC_BUSYCH_BUSYCH3 (1 << DMAC_BUSYCH_BUSYCH3_Pos) +#define DMAC_BUSYCH_BUSYCH4_Pos 4 /**< \brief (DMAC_BUSYCH) Busy Channel 4 */ +#define DMAC_BUSYCH_BUSYCH4 (1 << DMAC_BUSYCH_BUSYCH4_Pos) +#define DMAC_BUSYCH_BUSYCH5_Pos 5 /**< \brief (DMAC_BUSYCH) Busy Channel 5 */ +#define DMAC_BUSYCH_BUSYCH5 (1 << DMAC_BUSYCH_BUSYCH5_Pos) +#define DMAC_BUSYCH_BUSYCH6_Pos 6 /**< \brief (DMAC_BUSYCH) Busy Channel 6 */ +#define DMAC_BUSYCH_BUSYCH6 (1 << DMAC_BUSYCH_BUSYCH6_Pos) +#define DMAC_BUSYCH_BUSYCH7_Pos 7 /**< \brief (DMAC_BUSYCH) Busy Channel 7 */ +#define DMAC_BUSYCH_BUSYCH7 (1 << DMAC_BUSYCH_BUSYCH7_Pos) +#define DMAC_BUSYCH_BUSYCH8_Pos 8 /**< \brief (DMAC_BUSYCH) Busy Channel 8 */ +#define DMAC_BUSYCH_BUSYCH8 (1 << DMAC_BUSYCH_BUSYCH8_Pos) +#define DMAC_BUSYCH_BUSYCH9_Pos 9 /**< \brief (DMAC_BUSYCH) Busy Channel 9 */ +#define DMAC_BUSYCH_BUSYCH9 (1 << DMAC_BUSYCH_BUSYCH9_Pos) +#define DMAC_BUSYCH_BUSYCH10_Pos 10 /**< \brief (DMAC_BUSYCH) Busy Channel 10 */ +#define DMAC_BUSYCH_BUSYCH10 (1 << DMAC_BUSYCH_BUSYCH10_Pos) +#define DMAC_BUSYCH_BUSYCH11_Pos 11 /**< \brief (DMAC_BUSYCH) Busy Channel 11 */ +#define DMAC_BUSYCH_BUSYCH11 (1 << DMAC_BUSYCH_BUSYCH11_Pos) +#define DMAC_BUSYCH_BUSYCH_Pos 0 /**< \brief (DMAC_BUSYCH) Busy Channel x */ +#define DMAC_BUSYCH_BUSYCH_Msk (0xFFFul << DMAC_BUSYCH_BUSYCH_Pos) +#define DMAC_BUSYCH_BUSYCH(value) (DMAC_BUSYCH_BUSYCH_Msk & ((value) << DMAC_BUSYCH_BUSYCH_Pos)) +#define DMAC_BUSYCH_MASK 0x00000FFFul /**< \brief (DMAC_BUSYCH) MASK Register */ + +/* -------- DMAC_PENDCH : (DMAC Offset: 0x2C) (R/ 32) Pending Channels -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t PENDCH0:1; /*!< bit: 0 Pending Channel 0 */ + uint32_t PENDCH1:1; /*!< bit: 1 Pending Channel 1 */ + uint32_t PENDCH2:1; /*!< bit: 2 Pending Channel 2 */ + uint32_t PENDCH3:1; /*!< bit: 3 Pending Channel 3 */ + uint32_t PENDCH4:1; /*!< bit: 4 Pending Channel 4 */ + uint32_t PENDCH5:1; /*!< bit: 5 Pending Channel 5 */ + uint32_t PENDCH6:1; /*!< bit: 6 Pending Channel 6 */ + uint32_t PENDCH7:1; /*!< bit: 7 Pending Channel 7 */ + uint32_t PENDCH8:1; /*!< bit: 8 Pending Channel 8 */ + uint32_t PENDCH9:1; /*!< bit: 9 Pending Channel 9 */ + uint32_t PENDCH10:1; /*!< bit: 10 Pending Channel 10 */ + uint32_t PENDCH11:1; /*!< bit: 11 Pending Channel 11 */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } bit; /*!< Structure used for bit access */ + struct { + uint32_t PENDCH:12; /*!< bit: 0..11 Pending Channel x */ + uint32_t :20; /*!< bit: 12..31 Reserved */ + } vec; /*!< Structure used for vec access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_PENDCH_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_PENDCH_OFFSET 0x2C /**< \brief (DMAC_PENDCH offset) Pending Channels */ +#define DMAC_PENDCH_RESETVALUE 0x00000000ul /**< \brief (DMAC_PENDCH reset_value) Pending Channels */ + +#define DMAC_PENDCH_PENDCH0_Pos 0 /**< \brief (DMAC_PENDCH) Pending Channel 0 */ +#define DMAC_PENDCH_PENDCH0 (1 << DMAC_PENDCH_PENDCH0_Pos) +#define DMAC_PENDCH_PENDCH1_Pos 1 /**< \brief (DMAC_PENDCH) Pending Channel 1 */ +#define DMAC_PENDCH_PENDCH1 (1 << DMAC_PENDCH_PENDCH1_Pos) +#define DMAC_PENDCH_PENDCH2_Pos 2 /**< \brief (DMAC_PENDCH) Pending Channel 2 */ +#define DMAC_PENDCH_PENDCH2 (1 << DMAC_PENDCH_PENDCH2_Pos) +#define DMAC_PENDCH_PENDCH3_Pos 3 /**< \brief (DMAC_PENDCH) Pending Channel 3 */ +#define DMAC_PENDCH_PENDCH3 (1 << DMAC_PENDCH_PENDCH3_Pos) +#define DMAC_PENDCH_PENDCH4_Pos 4 /**< \brief (DMAC_PENDCH) Pending Channel 4 */ +#define DMAC_PENDCH_PENDCH4 (1 << DMAC_PENDCH_PENDCH4_Pos) +#define DMAC_PENDCH_PENDCH5_Pos 5 /**< \brief (DMAC_PENDCH) Pending Channel 5 */ +#define DMAC_PENDCH_PENDCH5 (1 << DMAC_PENDCH_PENDCH5_Pos) +#define DMAC_PENDCH_PENDCH6_Pos 6 /**< \brief (DMAC_PENDCH) Pending Channel 6 */ +#define DMAC_PENDCH_PENDCH6 (1 << DMAC_PENDCH_PENDCH6_Pos) +#define DMAC_PENDCH_PENDCH7_Pos 7 /**< \brief (DMAC_PENDCH) Pending Channel 7 */ +#define DMAC_PENDCH_PENDCH7 (1 << DMAC_PENDCH_PENDCH7_Pos) +#define DMAC_PENDCH_PENDCH8_Pos 8 /**< \brief (DMAC_PENDCH) Pending Channel 8 */ +#define DMAC_PENDCH_PENDCH8 (1 << DMAC_PENDCH_PENDCH8_Pos) +#define DMAC_PENDCH_PENDCH9_Pos 9 /**< \brief (DMAC_PENDCH) Pending Channel 9 */ +#define DMAC_PENDCH_PENDCH9 (1 << DMAC_PENDCH_PENDCH9_Pos) +#define DMAC_PENDCH_PENDCH10_Pos 10 /**< \brief (DMAC_PENDCH) Pending Channel 10 */ +#define DMAC_PENDCH_PENDCH10 (1 << DMAC_PENDCH_PENDCH10_Pos) +#define DMAC_PENDCH_PENDCH11_Pos 11 /**< \brief (DMAC_PENDCH) Pending Channel 11 */ +#define DMAC_PENDCH_PENDCH11 (1 << DMAC_PENDCH_PENDCH11_Pos) +#define DMAC_PENDCH_PENDCH_Pos 0 /**< \brief (DMAC_PENDCH) Pending Channel x */ +#define DMAC_PENDCH_PENDCH_Msk (0xFFFul << DMAC_PENDCH_PENDCH_Pos) +#define DMAC_PENDCH_PENDCH(value) (DMAC_PENDCH_PENDCH_Msk & ((value) << DMAC_PENDCH_PENDCH_Pos)) +#define DMAC_PENDCH_MASK 0x00000FFFul /**< \brief (DMAC_PENDCH) MASK Register */ + +/* -------- DMAC_ACTIVE : (DMAC Offset: 0x30) (R/ 32) Active Channel and Levels -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t LVLEX0:1; /*!< bit: 0 Level 0 Channel Trigger Request Executing */ + uint32_t LVLEX1:1; /*!< bit: 1 Level 1 Channel Trigger Request Executing */ + uint32_t LVLEX2:1; /*!< bit: 2 Level 2 Channel Trigger Request Executing */ + uint32_t LVLEX3:1; /*!< bit: 3 Level 3 Channel Trigger Request Executing */ + uint32_t :4; /*!< bit: 4.. 7 Reserved */ + uint32_t ID:5; /*!< bit: 8..12 Active Channel ID */ + uint32_t :2; /*!< bit: 13..14 Reserved */ + uint32_t ABUSY:1; /*!< bit: 15 Active Channel Busy */ + uint32_t BTCNT:16; /*!< bit: 16..31 Active Channel Block Transfer Count */ + } bit; /*!< Structure used for bit access */ + struct { + uint32_t LVLEX:4; /*!< bit: 0.. 3 Level x Channel Trigger Request Executing */ + uint32_t :28; /*!< bit: 4..31 Reserved */ + } vec; /*!< Structure used for vec access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_ACTIVE_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_ACTIVE_OFFSET 0x30 /**< \brief (DMAC_ACTIVE offset) Active Channel and Levels */ +#define DMAC_ACTIVE_RESETVALUE 0x00000000ul /**< \brief (DMAC_ACTIVE reset_value) Active Channel and Levels */ + +#define DMAC_ACTIVE_LVLEX0_Pos 0 /**< \brief (DMAC_ACTIVE) Level 0 Channel Trigger Request Executing */ +#define DMAC_ACTIVE_LVLEX0 (1 << DMAC_ACTIVE_LVLEX0_Pos) +#define DMAC_ACTIVE_LVLEX1_Pos 1 /**< \brief (DMAC_ACTIVE) Level 1 Channel Trigger Request Executing */ +#define DMAC_ACTIVE_LVLEX1 (1 << DMAC_ACTIVE_LVLEX1_Pos) +#define DMAC_ACTIVE_LVLEX2_Pos 2 /**< \brief (DMAC_ACTIVE) Level 2 Channel Trigger Request Executing */ +#define DMAC_ACTIVE_LVLEX2 (1 << DMAC_ACTIVE_LVLEX2_Pos) +#define DMAC_ACTIVE_LVLEX3_Pos 3 /**< \brief (DMAC_ACTIVE) Level 3 Channel Trigger Request Executing */ +#define DMAC_ACTIVE_LVLEX3 (1 << DMAC_ACTIVE_LVLEX3_Pos) +#define DMAC_ACTIVE_LVLEX_Pos 0 /**< \brief (DMAC_ACTIVE) Level x Channel Trigger Request Executing */ +#define DMAC_ACTIVE_LVLEX_Msk (0xFul << DMAC_ACTIVE_LVLEX_Pos) +#define DMAC_ACTIVE_LVLEX(value) (DMAC_ACTIVE_LVLEX_Msk & ((value) << DMAC_ACTIVE_LVLEX_Pos)) +#define DMAC_ACTIVE_ID_Pos 8 /**< \brief (DMAC_ACTIVE) Active Channel ID */ +#define DMAC_ACTIVE_ID_Msk (0x1Ful << DMAC_ACTIVE_ID_Pos) +#define DMAC_ACTIVE_ID(value) (DMAC_ACTIVE_ID_Msk & ((value) << DMAC_ACTIVE_ID_Pos)) +#define DMAC_ACTIVE_ABUSY_Pos 15 /**< \brief (DMAC_ACTIVE) Active Channel Busy */ +#define DMAC_ACTIVE_ABUSY (0x1ul << DMAC_ACTIVE_ABUSY_Pos) +#define DMAC_ACTIVE_BTCNT_Pos 16 /**< \brief (DMAC_ACTIVE) Active Channel Block Transfer Count */ +#define DMAC_ACTIVE_BTCNT_Msk (0xFFFFul << DMAC_ACTIVE_BTCNT_Pos) +#define DMAC_ACTIVE_BTCNT(value) (DMAC_ACTIVE_BTCNT_Msk & ((value) << DMAC_ACTIVE_BTCNT_Pos)) +#define DMAC_ACTIVE_MASK 0xFFFF9F0Ful /**< \brief (DMAC_ACTIVE) MASK Register */ + +/* -------- DMAC_BASEADDR : (DMAC Offset: 0x34) (R/W 32) Descriptor Memory Section Base Address -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t BASEADDR:32; /*!< bit: 0..31 Descriptor Memory Base Address */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_BASEADDR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_BASEADDR_OFFSET 0x34 /**< \brief (DMAC_BASEADDR offset) Descriptor Memory Section Base Address */ +#define DMAC_BASEADDR_RESETVALUE 0x00000000ul /**< \brief (DMAC_BASEADDR reset_value) Descriptor Memory Section Base Address */ + +#define DMAC_BASEADDR_BASEADDR_Pos 0 /**< \brief (DMAC_BASEADDR) Descriptor Memory Base Address */ +#define DMAC_BASEADDR_BASEADDR_Msk (0xFFFFFFFFul << DMAC_BASEADDR_BASEADDR_Pos) +#define DMAC_BASEADDR_BASEADDR(value) (DMAC_BASEADDR_BASEADDR_Msk & ((value) << DMAC_BASEADDR_BASEADDR_Pos)) +#define DMAC_BASEADDR_MASK 0xFFFFFFFFul /**< \brief (DMAC_BASEADDR) MASK Register */ + +/* -------- DMAC_WRBADDR : (DMAC Offset: 0x38) (R/W 32) Write-Back Memory Section Base Address -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t WRBADDR:32; /*!< bit: 0..31 Write-Back Memory Base Address */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_WRBADDR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_WRBADDR_OFFSET 0x38 /**< \brief (DMAC_WRBADDR offset) Write-Back Memory Section Base Address */ +#define DMAC_WRBADDR_RESETVALUE 0x00000000ul /**< \brief (DMAC_WRBADDR reset_value) Write-Back Memory Section Base Address */ + +#define DMAC_WRBADDR_WRBADDR_Pos 0 /**< \brief (DMAC_WRBADDR) Write-Back Memory Base Address */ +#define DMAC_WRBADDR_WRBADDR_Msk (0xFFFFFFFFul << DMAC_WRBADDR_WRBADDR_Pos) +#define DMAC_WRBADDR_WRBADDR(value) (DMAC_WRBADDR_WRBADDR_Msk & ((value) << DMAC_WRBADDR_WRBADDR_Pos)) +#define DMAC_WRBADDR_MASK 0xFFFFFFFFul /**< \brief (DMAC_WRBADDR) MASK Register */ + +/* -------- DMAC_CHID : (DMAC Offset: 0x3F) (R/W 8) Channel ID -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t ID:4; /*!< bit: 0.. 3 Channel ID */ + uint8_t :4; /*!< bit: 4.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHID_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHID_OFFSET 0x3F /**< \brief (DMAC_CHID offset) Channel ID */ +#define DMAC_CHID_RESETVALUE 0x00ul /**< \brief (DMAC_CHID reset_value) Channel ID */ + +#define DMAC_CHID_ID_Pos 0 /**< \brief (DMAC_CHID) Channel ID */ +#define DMAC_CHID_ID_Msk (0xFul << DMAC_CHID_ID_Pos) +#define DMAC_CHID_ID(value) (DMAC_CHID_ID_Msk & ((value) << DMAC_CHID_ID_Pos)) +#define DMAC_CHID_MASK 0x0Ful /**< \brief (DMAC_CHID) MASK Register */ + +/* -------- DMAC_CHCTRLA : (DMAC Offset: 0x40) (R/W 8) Channel Control A -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t SWRST:1; /*!< bit: 0 Channel Software Reset */ + uint8_t ENABLE:1; /*!< bit: 1 Channel Enable */ + uint8_t :6; /*!< bit: 2.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHCTRLA_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHCTRLA_OFFSET 0x40 /**< \brief (DMAC_CHCTRLA offset) Channel Control A */ +#define DMAC_CHCTRLA_RESETVALUE 0x00ul /**< \brief (DMAC_CHCTRLA reset_value) Channel Control A */ + +#define DMAC_CHCTRLA_SWRST_Pos 0 /**< \brief (DMAC_CHCTRLA) Channel Software Reset */ +#define DMAC_CHCTRLA_SWRST (0x1ul << DMAC_CHCTRLA_SWRST_Pos) +#define DMAC_CHCTRLA_ENABLE_Pos 1 /**< \brief (DMAC_CHCTRLA) Channel Enable */ +#define DMAC_CHCTRLA_ENABLE (0x1ul << DMAC_CHCTRLA_ENABLE_Pos) +#define DMAC_CHCTRLA_MASK 0x03ul /**< \brief (DMAC_CHCTRLA) MASK Register */ + +/* -------- DMAC_CHCTRLB : (DMAC Offset: 0x44) (R/W 32) Channel Control B -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint32_t EVACT:3; /*!< bit: 0.. 2 Event Input Action */ + uint32_t EVIE:1; /*!< bit: 3 Channel Event Input Enable */ + uint32_t EVOE:1; /*!< bit: 4 Channel Event Output Enable */ + uint32_t LVL:2; /*!< bit: 5.. 6 Channel Arbitration Level */ + uint32_t :1; /*!< bit: 7 Reserved */ + uint32_t TRIGSRC:6; /*!< bit: 8..13 Peripheral Trigger Source */ + uint32_t :8; /*!< bit: 14..21 Reserved */ + uint32_t TRIGACT:2; /*!< bit: 22..23 Trigger Action */ + uint32_t CMD:2; /*!< bit: 24..25 Software Command */ + uint32_t :6; /*!< bit: 26..31 Reserved */ + } bit; /*!< Structure used for bit access */ + uint32_t reg; /*!< Type used for register access */ +} DMAC_CHCTRLB_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHCTRLB_OFFSET 0x44 /**< \brief (DMAC_CHCTRLB offset) Channel Control B */ +#define DMAC_CHCTRLB_RESETVALUE 0x00000000ul /**< \brief (DMAC_CHCTRLB reset_value) Channel Control B */ + +#define DMAC_CHCTRLB_EVACT_Pos 0 /**< \brief (DMAC_CHCTRLB) Event Input Action */ +#define DMAC_CHCTRLB_EVACT_Msk (0x7ul << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT(value) (DMAC_CHCTRLB_EVACT_Msk & ((value) << DMAC_CHCTRLB_EVACT_Pos)) +#define DMAC_CHCTRLB_EVACT_NOACT_Val 0x0ul /**< \brief (DMAC_CHCTRLB) No action */ +#define DMAC_CHCTRLB_EVACT_TRIG_Val 0x1ul /**< \brief (DMAC_CHCTRLB) Transfer and periodic transfer trigger */ +#define DMAC_CHCTRLB_EVACT_CTRIG_Val 0x2ul /**< \brief (DMAC_CHCTRLB) Conditional transfer trigger */ +#define DMAC_CHCTRLB_EVACT_CBLOCK_Val 0x3ul /**< \brief (DMAC_CHCTRLB) Conditional block transfer */ +#define DMAC_CHCTRLB_EVACT_SUSPEND_Val 0x4ul /**< \brief (DMAC_CHCTRLB) Channel suspend operation */ +#define DMAC_CHCTRLB_EVACT_RESUME_Val 0x5ul /**< \brief (DMAC_CHCTRLB) Channel resume operation */ +#define DMAC_CHCTRLB_EVACT_SSKIP_Val 0x6ul /**< \brief (DMAC_CHCTRLB) Skip next block suspend action */ +#define DMAC_CHCTRLB_EVACT_NOACT (DMAC_CHCTRLB_EVACT_NOACT_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_TRIG (DMAC_CHCTRLB_EVACT_TRIG_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_CTRIG (DMAC_CHCTRLB_EVACT_CTRIG_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_CBLOCK (DMAC_CHCTRLB_EVACT_CBLOCK_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_SUSPEND (DMAC_CHCTRLB_EVACT_SUSPEND_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_RESUME (DMAC_CHCTRLB_EVACT_RESUME_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVACT_SSKIP (DMAC_CHCTRLB_EVACT_SSKIP_Val << DMAC_CHCTRLB_EVACT_Pos) +#define DMAC_CHCTRLB_EVIE_Pos 3 /**< \brief (DMAC_CHCTRLB) Channel Event Input Enable */ +#define DMAC_CHCTRLB_EVIE (0x1ul << DMAC_CHCTRLB_EVIE_Pos) +#define DMAC_CHCTRLB_EVOE_Pos 4 /**< \brief (DMAC_CHCTRLB) Channel Event Output Enable */ +#define DMAC_CHCTRLB_EVOE (0x1ul << DMAC_CHCTRLB_EVOE_Pos) +#define DMAC_CHCTRLB_LVL_Pos 5 /**< \brief (DMAC_CHCTRLB) Channel Arbitration Level */ +#define DMAC_CHCTRLB_LVL_Msk (0x3ul << DMAC_CHCTRLB_LVL_Pos) +#define DMAC_CHCTRLB_LVL(value) (DMAC_CHCTRLB_LVL_Msk & ((value) << DMAC_CHCTRLB_LVL_Pos)) +#define DMAC_CHCTRLB_LVL_LVL0_Val 0x0ul /**< \brief (DMAC_CHCTRLB) Channel Priority Level 0 */ +#define DMAC_CHCTRLB_LVL_LVL1_Val 0x1ul /**< \brief (DMAC_CHCTRLB) Channel Priority Level 1 */ +#define DMAC_CHCTRLB_LVL_LVL2_Val 0x2ul /**< \brief (DMAC_CHCTRLB) Channel Priority Level 2 */ +#define DMAC_CHCTRLB_LVL_LVL3_Val 0x3ul /**< \brief (DMAC_CHCTRLB) Channel Priority Level 3 */ +#define DMAC_CHCTRLB_LVL_LVL0 (DMAC_CHCTRLB_LVL_LVL0_Val << DMAC_CHCTRLB_LVL_Pos) +#define DMAC_CHCTRLB_LVL_LVL1 (DMAC_CHCTRLB_LVL_LVL1_Val << DMAC_CHCTRLB_LVL_Pos) +#define DMAC_CHCTRLB_LVL_LVL2 (DMAC_CHCTRLB_LVL_LVL2_Val << DMAC_CHCTRLB_LVL_Pos) +#define DMAC_CHCTRLB_LVL_LVL3 (DMAC_CHCTRLB_LVL_LVL3_Val << DMAC_CHCTRLB_LVL_Pos) +#define DMAC_CHCTRLB_TRIGSRC_Pos 8 /**< \brief (DMAC_CHCTRLB) Peripheral Trigger Source */ +#define DMAC_CHCTRLB_TRIGSRC_Msk (0x3Ful << DMAC_CHCTRLB_TRIGSRC_Pos) +#define DMAC_CHCTRLB_TRIGSRC(value) (DMAC_CHCTRLB_TRIGSRC_Msk & ((value) << DMAC_CHCTRLB_TRIGSRC_Pos)) +#define DMAC_CHCTRLB_TRIGSRC_DISABLE_Val 0x0ul /**< \brief (DMAC_CHCTRLB) Only software/event triggers */ +#define DMAC_CHCTRLB_TRIGSRC_DISABLE (DMAC_CHCTRLB_TRIGSRC_DISABLE_Val << DMAC_CHCTRLB_TRIGSRC_Pos) +#define DMAC_CHCTRLB_TRIGACT_Pos 22 /**< \brief (DMAC_CHCTRLB) Trigger Action */ +#define DMAC_CHCTRLB_TRIGACT_Msk (0x3ul << DMAC_CHCTRLB_TRIGACT_Pos) +#define DMAC_CHCTRLB_TRIGACT(value) (DMAC_CHCTRLB_TRIGACT_Msk & ((value) << DMAC_CHCTRLB_TRIGACT_Pos)) +#define DMAC_CHCTRLB_TRIGACT_BLOCK_Val 0x0ul /**< \brief (DMAC_CHCTRLB) One trigger required for each block transfer */ +#define DMAC_CHCTRLB_TRIGACT_BEAT_Val 0x2ul /**< \brief (DMAC_CHCTRLB) One trigger required for each beat transfer */ +#define DMAC_CHCTRLB_TRIGACT_TRANSACTION_Val 0x3ul /**< \brief (DMAC_CHCTRLB) One trigger required for each transaction */ +#define DMAC_CHCTRLB_TRIGACT_BLOCK (DMAC_CHCTRLB_TRIGACT_BLOCK_Val << DMAC_CHCTRLB_TRIGACT_Pos) +#define DMAC_CHCTRLB_TRIGACT_BEAT (DMAC_CHCTRLB_TRIGACT_BEAT_Val << DMAC_CHCTRLB_TRIGACT_Pos) +#define DMAC_CHCTRLB_TRIGACT_TRANSACTION (DMAC_CHCTRLB_TRIGACT_TRANSACTION_Val << DMAC_CHCTRLB_TRIGACT_Pos) +#define DMAC_CHCTRLB_CMD_Pos 24 /**< \brief (DMAC_CHCTRLB) Software Command */ +#define DMAC_CHCTRLB_CMD_Msk (0x3ul << DMAC_CHCTRLB_CMD_Pos) +#define DMAC_CHCTRLB_CMD(value) (DMAC_CHCTRLB_CMD_Msk & ((value) << DMAC_CHCTRLB_CMD_Pos)) +#define DMAC_CHCTRLB_CMD_NOACT_Val 0x0ul /**< \brief (DMAC_CHCTRLB) No action */ +#define DMAC_CHCTRLB_CMD_SUSPEND_Val 0x1ul /**< \brief (DMAC_CHCTRLB) Channel suspend operation */ +#define DMAC_CHCTRLB_CMD_RESUME_Val 0x2ul /**< \brief (DMAC_CHCTRLB) Channel resume operation */ +#define DMAC_CHCTRLB_CMD_NOACT (DMAC_CHCTRLB_CMD_NOACT_Val << DMAC_CHCTRLB_CMD_Pos) +#define DMAC_CHCTRLB_CMD_SUSPEND (DMAC_CHCTRLB_CMD_SUSPEND_Val << DMAC_CHCTRLB_CMD_Pos) +#define DMAC_CHCTRLB_CMD_RESUME (DMAC_CHCTRLB_CMD_RESUME_Val << DMAC_CHCTRLB_CMD_Pos) +#define DMAC_CHCTRLB_MASK 0x03C03F7Ful /**< \brief (DMAC_CHCTRLB) MASK Register */ + +/* -------- DMAC_CHINTENCLR : (DMAC Offset: 0x4C) (R/W 8) Channel Interrupt Enable Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t TERR:1; /*!< bit: 0 Transfer Error Interrupt Enable */ + uint8_t TCMPL:1; /*!< bit: 1 Transfer Complete Interrupt Enable */ + uint8_t SUSP:1; /*!< bit: 2 Channel Suspend Interrupt Enable */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHINTENCLR_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHINTENCLR_OFFSET 0x4C /**< \brief (DMAC_CHINTENCLR offset) Channel Interrupt Enable Clear */ +#define DMAC_CHINTENCLR_RESETVALUE 0x00ul /**< \brief (DMAC_CHINTENCLR reset_value) Channel Interrupt Enable Clear */ + +#define DMAC_CHINTENCLR_TERR_Pos 0 /**< \brief (DMAC_CHINTENCLR) Transfer Error Interrupt Enable */ +#define DMAC_CHINTENCLR_TERR (0x1ul << DMAC_CHINTENCLR_TERR_Pos) +#define DMAC_CHINTENCLR_TCMPL_Pos 1 /**< \brief (DMAC_CHINTENCLR) Transfer Complete Interrupt Enable */ +#define DMAC_CHINTENCLR_TCMPL (0x1ul << DMAC_CHINTENCLR_TCMPL_Pos) +#define DMAC_CHINTENCLR_SUSP_Pos 2 /**< \brief (DMAC_CHINTENCLR) Channel Suspend Interrupt Enable */ +#define DMAC_CHINTENCLR_SUSP (0x1ul << DMAC_CHINTENCLR_SUSP_Pos) +#define DMAC_CHINTENCLR_MASK 0x07ul /**< \brief (DMAC_CHINTENCLR) MASK Register */ + +/* -------- DMAC_CHINTENSET : (DMAC Offset: 0x4D) (R/W 8) Channel Interrupt Enable Set -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t TERR:1; /*!< bit: 0 Transfer Error Interrupt Enable */ + uint8_t TCMPL:1; /*!< bit: 1 Transfer Complete Interrupt Enable */ + uint8_t SUSP:1; /*!< bit: 2 Channel Suspend Interrupt Enable */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHINTENSET_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHINTENSET_OFFSET 0x4D /**< \brief (DMAC_CHINTENSET offset) Channel Interrupt Enable Set */ +#define DMAC_CHINTENSET_RESETVALUE 0x00ul /**< \brief (DMAC_CHINTENSET reset_value) Channel Interrupt Enable Set */ + +#define DMAC_CHINTENSET_TERR_Pos 0 /**< \brief (DMAC_CHINTENSET) Transfer Error Interrupt Enable */ +#define DMAC_CHINTENSET_TERR (0x1ul << DMAC_CHINTENSET_TERR_Pos) +#define DMAC_CHINTENSET_TCMPL_Pos 1 /**< \brief (DMAC_CHINTENSET) Transfer Complete Interrupt Enable */ +#define DMAC_CHINTENSET_TCMPL (0x1ul << DMAC_CHINTENSET_TCMPL_Pos) +#define DMAC_CHINTENSET_SUSP_Pos 2 /**< \brief (DMAC_CHINTENSET) Channel Suspend Interrupt Enable */ +#define DMAC_CHINTENSET_SUSP (0x1ul << DMAC_CHINTENSET_SUSP_Pos) +#define DMAC_CHINTENSET_MASK 0x07ul /**< \brief (DMAC_CHINTENSET) MASK Register */ + +/* -------- DMAC_CHINTFLAG : (DMAC Offset: 0x4E) (R/W 8) Channel Interrupt Flag Status and Clear -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { // __I to avoid read-modify-write on write-to-clear register + struct { + __I uint8_t TERR:1; /*!< bit: 0 Transfer Error */ + __I uint8_t TCMPL:1; /*!< bit: 1 Transfer Complete */ + __I uint8_t SUSP:1; /*!< bit: 2 Channel Suspend */ + __I uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHINTFLAG_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHINTFLAG_OFFSET 0x4E /**< \brief (DMAC_CHINTFLAG offset) Channel Interrupt Flag Status and Clear */ +#define DMAC_CHINTFLAG_RESETVALUE 0x00ul /**< \brief (DMAC_CHINTFLAG reset_value) Channel Interrupt Flag Status and Clear */ + +#define DMAC_CHINTFLAG_TERR_Pos 0 /**< \brief (DMAC_CHINTFLAG) Transfer Error */ +#define DMAC_CHINTFLAG_TERR (0x1ul << DMAC_CHINTFLAG_TERR_Pos) +#define DMAC_CHINTFLAG_TCMPL_Pos 1 /**< \brief (DMAC_CHINTFLAG) Transfer Complete */ +#define DMAC_CHINTFLAG_TCMPL (0x1ul << DMAC_CHINTFLAG_TCMPL_Pos) +#define DMAC_CHINTFLAG_SUSP_Pos 2 /**< \brief (DMAC_CHINTFLAG) Channel Suspend */ +#define DMAC_CHINTFLAG_SUSP (0x1ul << DMAC_CHINTFLAG_SUSP_Pos) +#define DMAC_CHINTFLAG_MASK 0x07ul /**< \brief (DMAC_CHINTFLAG) MASK Register */ + +/* -------- DMAC_CHSTATUS : (DMAC Offset: 0x4F) (R/ 8) Channel Status -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint8_t PEND:1; /*!< bit: 0 Channel Pending */ + uint8_t BUSY:1; /*!< bit: 1 Channel Busy */ + uint8_t FERR:1; /*!< bit: 2 Fetch Error */ + uint8_t :5; /*!< bit: 3.. 7 Reserved */ + } bit; /*!< Structure used for bit access */ + uint8_t reg; /*!< Type used for register access */ +} DMAC_CHSTATUS_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_CHSTATUS_OFFSET 0x4F /**< \brief (DMAC_CHSTATUS offset) Channel Status */ +#define DMAC_CHSTATUS_RESETVALUE 0x00ul /**< \brief (DMAC_CHSTATUS reset_value) Channel Status */ + +#define DMAC_CHSTATUS_PEND_Pos 0 /**< \brief (DMAC_CHSTATUS) Channel Pending */ +#define DMAC_CHSTATUS_PEND (0x1ul << DMAC_CHSTATUS_PEND_Pos) +#define DMAC_CHSTATUS_BUSY_Pos 1 /**< \brief (DMAC_CHSTATUS) Channel Busy */ +#define DMAC_CHSTATUS_BUSY (0x1ul << DMAC_CHSTATUS_BUSY_Pos) +#define DMAC_CHSTATUS_FERR_Pos 2 /**< \brief (DMAC_CHSTATUS) Fetch Error */ +#define DMAC_CHSTATUS_FERR (0x1ul << DMAC_CHSTATUS_FERR_Pos) +#define DMAC_CHSTATUS_MASK 0x07ul /**< \brief (DMAC_CHSTATUS) MASK Register */ + +/* -------- DMAC_BTCTRL : (DMAC Offset: 0x00) (R/W 16) Block Transfer Control -------- */ +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +typedef union { + struct { + uint16_t VALID:1; /*!< bit: 0 Descriptor Valid */ + uint16_t EVOSEL:2; /*!< bit: 1.. 2 Event Output Selection */ + uint16_t BLOCKACT:2; /*!< bit: 3.. 4 Block Action */ + uint16_t :3; /*!< bit: 5.. 7 Reserved */ + uint16_t BEATSIZE:2; /*!< bit: 8.. 9 Beat Size */ + uint16_t SRCINC:1; /*!< bit: 10 Source Address Increment Enable */ + uint16_t DSTINC:1; /*!< bit: 11 Destination Address Increment Enable */ + uint16_t STEPSEL:1; /*!< bit: 12 Step Selection */ + uint16_t STEPSIZE:3; /*!< bit: 13..15 Address Increment Step Size */ + } bit; /*!< Structure used for bit access */ + uint16_t reg; /*!< Type used for register access */ +} DMAC_BTCTRL_Type; +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ + +#define DMAC_BTCTRL_OFFSET 0x00 /**< \brief (DMAC_BTCTRL offset) Block Transfer Control */ +#define DMAC_BTCTRL_RESETVALUE 0x0000ul /**< \brief (DMAC_BTCTRL reset_value) Block Transfer Control */ + +#define DMAC_BTCTRL_VALID_Pos 0 /**< \brief (DMAC_BTCTRL) Descriptor Valid */ +#define DMAC_BTCTRL_VALID (0x1ul << DMAC_BTCTRL_VALID_Pos) +#define DMAC_BTCTRL_EVOSEL_Pos 1 /**< \brief (DMAC_BTCTRL) Event Output Selection */ +#define DMAC_BTCTRL_EVOSEL_Msk (0x3ul << DMAC_BTCTRL_EVOSEL_Pos) +#define DMAC_BTCTRL_EVOSEL(value) (DMAC_BTCTRL_EVOSEL_Msk & ((value) << DMAC_BTCTRL_EVOSEL_Pos)) +#define DMAC_BTCTRL_EVOSEL_DISABLE_Val 0x0ul /**< \brief (DMAC_BTCTRL) Event generation disabled */ +#define DMAC_BTCTRL_EVOSEL_BLOCK_Val 0x1ul /**< \brief (DMAC_BTCTRL) Event strobe when block transfer complete */ +#define DMAC_BTCTRL_EVOSEL_BEAT_Val 0x3ul /**< \brief (DMAC_BTCTRL) Event strobe when beat transfer complete */ +#define DMAC_BTCTRL_EVOSEL_DISABLE (DMAC_BTCTRL_EVOSEL_DISABLE_Val << DMAC_BTCTRL_EVOSEL_Pos) +#define DMAC_BTCTRL_EVOSEL_BLOCK (DMAC_BTCTRL_EVOSEL_BLOCK_Val << DMAC_BTCTRL_EVOSEL_Pos) +#define DMAC_BTCTRL_EVOSEL_BEAT (DMAC_BTCTRL_EVOSEL_BEAT_Val << DMAC_BTCTRL_EVOSEL_Pos) +#define DMAC_BTCTRL_BLOCKACT_Pos 3 /**< \brief (DMAC_BTCTRL) Block Action */ +#define DMAC_BTCTRL_BLOCKACT_Msk (0x3ul << DMAC_BTCTRL_BLOCKACT_Pos) +#define DMAC_BTCTRL_BLOCKACT(value) (DMAC_BTCTRL_BLOCKACT_Msk & ((value) << DMAC_BTCTRL_BLOCKACT_Pos)) +#define DMAC_BTCTRL_BLOCKACT_NOACT_Val 0x0ul /**< \brief (DMAC_BTCTRL) No action */ +#define DMAC_BTCTRL_BLOCKACT_INT_Val 0x1ul /**< \brief (DMAC_BTCTRL) Channel in normal operation and block interrupt */ +#define DMAC_BTCTRL_BLOCKACT_SUSPEND_Val 0x2ul /**< \brief (DMAC_BTCTRL) Channel suspend operation is completed */ +#define DMAC_BTCTRL_BLOCKACT_BOTH_Val 0x3ul /**< \brief (DMAC_BTCTRL) Both channel suspend operation and block interrupt */ +#define DMAC_BTCTRL_BLOCKACT_NOACT (DMAC_BTCTRL_BLOCKACT_NOACT_Val << DMAC_BTCTRL_BLOCKACT_Pos) +#define DMAC_BTCTRL_BLOCKACT_INT (DMAC_BTCTRL_BLOCKACT_INT_Val << DMAC_BTCTRL_BLOCKACT_Pos) +#define DMAC_BTCTRL_BLOCKACT_SUSPEND (DMAC_BTCTRL_BLOCKACT_SUSPEND_Val << DMAC_BTCTRL_BLOCKACT_Pos) +#define DMAC_BTCTRL_BLOCKACT_BOTH (DMAC_BTCTRL_BLOCKACT_BOTH_Val << DMAC_BTCTRL_BLOCKACT_Pos) +#define DMAC_BTCTRL_BEATSIZE_Pos 8 /**< \brief (DMAC_BTCTRL) Beat Size */ +#define DMAC_BTCTRL_BEATSIZE_Msk (0x3ul << DMAC_BTCTRL_BEATSIZE_Pos) +#define DMAC_BTCTRL_BEATSIZE(value) (DMAC_BTCTRL_BEATSIZE_Msk & ((value) << DMAC_BTCTRL_BEATSIZE_Pos)) +#define DMAC_BTCTRL_BEATSIZE_BYTE_Val 0x0ul /**< \brief (DMAC_BTCTRL) 8-bit access */ +#define DMAC_BTCTRL_BEATSIZE_HWORD_Val 0x1ul /**< \brief (DMAC_BTCTRL) 16-bit access */ +#define DMAC_BTCTRL_BEATSIZE_WORD_Val 0x2ul /**< \brief (DMAC_BTCTRL) 32-bit access */ +#define DMAC_BTCTRL_BEATSIZE_BYTE (DMAC_BTCTRL_BEATSIZE_BYTE_Val << DMAC_BTCTRL_BEATSIZE_Pos) +#define DMAC_BTCTRL_BEATSIZE_HWORD (DMAC_BTCTRL_BEATSIZE_HWORD_Val << DMAC_BTCTRL_BEATSIZE_Pos) +#define DMAC_BTCTRL_BEATSIZE_WORD (DMAC_BTCTRL_BEATSIZE_WORD_Val << DMAC_BTCTRL_BEATSIZE_Pos) +#define DMAC_BTCTRL_SRCINC_Pos 10 /**< \brief (DMAC_BTCTRL) Source Address Increment Enable */ +#define DMAC_BTCTRL_SRCINC (0x1ul << DMAC_BTCTRL_SRCINC_Pos) +#define DMAC_BTCTRL_DSTINC_Pos 11 /**< \brief (DMAC_BTCTRL) Destination Address Increment Enable */ +#define DMAC_BTCTRL_DSTINC (0x1ul << DMAC_BTCTRL_DSTINC_Pos) +#define DMAC_BTCTRL_STEPSEL_Pos 12 /**< \brief (DMAC_BTCTRL) Step Selection */ +#define DMAC_BTCTRL_STEPSEL (0x1ul << DMAC_BTCTRL_STEPSEL_Pos) +#define DMAC_BTCTRL_STEPSEL_DST_Val 0x0ul /**< \brief (DMAC_BTCTRL) Step size settings apply to the destination address */ +#define DMAC_BTCTRL_STEPSEL_SRC_Val 0x1ul /**< \brief (DMAC_BTCTRL) Step size settings apply to the source address */ +#define DMAC_BTCTRL_STEPSEL_DST (DMAC_BTCTRL_STEPSEL_DST_Val << DMAC_BTCTRL_STEPSEL_Pos) +#define DMAC_BTCTRL_STEPSEL_SRC (DMAC_BTCTRL_STEPSEL_SRC_Val << DMAC_BTCTRL_STEPSEL_Pos) +#define DMAC_BTCTRL_STEPSIZE_Pos 13 /**< \brief (DMAC_BTCTRL) Address Increment Step Size */ +#define DMAC_BTCTRL_STEPSIZE_Msk (0x7ul << DMAC_BTCTRL_STEPSIZE_Pos) +#define DMAC_BTCTRL_STEPSIZE(value) (DMAC_BTCTRL_STEPSIZE_Msk & ((value) << DMAC_BTCTRL_STEPSIZE_Pos)) +#define DMAC_BTCTRL_STEPSIZE_X1_Val 0x0ul /**< \brief (DMAC_BTCTRL) Next ADDR <- ADDR + (1<Microchip Support + */ + +#ifndef _SAMD21E15A_PIO_ +#define _SAMD21E15A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E15A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15b.h new file mode 100644 index 0000000..3fdf86c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15b.h @@ -0,0 +1,631 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E15B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15B_PIO_ +#define _SAMD21E15B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E15B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15bu.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15bu.h new file mode 100644 index 0000000..3097e76 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15bu.h @@ -0,0 +1,631 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E15BU + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15BU_PIO_ +#define _SAMD21E15BU_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E15BU_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15l.h new file mode 100644 index 0000000..4754473 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e15l.h @@ -0,0 +1,610 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E15L + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15L_PIO_ +#define _SAMD21E15L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) + +#endif /* _SAMD21E15L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16a.h new file mode 100644 index 0000000..6798cf3 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16a.h @@ -0,0 +1,634 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E16A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21E16A_PIO_ +#define _SAMD21E16A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E16A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16b.h new file mode 100644 index 0000000..a892941 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16b.h @@ -0,0 +1,631 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E16B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16B_PIO_ +#define _SAMD21E16B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E16B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16bu.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16bu.h new file mode 100644 index 0000000..a85c292 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16bu.h @@ -0,0 +1,631 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E16BU + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16BU_PIO_ +#define _SAMD21E16BU_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E16BU_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16l.h new file mode 100644 index 0000000..c766825 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e16l.h @@ -0,0 +1,610 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E16L + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16L_PIO_ +#define _SAMD21E16L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) + +#endif /* _SAMD21E16L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17a.h new file mode 100644 index 0000000..f84b2f2 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17a.h @@ -0,0 +1,634 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E17A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21E17A_PIO_ +#define _SAMD21E17A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E17A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17d.h new file mode 100644 index 0000000..e0c91a8 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17d.h @@ -0,0 +1,700 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21E17D_PIO_ +#define _SAMD21E17D_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA00 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA01 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA28A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PA28 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA27A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA27 External Interrupt Line */ +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul<< 3) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul<< 4) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) +#define PIN_PA27F_TCC3_WO6 27L /**< \brief TCC3 signal: WO6 on PA27 mux F */ +#define MUX_PA27F_TCC3_WO6 5L +#define PINMUX_PA27F_TCC3_WO6 ((PIN_PA27F_TCC3_WO6 << 16) | MUX_PA27F_TCC3_WO6) +#define PORT_PA27F_TCC3_WO6 (1ul << 27) +#define PIN_PA28F_TCC3_WO7 28L /**< \brief TCC3 signal: WO7 on PA28 mux F */ +#define MUX_PA28F_TCC3_WO7 5L +#define PINMUX_PA28F_TCC3_WO7 ((PIN_PA28F_TCC3_WO7 << 16) | MUX_PA28F_TCC3_WO7) +#define PORT_PA28F_TCC3_WO7 (1ul << 28) + +#endif /* _SAMD21E17D_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17du.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17du.h new file mode 100644 index 0000000..9de0bc7 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17du.h @@ -0,0 +1,700 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E17DU + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21E17DU_PIO_ +#define _SAMD21E17DU_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA00 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA01 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA28A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PA28 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA27A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA27 External Interrupt Line */ +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul<< 3) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul<< 4) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) +#define PIN_PA27F_TCC3_WO6 27L /**< \brief TCC3 signal: WO6 on PA27 mux F */ +#define MUX_PA27F_TCC3_WO6 5L +#define PINMUX_PA27F_TCC3_WO6 ((PIN_PA27F_TCC3_WO6 << 16) | MUX_PA27F_TCC3_WO6) +#define PORT_PA27F_TCC3_WO6 (1ul << 27) +#define PIN_PA28F_TCC3_WO7 28L /**< \brief TCC3 signal: WO7 on PA28 mux F */ +#define MUX_PA28F_TCC3_WO7 5L +#define PINMUX_PA28F_TCC3_WO7 ((PIN_PA28F_TCC3_WO7 << 16) | MUX_PA28F_TCC3_WO7) +#define PORT_PA28F_TCC3_WO7 (1ul << 28) + +#endif /* _SAMD21E17DU_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17l.h new file mode 100644 index 0000000..c8185d9 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e17l.h @@ -0,0 +1,676 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E17L + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E17L_PIO_ +#define _SAMD21E17L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PB02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PB03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PB03 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PB04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PB04 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PB05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PB05 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul << 3) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul << 4) +#define PIN_PB02F_TCC3_WO2 34L /**< \brief TCC3 signal: WO2 on PB02 mux F */ +#define MUX_PB02F_TCC3_WO2 5L +#define PINMUX_PB02F_TCC3_WO2 ((PIN_PB02F_TCC3_WO2 << 16) | MUX_PB02F_TCC3_WO2) +#define PORT_PB02F_TCC3_WO2 (1ul << 2) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PB03F_TCC3_WO3 35L /**< \brief TCC3 signal: WO3 on PB03 mux F */ +#define MUX_PB03F_TCC3_WO3 5L +#define PINMUX_PB03F_TCC3_WO3 ((PIN_PB03F_TCC3_WO3 << 16) | MUX_PB03F_TCC3_WO3) +#define PORT_PB03F_TCC3_WO3 (1ul << 3) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) + +#endif /* _SAMD21E17L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e18a.h new file mode 100644 index 0000000..61f15d5 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21e18a.h @@ -0,0 +1,634 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21E18A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21E18A_PIO_ +#define _SAMD21E18A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21E18A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15a.h new file mode 100644 index 0000000..1c51f21 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15a.h @@ -0,0 +1,908 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G15A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G15A_PIO_ +#define _SAMD21G15A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G15A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15b.h new file mode 100644 index 0000000..df61e19 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15b.h @@ -0,0 +1,905 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G15B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G15B_PIO_ +#define _SAMD21G15B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G15B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15l.h new file mode 100644 index 0000000..cf3d776 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g15l.h @@ -0,0 +1,894 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G15L + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G15L_PIO_ +#define _SAMD21G15L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) + +#endif /* _SAMD21G15L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16a.h new file mode 100644 index 0000000..398234c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16a.h @@ -0,0 +1,908 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G16A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G16A_PIO_ +#define _SAMD21G16A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G16A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16b.h new file mode 100644 index 0000000..ed463a8 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16b.h @@ -0,0 +1,905 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G16B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G16B_PIO_ +#define _SAMD21G16B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G16B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16l.h new file mode 100644 index 0000000..41c64ba --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g16l.h @@ -0,0 +1,894 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G16L + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G16L_PIO_ +#define _SAMD21G16L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) + +#endif /* _SAMD21G16L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17a.h new file mode 100644 index 0000000..4284e10 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17a.h @@ -0,0 +1,908 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G17A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G17A_PIO_ +#define _SAMD21G17A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G17A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17au.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17au.h new file mode 100644 index 0000000..8807b13 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17au.h @@ -0,0 +1,856 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G17AU + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + /* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G17AU_PIO_ +#define _SAMD21G17AU_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G17AU_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17d.h new file mode 100644 index 0000000..5355bcc --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17d.h @@ -0,0 +1,1010 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G17D_PIO_ +#define _SAMD21G17D_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA00 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA01 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PB02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PB03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PB03 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA20A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA20 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA21A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA21 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PB22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PB23 External Interrupt Line */ +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA28A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PA28 External Interrupt Line */ +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PB08A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PB08 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PB09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PB10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PB10 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PB11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PB11 External Interrupt Line */ +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA12A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA12 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA13A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA13 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA27A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA27 External Interrupt Line */ +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PB22F_TCC3_WO0 54L /**< \brief TCC3 signal: WO0 on PB22 mux F */ +#define MUX_PB22F_TCC3_WO0 5L +#define PINMUX_PB22F_TCC3_WO0 ((PIN_PB22F_TCC3_WO0 << 16) | MUX_PB22F_TCC3_WO0) +#define PORT_PB22F_TCC3_WO0 (1ul << 22) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul << 3) +#define PIN_PB23F_TCC3_WO1 55L /**< \brief TCC3 signal: WO1 on PB23 mux F */ +#define MUX_PB23F_TCC3_WO1 5L +#define PINMUX_PB23F_TCC3_WO1 ((PIN_PB23F_TCC3_WO1 << 16) | MUX_PB23F_TCC3_WO1) +#define PORT_PB23F_TCC3_WO1 (1ul << 23) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul << 4) +#define PIN_PB02F_TCC3_WO2 34L /**< \brief TCC3 signal: WO2 on PB02 mux F */ +#define MUX_PB02F_TCC3_WO2 5L +#define PINMUX_PB02F_TCC3_WO2 ((PIN_PB02F_TCC3_WO2 << 16) | MUX_PB02F_TCC3_WO2) +#define PORT_PB02F_TCC3_WO2 (1ul << 2) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PB03F_TCC3_WO3 35L /**< \brief TCC3 signal: WO3 on PB03 mux F */ +#define MUX_PB03F_TCC3_WO3 5L +#define PINMUX_PB03F_TCC3_WO3 ((PIN_PB03F_TCC3_WO3 << 16) | MUX_PB03F_TCC3_WO3) +#define PORT_PB03F_TCC3_WO3 (1ul << 3) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) +#define PIN_PA27F_TCC3_WO6 27L /**< \brief TCC3 signal: WO6 on PA27 mux F */ +#define MUX_PA27F_TCC3_WO6 5L +#define PINMUX_PA27F_TCC3_WO6 ((PIN_PA27F_TCC3_WO6 << 16) | MUX_PA27F_TCC3_WO6) +#define PORT_PA27F_TCC3_WO6 (1ul << 27) +#define PIN_PB08F_TCC3_WO6 40L /**< \brief TCC3 signal: WO6 on PB08 mux F */ +#define MUX_PB08F_TCC3_WO6 5L +#define PINMUX_PB08F_TCC3_WO6 ((PIN_PB08F_TCC3_WO6 << 16) | MUX_PB08F_TCC3_WO6) +#define PORT_PB08F_TCC3_WO6 (1ul << 8) +#define PIN_PA28F_TCC3_WO7 28L /**< \brief TCC3 signal: WO7 on PA28 mux F */ +#define MUX_PA28F_TCC3_WO7 5L +#define PINMUX_PA28F_TCC3_WO7 ((PIN_PA28F_TCC3_WO7 << 16) | MUX_PA28F_TCC3_WO7) +#define PORT_PA28F_TCC3_WO7 (1ul << 28) +#define PIN_PB09F_TCC3_WO7 41L /**< \brief TCC3 signal: WO7 on PB09 mux F */ +#define MUX_PB09F_TCC3_WO7 5L +#define PINMUX_PB09F_TCC3_WO7 ((PIN_PB09F_TCC3_WO7 << 16) | MUX_PB09F_TCC3_WO7) +#define PORT_PB09F_TCC3_WO7 (1ul << 9) + +#endif /* _SAMD21G17D_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17l.h new file mode 100644 index 0000000..b395916 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g17l.h @@ -0,0 +1,988 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G17L + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G17L_PIO_ +#define _SAMD21G17L_PIO_ + +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PB00 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PB01 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PB02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PB03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PB03 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA20A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA20 External Interrupt Line */ +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PB04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PB04 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA21A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA21 External Interrupt Line */ +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PB05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PB05 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA28A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PA28 External Interrupt Line */ +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PB08A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PB08 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PB09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PB10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PB10 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PB11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PB11 External Interrupt Line */ +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA12A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA12 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA13A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA13 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA27A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA27 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for AC1 peripheral ========== */ +#define PIN_PB04B_AC1_AIN0 36L /**< \brief AC1 signal: AIN0 on PB04 mux B */ +#define MUX_PB04B_AC1_AIN0 1L +#define PINMUX_PB04B_AC1_AIN0 ((PIN_PB04B_AC1_AIN0 << 16) | MUX_PB04B_AC1_AIN0) +#define PORT_PB04B_AC1_AIN0 (1ul << 4) +#define PIN_PB05B_AC1_AIN1 37L /**< \brief AC1 signal: AIN1 on PB05 mux B */ +#define MUX_PB05B_AC1_AIN1 1L +#define PINMUX_PB05B_AC1_AIN1 ((PIN_PB05B_AC1_AIN1 << 16) | MUX_PB05B_AC1_AIN1) +#define PORT_PB05B_AC1_AIN1 (1ul << 5) +#define PIN_PB02B_AC1_AIN2 34L /**< \brief AC1 signal: AIN2 on PB02 mux B */ +#define MUX_PB02B_AC1_AIN2 1L +#define PINMUX_PB02B_AC1_AIN2 ((PIN_PB02B_AC1_AIN2 << 16) | MUX_PB02B_AC1_AIN2) +#define PORT_PB02B_AC1_AIN2 (1ul << 2) +#define PIN_PB03B_AC1_AIN3 35L /**< \brief AC1 signal: AIN3 on PB03 mux B */ +#define MUX_PB03B_AC1_AIN3 1L +#define PINMUX_PB03B_AC1_AIN3 ((PIN_PB03B_AC1_AIN3 << 16) | MUX_PB03B_AC1_AIN3) +#define PORT_PB03B_AC1_AIN3 (1ul << 3) +#define PIN_PA24H_AC1_CMP0 24L /**< \brief AC1 signal: CMP0 on PA24 mux H */ +#define MUX_PA24H_AC1_CMP0 7L +#define PINMUX_PA24H_AC1_CMP0 ((PIN_PA24H_AC1_CMP0 << 16) | MUX_PA24H_AC1_CMP0) +#define PORT_PA24H_AC1_CMP0 (1ul << 24) +#define PIN_PA25H_AC1_CMP1 25L /**< \brief AC1 signal: CMP1 on PA25 mux H */ +#define MUX_PA25H_AC1_CMP1 7L +#define PINMUX_PA25H_AC1_CMP1 ((PIN_PA25H_AC1_CMP1 << 16) | MUX_PA25H_AC1_CMP1) +#define PORT_PA25H_AC1_CMP1 (1ul << 25) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul << 3) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul << 4) +#define PIN_PB02F_TCC3_WO2 34L /**< \brief TCC3 signal: WO2 on PB02 mux F */ +#define MUX_PB02F_TCC3_WO2 5L +#define PINMUX_PB02F_TCC3_WO2 ((PIN_PB02F_TCC3_WO2 << 16) | MUX_PB02F_TCC3_WO2) +#define PORT_PB02F_TCC3_WO2 (1ul << 2) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PB03F_TCC3_WO3 35L /**< \brief TCC3 signal: WO3 on PB03 mux F */ +#define MUX_PB03F_TCC3_WO3 5L +#define PINMUX_PB03F_TCC3_WO3 ((PIN_PB03F_TCC3_WO3 << 16) | MUX_PB03F_TCC3_WO3) +#define PORT_PB03F_TCC3_WO3 (1ul << 3) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) +#define PIN_PA27F_TCC3_WO6 27L /**< \brief TCC3 signal: WO6 on PA27 mux F */ +#define MUX_PA27F_TCC3_WO6 5L +#define PINMUX_PA27F_TCC3_WO6 ((PIN_PA27F_TCC3_WO6 << 16) | MUX_PA27F_TCC3_WO6) +#define PORT_PA27F_TCC3_WO6 (1ul << 27) +#define PIN_PB08F_TCC3_WO6 40L /**< \brief TCC3 signal: WO6 on PB08 mux F */ +#define MUX_PB08F_TCC3_WO6 5L +#define PINMUX_PB08F_TCC3_WO6 ((PIN_PB08F_TCC3_WO6 << 16) | MUX_PB08F_TCC3_WO6) +#define PORT_PB08F_TCC3_WO6 (1ul << 8) +#define PIN_PA28F_TCC3_WO7 28L /**< \brief TCC3 signal: WO7 on PA28 mux F */ +#define MUX_PA28F_TCC3_WO7 5L +#define PINMUX_PA28F_TCC3_WO7 ((PIN_PA28F_TCC3_WO7 << 16) | MUX_PA28F_TCC3_WO7) +#define PORT_PA28F_TCC3_WO7 (1ul << 28) +#define PIN_PB09F_TCC3_WO7 41L /**< \brief TCC3 signal: WO7 on PB09 mux F */ +#define MUX_PB09F_TCC3_WO7 5L +#define PINMUX_PB09F_TCC3_WO7 ((PIN_PB09F_TCC3_WO7 << 16) | MUX_PB09F_TCC3_WO7) +#define PORT_PB09F_TCC3_WO7 (1ul << 9) + +#endif /* _SAMD21G17L_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18a.h new file mode 100644 index 0000000..ed5727c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18a.h @@ -0,0 +1,908 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G18A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G18A_PIO_ +#define _SAMD21G18A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G18A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18au.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18au.h new file mode 100644 index 0000000..d2692f2 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21g18au.h @@ -0,0 +1,856 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21G18AU + * + * Copyright (c) 2015-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + /* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21G18AU_PIO_ +#define _SAMD21G18AU_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) + +#endif /* _SAMD21G18AU_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15a.h new file mode 100644 index 0000000..c067dac --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15a.h @@ -0,0 +1,1182 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J15A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21J15A_PIO_ +#define _SAMD21J15A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J15A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15b.h new file mode 100644 index 0000000..40e56d3 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j15b.h @@ -0,0 +1,1179 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J15B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J15B_PIO_ +#define _SAMD21J15B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J15B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16a.h new file mode 100644 index 0000000..8a31bdf --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16a.h @@ -0,0 +1,1182 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J16A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21J16A_PIO_ +#define _SAMD21J16A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J16A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16b.h new file mode 100644 index 0000000..ce6cbf8 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j16b.h @@ -0,0 +1,1179 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J16B + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J16B_PIO_ +#define _SAMD21J16B_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J16B_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17a.h new file mode 100644 index 0000000..6ce8855 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17a.h @@ -0,0 +1,1182 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J17A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21J17A_PIO_ +#define _SAMD21J17A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J17A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17d.h new file mode 100644 index 0000000..51393ef --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j17d.h @@ -0,0 +1,1297 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21J17D_PIO_ +#define _SAMD21J17D_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA16 External Interrupt Line */ +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PB00 External Interrupt Line */ +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB16A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PB16 External Interrupt Line */ +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA00A_EIC_EXTINT_NUM 0L /**< \brief EIC signal: PIN_PA00 External Interrupt Line */ +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA17 External Interrupt Line */ +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PB01 External Interrupt Line */ +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB17A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PB17 External Interrupt Line */ +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA01A_EIC_EXTINT_NUM 1L /**< \brief EIC signal: PIN_PA01 External Interrupt Line */ +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA18A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA18 External Interrupt Line */ +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PA02 External Interrupt Line */ +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT_NUM 2L /**< \brief EIC signal: PIN_PB02 External Interrupt Line */ +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA03 External Interrupt Line */ +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PA19A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PA19 External Interrupt Line */ +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PB03A_EIC_EXTINT_NUM 3L /**< \brief EIC signal: PIN_PB03 External Interrupt Line */ +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA04 External Interrupt Line */ +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PA20A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PA20 External Interrupt Line */ +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PB04A_EIC_EXTINT_NUM 4L /**< \brief EIC signal: PIN_PB04 External Interrupt Line */ +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA05 External Interrupt Line */ +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PA21A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PA21 External Interrupt Line */ +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PB05A_EIC_EXTINT_NUM 5L /**< \brief EIC signal: PIN_PB05 External Interrupt Line */ +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA06 External Interrupt Line */ +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PA22 External Interrupt Line */ +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB06A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PB06 External Interrupt Line */ +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB22A_EIC_EXTINT_NUM 6L /**< \brief EIC signal: PIN_PB22 External Interrupt Line */ +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA07 External Interrupt Line */ +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PA23 External Interrupt Line */ +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB07A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PB07 External Interrupt Line */ +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB23A_EIC_EXTINT_NUM 7L /**< \brief EIC signal: PIN_PB23 External Interrupt Line */ +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PA28A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PA28 External Interrupt Line */ +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PB08A_EIC_EXTINT_NUM 8L /**< \brief EIC signal: PIN_PB08 External Interrupt Line */ +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PA09 External Interrupt Line */ +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT_NUM 9L /**< \brief EIC signal: PIN_PB09 External Interrupt Line */ +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA10 External Interrupt Line */ +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PA30A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PA30 External Interrupt Line */ +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PB10A_EIC_EXTINT_NUM 10L /**< \brief EIC signal: PIN_PB10 External Interrupt Line */ +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA11 External Interrupt Line */ +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PA31A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PA31 External Interrupt Line */ +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PB11A_EIC_EXTINT_NUM 11L /**< \brief EIC signal: PIN_PB11 External Interrupt Line */ +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA12A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA12 External Interrupt Line */ +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PA24A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PA24 External Interrupt Line */ +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PB12A_EIC_EXTINT_NUM 12L /**< \brief EIC signal: PIN_PB12 External Interrupt Line */ +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA13A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA13 External Interrupt Line */ +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PA25A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PA25 External Interrupt Line */ +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB13A_EIC_EXTINT_NUM 13L /**< \brief EIC signal: PIN_PB13 External Interrupt Line */ +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PB14 External Interrupt Line */ +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PB30A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PB30 External Interrupt Line */ +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA14A_EIC_EXTINT_NUM 14L /**< \brief EIC signal: PIN_PA14 External Interrupt Line */ +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA15 External Interrupt Line */ +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PA27A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PA27 External Interrupt Line */ +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB15A_EIC_EXTINT_NUM 15L /**< \brief EIC signal: PIN_PB15 External Interrupt Line */ +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) +/* ========== PORT definition for TCC3 peripheral ========== */ +#define PIN_PA02F_TCC3_WO0 2L /**< \brief TCC3 signal: WO0 on PA02 mux F */ +#define MUX_PA02F_TCC3_WO0 5L +#define PINMUX_PA02F_TCC3_WO0 ((PIN_PA02F_TCC3_WO0 << 16) | MUX_PA02F_TCC3_WO0) +#define PORT_PA02F_TCC3_WO0 (1ul << 2) +#define PIN_PB22F_TCC3_WO0 54L /**< \brief TCC3 signal: WO0 on PB22 mux F */ +#define MUX_PB22F_TCC3_WO0 5L +#define PINMUX_PB22F_TCC3_WO0 ((PIN_PB22F_TCC3_WO0 << 16) | MUX_PB22F_TCC3_WO0) +#define PORT_PB22F_TCC3_WO0 (1ul << 22) +#define PIN_PA03F_TCC3_WO1 3L /**< \brief TCC3 signal: WO1 on PA03 mux F */ +#define MUX_PA03F_TCC3_WO1 5L +#define PINMUX_PA03F_TCC3_WO1 ((PIN_PA03F_TCC3_WO1 << 16) | MUX_PA03F_TCC3_WO1) +#define PORT_PA03F_TCC3_WO1 (1ul << 3) +#define PIN_PB23F_TCC3_WO1 55L /**< \brief TCC3 signal: WO1 on PB23 mux F */ +#define MUX_PB23F_TCC3_WO1 5L +#define PINMUX_PB23F_TCC3_WO1 ((PIN_PB23F_TCC3_WO1 << 16) | MUX_PB23F_TCC3_WO1) +#define PORT_PB23F_TCC3_WO1 (1ul << 23) +#define PIN_PA04F_TCC3_WO2 4L /**< \brief TCC3 signal: WO2 on PA04 mux F */ +#define MUX_PA04F_TCC3_WO2 5L +#define PINMUX_PA04F_TCC3_WO2 ((PIN_PA04F_TCC3_WO2 << 16) | MUX_PA04F_TCC3_WO2) +#define PORT_PA04F_TCC3_WO2 (1ul << 4) +#define PIN_PB02F_TCC3_WO2 34L /**< \brief TCC3 signal: WO2 on PB02 mux F */ +#define MUX_PB02F_TCC3_WO2 5L +#define PINMUX_PB02F_TCC3_WO2 ((PIN_PB02F_TCC3_WO2 << 16) | MUX_PB02F_TCC3_WO2) +#define PORT_PB02F_TCC3_WO2 (1ul << 2) +#define PIN_PA05F_TCC3_WO3 5L /**< \brief TCC3 signal: WO3 on PA05 mux F */ +#define MUX_PA05F_TCC3_WO3 5L +#define PINMUX_PA05F_TCC3_WO3 ((PIN_PA05F_TCC3_WO3 << 16) | MUX_PA05F_TCC3_WO3) +#define PORT_PA05F_TCC3_WO3 (1ul << 5) +#define PIN_PB03F_TCC3_WO3 35L /**< \brief TCC3 signal: WO3 on PB03 mux F */ +#define MUX_PB03F_TCC3_WO3 5L +#define PINMUX_PB03F_TCC3_WO3 ((PIN_PB03F_TCC3_WO3 << 16) | MUX_PB03F_TCC3_WO3) +#define PORT_PB03F_TCC3_WO3 (1ul << 3) +#define PIN_PA06F_TCC3_WO4 6L /**< \brief TCC3 signal: WO4 on PA06 mux F */ +#define MUX_PA06F_TCC3_WO4 5L +#define PINMUX_PA06F_TCC3_WO4 ((PIN_PA06F_TCC3_WO4 << 16) | MUX_PA06F_TCC3_WO4) +#define PORT_PA06F_TCC3_WO4 (1ul << 6) +#define PIN_PA30F_TCC3_WO4 30L /**< \brief TCC3 signal: WO4 on PA30 mux F */ +#define MUX_PA30F_TCC3_WO4 5L +#define PINMUX_PA30F_TCC3_WO4 ((PIN_PA30F_TCC3_WO4 << 16) | MUX_PA30F_TCC3_WO4) +#define PORT_PA30F_TCC3_WO4 (1ul << 30) +#define PIN_PA07F_TCC3_WO5 7L /**< \brief TCC3 signal: WO5 on PA07 mux F */ +#define MUX_PA07F_TCC3_WO5 5L +#define PINMUX_PA07F_TCC3_WO5 ((PIN_PA07F_TCC3_WO5 << 16) | MUX_PA07F_TCC3_WO5) +#define PORT_PA07F_TCC3_WO5 (1ul << 7) +#define PIN_PA31F_TCC3_WO5 31L /**< \brief TCC3 signal: WO5 on PA31 mux F */ +#define MUX_PA31F_TCC3_WO5 5L +#define PINMUX_PA31F_TCC3_WO5 ((PIN_PA31F_TCC3_WO5 << 16) | MUX_PA31F_TCC3_WO5) +#define PORT_PA31F_TCC3_WO5 (1ul << 31) +#define PIN_PA27F_TCC3_WO6 27L /**< \brief TCC3 signal: WO6 on PA27 mux F */ +#define MUX_PA27F_TCC3_WO6 5L +#define PINMUX_PA27F_TCC3_WO6 ((PIN_PA27F_TCC3_WO6 << 16) | MUX_PA27F_TCC3_WO6) +#define PORT_PA27F_TCC3_WO6 (1ul << 27) +#define PIN_PB08F_TCC3_WO6 40L /**< \brief TCC3 signal: WO6 on PB08 mux F */ +#define MUX_PB08F_TCC3_WO6 5L +#define PINMUX_PB08F_TCC3_WO6 ((PIN_PB08F_TCC3_WO6 << 16) | MUX_PB08F_TCC3_WO6) +#define PORT_PB08F_TCC3_WO6 (1ul << 8) +#define PIN_PA28F_TCC3_WO7 28L /**< \brief TCC3 signal: WO7 on PA28 mux F */ +#define MUX_PA28F_TCC3_WO7 5L +#define PINMUX_PA28F_TCC3_WO7 ((PIN_PA28F_TCC3_WO7 << 16) | MUX_PA28F_TCC3_WO7) +#define PORT_PA28F_TCC3_WO7 (1ul << 28) +#define PIN_PB09F_TCC3_WO7 41L /**< \brief TCC3 signal: WO7 on PB09 mux F */ +#define MUX_PB09F_TCC3_WO7 5L +#define PINMUX_PB09F_TCC3_WO7 ((PIN_PB09F_TCC3_WO7 << 16) | MUX_PB09F_TCC3_WO7) +#define PORT_PB09F_TCC3_WO7 (1ul << 9) + +#endif /* _SAMD21J17D_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h new file mode 100644 index 0000000..b1ac18f --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h @@ -0,0 +1,1182 @@ +/** + * \file + * + * \brief Peripheral I/O description for SAMD21J18A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21J18A_PIO_ +#define _SAMD21J18A_PIO_ + +#define PIN_PA00 0 /**< \brief Pin Number for PA00 */ +#define PORT_PA00 (1ul << 0) /**< \brief PORT Mask for PA00 */ +#define PIN_PA01 1 /**< \brief Pin Number for PA01 */ +#define PORT_PA01 (1ul << 1) /**< \brief PORT Mask for PA01 */ +#define PIN_PA02 2 /**< \brief Pin Number for PA02 */ +#define PORT_PA02 (1ul << 2) /**< \brief PORT Mask for PA02 */ +#define PIN_PA03 3 /**< \brief Pin Number for PA03 */ +#define PORT_PA03 (1ul << 3) /**< \brief PORT Mask for PA03 */ +#define PIN_PA04 4 /**< \brief Pin Number for PA04 */ +#define PORT_PA04 (1ul << 4) /**< \brief PORT Mask for PA04 */ +#define PIN_PA05 5 /**< \brief Pin Number for PA05 */ +#define PORT_PA05 (1ul << 5) /**< \brief PORT Mask for PA05 */ +#define PIN_PA06 6 /**< \brief Pin Number for PA06 */ +#define PORT_PA06 (1ul << 6) /**< \brief PORT Mask for PA06 */ +#define PIN_PA07 7 /**< \brief Pin Number for PA07 */ +#define PORT_PA07 (1ul << 7) /**< \brief PORT Mask for PA07 */ +#define PIN_PA08 8 /**< \brief Pin Number for PA08 */ +#define PORT_PA08 (1ul << 8) /**< \brief PORT Mask for PA08 */ +#define PIN_PA09 9 /**< \brief Pin Number for PA09 */ +#define PORT_PA09 (1ul << 9) /**< \brief PORT Mask for PA09 */ +#define PIN_PA10 10 /**< \brief Pin Number for PA10 */ +#define PORT_PA10 (1ul << 10) /**< \brief PORT Mask for PA10 */ +#define PIN_PA11 11 /**< \brief Pin Number for PA11 */ +#define PORT_PA11 (1ul << 11) /**< \brief PORT Mask for PA11 */ +#define PIN_PA12 12 /**< \brief Pin Number for PA12 */ +#define PORT_PA12 (1ul << 12) /**< \brief PORT Mask for PA12 */ +#define PIN_PA13 13 /**< \brief Pin Number for PA13 */ +#define PORT_PA13 (1ul << 13) /**< \brief PORT Mask for PA13 */ +#define PIN_PA14 14 /**< \brief Pin Number for PA14 */ +#define PORT_PA14 (1ul << 14) /**< \brief PORT Mask for PA14 */ +#define PIN_PA15 15 /**< \brief Pin Number for PA15 */ +#define PORT_PA15 (1ul << 15) /**< \brief PORT Mask for PA15 */ +#define PIN_PA16 16 /**< \brief Pin Number for PA16 */ +#define PORT_PA16 (1ul << 16) /**< \brief PORT Mask for PA16 */ +#define PIN_PA17 17 /**< \brief Pin Number for PA17 */ +#define PORT_PA17 (1ul << 17) /**< \brief PORT Mask for PA17 */ +#define PIN_PA18 18 /**< \brief Pin Number for PA18 */ +#define PORT_PA18 (1ul << 18) /**< \brief PORT Mask for PA18 */ +#define PIN_PA19 19 /**< \brief Pin Number for PA19 */ +#define PORT_PA19 (1ul << 19) /**< \brief PORT Mask for PA19 */ +#define PIN_PA20 20 /**< \brief Pin Number for PA20 */ +#define PORT_PA20 (1ul << 20) /**< \brief PORT Mask for PA20 */ +#define PIN_PA21 21 /**< \brief Pin Number for PA21 */ +#define PORT_PA21 (1ul << 21) /**< \brief PORT Mask for PA21 */ +#define PIN_PA22 22 /**< \brief Pin Number for PA22 */ +#define PORT_PA22 (1ul << 22) /**< \brief PORT Mask for PA22 */ +#define PIN_PA23 23 /**< \brief Pin Number for PA23 */ +#define PORT_PA23 (1ul << 23) /**< \brief PORT Mask for PA23 */ +#define PIN_PA24 24 /**< \brief Pin Number for PA24 */ +#define PORT_PA24 (1ul << 24) /**< \brief PORT Mask for PA24 */ +#define PIN_PA25 25 /**< \brief Pin Number for PA25 */ +#define PORT_PA25 (1ul << 25) /**< \brief PORT Mask for PA25 */ +#define PIN_PA27 27 /**< \brief Pin Number for PA27 */ +#define PORT_PA27 (1ul << 27) /**< \brief PORT Mask for PA27 */ +#define PIN_PA28 28 /**< \brief Pin Number for PA28 */ +#define PORT_PA28 (1ul << 28) /**< \brief PORT Mask for PA28 */ +#define PIN_PA30 30 /**< \brief Pin Number for PA30 */ +#define PORT_PA30 (1ul << 30) /**< \brief PORT Mask for PA30 */ +#define PIN_PA31 31 /**< \brief Pin Number for PA31 */ +#define PORT_PA31 (1ul << 31) /**< \brief PORT Mask for PA31 */ +#define PIN_PB00 32 /**< \brief Pin Number for PB00 */ +#define PORT_PB00 (1ul << 0) /**< \brief PORT Mask for PB00 */ +#define PIN_PB01 33 /**< \brief Pin Number for PB01 */ +#define PORT_PB01 (1ul << 1) /**< \brief PORT Mask for PB01 */ +#define PIN_PB02 34 /**< \brief Pin Number for PB02 */ +#define PORT_PB02 (1ul << 2) /**< \brief PORT Mask for PB02 */ +#define PIN_PB03 35 /**< \brief Pin Number for PB03 */ +#define PORT_PB03 (1ul << 3) /**< \brief PORT Mask for PB03 */ +#define PIN_PB04 36 /**< \brief Pin Number for PB04 */ +#define PORT_PB04 (1ul << 4) /**< \brief PORT Mask for PB04 */ +#define PIN_PB05 37 /**< \brief Pin Number for PB05 */ +#define PORT_PB05 (1ul << 5) /**< \brief PORT Mask for PB05 */ +#define PIN_PB06 38 /**< \brief Pin Number for PB06 */ +#define PORT_PB06 (1ul << 6) /**< \brief PORT Mask for PB06 */ +#define PIN_PB07 39 /**< \brief Pin Number for PB07 */ +#define PORT_PB07 (1ul << 7) /**< \brief PORT Mask for PB07 */ +#define PIN_PB08 40 /**< \brief Pin Number for PB08 */ +#define PORT_PB08 (1ul << 8) /**< \brief PORT Mask for PB08 */ +#define PIN_PB09 41 /**< \brief Pin Number for PB09 */ +#define PORT_PB09 (1ul << 9) /**< \brief PORT Mask for PB09 */ +#define PIN_PB10 42 /**< \brief Pin Number for PB10 */ +#define PORT_PB10 (1ul << 10) /**< \brief PORT Mask for PB10 */ +#define PIN_PB11 43 /**< \brief Pin Number for PB11 */ +#define PORT_PB11 (1ul << 11) /**< \brief PORT Mask for PB11 */ +#define PIN_PB12 44 /**< \brief Pin Number for PB12 */ +#define PORT_PB12 (1ul << 12) /**< \brief PORT Mask for PB12 */ +#define PIN_PB13 45 /**< \brief Pin Number for PB13 */ +#define PORT_PB13 (1ul << 13) /**< \brief PORT Mask for PB13 */ +#define PIN_PB14 46 /**< \brief Pin Number for PB14 */ +#define PORT_PB14 (1ul << 14) /**< \brief PORT Mask for PB14 */ +#define PIN_PB15 47 /**< \brief Pin Number for PB15 */ +#define PORT_PB15 (1ul << 15) /**< \brief PORT Mask for PB15 */ +#define PIN_PB16 48 /**< \brief Pin Number for PB16 */ +#define PORT_PB16 (1ul << 16) /**< \brief PORT Mask for PB16 */ +#define PIN_PB17 49 /**< \brief Pin Number for PB17 */ +#define PORT_PB17 (1ul << 17) /**< \brief PORT Mask for PB17 */ +#define PIN_PB22 54 /**< \brief Pin Number for PB22 */ +#define PORT_PB22 (1ul << 22) /**< \brief PORT Mask for PB22 */ +#define PIN_PB23 55 /**< \brief Pin Number for PB23 */ +#define PORT_PB23 (1ul << 23) /**< \brief PORT Mask for PB23 */ +#define PIN_PB30 62 /**< \brief Pin Number for PB30 */ +#define PORT_PB30 (1ul << 30) /**< \brief PORT Mask for PB30 */ +#define PIN_PB31 63 /**< \brief Pin Number for PB31 */ +#define PORT_PB31 (1ul << 31) /**< \brief PORT Mask for PB31 */ +/* ========== PORT definition for GCLK peripheral ========== */ +#define PIN_PB14H_GCLK_IO0 46L /**< \brief GCLK signal: IO0 on PB14 mux H */ +#define MUX_PB14H_GCLK_IO0 7L +#define PINMUX_PB14H_GCLK_IO0 ((PIN_PB14H_GCLK_IO0 << 16) | MUX_PB14H_GCLK_IO0) +#define PORT_PB14H_GCLK_IO0 (1ul << 14) +#define PIN_PB22H_GCLK_IO0 54L /**< \brief GCLK signal: IO0 on PB22 mux H */ +#define MUX_PB22H_GCLK_IO0 7L +#define PINMUX_PB22H_GCLK_IO0 ((PIN_PB22H_GCLK_IO0 << 16) | MUX_PB22H_GCLK_IO0) +#define PORT_PB22H_GCLK_IO0 (1ul << 22) +#define PIN_PA14H_GCLK_IO0 14L /**< \brief GCLK signal: IO0 on PA14 mux H */ +#define MUX_PA14H_GCLK_IO0 7L +#define PINMUX_PA14H_GCLK_IO0 ((PIN_PA14H_GCLK_IO0 << 16) | MUX_PA14H_GCLK_IO0) +#define PORT_PA14H_GCLK_IO0 (1ul << 14) +#define PIN_PA27H_GCLK_IO0 27L /**< \brief GCLK signal: IO0 on PA27 mux H */ +#define MUX_PA27H_GCLK_IO0 7L +#define PINMUX_PA27H_GCLK_IO0 ((PIN_PA27H_GCLK_IO0 << 16) | MUX_PA27H_GCLK_IO0) +#define PORT_PA27H_GCLK_IO0 (1ul << 27) +#define PIN_PA28H_GCLK_IO0 28L /**< \brief GCLK signal: IO0 on PA28 mux H */ +#define MUX_PA28H_GCLK_IO0 7L +#define PINMUX_PA28H_GCLK_IO0 ((PIN_PA28H_GCLK_IO0 << 16) | MUX_PA28H_GCLK_IO0) +#define PORT_PA28H_GCLK_IO0 (1ul << 28) +#define PIN_PA30H_GCLK_IO0 30L /**< \brief GCLK signal: IO0 on PA30 mux H */ +#define MUX_PA30H_GCLK_IO0 7L +#define PINMUX_PA30H_GCLK_IO0 ((PIN_PA30H_GCLK_IO0 << 16) | MUX_PA30H_GCLK_IO0) +#define PORT_PA30H_GCLK_IO0 (1ul << 30) +#define PIN_PB15H_GCLK_IO1 47L /**< \brief GCLK signal: IO1 on PB15 mux H */ +#define MUX_PB15H_GCLK_IO1 7L +#define PINMUX_PB15H_GCLK_IO1 ((PIN_PB15H_GCLK_IO1 << 16) | MUX_PB15H_GCLK_IO1) +#define PORT_PB15H_GCLK_IO1 (1ul << 15) +#define PIN_PB23H_GCLK_IO1 55L /**< \brief GCLK signal: IO1 on PB23 mux H */ +#define MUX_PB23H_GCLK_IO1 7L +#define PINMUX_PB23H_GCLK_IO1 ((PIN_PB23H_GCLK_IO1 << 16) | MUX_PB23H_GCLK_IO1) +#define PORT_PB23H_GCLK_IO1 (1ul << 23) +#define PIN_PA15H_GCLK_IO1 15L /**< \brief GCLK signal: IO1 on PA15 mux H */ +#define MUX_PA15H_GCLK_IO1 7L +#define PINMUX_PA15H_GCLK_IO1 ((PIN_PA15H_GCLK_IO1 << 16) | MUX_PA15H_GCLK_IO1) +#define PORT_PA15H_GCLK_IO1 (1ul << 15) +#define PIN_PB16H_GCLK_IO2 48L /**< \brief GCLK signal: IO2 on PB16 mux H */ +#define MUX_PB16H_GCLK_IO2 7L +#define PINMUX_PB16H_GCLK_IO2 ((PIN_PB16H_GCLK_IO2 << 16) | MUX_PB16H_GCLK_IO2) +#define PORT_PB16H_GCLK_IO2 (1ul << 16) +#define PIN_PA16H_GCLK_IO2 16L /**< \brief GCLK signal: IO2 on PA16 mux H */ +#define MUX_PA16H_GCLK_IO2 7L +#define PINMUX_PA16H_GCLK_IO2 ((PIN_PA16H_GCLK_IO2 << 16) | MUX_PA16H_GCLK_IO2) +#define PORT_PA16H_GCLK_IO2 (1ul << 16) +#define PIN_PA17H_GCLK_IO3 17L /**< \brief GCLK signal: IO3 on PA17 mux H */ +#define MUX_PA17H_GCLK_IO3 7L +#define PINMUX_PA17H_GCLK_IO3 ((PIN_PA17H_GCLK_IO3 << 16) | MUX_PA17H_GCLK_IO3) +#define PORT_PA17H_GCLK_IO3 (1ul << 17) +#define PIN_PB17H_GCLK_IO3 49L /**< \brief GCLK signal: IO3 on PB17 mux H */ +#define MUX_PB17H_GCLK_IO3 7L +#define PINMUX_PB17H_GCLK_IO3 ((PIN_PB17H_GCLK_IO3 << 16) | MUX_PB17H_GCLK_IO3) +#define PORT_PB17H_GCLK_IO3 (1ul << 17) +#define PIN_PA10H_GCLK_IO4 10L /**< \brief GCLK signal: IO4 on PA10 mux H */ +#define MUX_PA10H_GCLK_IO4 7L +#define PINMUX_PA10H_GCLK_IO4 ((PIN_PA10H_GCLK_IO4 << 16) | MUX_PA10H_GCLK_IO4) +#define PORT_PA10H_GCLK_IO4 (1ul << 10) +#define PIN_PA20H_GCLK_IO4 20L /**< \brief GCLK signal: IO4 on PA20 mux H */ +#define MUX_PA20H_GCLK_IO4 7L +#define PINMUX_PA20H_GCLK_IO4 ((PIN_PA20H_GCLK_IO4 << 16) | MUX_PA20H_GCLK_IO4) +#define PORT_PA20H_GCLK_IO4 (1ul << 20) +#define PIN_PB10H_GCLK_IO4 42L /**< \brief GCLK signal: IO4 on PB10 mux H */ +#define MUX_PB10H_GCLK_IO4 7L +#define PINMUX_PB10H_GCLK_IO4 ((PIN_PB10H_GCLK_IO4 << 16) | MUX_PB10H_GCLK_IO4) +#define PORT_PB10H_GCLK_IO4 (1ul << 10) +#define PIN_PA11H_GCLK_IO5 11L /**< \brief GCLK signal: IO5 on PA11 mux H */ +#define MUX_PA11H_GCLK_IO5 7L +#define PINMUX_PA11H_GCLK_IO5 ((PIN_PA11H_GCLK_IO5 << 16) | MUX_PA11H_GCLK_IO5) +#define PORT_PA11H_GCLK_IO5 (1ul << 11) +#define PIN_PA21H_GCLK_IO5 21L /**< \brief GCLK signal: IO5 on PA21 mux H */ +#define MUX_PA21H_GCLK_IO5 7L +#define PINMUX_PA21H_GCLK_IO5 ((PIN_PA21H_GCLK_IO5 << 16) | MUX_PA21H_GCLK_IO5) +#define PORT_PA21H_GCLK_IO5 (1ul << 21) +#define PIN_PB11H_GCLK_IO5 43L /**< \brief GCLK signal: IO5 on PB11 mux H */ +#define MUX_PB11H_GCLK_IO5 7L +#define PINMUX_PB11H_GCLK_IO5 ((PIN_PB11H_GCLK_IO5 << 16) | MUX_PB11H_GCLK_IO5) +#define PORT_PB11H_GCLK_IO5 (1ul << 11) +#define PIN_PA22H_GCLK_IO6 22L /**< \brief GCLK signal: IO6 on PA22 mux H */ +#define MUX_PA22H_GCLK_IO6 7L +#define PINMUX_PA22H_GCLK_IO6 ((PIN_PA22H_GCLK_IO6 << 16) | MUX_PA22H_GCLK_IO6) +#define PORT_PA22H_GCLK_IO6 (1ul << 22) +#define PIN_PB12H_GCLK_IO6 44L /**< \brief GCLK signal: IO6 on PB12 mux H */ +#define MUX_PB12H_GCLK_IO6 7L +#define PINMUX_PB12H_GCLK_IO6 ((PIN_PB12H_GCLK_IO6 << 16) | MUX_PB12H_GCLK_IO6) +#define PORT_PB12H_GCLK_IO6 (1ul << 12) +#define PIN_PA23H_GCLK_IO7 23L /**< \brief GCLK signal: IO7 on PA23 mux H */ +#define MUX_PA23H_GCLK_IO7 7L +#define PINMUX_PA23H_GCLK_IO7 ((PIN_PA23H_GCLK_IO7 << 16) | MUX_PA23H_GCLK_IO7) +#define PORT_PA23H_GCLK_IO7 (1ul << 23) +#define PIN_PB13H_GCLK_IO7 45L /**< \brief GCLK signal: IO7 on PB13 mux H */ +#define MUX_PB13H_GCLK_IO7 7L +#define PINMUX_PB13H_GCLK_IO7 ((PIN_PB13H_GCLK_IO7 << 16) | MUX_PB13H_GCLK_IO7) +#define PORT_PB13H_GCLK_IO7 (1ul << 13) +/* ========== PORT definition for EIC peripheral ========== */ +#define PIN_PA16A_EIC_EXTINT0 16L /**< \brief EIC signal: EXTINT0 on PA16 mux A */ +#define MUX_PA16A_EIC_EXTINT0 0L +#define PINMUX_PA16A_EIC_EXTINT0 ((PIN_PA16A_EIC_EXTINT0 << 16) | MUX_PA16A_EIC_EXTINT0) +#define PORT_PA16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PB00A_EIC_EXTINT0 32L /**< \brief EIC signal: EXTINT0 on PB00 mux A */ +#define MUX_PB00A_EIC_EXTINT0 0L +#define PINMUX_PB00A_EIC_EXTINT0 ((PIN_PB00A_EIC_EXTINT0 << 16) | MUX_PB00A_EIC_EXTINT0) +#define PORT_PB00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PB16A_EIC_EXTINT0 48L /**< \brief EIC signal: EXTINT0 on PB16 mux A */ +#define MUX_PB16A_EIC_EXTINT0 0L +#define PINMUX_PB16A_EIC_EXTINT0 ((PIN_PB16A_EIC_EXTINT0 << 16) | MUX_PB16A_EIC_EXTINT0) +#define PORT_PB16A_EIC_EXTINT0 (1ul << 16) +#define PIN_PA00A_EIC_EXTINT0 0L /**< \brief EIC signal: EXTINT0 on PA00 mux A */ +#define MUX_PA00A_EIC_EXTINT0 0L +#define PINMUX_PA00A_EIC_EXTINT0 ((PIN_PA00A_EIC_EXTINT0 << 16) | MUX_PA00A_EIC_EXTINT0) +#define PORT_PA00A_EIC_EXTINT0 (1ul << 0) +#define PIN_PA17A_EIC_EXTINT1 17L /**< \brief EIC signal: EXTINT1 on PA17 mux A */ +#define MUX_PA17A_EIC_EXTINT1 0L +#define PINMUX_PA17A_EIC_EXTINT1 ((PIN_PA17A_EIC_EXTINT1 << 16) | MUX_PA17A_EIC_EXTINT1) +#define PORT_PA17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PB01A_EIC_EXTINT1 33L /**< \brief EIC signal: EXTINT1 on PB01 mux A */ +#define MUX_PB01A_EIC_EXTINT1 0L +#define PINMUX_PB01A_EIC_EXTINT1 ((PIN_PB01A_EIC_EXTINT1 << 16) | MUX_PB01A_EIC_EXTINT1) +#define PORT_PB01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PB17A_EIC_EXTINT1 49L /**< \brief EIC signal: EXTINT1 on PB17 mux A */ +#define MUX_PB17A_EIC_EXTINT1 0L +#define PINMUX_PB17A_EIC_EXTINT1 ((PIN_PB17A_EIC_EXTINT1 << 16) | MUX_PB17A_EIC_EXTINT1) +#define PORT_PB17A_EIC_EXTINT1 (1ul << 17) +#define PIN_PA01A_EIC_EXTINT1 1L /**< \brief EIC signal: EXTINT1 on PA01 mux A */ +#define MUX_PA01A_EIC_EXTINT1 0L +#define PINMUX_PA01A_EIC_EXTINT1 ((PIN_PA01A_EIC_EXTINT1 << 16) | MUX_PA01A_EIC_EXTINT1) +#define PORT_PA01A_EIC_EXTINT1 (1ul << 1) +#define PIN_PA18A_EIC_EXTINT2 18L /**< \brief EIC signal: EXTINT2 on PA18 mux A */ +#define MUX_PA18A_EIC_EXTINT2 0L +#define PINMUX_PA18A_EIC_EXTINT2 ((PIN_PA18A_EIC_EXTINT2 << 16) | MUX_PA18A_EIC_EXTINT2) +#define PORT_PA18A_EIC_EXTINT2 (1ul << 18) +#define PIN_PA02A_EIC_EXTINT2 2L /**< \brief EIC signal: EXTINT2 on PA02 mux A */ +#define MUX_PA02A_EIC_EXTINT2 0L +#define PINMUX_PA02A_EIC_EXTINT2 ((PIN_PA02A_EIC_EXTINT2 << 16) | MUX_PA02A_EIC_EXTINT2) +#define PORT_PA02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PB02A_EIC_EXTINT2 34L /**< \brief EIC signal: EXTINT2 on PB02 mux A */ +#define MUX_PB02A_EIC_EXTINT2 0L +#define PINMUX_PB02A_EIC_EXTINT2 ((PIN_PB02A_EIC_EXTINT2 << 16) | MUX_PB02A_EIC_EXTINT2) +#define PORT_PB02A_EIC_EXTINT2 (1ul << 2) +#define PIN_PA03A_EIC_EXTINT3 3L /**< \brief EIC signal: EXTINT3 on PA03 mux A */ +#define MUX_PA03A_EIC_EXTINT3 0L +#define PINMUX_PA03A_EIC_EXTINT3 ((PIN_PA03A_EIC_EXTINT3 << 16) | MUX_PA03A_EIC_EXTINT3) +#define PORT_PA03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA19A_EIC_EXTINT3 19L /**< \brief EIC signal: EXTINT3 on PA19 mux A */ +#define MUX_PA19A_EIC_EXTINT3 0L +#define PINMUX_PA19A_EIC_EXTINT3 ((PIN_PA19A_EIC_EXTINT3 << 16) | MUX_PA19A_EIC_EXTINT3) +#define PORT_PA19A_EIC_EXTINT3 (1ul << 19) +#define PIN_PB03A_EIC_EXTINT3 35L /**< \brief EIC signal: EXTINT3 on PB03 mux A */ +#define MUX_PB03A_EIC_EXTINT3 0L +#define PINMUX_PB03A_EIC_EXTINT3 ((PIN_PB03A_EIC_EXTINT3 << 16) | MUX_PB03A_EIC_EXTINT3) +#define PORT_PB03A_EIC_EXTINT3 (1ul << 3) +#define PIN_PA04A_EIC_EXTINT4 4L /**< \brief EIC signal: EXTINT4 on PA04 mux A */ +#define MUX_PA04A_EIC_EXTINT4 0L +#define PINMUX_PA04A_EIC_EXTINT4 ((PIN_PA04A_EIC_EXTINT4 << 16) | MUX_PA04A_EIC_EXTINT4) +#define PORT_PA04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA20A_EIC_EXTINT4 20L /**< \brief EIC signal: EXTINT4 on PA20 mux A */ +#define MUX_PA20A_EIC_EXTINT4 0L +#define PINMUX_PA20A_EIC_EXTINT4 ((PIN_PA20A_EIC_EXTINT4 << 16) | MUX_PA20A_EIC_EXTINT4) +#define PORT_PA20A_EIC_EXTINT4 (1ul << 20) +#define PIN_PB04A_EIC_EXTINT4 36L /**< \brief EIC signal: EXTINT4 on PB04 mux A */ +#define MUX_PB04A_EIC_EXTINT4 0L +#define PINMUX_PB04A_EIC_EXTINT4 ((PIN_PB04A_EIC_EXTINT4 << 16) | MUX_PB04A_EIC_EXTINT4) +#define PORT_PB04A_EIC_EXTINT4 (1ul << 4) +#define PIN_PA05A_EIC_EXTINT5 5L /**< \brief EIC signal: EXTINT5 on PA05 mux A */ +#define MUX_PA05A_EIC_EXTINT5 0L +#define PINMUX_PA05A_EIC_EXTINT5 ((PIN_PA05A_EIC_EXTINT5 << 16) | MUX_PA05A_EIC_EXTINT5) +#define PORT_PA05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA21A_EIC_EXTINT5 21L /**< \brief EIC signal: EXTINT5 on PA21 mux A */ +#define MUX_PA21A_EIC_EXTINT5 0L +#define PINMUX_PA21A_EIC_EXTINT5 ((PIN_PA21A_EIC_EXTINT5 << 16) | MUX_PA21A_EIC_EXTINT5) +#define PORT_PA21A_EIC_EXTINT5 (1ul << 21) +#define PIN_PB05A_EIC_EXTINT5 37L /**< \brief EIC signal: EXTINT5 on PB05 mux A */ +#define MUX_PB05A_EIC_EXTINT5 0L +#define PINMUX_PB05A_EIC_EXTINT5 ((PIN_PB05A_EIC_EXTINT5 << 16) | MUX_PB05A_EIC_EXTINT5) +#define PORT_PB05A_EIC_EXTINT5 (1ul << 5) +#define PIN_PA06A_EIC_EXTINT6 6L /**< \brief EIC signal: EXTINT6 on PA06 mux A */ +#define MUX_PA06A_EIC_EXTINT6 0L +#define PINMUX_PA06A_EIC_EXTINT6 ((PIN_PA06A_EIC_EXTINT6 << 16) | MUX_PA06A_EIC_EXTINT6) +#define PORT_PA06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PA22A_EIC_EXTINT6 22L /**< \brief EIC signal: EXTINT6 on PA22 mux A */ +#define MUX_PA22A_EIC_EXTINT6 0L +#define PINMUX_PA22A_EIC_EXTINT6 ((PIN_PA22A_EIC_EXTINT6 << 16) | MUX_PA22A_EIC_EXTINT6) +#define PORT_PA22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PB06A_EIC_EXTINT6 38L /**< \brief EIC signal: EXTINT6 on PB06 mux A */ +#define MUX_PB06A_EIC_EXTINT6 0L +#define PINMUX_PB06A_EIC_EXTINT6 ((PIN_PB06A_EIC_EXTINT6 << 16) | MUX_PB06A_EIC_EXTINT6) +#define PORT_PB06A_EIC_EXTINT6 (1ul << 6) +#define PIN_PB22A_EIC_EXTINT6 54L /**< \brief EIC signal: EXTINT6 on PB22 mux A */ +#define MUX_PB22A_EIC_EXTINT6 0L +#define PINMUX_PB22A_EIC_EXTINT6 ((PIN_PB22A_EIC_EXTINT6 << 16) | MUX_PB22A_EIC_EXTINT6) +#define PORT_PB22A_EIC_EXTINT6 (1ul << 22) +#define PIN_PA07A_EIC_EXTINT7 7L /**< \brief EIC signal: EXTINT7 on PA07 mux A */ +#define MUX_PA07A_EIC_EXTINT7 0L +#define PINMUX_PA07A_EIC_EXTINT7 ((PIN_PA07A_EIC_EXTINT7 << 16) | MUX_PA07A_EIC_EXTINT7) +#define PORT_PA07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PA23A_EIC_EXTINT7 23L /**< \brief EIC signal: EXTINT7 on PA23 mux A */ +#define MUX_PA23A_EIC_EXTINT7 0L +#define PINMUX_PA23A_EIC_EXTINT7 ((PIN_PA23A_EIC_EXTINT7 << 16) | MUX_PA23A_EIC_EXTINT7) +#define PORT_PA23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PB07A_EIC_EXTINT7 39L /**< \brief EIC signal: EXTINT7 on PB07 mux A */ +#define MUX_PB07A_EIC_EXTINT7 0L +#define PINMUX_PB07A_EIC_EXTINT7 ((PIN_PB07A_EIC_EXTINT7 << 16) | MUX_PB07A_EIC_EXTINT7) +#define PORT_PB07A_EIC_EXTINT7 (1ul << 7) +#define PIN_PB23A_EIC_EXTINT7 55L /**< \brief EIC signal: EXTINT7 on PB23 mux A */ +#define MUX_PB23A_EIC_EXTINT7 0L +#define PINMUX_PB23A_EIC_EXTINT7 ((PIN_PB23A_EIC_EXTINT7 << 16) | MUX_PB23A_EIC_EXTINT7) +#define PORT_PB23A_EIC_EXTINT7 (1ul << 23) +#define PIN_PA28A_EIC_EXTINT8 28L /**< \brief EIC signal: EXTINT8 on PA28 mux A */ +#define MUX_PA28A_EIC_EXTINT8 0L +#define PINMUX_PA28A_EIC_EXTINT8 ((PIN_PA28A_EIC_EXTINT8 << 16) | MUX_PA28A_EIC_EXTINT8) +#define PORT_PA28A_EIC_EXTINT8 (1ul << 28) +#define PIN_PB08A_EIC_EXTINT8 40L /**< \brief EIC signal: EXTINT8 on PB08 mux A */ +#define MUX_PB08A_EIC_EXTINT8 0L +#define PINMUX_PB08A_EIC_EXTINT8 ((PIN_PB08A_EIC_EXTINT8 << 16) | MUX_PB08A_EIC_EXTINT8) +#define PORT_PB08A_EIC_EXTINT8 (1ul << 8) +#define PIN_PA09A_EIC_EXTINT9 9L /**< \brief EIC signal: EXTINT9 on PA09 mux A */ +#define MUX_PA09A_EIC_EXTINT9 0L +#define PINMUX_PA09A_EIC_EXTINT9 ((PIN_PA09A_EIC_EXTINT9 << 16) | MUX_PA09A_EIC_EXTINT9) +#define PORT_PA09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PB09A_EIC_EXTINT9 41L /**< \brief EIC signal: EXTINT9 on PB09 mux A */ +#define MUX_PB09A_EIC_EXTINT9 0L +#define PINMUX_PB09A_EIC_EXTINT9 ((PIN_PB09A_EIC_EXTINT9 << 16) | MUX_PB09A_EIC_EXTINT9) +#define PORT_PB09A_EIC_EXTINT9 (1ul << 9) +#define PIN_PA10A_EIC_EXTINT10 10L /**< \brief EIC signal: EXTINT10 on PA10 mux A */ +#define MUX_PA10A_EIC_EXTINT10 0L +#define PINMUX_PA10A_EIC_EXTINT10 ((PIN_PA10A_EIC_EXTINT10 << 16) | MUX_PA10A_EIC_EXTINT10) +#define PORT_PA10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA30A_EIC_EXTINT10 30L /**< \brief EIC signal: EXTINT10 on PA30 mux A */ +#define MUX_PA30A_EIC_EXTINT10 0L +#define PINMUX_PA30A_EIC_EXTINT10 ((PIN_PA30A_EIC_EXTINT10 << 16) | MUX_PA30A_EIC_EXTINT10) +#define PORT_PA30A_EIC_EXTINT10 (1ul << 30) +#define PIN_PB10A_EIC_EXTINT10 42L /**< \brief EIC signal: EXTINT10 on PB10 mux A */ +#define MUX_PB10A_EIC_EXTINT10 0L +#define PINMUX_PB10A_EIC_EXTINT10 ((PIN_PB10A_EIC_EXTINT10 << 16) | MUX_PB10A_EIC_EXTINT10) +#define PORT_PB10A_EIC_EXTINT10 (1ul << 10) +#define PIN_PA11A_EIC_EXTINT11 11L /**< \brief EIC signal: EXTINT11 on PA11 mux A */ +#define MUX_PA11A_EIC_EXTINT11 0L +#define PINMUX_PA11A_EIC_EXTINT11 ((PIN_PA11A_EIC_EXTINT11 << 16) | MUX_PA11A_EIC_EXTINT11) +#define PORT_PA11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA31A_EIC_EXTINT11 31L /**< \brief EIC signal: EXTINT11 on PA31 mux A */ +#define MUX_PA31A_EIC_EXTINT11 0L +#define PINMUX_PA31A_EIC_EXTINT11 ((PIN_PA31A_EIC_EXTINT11 << 16) | MUX_PA31A_EIC_EXTINT11) +#define PORT_PA31A_EIC_EXTINT11 (1ul << 31) +#define PIN_PB11A_EIC_EXTINT11 43L /**< \brief EIC signal: EXTINT11 on PB11 mux A */ +#define MUX_PB11A_EIC_EXTINT11 0L +#define PINMUX_PB11A_EIC_EXTINT11 ((PIN_PB11A_EIC_EXTINT11 << 16) | MUX_PB11A_EIC_EXTINT11) +#define PORT_PB11A_EIC_EXTINT11 (1ul << 11) +#define PIN_PA12A_EIC_EXTINT12 12L /**< \brief EIC signal: EXTINT12 on PA12 mux A */ +#define MUX_PA12A_EIC_EXTINT12 0L +#define PINMUX_PA12A_EIC_EXTINT12 ((PIN_PA12A_EIC_EXTINT12 << 16) | MUX_PA12A_EIC_EXTINT12) +#define PORT_PA12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA24A_EIC_EXTINT12 24L /**< \brief EIC signal: EXTINT12 on PA24 mux A */ +#define MUX_PA24A_EIC_EXTINT12 0L +#define PINMUX_PA24A_EIC_EXTINT12 ((PIN_PA24A_EIC_EXTINT12 << 16) | MUX_PA24A_EIC_EXTINT12) +#define PORT_PA24A_EIC_EXTINT12 (1ul << 24) +#define PIN_PB12A_EIC_EXTINT12 44L /**< \brief EIC signal: EXTINT12 on PB12 mux A */ +#define MUX_PB12A_EIC_EXTINT12 0L +#define PINMUX_PB12A_EIC_EXTINT12 ((PIN_PB12A_EIC_EXTINT12 << 16) | MUX_PB12A_EIC_EXTINT12) +#define PORT_PB12A_EIC_EXTINT12 (1ul << 12) +#define PIN_PA13A_EIC_EXTINT13 13L /**< \brief EIC signal: EXTINT13 on PA13 mux A */ +#define MUX_PA13A_EIC_EXTINT13 0L +#define PINMUX_PA13A_EIC_EXTINT13 ((PIN_PA13A_EIC_EXTINT13 << 16) | MUX_PA13A_EIC_EXTINT13) +#define PORT_PA13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PA25A_EIC_EXTINT13 25L /**< \brief EIC signal: EXTINT13 on PA25 mux A */ +#define MUX_PA25A_EIC_EXTINT13 0L +#define PINMUX_PA25A_EIC_EXTINT13 ((PIN_PA25A_EIC_EXTINT13 << 16) | MUX_PA25A_EIC_EXTINT13) +#define PORT_PA25A_EIC_EXTINT13 (1ul << 25) +#define PIN_PB13A_EIC_EXTINT13 45L /**< \brief EIC signal: EXTINT13 on PB13 mux A */ +#define MUX_PB13A_EIC_EXTINT13 0L +#define PINMUX_PB13A_EIC_EXTINT13 ((PIN_PB13A_EIC_EXTINT13 << 16) | MUX_PB13A_EIC_EXTINT13) +#define PORT_PB13A_EIC_EXTINT13 (1ul << 13) +#define PIN_PB14A_EIC_EXTINT14 46L /**< \brief EIC signal: EXTINT14 on PB14 mux A */ +#define MUX_PB14A_EIC_EXTINT14 0L +#define PINMUX_PB14A_EIC_EXTINT14 ((PIN_PB14A_EIC_EXTINT14 << 16) | MUX_PB14A_EIC_EXTINT14) +#define PORT_PB14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PB30A_EIC_EXTINT14 62L /**< \brief EIC signal: EXTINT14 on PB30 mux A */ +#define MUX_PB30A_EIC_EXTINT14 0L +#define PINMUX_PB30A_EIC_EXTINT14 ((PIN_PB30A_EIC_EXTINT14 << 16) | MUX_PB30A_EIC_EXTINT14) +#define PORT_PB30A_EIC_EXTINT14 (1ul << 30) +#define PIN_PA14A_EIC_EXTINT14 14L /**< \brief EIC signal: EXTINT14 on PA14 mux A */ +#define MUX_PA14A_EIC_EXTINT14 0L +#define PINMUX_PA14A_EIC_EXTINT14 ((PIN_PA14A_EIC_EXTINT14 << 16) | MUX_PA14A_EIC_EXTINT14) +#define PORT_PA14A_EIC_EXTINT14 (1ul << 14) +#define PIN_PA15A_EIC_EXTINT15 15L /**< \brief EIC signal: EXTINT15 on PA15 mux A */ +#define MUX_PA15A_EIC_EXTINT15 0L +#define PINMUX_PA15A_EIC_EXTINT15 ((PIN_PA15A_EIC_EXTINT15 << 16) | MUX_PA15A_EIC_EXTINT15) +#define PORT_PA15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PA27A_EIC_EXTINT15 27L /**< \brief EIC signal: EXTINT15 on PA27 mux A */ +#define MUX_PA27A_EIC_EXTINT15 0L +#define PINMUX_PA27A_EIC_EXTINT15 ((PIN_PA27A_EIC_EXTINT15 << 16) | MUX_PA27A_EIC_EXTINT15) +#define PORT_PA27A_EIC_EXTINT15 (1ul << 27) +#define PIN_PB15A_EIC_EXTINT15 47L /**< \brief EIC signal: EXTINT15 on PB15 mux A */ +#define MUX_PB15A_EIC_EXTINT15 0L +#define PINMUX_PB15A_EIC_EXTINT15 ((PIN_PB15A_EIC_EXTINT15 << 16) | MUX_PB15A_EIC_EXTINT15) +#define PORT_PB15A_EIC_EXTINT15 (1ul << 15) +#define PIN_PB31A_EIC_EXTINT15 63L /**< \brief EIC signal: EXTINT15 on PB31 mux A */ +#define MUX_PB31A_EIC_EXTINT15 0L +#define PINMUX_PB31A_EIC_EXTINT15 ((PIN_PB31A_EIC_EXTINT15 << 16) | MUX_PB31A_EIC_EXTINT15) +#define PORT_PB31A_EIC_EXTINT15 (1ul << 31) +#define PIN_PA08A_EIC_NMI 8L /**< \brief EIC signal: NMI on PA08 mux A */ +#define MUX_PA08A_EIC_NMI 0L +#define PINMUX_PA08A_EIC_NMI ((PIN_PA08A_EIC_NMI << 16) | MUX_PA08A_EIC_NMI) +#define PORT_PA08A_EIC_NMI (1ul << 8) +/* ========== PORT definition for USB peripheral ========== */ +#define PIN_PA24G_USB_DM 24L /**< \brief USB signal: DM on PA24 mux G */ +#define MUX_PA24G_USB_DM 6L +#define PINMUX_PA24G_USB_DM ((PIN_PA24G_USB_DM << 16) | MUX_PA24G_USB_DM) +#define PORT_PA24G_USB_DM (1ul << 24) +#define PIN_PA25G_USB_DP 25L /**< \brief USB signal: DP on PA25 mux G */ +#define MUX_PA25G_USB_DP 6L +#define PINMUX_PA25G_USB_DP ((PIN_PA25G_USB_DP << 16) | MUX_PA25G_USB_DP) +#define PORT_PA25G_USB_DP (1ul << 25) +#define PIN_PA23G_USB_SOF_1KHZ 23L /**< \brief USB signal: SOF_1KHZ on PA23 mux G */ +#define MUX_PA23G_USB_SOF_1KHZ 6L +#define PINMUX_PA23G_USB_SOF_1KHZ ((PIN_PA23G_USB_SOF_1KHZ << 16) | MUX_PA23G_USB_SOF_1KHZ) +#define PORT_PA23G_USB_SOF_1KHZ (1ul << 23) +/* ========== PORT definition for SERCOM0 peripheral ========== */ +#define PIN_PA04D_SERCOM0_PAD0 4L /**< \brief SERCOM0 signal: PAD0 on PA04 mux D */ +#define MUX_PA04D_SERCOM0_PAD0 3L +#define PINMUX_PA04D_SERCOM0_PAD0 ((PIN_PA04D_SERCOM0_PAD0 << 16) | MUX_PA04D_SERCOM0_PAD0) +#define PORT_PA04D_SERCOM0_PAD0 (1ul << 4) +#define PIN_PA08C_SERCOM0_PAD0 8L /**< \brief SERCOM0 signal: PAD0 on PA08 mux C */ +#define MUX_PA08C_SERCOM0_PAD0 2L +#define PINMUX_PA08C_SERCOM0_PAD0 ((PIN_PA08C_SERCOM0_PAD0 << 16) | MUX_PA08C_SERCOM0_PAD0) +#define PORT_PA08C_SERCOM0_PAD0 (1ul << 8) +#define PIN_PA05D_SERCOM0_PAD1 5L /**< \brief SERCOM0 signal: PAD1 on PA05 mux D */ +#define MUX_PA05D_SERCOM0_PAD1 3L +#define PINMUX_PA05D_SERCOM0_PAD1 ((PIN_PA05D_SERCOM0_PAD1 << 16) | MUX_PA05D_SERCOM0_PAD1) +#define PORT_PA05D_SERCOM0_PAD1 (1ul << 5) +#define PIN_PA09C_SERCOM0_PAD1 9L /**< \brief SERCOM0 signal: PAD1 on PA09 mux C */ +#define MUX_PA09C_SERCOM0_PAD1 2L +#define PINMUX_PA09C_SERCOM0_PAD1 ((PIN_PA09C_SERCOM0_PAD1 << 16) | MUX_PA09C_SERCOM0_PAD1) +#define PORT_PA09C_SERCOM0_PAD1 (1ul << 9) +#define PIN_PA06D_SERCOM0_PAD2 6L /**< \brief SERCOM0 signal: PAD2 on PA06 mux D */ +#define MUX_PA06D_SERCOM0_PAD2 3L +#define PINMUX_PA06D_SERCOM0_PAD2 ((PIN_PA06D_SERCOM0_PAD2 << 16) | MUX_PA06D_SERCOM0_PAD2) +#define PORT_PA06D_SERCOM0_PAD2 (1ul << 6) +#define PIN_PA10C_SERCOM0_PAD2 10L /**< \brief SERCOM0 signal: PAD2 on PA10 mux C */ +#define MUX_PA10C_SERCOM0_PAD2 2L +#define PINMUX_PA10C_SERCOM0_PAD2 ((PIN_PA10C_SERCOM0_PAD2 << 16) | MUX_PA10C_SERCOM0_PAD2) +#define PORT_PA10C_SERCOM0_PAD2 (1ul << 10) +#define PIN_PA07D_SERCOM0_PAD3 7L /**< \brief SERCOM0 signal: PAD3 on PA07 mux D */ +#define MUX_PA07D_SERCOM0_PAD3 3L +#define PINMUX_PA07D_SERCOM0_PAD3 ((PIN_PA07D_SERCOM0_PAD3 << 16) | MUX_PA07D_SERCOM0_PAD3) +#define PORT_PA07D_SERCOM0_PAD3 (1ul << 7) +#define PIN_PA11C_SERCOM0_PAD3 11L /**< \brief SERCOM0 signal: PAD3 on PA11 mux C */ +#define MUX_PA11C_SERCOM0_PAD3 2L +#define PINMUX_PA11C_SERCOM0_PAD3 ((PIN_PA11C_SERCOM0_PAD3 << 16) | MUX_PA11C_SERCOM0_PAD3) +#define PORT_PA11C_SERCOM0_PAD3 (1ul << 11) +/* ========== PORT definition for SERCOM1 peripheral ========== */ +#define PIN_PA16C_SERCOM1_PAD0 16L /**< \brief SERCOM1 signal: PAD0 on PA16 mux C */ +#define MUX_PA16C_SERCOM1_PAD0 2L +#define PINMUX_PA16C_SERCOM1_PAD0 ((PIN_PA16C_SERCOM1_PAD0 << 16) | MUX_PA16C_SERCOM1_PAD0) +#define PORT_PA16C_SERCOM1_PAD0 (1ul << 16) +#define PIN_PA00D_SERCOM1_PAD0 0L /**< \brief SERCOM1 signal: PAD0 on PA00 mux D */ +#define MUX_PA00D_SERCOM1_PAD0 3L +#define PINMUX_PA00D_SERCOM1_PAD0 ((PIN_PA00D_SERCOM1_PAD0 << 16) | MUX_PA00D_SERCOM1_PAD0) +#define PORT_PA00D_SERCOM1_PAD0 (1ul << 0) +#define PIN_PA17C_SERCOM1_PAD1 17L /**< \brief SERCOM1 signal: PAD1 on PA17 mux C */ +#define MUX_PA17C_SERCOM1_PAD1 2L +#define PINMUX_PA17C_SERCOM1_PAD1 ((PIN_PA17C_SERCOM1_PAD1 << 16) | MUX_PA17C_SERCOM1_PAD1) +#define PORT_PA17C_SERCOM1_PAD1 (1ul << 17) +#define PIN_PA01D_SERCOM1_PAD1 1L /**< \brief SERCOM1 signal: PAD1 on PA01 mux D */ +#define MUX_PA01D_SERCOM1_PAD1 3L +#define PINMUX_PA01D_SERCOM1_PAD1 ((PIN_PA01D_SERCOM1_PAD1 << 16) | MUX_PA01D_SERCOM1_PAD1) +#define PORT_PA01D_SERCOM1_PAD1 (1ul << 1) +#define PIN_PA30D_SERCOM1_PAD2 30L /**< \brief SERCOM1 signal: PAD2 on PA30 mux D */ +#define MUX_PA30D_SERCOM1_PAD2 3L +#define PINMUX_PA30D_SERCOM1_PAD2 ((PIN_PA30D_SERCOM1_PAD2 << 16) | MUX_PA30D_SERCOM1_PAD2) +#define PORT_PA30D_SERCOM1_PAD2 (1ul << 30) +#define PIN_PA18C_SERCOM1_PAD2 18L /**< \brief SERCOM1 signal: PAD2 on PA18 mux C */ +#define MUX_PA18C_SERCOM1_PAD2 2L +#define PINMUX_PA18C_SERCOM1_PAD2 ((PIN_PA18C_SERCOM1_PAD2 << 16) | MUX_PA18C_SERCOM1_PAD2) +#define PORT_PA18C_SERCOM1_PAD2 (1ul << 18) +#define PIN_PA31D_SERCOM1_PAD3 31L /**< \brief SERCOM1 signal: PAD3 on PA31 mux D */ +#define MUX_PA31D_SERCOM1_PAD3 3L +#define PINMUX_PA31D_SERCOM1_PAD3 ((PIN_PA31D_SERCOM1_PAD3 << 16) | MUX_PA31D_SERCOM1_PAD3) +#define PORT_PA31D_SERCOM1_PAD3 (1ul << 31) +#define PIN_PA19C_SERCOM1_PAD3 19L /**< \brief SERCOM1 signal: PAD3 on PA19 mux C */ +#define MUX_PA19C_SERCOM1_PAD3 2L +#define PINMUX_PA19C_SERCOM1_PAD3 ((PIN_PA19C_SERCOM1_PAD3 << 16) | MUX_PA19C_SERCOM1_PAD3) +#define PORT_PA19C_SERCOM1_PAD3 (1ul << 19) +/* ========== PORT definition for SERCOM2 peripheral ========== */ +#define PIN_PA08D_SERCOM2_PAD0 8L /**< \brief SERCOM2 signal: PAD0 on PA08 mux D */ +#define MUX_PA08D_SERCOM2_PAD0 3L +#define PINMUX_PA08D_SERCOM2_PAD0 ((PIN_PA08D_SERCOM2_PAD0 << 16) | MUX_PA08D_SERCOM2_PAD0) +#define PORT_PA08D_SERCOM2_PAD0 (1ul << 8) +#define PIN_PA12C_SERCOM2_PAD0 12L /**< \brief SERCOM2 signal: PAD0 on PA12 mux C */ +#define MUX_PA12C_SERCOM2_PAD0 2L +#define PINMUX_PA12C_SERCOM2_PAD0 ((PIN_PA12C_SERCOM2_PAD0 << 16) | MUX_PA12C_SERCOM2_PAD0) +#define PORT_PA12C_SERCOM2_PAD0 (1ul << 12) +#define PIN_PA09D_SERCOM2_PAD1 9L /**< \brief SERCOM2 signal: PAD1 on PA09 mux D */ +#define MUX_PA09D_SERCOM2_PAD1 3L +#define PINMUX_PA09D_SERCOM2_PAD1 ((PIN_PA09D_SERCOM2_PAD1 << 16) | MUX_PA09D_SERCOM2_PAD1) +#define PORT_PA09D_SERCOM2_PAD1 (1ul << 9) +#define PIN_PA13C_SERCOM2_PAD1 13L /**< \brief SERCOM2 signal: PAD1 on PA13 mux C */ +#define MUX_PA13C_SERCOM2_PAD1 2L +#define PINMUX_PA13C_SERCOM2_PAD1 ((PIN_PA13C_SERCOM2_PAD1 << 16) | MUX_PA13C_SERCOM2_PAD1) +#define PORT_PA13C_SERCOM2_PAD1 (1ul << 13) +#define PIN_PA10D_SERCOM2_PAD2 10L /**< \brief SERCOM2 signal: PAD2 on PA10 mux D */ +#define MUX_PA10D_SERCOM2_PAD2 3L +#define PINMUX_PA10D_SERCOM2_PAD2 ((PIN_PA10D_SERCOM2_PAD2 << 16) | MUX_PA10D_SERCOM2_PAD2) +#define PORT_PA10D_SERCOM2_PAD2 (1ul << 10) +#define PIN_PA14C_SERCOM2_PAD2 14L /**< \brief SERCOM2 signal: PAD2 on PA14 mux C */ +#define MUX_PA14C_SERCOM2_PAD2 2L +#define PINMUX_PA14C_SERCOM2_PAD2 ((PIN_PA14C_SERCOM2_PAD2 << 16) | MUX_PA14C_SERCOM2_PAD2) +#define PORT_PA14C_SERCOM2_PAD2 (1ul << 14) +#define PIN_PA11D_SERCOM2_PAD3 11L /**< \brief SERCOM2 signal: PAD3 on PA11 mux D */ +#define MUX_PA11D_SERCOM2_PAD3 3L +#define PINMUX_PA11D_SERCOM2_PAD3 ((PIN_PA11D_SERCOM2_PAD3 << 16) | MUX_PA11D_SERCOM2_PAD3) +#define PORT_PA11D_SERCOM2_PAD3 (1ul << 11) +#define PIN_PA15C_SERCOM2_PAD3 15L /**< \brief SERCOM2 signal: PAD3 on PA15 mux C */ +#define MUX_PA15C_SERCOM2_PAD3 2L +#define PINMUX_PA15C_SERCOM2_PAD3 ((PIN_PA15C_SERCOM2_PAD3 << 16) | MUX_PA15C_SERCOM2_PAD3) +#define PORT_PA15C_SERCOM2_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM3 peripheral ========== */ +#define PIN_PA16D_SERCOM3_PAD0 16L /**< \brief SERCOM3 signal: PAD0 on PA16 mux D */ +#define MUX_PA16D_SERCOM3_PAD0 3L +#define PINMUX_PA16D_SERCOM3_PAD0 ((PIN_PA16D_SERCOM3_PAD0 << 16) | MUX_PA16D_SERCOM3_PAD0) +#define PORT_PA16D_SERCOM3_PAD0 (1ul << 16) +#define PIN_PA22C_SERCOM3_PAD0 22L /**< \brief SERCOM3 signal: PAD0 on PA22 mux C */ +#define MUX_PA22C_SERCOM3_PAD0 2L +#define PINMUX_PA22C_SERCOM3_PAD0 ((PIN_PA22C_SERCOM3_PAD0 << 16) | MUX_PA22C_SERCOM3_PAD0) +#define PORT_PA22C_SERCOM3_PAD0 (1ul << 22) +#define PIN_PA17D_SERCOM3_PAD1 17L /**< \brief SERCOM3 signal: PAD1 on PA17 mux D */ +#define MUX_PA17D_SERCOM3_PAD1 3L +#define PINMUX_PA17D_SERCOM3_PAD1 ((PIN_PA17D_SERCOM3_PAD1 << 16) | MUX_PA17D_SERCOM3_PAD1) +#define PORT_PA17D_SERCOM3_PAD1 (1ul << 17) +#define PIN_PA23C_SERCOM3_PAD1 23L /**< \brief SERCOM3 signal: PAD1 on PA23 mux C */ +#define MUX_PA23C_SERCOM3_PAD1 2L +#define PINMUX_PA23C_SERCOM3_PAD1 ((PIN_PA23C_SERCOM3_PAD1 << 16) | MUX_PA23C_SERCOM3_PAD1) +#define PORT_PA23C_SERCOM3_PAD1 (1ul << 23) +#define PIN_PA18D_SERCOM3_PAD2 18L /**< \brief SERCOM3 signal: PAD2 on PA18 mux D */ +#define MUX_PA18D_SERCOM3_PAD2 3L +#define PINMUX_PA18D_SERCOM3_PAD2 ((PIN_PA18D_SERCOM3_PAD2 << 16) | MUX_PA18D_SERCOM3_PAD2) +#define PORT_PA18D_SERCOM3_PAD2 (1ul << 18) +#define PIN_PA20D_SERCOM3_PAD2 20L /**< \brief SERCOM3 signal: PAD2 on PA20 mux D */ +#define MUX_PA20D_SERCOM3_PAD2 3L +#define PINMUX_PA20D_SERCOM3_PAD2 ((PIN_PA20D_SERCOM3_PAD2 << 16) | MUX_PA20D_SERCOM3_PAD2) +#define PORT_PA20D_SERCOM3_PAD2 (1ul << 20) +#define PIN_PA24C_SERCOM3_PAD2 24L /**< \brief SERCOM3 signal: PAD2 on PA24 mux C */ +#define MUX_PA24C_SERCOM3_PAD2 2L +#define PINMUX_PA24C_SERCOM3_PAD2 ((PIN_PA24C_SERCOM3_PAD2 << 16) | MUX_PA24C_SERCOM3_PAD2) +#define PORT_PA24C_SERCOM3_PAD2 (1ul << 24) +#define PIN_PA19D_SERCOM3_PAD3 19L /**< \brief SERCOM3 signal: PAD3 on PA19 mux D */ +#define MUX_PA19D_SERCOM3_PAD3 3L +#define PINMUX_PA19D_SERCOM3_PAD3 ((PIN_PA19D_SERCOM3_PAD3 << 16) | MUX_PA19D_SERCOM3_PAD3) +#define PORT_PA19D_SERCOM3_PAD3 (1ul << 19) +#define PIN_PA21D_SERCOM3_PAD3 21L /**< \brief SERCOM3 signal: PAD3 on PA21 mux D */ +#define MUX_PA21D_SERCOM3_PAD3 3L +#define PINMUX_PA21D_SERCOM3_PAD3 ((PIN_PA21D_SERCOM3_PAD3 << 16) | MUX_PA21D_SERCOM3_PAD3) +#define PORT_PA21D_SERCOM3_PAD3 (1ul << 21) +#define PIN_PA25C_SERCOM3_PAD3 25L /**< \brief SERCOM3 signal: PAD3 on PA25 mux C */ +#define MUX_PA25C_SERCOM3_PAD3 2L +#define PINMUX_PA25C_SERCOM3_PAD3 ((PIN_PA25C_SERCOM3_PAD3 << 16) | MUX_PA25C_SERCOM3_PAD3) +#define PORT_PA25C_SERCOM3_PAD3 (1ul << 25) +/* ========== PORT definition for SERCOM4 peripheral ========== */ +#define PIN_PA12D_SERCOM4_PAD0 12L /**< \brief SERCOM4 signal: PAD0 on PA12 mux D */ +#define MUX_PA12D_SERCOM4_PAD0 3L +#define PINMUX_PA12D_SERCOM4_PAD0 ((PIN_PA12D_SERCOM4_PAD0 << 16) | MUX_PA12D_SERCOM4_PAD0) +#define PORT_PA12D_SERCOM4_PAD0 (1ul << 12) +#define PIN_PB08D_SERCOM4_PAD0 40L /**< \brief SERCOM4 signal: PAD0 on PB08 mux D */ +#define MUX_PB08D_SERCOM4_PAD0 3L +#define PINMUX_PB08D_SERCOM4_PAD0 ((PIN_PB08D_SERCOM4_PAD0 << 16) | MUX_PB08D_SERCOM4_PAD0) +#define PORT_PB08D_SERCOM4_PAD0 (1ul << 8) +#define PIN_PB12C_SERCOM4_PAD0 44L /**< \brief SERCOM4 signal: PAD0 on PB12 mux C */ +#define MUX_PB12C_SERCOM4_PAD0 2L +#define PINMUX_PB12C_SERCOM4_PAD0 ((PIN_PB12C_SERCOM4_PAD0 << 16) | MUX_PB12C_SERCOM4_PAD0) +#define PORT_PB12C_SERCOM4_PAD0 (1ul << 12) +#define PIN_PA13D_SERCOM4_PAD1 13L /**< \brief SERCOM4 signal: PAD1 on PA13 mux D */ +#define MUX_PA13D_SERCOM4_PAD1 3L +#define PINMUX_PA13D_SERCOM4_PAD1 ((PIN_PA13D_SERCOM4_PAD1 << 16) | MUX_PA13D_SERCOM4_PAD1) +#define PORT_PA13D_SERCOM4_PAD1 (1ul << 13) +#define PIN_PB09D_SERCOM4_PAD1 41L /**< \brief SERCOM4 signal: PAD1 on PB09 mux D */ +#define MUX_PB09D_SERCOM4_PAD1 3L +#define PINMUX_PB09D_SERCOM4_PAD1 ((PIN_PB09D_SERCOM4_PAD1 << 16) | MUX_PB09D_SERCOM4_PAD1) +#define PORT_PB09D_SERCOM4_PAD1 (1ul << 9) +#define PIN_PB13C_SERCOM4_PAD1 45L /**< \brief SERCOM4 signal: PAD1 on PB13 mux C */ +#define MUX_PB13C_SERCOM4_PAD1 2L +#define PINMUX_PB13C_SERCOM4_PAD1 ((PIN_PB13C_SERCOM4_PAD1 << 16) | MUX_PB13C_SERCOM4_PAD1) +#define PORT_PB13C_SERCOM4_PAD1 (1ul << 13) +#define PIN_PA14D_SERCOM4_PAD2 14L /**< \brief SERCOM4 signal: PAD2 on PA14 mux D */ +#define MUX_PA14D_SERCOM4_PAD2 3L +#define PINMUX_PA14D_SERCOM4_PAD2 ((PIN_PA14D_SERCOM4_PAD2 << 16) | MUX_PA14D_SERCOM4_PAD2) +#define PORT_PA14D_SERCOM4_PAD2 (1ul << 14) +#define PIN_PB10D_SERCOM4_PAD2 42L /**< \brief SERCOM4 signal: PAD2 on PB10 mux D */ +#define MUX_PB10D_SERCOM4_PAD2 3L +#define PINMUX_PB10D_SERCOM4_PAD2 ((PIN_PB10D_SERCOM4_PAD2 << 16) | MUX_PB10D_SERCOM4_PAD2) +#define PORT_PB10D_SERCOM4_PAD2 (1ul << 10) +#define PIN_PB14C_SERCOM4_PAD2 46L /**< \brief SERCOM4 signal: PAD2 on PB14 mux C */ +#define MUX_PB14C_SERCOM4_PAD2 2L +#define PINMUX_PB14C_SERCOM4_PAD2 ((PIN_PB14C_SERCOM4_PAD2 << 16) | MUX_PB14C_SERCOM4_PAD2) +#define PORT_PB14C_SERCOM4_PAD2 (1ul << 14) +#define PIN_PA15D_SERCOM4_PAD3 15L /**< \brief SERCOM4 signal: PAD3 on PA15 mux D */ +#define MUX_PA15D_SERCOM4_PAD3 3L +#define PINMUX_PA15D_SERCOM4_PAD3 ((PIN_PA15D_SERCOM4_PAD3 << 16) | MUX_PA15D_SERCOM4_PAD3) +#define PORT_PA15D_SERCOM4_PAD3 (1ul << 15) +#define PIN_PB11D_SERCOM4_PAD3 43L /**< \brief SERCOM4 signal: PAD3 on PB11 mux D */ +#define MUX_PB11D_SERCOM4_PAD3 3L +#define PINMUX_PB11D_SERCOM4_PAD3 ((PIN_PB11D_SERCOM4_PAD3 << 16) | MUX_PB11D_SERCOM4_PAD3) +#define PORT_PB11D_SERCOM4_PAD3 (1ul << 11) +#define PIN_PB15C_SERCOM4_PAD3 47L /**< \brief SERCOM4 signal: PAD3 on PB15 mux C */ +#define MUX_PB15C_SERCOM4_PAD3 2L +#define PINMUX_PB15C_SERCOM4_PAD3 ((PIN_PB15C_SERCOM4_PAD3 << 16) | MUX_PB15C_SERCOM4_PAD3) +#define PORT_PB15C_SERCOM4_PAD3 (1ul << 15) +/* ========== PORT definition for SERCOM5 peripheral ========== */ +#define PIN_PB16C_SERCOM5_PAD0 48L /**< \brief SERCOM5 signal: PAD0 on PB16 mux C */ +#define MUX_PB16C_SERCOM5_PAD0 2L +#define PINMUX_PB16C_SERCOM5_PAD0 ((PIN_PB16C_SERCOM5_PAD0 << 16) | MUX_PB16C_SERCOM5_PAD0) +#define PORT_PB16C_SERCOM5_PAD0 (1ul << 16) +#define PIN_PA22D_SERCOM5_PAD0 22L /**< \brief SERCOM5 signal: PAD0 on PA22 mux D */ +#define MUX_PA22D_SERCOM5_PAD0 3L +#define PINMUX_PA22D_SERCOM5_PAD0 ((PIN_PA22D_SERCOM5_PAD0 << 16) | MUX_PA22D_SERCOM5_PAD0) +#define PORT_PA22D_SERCOM5_PAD0 (1ul << 22) +#define PIN_PB02D_SERCOM5_PAD0 34L /**< \brief SERCOM5 signal: PAD0 on PB02 mux D */ +#define MUX_PB02D_SERCOM5_PAD0 3L +#define PINMUX_PB02D_SERCOM5_PAD0 ((PIN_PB02D_SERCOM5_PAD0 << 16) | MUX_PB02D_SERCOM5_PAD0) +#define PORT_PB02D_SERCOM5_PAD0 (1ul << 2) +#define PIN_PB30D_SERCOM5_PAD0 62L /**< \brief SERCOM5 signal: PAD0 on PB30 mux D */ +#define MUX_PB30D_SERCOM5_PAD0 3L +#define PINMUX_PB30D_SERCOM5_PAD0 ((PIN_PB30D_SERCOM5_PAD0 << 16) | MUX_PB30D_SERCOM5_PAD0) +#define PORT_PB30D_SERCOM5_PAD0 (1ul << 30) +#define PIN_PB17C_SERCOM5_PAD1 49L /**< \brief SERCOM5 signal: PAD1 on PB17 mux C */ +#define MUX_PB17C_SERCOM5_PAD1 2L +#define PINMUX_PB17C_SERCOM5_PAD1 ((PIN_PB17C_SERCOM5_PAD1 << 16) | MUX_PB17C_SERCOM5_PAD1) +#define PORT_PB17C_SERCOM5_PAD1 (1ul << 17) +#define PIN_PA23D_SERCOM5_PAD1 23L /**< \brief SERCOM5 signal: PAD1 on PA23 mux D */ +#define MUX_PA23D_SERCOM5_PAD1 3L +#define PINMUX_PA23D_SERCOM5_PAD1 ((PIN_PA23D_SERCOM5_PAD1 << 16) | MUX_PA23D_SERCOM5_PAD1) +#define PORT_PA23D_SERCOM5_PAD1 (1ul << 23) +#define PIN_PB03D_SERCOM5_PAD1 35L /**< \brief SERCOM5 signal: PAD1 on PB03 mux D */ +#define MUX_PB03D_SERCOM5_PAD1 3L +#define PINMUX_PB03D_SERCOM5_PAD1 ((PIN_PB03D_SERCOM5_PAD1 << 16) | MUX_PB03D_SERCOM5_PAD1) +#define PORT_PB03D_SERCOM5_PAD1 (1ul << 3) +#define PIN_PB31D_SERCOM5_PAD1 63L /**< \brief SERCOM5 signal: PAD1 on PB31 mux D */ +#define MUX_PB31D_SERCOM5_PAD1 3L +#define PINMUX_PB31D_SERCOM5_PAD1 ((PIN_PB31D_SERCOM5_PAD1 << 16) | MUX_PB31D_SERCOM5_PAD1) +#define PORT_PB31D_SERCOM5_PAD1 (1ul << 31) +#define PIN_PA24D_SERCOM5_PAD2 24L /**< \brief SERCOM5 signal: PAD2 on PA24 mux D */ +#define MUX_PA24D_SERCOM5_PAD2 3L +#define PINMUX_PA24D_SERCOM5_PAD2 ((PIN_PA24D_SERCOM5_PAD2 << 16) | MUX_PA24D_SERCOM5_PAD2) +#define PORT_PA24D_SERCOM5_PAD2 (1ul << 24) +#define PIN_PB00D_SERCOM5_PAD2 32L /**< \brief SERCOM5 signal: PAD2 on PB00 mux D */ +#define MUX_PB00D_SERCOM5_PAD2 3L +#define PINMUX_PB00D_SERCOM5_PAD2 ((PIN_PB00D_SERCOM5_PAD2 << 16) | MUX_PB00D_SERCOM5_PAD2) +#define PORT_PB00D_SERCOM5_PAD2 (1ul << 0) +#define PIN_PB22D_SERCOM5_PAD2 54L /**< \brief SERCOM5 signal: PAD2 on PB22 mux D */ +#define MUX_PB22D_SERCOM5_PAD2 3L +#define PINMUX_PB22D_SERCOM5_PAD2 ((PIN_PB22D_SERCOM5_PAD2 << 16) | MUX_PB22D_SERCOM5_PAD2) +#define PORT_PB22D_SERCOM5_PAD2 (1ul << 22) +#define PIN_PA20C_SERCOM5_PAD2 20L /**< \brief SERCOM5 signal: PAD2 on PA20 mux C */ +#define MUX_PA20C_SERCOM5_PAD2 2L +#define PINMUX_PA20C_SERCOM5_PAD2 ((PIN_PA20C_SERCOM5_PAD2 << 16) | MUX_PA20C_SERCOM5_PAD2) +#define PORT_PA20C_SERCOM5_PAD2 (1ul << 20) +#define PIN_PA25D_SERCOM5_PAD3 25L /**< \brief SERCOM5 signal: PAD3 on PA25 mux D */ +#define MUX_PA25D_SERCOM5_PAD3 3L +#define PINMUX_PA25D_SERCOM5_PAD3 ((PIN_PA25D_SERCOM5_PAD3 << 16) | MUX_PA25D_SERCOM5_PAD3) +#define PORT_PA25D_SERCOM5_PAD3 (1ul << 25) +#define PIN_PB01D_SERCOM5_PAD3 33L /**< \brief SERCOM5 signal: PAD3 on PB01 mux D */ +#define MUX_PB01D_SERCOM5_PAD3 3L +#define PINMUX_PB01D_SERCOM5_PAD3 ((PIN_PB01D_SERCOM5_PAD3 << 16) | MUX_PB01D_SERCOM5_PAD3) +#define PORT_PB01D_SERCOM5_PAD3 (1ul << 1) +#define PIN_PB23D_SERCOM5_PAD3 55L /**< \brief SERCOM5 signal: PAD3 on PB23 mux D */ +#define MUX_PB23D_SERCOM5_PAD3 3L +#define PINMUX_PB23D_SERCOM5_PAD3 ((PIN_PB23D_SERCOM5_PAD3 << 16) | MUX_PB23D_SERCOM5_PAD3) +#define PORT_PB23D_SERCOM5_PAD3 (1ul << 23) +#define PIN_PA21C_SERCOM5_PAD3 21L /**< \brief SERCOM5 signal: PAD3 on PA21 mux C */ +#define MUX_PA21C_SERCOM5_PAD3 2L +#define PINMUX_PA21C_SERCOM5_PAD3 ((PIN_PA21C_SERCOM5_PAD3 << 16) | MUX_PA21C_SERCOM5_PAD3) +#define PORT_PA21C_SERCOM5_PAD3 (1ul << 21) +/* ========== PORT definition for TCC0 peripheral ========== */ +#define PIN_PA04E_TCC0_WO0 4L /**< \brief TCC0 signal: WO0 on PA04 mux E */ +#define MUX_PA04E_TCC0_WO0 4L +#define PINMUX_PA04E_TCC0_WO0 ((PIN_PA04E_TCC0_WO0 << 16) | MUX_PA04E_TCC0_WO0) +#define PORT_PA04E_TCC0_WO0 (1ul << 4) +#define PIN_PA08E_TCC0_WO0 8L /**< \brief TCC0 signal: WO0 on PA08 mux E */ +#define MUX_PA08E_TCC0_WO0 4L +#define PINMUX_PA08E_TCC0_WO0 ((PIN_PA08E_TCC0_WO0 << 16) | MUX_PA08E_TCC0_WO0) +#define PORT_PA08E_TCC0_WO0 (1ul << 8) +#define PIN_PB30E_TCC0_WO0 62L /**< \brief TCC0 signal: WO0 on PB30 mux E */ +#define MUX_PB30E_TCC0_WO0 4L +#define PINMUX_PB30E_TCC0_WO0 ((PIN_PB30E_TCC0_WO0 << 16) | MUX_PB30E_TCC0_WO0) +#define PORT_PB30E_TCC0_WO0 (1ul << 30) +#define PIN_PA05E_TCC0_WO1 5L /**< \brief TCC0 signal: WO1 on PA05 mux E */ +#define MUX_PA05E_TCC0_WO1 4L +#define PINMUX_PA05E_TCC0_WO1 ((PIN_PA05E_TCC0_WO1 << 16) | MUX_PA05E_TCC0_WO1) +#define PORT_PA05E_TCC0_WO1 (1ul << 5) +#define PIN_PA09E_TCC0_WO1 9L /**< \brief TCC0 signal: WO1 on PA09 mux E */ +#define MUX_PA09E_TCC0_WO1 4L +#define PINMUX_PA09E_TCC0_WO1 ((PIN_PA09E_TCC0_WO1 << 16) | MUX_PA09E_TCC0_WO1) +#define PORT_PA09E_TCC0_WO1 (1ul << 9) +#define PIN_PB31E_TCC0_WO1 63L /**< \brief TCC0 signal: WO1 on PB31 mux E */ +#define MUX_PB31E_TCC0_WO1 4L +#define PINMUX_PB31E_TCC0_WO1 ((PIN_PB31E_TCC0_WO1 << 16) | MUX_PB31E_TCC0_WO1) +#define PORT_PB31E_TCC0_WO1 (1ul << 31) +#define PIN_PA10F_TCC0_WO2 10L /**< \brief TCC0 signal: WO2 on PA10 mux F */ +#define MUX_PA10F_TCC0_WO2 5L +#define PINMUX_PA10F_TCC0_WO2 ((PIN_PA10F_TCC0_WO2 << 16) | MUX_PA10F_TCC0_WO2) +#define PORT_PA10F_TCC0_WO2 (1ul << 10) +#define PIN_PA18F_TCC0_WO2 18L /**< \brief TCC0 signal: WO2 on PA18 mux F */ +#define MUX_PA18F_TCC0_WO2 5L +#define PINMUX_PA18F_TCC0_WO2 ((PIN_PA18F_TCC0_WO2 << 16) | MUX_PA18F_TCC0_WO2) +#define PORT_PA18F_TCC0_WO2 (1ul << 18) +#define PIN_PA11F_TCC0_WO3 11L /**< \brief TCC0 signal: WO3 on PA11 mux F */ +#define MUX_PA11F_TCC0_WO3 5L +#define PINMUX_PA11F_TCC0_WO3 ((PIN_PA11F_TCC0_WO3 << 16) | MUX_PA11F_TCC0_WO3) +#define PORT_PA11F_TCC0_WO3 (1ul << 11) +#define PIN_PA19F_TCC0_WO3 19L /**< \brief TCC0 signal: WO3 on PA19 mux F */ +#define MUX_PA19F_TCC0_WO3 5L +#define PINMUX_PA19F_TCC0_WO3 ((PIN_PA19F_TCC0_WO3 << 16) | MUX_PA19F_TCC0_WO3) +#define PORT_PA19F_TCC0_WO3 (1ul << 19) +#define PIN_PA14F_TCC0_WO4 14L /**< \brief TCC0 signal: WO4 on PA14 mux F */ +#define MUX_PA14F_TCC0_WO4 5L +#define PINMUX_PA14F_TCC0_WO4 ((PIN_PA14F_TCC0_WO4 << 16) | MUX_PA14F_TCC0_WO4) +#define PORT_PA14F_TCC0_WO4 (1ul << 14) +#define PIN_PA22F_TCC0_WO4 22L /**< \brief TCC0 signal: WO4 on PA22 mux F */ +#define MUX_PA22F_TCC0_WO4 5L +#define PINMUX_PA22F_TCC0_WO4 ((PIN_PA22F_TCC0_WO4 << 16) | MUX_PA22F_TCC0_WO4) +#define PORT_PA22F_TCC0_WO4 (1ul << 22) +#define PIN_PB10F_TCC0_WO4 42L /**< \brief TCC0 signal: WO4 on PB10 mux F */ +#define MUX_PB10F_TCC0_WO4 5L +#define PINMUX_PB10F_TCC0_WO4 ((PIN_PB10F_TCC0_WO4 << 16) | MUX_PB10F_TCC0_WO4) +#define PORT_PB10F_TCC0_WO4 (1ul << 10) +#define PIN_PB16F_TCC0_WO4 48L /**< \brief TCC0 signal: WO4 on PB16 mux F */ +#define MUX_PB16F_TCC0_WO4 5L +#define PINMUX_PB16F_TCC0_WO4 ((PIN_PB16F_TCC0_WO4 << 16) | MUX_PB16F_TCC0_WO4) +#define PORT_PB16F_TCC0_WO4 (1ul << 16) +#define PIN_PA15F_TCC0_WO5 15L /**< \brief TCC0 signal: WO5 on PA15 mux F */ +#define MUX_PA15F_TCC0_WO5 5L +#define PINMUX_PA15F_TCC0_WO5 ((PIN_PA15F_TCC0_WO5 << 16) | MUX_PA15F_TCC0_WO5) +#define PORT_PA15F_TCC0_WO5 (1ul << 15) +#define PIN_PA23F_TCC0_WO5 23L /**< \brief TCC0 signal: WO5 on PA23 mux F */ +#define MUX_PA23F_TCC0_WO5 5L +#define PINMUX_PA23F_TCC0_WO5 ((PIN_PA23F_TCC0_WO5 << 16) | MUX_PA23F_TCC0_WO5) +#define PORT_PA23F_TCC0_WO5 (1ul << 23) +#define PIN_PB11F_TCC0_WO5 43L /**< \brief TCC0 signal: WO5 on PB11 mux F */ +#define MUX_PB11F_TCC0_WO5 5L +#define PINMUX_PB11F_TCC0_WO5 ((PIN_PB11F_TCC0_WO5 << 16) | MUX_PB11F_TCC0_WO5) +#define PORT_PB11F_TCC0_WO5 (1ul << 11) +#define PIN_PB17F_TCC0_WO5 49L /**< \brief TCC0 signal: WO5 on PB17 mux F */ +#define MUX_PB17F_TCC0_WO5 5L +#define PINMUX_PB17F_TCC0_WO5 ((PIN_PB17F_TCC0_WO5 << 16) | MUX_PB17F_TCC0_WO5) +#define PORT_PB17F_TCC0_WO5 (1ul << 17) +#define PIN_PA12F_TCC0_WO6 12L /**< \brief TCC0 signal: WO6 on PA12 mux F */ +#define MUX_PA12F_TCC0_WO6 5L +#define PINMUX_PA12F_TCC0_WO6 ((PIN_PA12F_TCC0_WO6 << 16) | MUX_PA12F_TCC0_WO6) +#define PORT_PA12F_TCC0_WO6 (1ul << 12) +#define PIN_PA20F_TCC0_WO6 20L /**< \brief TCC0 signal: WO6 on PA20 mux F */ +#define MUX_PA20F_TCC0_WO6 5L +#define PINMUX_PA20F_TCC0_WO6 ((PIN_PA20F_TCC0_WO6 << 16) | MUX_PA20F_TCC0_WO6) +#define PORT_PA20F_TCC0_WO6 (1ul << 20) +#define PIN_PB12F_TCC0_WO6 44L /**< \brief TCC0 signal: WO6 on PB12 mux F */ +#define MUX_PB12F_TCC0_WO6 5L +#define PINMUX_PB12F_TCC0_WO6 ((PIN_PB12F_TCC0_WO6 << 16) | MUX_PB12F_TCC0_WO6) +#define PORT_PB12F_TCC0_WO6 (1ul << 12) +#define PIN_PA16F_TCC0_WO6 16L /**< \brief TCC0 signal: WO6 on PA16 mux F */ +#define MUX_PA16F_TCC0_WO6 5L +#define PINMUX_PA16F_TCC0_WO6 ((PIN_PA16F_TCC0_WO6 << 16) | MUX_PA16F_TCC0_WO6) +#define PORT_PA16F_TCC0_WO6 (1ul << 16) +#define PIN_PA13F_TCC0_WO7 13L /**< \brief TCC0 signal: WO7 on PA13 mux F */ +#define MUX_PA13F_TCC0_WO7 5L +#define PINMUX_PA13F_TCC0_WO7 ((PIN_PA13F_TCC0_WO7 << 16) | MUX_PA13F_TCC0_WO7) +#define PORT_PA13F_TCC0_WO7 (1ul << 13) +#define PIN_PA21F_TCC0_WO7 21L /**< \brief TCC0 signal: WO7 on PA21 mux F */ +#define MUX_PA21F_TCC0_WO7 5L +#define PINMUX_PA21F_TCC0_WO7 ((PIN_PA21F_TCC0_WO7 << 16) | MUX_PA21F_TCC0_WO7) +#define PORT_PA21F_TCC0_WO7 (1ul << 21) +#define PIN_PB13F_TCC0_WO7 45L /**< \brief TCC0 signal: WO7 on PB13 mux F */ +#define MUX_PB13F_TCC0_WO7 5L +#define PINMUX_PB13F_TCC0_WO7 ((PIN_PB13F_TCC0_WO7 << 16) | MUX_PB13F_TCC0_WO7) +#define PORT_PB13F_TCC0_WO7 (1ul << 13) +#define PIN_PA17F_TCC0_WO7 17L /**< \brief TCC0 signal: WO7 on PA17 mux F */ +#define MUX_PA17F_TCC0_WO7 5L +#define PINMUX_PA17F_TCC0_WO7 ((PIN_PA17F_TCC0_WO7 << 16) | MUX_PA17F_TCC0_WO7) +#define PORT_PA17F_TCC0_WO7 (1ul << 17) +/* ========== PORT definition for TCC1 peripheral ========== */ +#define PIN_PA06E_TCC1_WO0 6L /**< \brief TCC1 signal: WO0 on PA06 mux E */ +#define MUX_PA06E_TCC1_WO0 4L +#define PINMUX_PA06E_TCC1_WO0 ((PIN_PA06E_TCC1_WO0 << 16) | MUX_PA06E_TCC1_WO0) +#define PORT_PA06E_TCC1_WO0 (1ul << 6) +#define PIN_PA10E_TCC1_WO0 10L /**< \brief TCC1 signal: WO0 on PA10 mux E */ +#define MUX_PA10E_TCC1_WO0 4L +#define PINMUX_PA10E_TCC1_WO0 ((PIN_PA10E_TCC1_WO0 << 16) | MUX_PA10E_TCC1_WO0) +#define PORT_PA10E_TCC1_WO0 (1ul << 10) +#define PIN_PA30E_TCC1_WO0 30L /**< \brief TCC1 signal: WO0 on PA30 mux E */ +#define MUX_PA30E_TCC1_WO0 4L +#define PINMUX_PA30E_TCC1_WO0 ((PIN_PA30E_TCC1_WO0 << 16) | MUX_PA30E_TCC1_WO0) +#define PORT_PA30E_TCC1_WO0 (1ul << 30) +#define PIN_PA07E_TCC1_WO1 7L /**< \brief TCC1 signal: WO1 on PA07 mux E */ +#define MUX_PA07E_TCC1_WO1 4L +#define PINMUX_PA07E_TCC1_WO1 ((PIN_PA07E_TCC1_WO1 << 16) | MUX_PA07E_TCC1_WO1) +#define PORT_PA07E_TCC1_WO1 (1ul << 7) +#define PIN_PA11E_TCC1_WO1 11L /**< \brief TCC1 signal: WO1 on PA11 mux E */ +#define MUX_PA11E_TCC1_WO1 4L +#define PINMUX_PA11E_TCC1_WO1 ((PIN_PA11E_TCC1_WO1 << 16) | MUX_PA11E_TCC1_WO1) +#define PORT_PA11E_TCC1_WO1 (1ul << 11) +#define PIN_PA31E_TCC1_WO1 31L /**< \brief TCC1 signal: WO1 on PA31 mux E */ +#define MUX_PA31E_TCC1_WO1 4L +#define PINMUX_PA31E_TCC1_WO1 ((PIN_PA31E_TCC1_WO1 << 16) | MUX_PA31E_TCC1_WO1) +#define PORT_PA31E_TCC1_WO1 (1ul << 31) +#define PIN_PA08F_TCC1_WO2 8L /**< \brief TCC1 signal: WO2 on PA08 mux F */ +#define MUX_PA08F_TCC1_WO2 5L +#define PINMUX_PA08F_TCC1_WO2 ((PIN_PA08F_TCC1_WO2 << 16) | MUX_PA08F_TCC1_WO2) +#define PORT_PA08F_TCC1_WO2 (1ul << 8) +#define PIN_PA24F_TCC1_WO2 24L /**< \brief TCC1 signal: WO2 on PA24 mux F */ +#define MUX_PA24F_TCC1_WO2 5L +#define PINMUX_PA24F_TCC1_WO2 ((PIN_PA24F_TCC1_WO2 << 16) | MUX_PA24F_TCC1_WO2) +#define PORT_PA24F_TCC1_WO2 (1ul << 24) +#define PIN_PB30F_TCC1_WO2 62L /**< \brief TCC1 signal: WO2 on PB30 mux F */ +#define MUX_PB30F_TCC1_WO2 5L +#define PINMUX_PB30F_TCC1_WO2 ((PIN_PB30F_TCC1_WO2 << 16) | MUX_PB30F_TCC1_WO2) +#define PORT_PB30F_TCC1_WO2 (1ul << 30) +#define PIN_PA09F_TCC1_WO3 9L /**< \brief TCC1 signal: WO3 on PA09 mux F */ +#define MUX_PA09F_TCC1_WO3 5L +#define PINMUX_PA09F_TCC1_WO3 ((PIN_PA09F_TCC1_WO3 << 16) | MUX_PA09F_TCC1_WO3) +#define PORT_PA09F_TCC1_WO3 (1ul << 9) +#define PIN_PA25F_TCC1_WO3 25L /**< \brief TCC1 signal: WO3 on PA25 mux F */ +#define MUX_PA25F_TCC1_WO3 5L +#define PINMUX_PA25F_TCC1_WO3 ((PIN_PA25F_TCC1_WO3 << 16) | MUX_PA25F_TCC1_WO3) +#define PORT_PA25F_TCC1_WO3 (1ul << 25) +#define PIN_PB31F_TCC1_WO3 63L /**< \brief TCC1 signal: WO3 on PB31 mux F */ +#define MUX_PB31F_TCC1_WO3 5L +#define PINMUX_PB31F_TCC1_WO3 ((PIN_PB31F_TCC1_WO3 << 16) | MUX_PB31F_TCC1_WO3) +#define PORT_PB31F_TCC1_WO3 (1ul << 31) +/* ========== PORT definition for TCC2 peripheral ========== */ +#define PIN_PA12E_TCC2_WO0 12L /**< \brief TCC2 signal: WO0 on PA12 mux E */ +#define MUX_PA12E_TCC2_WO0 4L +#define PINMUX_PA12E_TCC2_WO0 ((PIN_PA12E_TCC2_WO0 << 16) | MUX_PA12E_TCC2_WO0) +#define PORT_PA12E_TCC2_WO0 (1ul << 12) +#define PIN_PA16E_TCC2_WO0 16L /**< \brief TCC2 signal: WO0 on PA16 mux E */ +#define MUX_PA16E_TCC2_WO0 4L +#define PINMUX_PA16E_TCC2_WO0 ((PIN_PA16E_TCC2_WO0 << 16) | MUX_PA16E_TCC2_WO0) +#define PORT_PA16E_TCC2_WO0 (1ul << 16) +#define PIN_PA00E_TCC2_WO0 0L /**< \brief TCC2 signal: WO0 on PA00 mux E */ +#define MUX_PA00E_TCC2_WO0 4L +#define PINMUX_PA00E_TCC2_WO0 ((PIN_PA00E_TCC2_WO0 << 16) | MUX_PA00E_TCC2_WO0) +#define PORT_PA00E_TCC2_WO0 (1ul << 0) +#define PIN_PA13E_TCC2_WO1 13L /**< \brief TCC2 signal: WO1 on PA13 mux E */ +#define MUX_PA13E_TCC2_WO1 4L +#define PINMUX_PA13E_TCC2_WO1 ((PIN_PA13E_TCC2_WO1 << 16) | MUX_PA13E_TCC2_WO1) +#define PORT_PA13E_TCC2_WO1 (1ul << 13) +#define PIN_PA17E_TCC2_WO1 17L /**< \brief TCC2 signal: WO1 on PA17 mux E */ +#define MUX_PA17E_TCC2_WO1 4L +#define PINMUX_PA17E_TCC2_WO1 ((PIN_PA17E_TCC2_WO1 << 16) | MUX_PA17E_TCC2_WO1) +#define PORT_PA17E_TCC2_WO1 (1ul << 17) +#define PIN_PA01E_TCC2_WO1 1L /**< \brief TCC2 signal: WO1 on PA01 mux E */ +#define MUX_PA01E_TCC2_WO1 4L +#define PINMUX_PA01E_TCC2_WO1 ((PIN_PA01E_TCC2_WO1 << 16) | MUX_PA01E_TCC2_WO1) +#define PORT_PA01E_TCC2_WO1 (1ul << 1) +/* ========== PORT definition for TC3 peripheral ========== */ +#define PIN_PA18E_TC3_WO0 18L /**< \brief TC3 signal: WO0 on PA18 mux E */ +#define MUX_PA18E_TC3_WO0 4L +#define PINMUX_PA18E_TC3_WO0 ((PIN_PA18E_TC3_WO0 << 16) | MUX_PA18E_TC3_WO0) +#define PORT_PA18E_TC3_WO0 (1ul << 18) +#define PIN_PA14E_TC3_WO0 14L /**< \brief TC3 signal: WO0 on PA14 mux E */ +#define MUX_PA14E_TC3_WO0 4L +#define PINMUX_PA14E_TC3_WO0 ((PIN_PA14E_TC3_WO0 << 16) | MUX_PA14E_TC3_WO0) +#define PORT_PA14E_TC3_WO0 (1ul << 14) +#define PIN_PA19E_TC3_WO1 19L /**< \brief TC3 signal: WO1 on PA19 mux E */ +#define MUX_PA19E_TC3_WO1 4L +#define PINMUX_PA19E_TC3_WO1 ((PIN_PA19E_TC3_WO1 << 16) | MUX_PA19E_TC3_WO1) +#define PORT_PA19E_TC3_WO1 (1ul << 19) +#define PIN_PA15E_TC3_WO1 15L /**< \brief TC3 signal: WO1 on PA15 mux E */ +#define MUX_PA15E_TC3_WO1 4L +#define PINMUX_PA15E_TC3_WO1 ((PIN_PA15E_TC3_WO1 << 16) | MUX_PA15E_TC3_WO1) +#define PORT_PA15E_TC3_WO1 (1ul << 15) +/* ========== PORT definition for TC4 peripheral ========== */ +#define PIN_PA22E_TC4_WO0 22L /**< \brief TC4 signal: WO0 on PA22 mux E */ +#define MUX_PA22E_TC4_WO0 4L +#define PINMUX_PA22E_TC4_WO0 ((PIN_PA22E_TC4_WO0 << 16) | MUX_PA22E_TC4_WO0) +#define PORT_PA22E_TC4_WO0 (1ul << 22) +#define PIN_PB08E_TC4_WO0 40L /**< \brief TC4 signal: WO0 on PB08 mux E */ +#define MUX_PB08E_TC4_WO0 4L +#define PINMUX_PB08E_TC4_WO0 ((PIN_PB08E_TC4_WO0 << 16) | MUX_PB08E_TC4_WO0) +#define PORT_PB08E_TC4_WO0 (1ul << 8) +#define PIN_PB12E_TC4_WO0 44L /**< \brief TC4 signal: WO0 on PB12 mux E */ +#define MUX_PB12E_TC4_WO0 4L +#define PINMUX_PB12E_TC4_WO0 ((PIN_PB12E_TC4_WO0 << 16) | MUX_PB12E_TC4_WO0) +#define PORT_PB12E_TC4_WO0 (1ul << 12) +#define PIN_PA23E_TC4_WO1 23L /**< \brief TC4 signal: WO1 on PA23 mux E */ +#define MUX_PA23E_TC4_WO1 4L +#define PINMUX_PA23E_TC4_WO1 ((PIN_PA23E_TC4_WO1 << 16) | MUX_PA23E_TC4_WO1) +#define PORT_PA23E_TC4_WO1 (1ul << 23) +#define PIN_PB09E_TC4_WO1 41L /**< \brief TC4 signal: WO1 on PB09 mux E */ +#define MUX_PB09E_TC4_WO1 4L +#define PINMUX_PB09E_TC4_WO1 ((PIN_PB09E_TC4_WO1 << 16) | MUX_PB09E_TC4_WO1) +#define PORT_PB09E_TC4_WO1 (1ul << 9) +#define PIN_PB13E_TC4_WO1 45L /**< \brief TC4 signal: WO1 on PB13 mux E */ +#define MUX_PB13E_TC4_WO1 4L +#define PINMUX_PB13E_TC4_WO1 ((PIN_PB13E_TC4_WO1 << 16) | MUX_PB13E_TC4_WO1) +#define PORT_PB13E_TC4_WO1 (1ul << 13) +/* ========== PORT definition for TC5 peripheral ========== */ +#define PIN_PA24E_TC5_WO0 24L /**< \brief TC5 signal: WO0 on PA24 mux E */ +#define MUX_PA24E_TC5_WO0 4L +#define PINMUX_PA24E_TC5_WO0 ((PIN_PA24E_TC5_WO0 << 16) | MUX_PA24E_TC5_WO0) +#define PORT_PA24E_TC5_WO0 (1ul << 24) +#define PIN_PB10E_TC5_WO0 42L /**< \brief TC5 signal: WO0 on PB10 mux E */ +#define MUX_PB10E_TC5_WO0 4L +#define PINMUX_PB10E_TC5_WO0 ((PIN_PB10E_TC5_WO0 << 16) | MUX_PB10E_TC5_WO0) +#define PORT_PB10E_TC5_WO0 (1ul << 10) +#define PIN_PB14E_TC5_WO0 46L /**< \brief TC5 signal: WO0 on PB14 mux E */ +#define MUX_PB14E_TC5_WO0 4L +#define PINMUX_PB14E_TC5_WO0 ((PIN_PB14E_TC5_WO0 << 16) | MUX_PB14E_TC5_WO0) +#define PORT_PB14E_TC5_WO0 (1ul << 14) +#define PIN_PA25E_TC5_WO1 25L /**< \brief TC5 signal: WO1 on PA25 mux E */ +#define MUX_PA25E_TC5_WO1 4L +#define PINMUX_PA25E_TC5_WO1 ((PIN_PA25E_TC5_WO1 << 16) | MUX_PA25E_TC5_WO1) +#define PORT_PA25E_TC5_WO1 (1ul << 25) +#define PIN_PB11E_TC5_WO1 43L /**< \brief TC5 signal: WO1 on PB11 mux E */ +#define MUX_PB11E_TC5_WO1 4L +#define PINMUX_PB11E_TC5_WO1 ((PIN_PB11E_TC5_WO1 << 16) | MUX_PB11E_TC5_WO1) +#define PORT_PB11E_TC5_WO1 (1ul << 11) +#define PIN_PB15E_TC5_WO1 47L /**< \brief TC5 signal: WO1 on PB15 mux E */ +#define MUX_PB15E_TC5_WO1 4L +#define PINMUX_PB15E_TC5_WO1 ((PIN_PB15E_TC5_WO1 << 16) | MUX_PB15E_TC5_WO1) +#define PORT_PB15E_TC5_WO1 (1ul << 15) +/* ========== PORT definition for TC6 peripheral ========== */ +#define PIN_PB02E_TC6_WO0 34L /**< \brief TC6 signal: WO0 on PB02 mux E */ +#define MUX_PB02E_TC6_WO0 4L +#define PINMUX_PB02E_TC6_WO0 ((PIN_PB02E_TC6_WO0 << 16) | MUX_PB02E_TC6_WO0) +#define PORT_PB02E_TC6_WO0 (1ul << 2) +#define PIN_PB16E_TC6_WO0 48L /**< \brief TC6 signal: WO0 on PB16 mux E */ +#define MUX_PB16E_TC6_WO0 4L +#define PINMUX_PB16E_TC6_WO0 ((PIN_PB16E_TC6_WO0 << 16) | MUX_PB16E_TC6_WO0) +#define PORT_PB16E_TC6_WO0 (1ul << 16) +#define PIN_PB03E_TC6_WO1 35L /**< \brief TC6 signal: WO1 on PB03 mux E */ +#define MUX_PB03E_TC6_WO1 4L +#define PINMUX_PB03E_TC6_WO1 ((PIN_PB03E_TC6_WO1 << 16) | MUX_PB03E_TC6_WO1) +#define PORT_PB03E_TC6_WO1 (1ul << 3) +#define PIN_PB17E_TC6_WO1 49L /**< \brief TC6 signal: WO1 on PB17 mux E */ +#define MUX_PB17E_TC6_WO1 4L +#define PINMUX_PB17E_TC6_WO1 ((PIN_PB17E_TC6_WO1 << 16) | MUX_PB17E_TC6_WO1) +#define PORT_PB17E_TC6_WO1 (1ul << 17) +/* ========== PORT definition for TC7 peripheral ========== */ +#define PIN_PA20E_TC7_WO0 20L /**< \brief TC7 signal: WO0 on PA20 mux E */ +#define MUX_PA20E_TC7_WO0 4L +#define PINMUX_PA20E_TC7_WO0 ((PIN_PA20E_TC7_WO0 << 16) | MUX_PA20E_TC7_WO0) +#define PORT_PA20E_TC7_WO0 (1ul << 20) +#define PIN_PB00E_TC7_WO0 32L /**< \brief TC7 signal: WO0 on PB00 mux E */ +#define MUX_PB00E_TC7_WO0 4L +#define PINMUX_PB00E_TC7_WO0 ((PIN_PB00E_TC7_WO0 << 16) | MUX_PB00E_TC7_WO0) +#define PORT_PB00E_TC7_WO0 (1ul << 0) +#define PIN_PB22E_TC7_WO0 54L /**< \brief TC7 signal: WO0 on PB22 mux E */ +#define MUX_PB22E_TC7_WO0 4L +#define PINMUX_PB22E_TC7_WO0 ((PIN_PB22E_TC7_WO0 << 16) | MUX_PB22E_TC7_WO0) +#define PORT_PB22E_TC7_WO0 (1ul << 22) +#define PIN_PA21E_TC7_WO1 21L /**< \brief TC7 signal: WO1 on PA21 mux E */ +#define MUX_PA21E_TC7_WO1 4L +#define PINMUX_PA21E_TC7_WO1 ((PIN_PA21E_TC7_WO1 << 16) | MUX_PA21E_TC7_WO1) +#define PORT_PA21E_TC7_WO1 (1ul << 21) +#define PIN_PB01E_TC7_WO1 33L /**< \brief TC7 signal: WO1 on PB01 mux E */ +#define MUX_PB01E_TC7_WO1 4L +#define PINMUX_PB01E_TC7_WO1 ((PIN_PB01E_TC7_WO1 << 16) | MUX_PB01E_TC7_WO1) +#define PORT_PB01E_TC7_WO1 (1ul << 1) +#define PIN_PB23E_TC7_WO1 55L /**< \brief TC7 signal: WO1 on PB23 mux E */ +#define MUX_PB23E_TC7_WO1 4L +#define PINMUX_PB23E_TC7_WO1 ((PIN_PB23E_TC7_WO1 << 16) | MUX_PB23E_TC7_WO1) +#define PORT_PB23E_TC7_WO1 (1ul << 23) +/* ========== PORT definition for ADC peripheral ========== */ +#define PIN_PA02B_ADC_AIN0 2L /**< \brief ADC signal: AIN0 on PA02 mux B */ +#define MUX_PA02B_ADC_AIN0 1L +#define PINMUX_PA02B_ADC_AIN0 ((PIN_PA02B_ADC_AIN0 << 16) | MUX_PA02B_ADC_AIN0) +#define PORT_PA02B_ADC_AIN0 (1ul << 2) +#define PIN_PA03B_ADC_AIN1 3L /**< \brief ADC signal: AIN1 on PA03 mux B */ +#define MUX_PA03B_ADC_AIN1 1L +#define PINMUX_PA03B_ADC_AIN1 ((PIN_PA03B_ADC_AIN1 << 16) | MUX_PA03B_ADC_AIN1) +#define PORT_PA03B_ADC_AIN1 (1ul << 3) +#define PIN_PB08B_ADC_AIN2 40L /**< \brief ADC signal: AIN2 on PB08 mux B */ +#define MUX_PB08B_ADC_AIN2 1L +#define PINMUX_PB08B_ADC_AIN2 ((PIN_PB08B_ADC_AIN2 << 16) | MUX_PB08B_ADC_AIN2) +#define PORT_PB08B_ADC_AIN2 (1ul << 8) +#define PIN_PB09B_ADC_AIN3 41L /**< \brief ADC signal: AIN3 on PB09 mux B */ +#define MUX_PB09B_ADC_AIN3 1L +#define PINMUX_PB09B_ADC_AIN3 ((PIN_PB09B_ADC_AIN3 << 16) | MUX_PB09B_ADC_AIN3) +#define PORT_PB09B_ADC_AIN3 (1ul << 9) +#define PIN_PA04B_ADC_AIN4 4L /**< \brief ADC signal: AIN4 on PA04 mux B */ +#define MUX_PA04B_ADC_AIN4 1L +#define PINMUX_PA04B_ADC_AIN4 ((PIN_PA04B_ADC_AIN4 << 16) | MUX_PA04B_ADC_AIN4) +#define PORT_PA04B_ADC_AIN4 (1ul << 4) +#define PIN_PA05B_ADC_AIN5 5L /**< \brief ADC signal: AIN5 on PA05 mux B */ +#define MUX_PA05B_ADC_AIN5 1L +#define PINMUX_PA05B_ADC_AIN5 ((PIN_PA05B_ADC_AIN5 << 16) | MUX_PA05B_ADC_AIN5) +#define PORT_PA05B_ADC_AIN5 (1ul << 5) +#define PIN_PA06B_ADC_AIN6 6L /**< \brief ADC signal: AIN6 on PA06 mux B */ +#define MUX_PA06B_ADC_AIN6 1L +#define PINMUX_PA06B_ADC_AIN6 ((PIN_PA06B_ADC_AIN6 << 16) | MUX_PA06B_ADC_AIN6) +#define PORT_PA06B_ADC_AIN6 (1ul << 6) +#define PIN_PA07B_ADC_AIN7 7L /**< \brief ADC signal: AIN7 on PA07 mux B */ +#define MUX_PA07B_ADC_AIN7 1L +#define PINMUX_PA07B_ADC_AIN7 ((PIN_PA07B_ADC_AIN7 << 16) | MUX_PA07B_ADC_AIN7) +#define PORT_PA07B_ADC_AIN7 (1ul << 7) +#define PIN_PB00B_ADC_AIN8 32L /**< \brief ADC signal: AIN8 on PB00 mux B */ +#define MUX_PB00B_ADC_AIN8 1L +#define PINMUX_PB00B_ADC_AIN8 ((PIN_PB00B_ADC_AIN8 << 16) | MUX_PB00B_ADC_AIN8) +#define PORT_PB00B_ADC_AIN8 (1ul << 0) +#define PIN_PB01B_ADC_AIN9 33L /**< \brief ADC signal: AIN9 on PB01 mux B */ +#define MUX_PB01B_ADC_AIN9 1L +#define PINMUX_PB01B_ADC_AIN9 ((PIN_PB01B_ADC_AIN9 << 16) | MUX_PB01B_ADC_AIN9) +#define PORT_PB01B_ADC_AIN9 (1ul << 1) +#define PIN_PB02B_ADC_AIN10 34L /**< \brief ADC signal: AIN10 on PB02 mux B */ +#define MUX_PB02B_ADC_AIN10 1L +#define PINMUX_PB02B_ADC_AIN10 ((PIN_PB02B_ADC_AIN10 << 16) | MUX_PB02B_ADC_AIN10) +#define PORT_PB02B_ADC_AIN10 (1ul << 2) +#define PIN_PB03B_ADC_AIN11 35L /**< \brief ADC signal: AIN11 on PB03 mux B */ +#define MUX_PB03B_ADC_AIN11 1L +#define PINMUX_PB03B_ADC_AIN11 ((PIN_PB03B_ADC_AIN11 << 16) | MUX_PB03B_ADC_AIN11) +#define PORT_PB03B_ADC_AIN11 (1ul << 3) +#define PIN_PB04B_ADC_AIN12 36L /**< \brief ADC signal: AIN12 on PB04 mux B */ +#define MUX_PB04B_ADC_AIN12 1L +#define PINMUX_PB04B_ADC_AIN12 ((PIN_PB04B_ADC_AIN12 << 16) | MUX_PB04B_ADC_AIN12) +#define PORT_PB04B_ADC_AIN12 (1ul << 4) +#define PIN_PB05B_ADC_AIN13 37L /**< \brief ADC signal: AIN13 on PB05 mux B */ +#define MUX_PB05B_ADC_AIN13 1L +#define PINMUX_PB05B_ADC_AIN13 ((PIN_PB05B_ADC_AIN13 << 16) | MUX_PB05B_ADC_AIN13) +#define PORT_PB05B_ADC_AIN13 (1ul << 5) +#define PIN_PB06B_ADC_AIN14 38L /**< \brief ADC signal: AIN14 on PB06 mux B */ +#define MUX_PB06B_ADC_AIN14 1L +#define PINMUX_PB06B_ADC_AIN14 ((PIN_PB06B_ADC_AIN14 << 16) | MUX_PB06B_ADC_AIN14) +#define PORT_PB06B_ADC_AIN14 (1ul << 6) +#define PIN_PB07B_ADC_AIN15 39L /**< \brief ADC signal: AIN15 on PB07 mux B */ +#define MUX_PB07B_ADC_AIN15 1L +#define PINMUX_PB07B_ADC_AIN15 ((PIN_PB07B_ADC_AIN15 << 16) | MUX_PB07B_ADC_AIN15) +#define PORT_PB07B_ADC_AIN15 (1ul << 7) +#define PIN_PA08B_ADC_AIN16 8L /**< \brief ADC signal: AIN16 on PA08 mux B */ +#define MUX_PA08B_ADC_AIN16 1L +#define PINMUX_PA08B_ADC_AIN16 ((PIN_PA08B_ADC_AIN16 << 16) | MUX_PA08B_ADC_AIN16) +#define PORT_PA08B_ADC_AIN16 (1ul << 8) +#define PIN_PA09B_ADC_AIN17 9L /**< \brief ADC signal: AIN17 on PA09 mux B */ +#define MUX_PA09B_ADC_AIN17 1L +#define PINMUX_PA09B_ADC_AIN17 ((PIN_PA09B_ADC_AIN17 << 16) | MUX_PA09B_ADC_AIN17) +#define PORT_PA09B_ADC_AIN17 (1ul << 9) +#define PIN_PA10B_ADC_AIN18 10L /**< \brief ADC signal: AIN18 on PA10 mux B */ +#define MUX_PA10B_ADC_AIN18 1L +#define PINMUX_PA10B_ADC_AIN18 ((PIN_PA10B_ADC_AIN18 << 16) | MUX_PA10B_ADC_AIN18) +#define PORT_PA10B_ADC_AIN18 (1ul << 10) +#define PIN_PA11B_ADC_AIN19 11L /**< \brief ADC signal: AIN19 on PA11 mux B */ +#define MUX_PA11B_ADC_AIN19 1L +#define PINMUX_PA11B_ADC_AIN19 ((PIN_PA11B_ADC_AIN19 << 16) | MUX_PA11B_ADC_AIN19) +#define PORT_PA11B_ADC_AIN19 (1ul << 11) +#define PIN_PA04B_ADC_VREFP 4L /**< \brief ADC signal: VREFP on PA04 mux B */ +#define MUX_PA04B_ADC_VREFP 1L +#define PINMUX_PA04B_ADC_VREFP ((PIN_PA04B_ADC_VREFP << 16) | MUX_PA04B_ADC_VREFP) +#define PORT_PA04B_ADC_VREFP (1ul << 4) +/* ========== PORT definition for AC peripheral ========== */ +#define PIN_PA04B_AC_AIN0 4L /**< \brief AC signal: AIN0 on PA04 mux B */ +#define MUX_PA04B_AC_AIN0 1L +#define PINMUX_PA04B_AC_AIN0 ((PIN_PA04B_AC_AIN0 << 16) | MUX_PA04B_AC_AIN0) +#define PORT_PA04B_AC_AIN0 (1ul << 4) +#define PIN_PA05B_AC_AIN1 5L /**< \brief AC signal: AIN1 on PA05 mux B */ +#define MUX_PA05B_AC_AIN1 1L +#define PINMUX_PA05B_AC_AIN1 ((PIN_PA05B_AC_AIN1 << 16) | MUX_PA05B_AC_AIN1) +#define PORT_PA05B_AC_AIN1 (1ul << 5) +#define PIN_PA06B_AC_AIN2 6L /**< \brief AC signal: AIN2 on PA06 mux B */ +#define MUX_PA06B_AC_AIN2 1L +#define PINMUX_PA06B_AC_AIN2 ((PIN_PA06B_AC_AIN2 << 16) | MUX_PA06B_AC_AIN2) +#define PORT_PA06B_AC_AIN2 (1ul << 6) +#define PIN_PA07B_AC_AIN3 7L /**< \brief AC signal: AIN3 on PA07 mux B */ +#define MUX_PA07B_AC_AIN3 1L +#define PINMUX_PA07B_AC_AIN3 ((PIN_PA07B_AC_AIN3 << 16) | MUX_PA07B_AC_AIN3) +#define PORT_PA07B_AC_AIN3 (1ul << 7) +#define PIN_PA12H_AC_CMP0 12L /**< \brief AC signal: CMP0 on PA12 mux H */ +#define MUX_PA12H_AC_CMP0 7L +#define PINMUX_PA12H_AC_CMP0 ((PIN_PA12H_AC_CMP0 << 16) | MUX_PA12H_AC_CMP0) +#define PORT_PA12H_AC_CMP0 (1ul << 12) +#define PIN_PA18H_AC_CMP0 18L /**< \brief AC signal: CMP0 on PA18 mux H */ +#define MUX_PA18H_AC_CMP0 7L +#define PINMUX_PA18H_AC_CMP0 ((PIN_PA18H_AC_CMP0 << 16) | MUX_PA18H_AC_CMP0) +#define PORT_PA18H_AC_CMP0 (1ul << 18) +#define PIN_PA13H_AC_CMP1 13L /**< \brief AC signal: CMP1 on PA13 mux H */ +#define MUX_PA13H_AC_CMP1 7L +#define PINMUX_PA13H_AC_CMP1 ((PIN_PA13H_AC_CMP1 << 16) | MUX_PA13H_AC_CMP1) +#define PORT_PA13H_AC_CMP1 (1ul << 13) +#define PIN_PA19H_AC_CMP1 19L /**< \brief AC signal: CMP1 on PA19 mux H */ +#define MUX_PA19H_AC_CMP1 7L +#define PINMUX_PA19H_AC_CMP1 ((PIN_PA19H_AC_CMP1 << 16) | MUX_PA19H_AC_CMP1) +#define PORT_PA19H_AC_CMP1 (1ul << 19) +/* ========== PORT definition for DAC peripheral ========== */ +#define PIN_PA02B_DAC_VOUT 2L /**< \brief DAC signal: VOUT on PA02 mux B */ +#define MUX_PA02B_DAC_VOUT 1L +#define PINMUX_PA02B_DAC_VOUT ((PIN_PA02B_DAC_VOUT << 16) | MUX_PA02B_DAC_VOUT) +#define PORT_PA02B_DAC_VOUT (1ul << 2) +#define PIN_PA03B_DAC_VREFP 3L /**< \brief DAC signal: VREFP on PA03 mux B */ +#define MUX_PA03B_DAC_VREFP 1L +#define PINMUX_PA03B_DAC_VREFP ((PIN_PA03B_DAC_VREFP << 16) | MUX_PA03B_DAC_VREFP) +#define PORT_PA03B_DAC_VREFP (1ul << 3) +/* ========== PORT definition for I2S peripheral ========== */ +#define PIN_PA11G_I2S_FS0 11L /**< \brief I2S signal: FS0 on PA11 mux G */ +#define MUX_PA11G_I2S_FS0 6L +#define PINMUX_PA11G_I2S_FS0 ((PIN_PA11G_I2S_FS0 << 16) | MUX_PA11G_I2S_FS0) +#define PORT_PA11G_I2S_FS0 (1ul << 11) +#define PIN_PA21G_I2S_FS0 21L /**< \brief I2S signal: FS0 on PA21 mux G */ +#define MUX_PA21G_I2S_FS0 6L +#define PINMUX_PA21G_I2S_FS0 ((PIN_PA21G_I2S_FS0 << 16) | MUX_PA21G_I2S_FS0) +#define PORT_PA21G_I2S_FS0 (1ul << 21) +#define PIN_PB12G_I2S_FS1 44L /**< \brief I2S signal: FS1 on PB12 mux G */ +#define MUX_PB12G_I2S_FS1 6L +#define PINMUX_PB12G_I2S_FS1 ((PIN_PB12G_I2S_FS1 << 16) | MUX_PB12G_I2S_FS1) +#define PORT_PB12G_I2S_FS1 (1ul << 12) +#define PIN_PA09G_I2S_MCK0 9L /**< \brief I2S signal: MCK0 on PA09 mux G */ +#define MUX_PA09G_I2S_MCK0 6L +#define PINMUX_PA09G_I2S_MCK0 ((PIN_PA09G_I2S_MCK0 << 16) | MUX_PA09G_I2S_MCK0) +#define PORT_PA09G_I2S_MCK0 (1ul << 9) +#define PIN_PB17G_I2S_MCK0 49L /**< \brief I2S signal: MCK0 on PB17 mux G */ +#define MUX_PB17G_I2S_MCK0 6L +#define PINMUX_PB17G_I2S_MCK0 ((PIN_PB17G_I2S_MCK0 << 16) | MUX_PB17G_I2S_MCK0) +#define PORT_PB17G_I2S_MCK0 (1ul << 17) +#define PIN_PB10G_I2S_MCK1 42L /**< \brief I2S signal: MCK1 on PB10 mux G */ +#define MUX_PB10G_I2S_MCK1 6L +#define PINMUX_PB10G_I2S_MCK1 ((PIN_PB10G_I2S_MCK1 << 16) | MUX_PB10G_I2S_MCK1) +#define PORT_PB10G_I2S_MCK1 (1ul << 10) +#define PIN_PA10G_I2S_SCK0 10L /**< \brief I2S signal: SCK0 on PA10 mux G */ +#define MUX_PA10G_I2S_SCK0 6L +#define PINMUX_PA10G_I2S_SCK0 ((PIN_PA10G_I2S_SCK0 << 16) | MUX_PA10G_I2S_SCK0) +#define PORT_PA10G_I2S_SCK0 (1ul << 10) +#define PIN_PA20G_I2S_SCK0 20L /**< \brief I2S signal: SCK0 on PA20 mux G */ +#define MUX_PA20G_I2S_SCK0 6L +#define PINMUX_PA20G_I2S_SCK0 ((PIN_PA20G_I2S_SCK0 << 16) | MUX_PA20G_I2S_SCK0) +#define PORT_PA20G_I2S_SCK0 (1ul << 20) +#define PIN_PB11G_I2S_SCK1 43L /**< \brief I2S signal: SCK1 on PB11 mux G */ +#define MUX_PB11G_I2S_SCK1 6L +#define PINMUX_PB11G_I2S_SCK1 ((PIN_PB11G_I2S_SCK1 << 16) | MUX_PB11G_I2S_SCK1) +#define PORT_PB11G_I2S_SCK1 (1ul << 11) +#define PIN_PA07G_I2S_SD0 7L /**< \brief I2S signal: SD0 on PA07 mux G */ +#define MUX_PA07G_I2S_SD0 6L +#define PINMUX_PA07G_I2S_SD0 ((PIN_PA07G_I2S_SD0 << 16) | MUX_PA07G_I2S_SD0) +#define PORT_PA07G_I2S_SD0 (1ul << 7) +#define PIN_PA19G_I2S_SD0 19L /**< \brief I2S signal: SD0 on PA19 mux G */ +#define MUX_PA19G_I2S_SD0 6L +#define PINMUX_PA19G_I2S_SD0 ((PIN_PA19G_I2S_SD0 << 16) | MUX_PA19G_I2S_SD0) +#define PORT_PA19G_I2S_SD0 (1ul << 19) +#define PIN_PA08G_I2S_SD1 8L /**< \brief I2S signal: SD1 on PA08 mux G */ +#define MUX_PA08G_I2S_SD1 6L +#define PINMUX_PA08G_I2S_SD1 ((PIN_PA08G_I2S_SD1 << 16) | MUX_PA08G_I2S_SD1) +#define PORT_PA08G_I2S_SD1 (1ul << 8) +#define PIN_PB16G_I2S_SD1 48L /**< \brief I2S signal: SD1 on PB16 mux G */ +#define MUX_PB16G_I2S_SD1 6L +#define PINMUX_PB16G_I2S_SD1 ((PIN_PB16G_I2S_SD1 << 16) | MUX_PB16G_I2S_SD1) +#define PORT_PB16G_I2S_SD1 (1ul << 16) + +#endif /* _SAMD21J18A_PIO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21.h new file mode 100644 index 0000000..c9905b7 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21.h @@ -0,0 +1,113 @@ +/** + * \file + * + * \brief Top header file for SAMD21 + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAMD21_ +#define _SAMD21_ + +/** + * \defgroup SAMD21_definitions SAMD21 Device Definitions + * \brief SAMD21 CMSIS Definitions. + */ + +#if defined(__SAMD21E15A__) || defined(__ATSAMD21E15A__) + #include "samd21e15a.h" +#elif defined(__SAMD21E16A__) || defined(__ATSAMD21E16A__) + #include "samd21e16a.h" +#elif defined(__SAMD21E17A__) || defined(__ATSAMD21E17A__) + #include "samd21e17a.h" +#elif defined(__SAMD21E18A__) || defined(__ATSAMD21E18A__) + #include "samd21e18a.h" +#elif defined(__SAMD21G15A__) || defined(__ATSAMD21G15A__) + #include "samd21g15a.h" +#elif defined(__SAMD21G16A__) || defined(__ATSAMD21G16A__) + #include "samd21g16a.h" +#elif defined(__SAMD21G17A__) || defined(__ATSAMD21G17A__) + #include "samd21g17a.h" +#elif defined(__SAMD21G17AU__) || defined(__ATSAMD21G17AU__) + #include "samd21g17au.h" +#elif defined(__SAMD21G18A__) || defined(__ATSAMD21G18A__) + #include "samd21g18a.h" +#elif defined (__SAMD21G18AU__) || defined(__ATSAMD21G18AU__) + #include "samd21g18au.h" +#elif defined(__SAMD21J15A__) || defined(__ATSAMD21J15A__) + #include "samd21j15a.h" +#elif defined(__SAMD21J16A__) || defined(__ATSAMD21J16A__) + #include "samd21j16a.h" +#elif defined(__SAMD21J17A__) || defined(__ATSAMD21J17A__) + #include "samd21j17a.h" +#elif defined(__SAMD21J18A__) || defined(__ATSAMD21J18A__) + #include "samd21j18a.h" +#elif defined(__SAMD21E15B__) || defined(__ATSAMD21E15B__) + #include "samd21e15b.h" +#elif defined(__SAMD21E15BU__) || defined(__ATSAMD21E15BU__) + #include "samd21e15bu.h" +#elif defined(__SAMD21E15L__) || defined(__ATSAMD21E15L__) + #include "samd21e15l.h" +#elif defined(__SAMD21E16B__) || defined(__ATSAMD21E16B__) + #include "samd21e16b.h" +#elif defined(__SAMD21E16BU__) || defined(__ATSAMD21E16BU__) + #include "samd21e16bu.h" +#elif defined(__SAMD21E16L__) || defined(__ATSAMD21E16L__) + #include "samd21e16l.h" +#elif defined(__SAMD21G15B__) || defined(__ATSAMD21G15B__) + #include "samd21g15b.h" +#elif defined(__SAMD21G15L__) || defined(__ATSAMD21G15L__) + #include "samd21g15l.h" +#elif defined(__SAMD21G16B__) || defined(__ATSAMD21G16B__) + #include "samd21g16b.h" +#elif defined(__SAMD21G16L__) || defined(__ATSAMD21G16L__) + #include "samd21g16l.h" +#elif defined(__SAMD21J15B__) || defined(__ATSAMD21J15B__) + #include "samd21j15b.h" +#elif defined(__SAMD21J16B__) || defined(__ATSAMD21J16B__) + #include "samd21j16b.h" +#elif defined(__SAMD21E17D__) || defined(__ATSAMD21E17D__) + #include "samd21e17d.h" +#elif defined(__SAMD21E17DU__) || defined(__ATSAMD21E17DU__) + #include "samd21e17du.h" +#elif defined(__SAMD21E17L__) || defined(__ATSAMD21E17L__) + #include "samd21e17l.h" +#elif defined(__SAMD21G17D__) || defined(__ATSAMD21G17D__) + #include "samd21g17d.h" +#elif defined(__SAMD21G17L__) || defined(__ATSAMD21G17L__) + #include "samd21g17l.h" +#elif defined(__SAMD21J17D__) || defined(__ATSAMD21J17D__) + #include "samd21j17d.h" +#else + #error Library does not support the specified device. +#endif + +#endif /* _SAMD21_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15a.h new file mode 100644 index 0000000..2448e72 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15a.h @@ -0,0 +1,547 @@ +/** + * \file + * + * \brief Header file for SAMD21E15A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15A_ +#define _SAMD21E15A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E15A_definitions SAMD21E15A definitions + * This file defines all structures and symbols for SAMD21E15A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E15A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E15A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E15A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E15A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E15A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E15A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E15A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E15A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E15A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E15A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E15A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E15A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E15A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E15A Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E15A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E15A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E15A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E15A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E15A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E15A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E15A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E15A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E15A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E15A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E15A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e15a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001000DUL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E15A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E15A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15b.h new file mode 100644 index 0000000..ebab4f3 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15b.h @@ -0,0 +1,551 @@ +/** + * \file + * + * \brief Header file for SAMD21E15B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15B_ +#define _SAMD21E15B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E15B_definitions SAMD21E15B definitions + * This file defines all structures and symbols for SAMD21E15B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E15B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E15B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E15B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E15B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E15B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E15B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E15B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E15B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E15B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E15B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E15B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E15B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E15B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E15B Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E15B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E15B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E15B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E15B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E15B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E15B Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E15B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E15B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E15B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E15B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E15B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e15b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011427UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E15B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E15B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15bu.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15bu.h new file mode 100644 index 0000000..fca4bbc --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15bu.h @@ -0,0 +1,551 @@ +/** + * \file + * + * \brief Header file for SAMD21E15BU + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15BU_ +#define _SAMD21E15BU_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E15BU_definitions SAMD21E15BU definitions + * This file defines all structures and symbols for SAMD21E15BU: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E15BU-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E15BU Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E15BU System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E15BU Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E15BU Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E15BU External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E15BU Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E15BU Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E15BU Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E15BU Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E15BU Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E15BU Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E15BU Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E15BU Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E15BU Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E15BU Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E15BU Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E15BU Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E15BU Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E15BU Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E15BU Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E15BU Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E15BU Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E15BU Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E15BU Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15BU_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e15bu.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011456UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E15BU */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E15BU_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15l.h new file mode 100644 index 0000000..8346456 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e15l.h @@ -0,0 +1,530 @@ +/** + * \file + * + * \brief Header file for SAMD21E15L + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E15L_ +#define _SAMD21E15L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E15L_definitions SAMD21E15L definitions + * This file defines all structures and symbols for SAMD21E15L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E15L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E15L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E15L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E15L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E15L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E15L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E15L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E15L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E15L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E15L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E15L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E15L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E15L Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E15L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E15L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E15L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E15L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E15L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E15L Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E15L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E15L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E15L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21E15L Analog Comparators 1 (AC1) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ + +#define ID_PERIPH_COUNT 86 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E15L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e15l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001143FUL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E15L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E15L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16a.h new file mode 100644 index 0000000..042dde0 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16a.h @@ -0,0 +1,547 @@ +/** + * \file + * + * \brief Header file for SAMD21E16A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16A_ +#define _SAMD21E16A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E16A_definitions SAMD21E16A definitions + * This file defines all structures and symbols for SAMD21E16A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E16A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E16A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E16A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E16A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E16A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E16A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E16A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E16A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E16A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E16A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E16A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E16A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E16A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E16A Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E16A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E16A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E16A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E16A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E16A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E16A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E16A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E16A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E16A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E16A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E16A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e16a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001000CUL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E16A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E16A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16b.h new file mode 100644 index 0000000..e5c7031 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16b.h @@ -0,0 +1,551 @@ +/** + * \file + * + * \brief Header file for SAMD21E16B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16B_ +#define _SAMD21E16B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E16B_definitions SAMD21E16B definitions + * This file defines all structures and symbols for SAMD21E16B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E16B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E16B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E16B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E16B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E16B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E16B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E16B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E16B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E16B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E16B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E16B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E16B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E16B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E16B Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E16B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E16B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E16B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E16B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E16B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E16B Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E16B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E16B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E16B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E16B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E16B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e16b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011426UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E16B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E16B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16bu.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16bu.h new file mode 100644 index 0000000..a539c9c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16bu.h @@ -0,0 +1,551 @@ +/** + * \file + * + * \brief Header file for SAMD21E16BU + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16BU_ +#define _SAMD21E16BU_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E16BU_definitions SAMD21E16BU definitions + * This file defines all structures and symbols for SAMD21E16BU: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E16BU-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E16BU Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E16BU System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E16BU Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E16BU Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E16BU External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E16BU Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E16BU Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E16BU Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E16BU Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E16BU Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E16BU Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E16BU Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E16BU Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E16BU Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E16BU Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E16BU Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E16BU Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E16BU Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E16BU Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E16BU Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E16BU Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E16BU Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E16BU Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E16BU Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16BU_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e16bu.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011455UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E16BU */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E16BU_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16l.h new file mode 100644 index 0000000..57f4978 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e16l.h @@ -0,0 +1,530 @@ +/** + * \file + * + * \brief Header file for SAMD21E16L + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E16L_ +#define _SAMD21E16L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E16L_definitions SAMD21E16L definitions + * This file defines all structures and symbols for SAMD21E16L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E16L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E16L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E16L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E16L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E16L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E16L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E16L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E16L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E16L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E16L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E16L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E16L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E16L Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E16L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E16L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E16L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E16L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E16L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E16L Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E16L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E16L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E16L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21E16L Analog Comparators 1 (AC1) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ + +#define ID_PERIPH_COUNT 86 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E16L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e16l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001143EUL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E16L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E16L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17a.h new file mode 100644 index 0000000..1d9db3d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17a.h @@ -0,0 +1,547 @@ +/** + * \file + * + * \brief Header file for SAMD21E17A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E17A_ +#define _SAMD21E17A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E17A_definitions SAMD21E17A definitions + * This file defines all structures and symbols for SAMD21E17A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E17A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E17A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E17A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E17A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E17A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E17A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E17A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E17A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E17A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E17A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E17A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E17A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E17A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E17A Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E17A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E17A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E17A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E17A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E17A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E17A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E17A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E17A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E17A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E17A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E17A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e17a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x20000UL /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x4000UL /* 16 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001000BUL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E17A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E17A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17d.h new file mode 100644 index 0000000..3dcd735 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17d.h @@ -0,0 +1,574 @@ +/** + * \file + * + * \brief Header file for SAMD21E17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E17D_ +#define _SAMD21E17D_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E17D_definitions SAMD21E17D definitions + * This file defines all structures and symbols for SAMD21E17D: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E17D-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E17D Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E17D System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E17D Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E17D Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E17D External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E17D Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E17D Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E17D Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E17D Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E17D Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E17D Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E17D Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E17D Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E17D Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E17D Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E17D Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E17D Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E17D Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E17D Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E17D Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E17D Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E17D Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E17D Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E17D Inter-IC Sound Interface (I2S) */ + TCC3_IRQn = 29, /**< 29 SAMD21E17D Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_variant_d.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define PTC (0x42004C00) /**< \brief (PTC) APB Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define USB (0x41005000) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define PTC ((void *)0x42004C00UL) /**< \brief (PTC) APB Base Address */ +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17D_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e17d.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012694) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E17D */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E17D_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17du.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17du.h new file mode 100644 index 0000000..419b57b --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17du.h @@ -0,0 +1,574 @@ +/** + * \file + * + * \brief Header file for SAMD21E17DU + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E17DU_ +#define _SAMD21E17DU_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E17DU_definitions SAMD21E17DU definitions + * This file defines all structures and symbols for SAMD21E17DU: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E17DU-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E17DU Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E17DU System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E17DU Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E17DU Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E17DU External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E17DU Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E17DU Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E17DU Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E17DU Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E17DU Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E17DU Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E17DU Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E17DU Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E17DU Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E17DU Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E17DU Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E17DU Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E17DU Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E17DU Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E17DU Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E17DU Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E17DU Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E17DU Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E17DU Inter-IC Sound Interface (I2S) */ + TCC3_IRQn = 29, /**< 29 SAMD21E17DU Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_variant_d.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define PTC (0x42004C00) /**< \brief (PTC) APB Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define USB (0x41005000) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define PTC ((void *)0x42004C00UL) /**< \brief (PTC) APB Base Address */ +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17DU_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e17du.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012695) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 1 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E17DU */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E17DU_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17l.h new file mode 100644 index 0000000..47e0350 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e17l.h @@ -0,0 +1,551 @@ +/** + * \file + * + * \brief Header file for SAMD21E17L + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E17L_ +#define _SAMD21E17L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E17L_definitions SAMD21E17L definitions + * This file defines all structures and symbols for SAMD21E17L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E17L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E17L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E17L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E17L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E17L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E17L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E17L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E17L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E17L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E17L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E17L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E17L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E17L Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E17L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E17L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E17L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E17L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E17L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E17L Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E17L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E17L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E17L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21E17L Analog Comparators 1 (AC1) */ + TCC3_IRQn = 29, /**< 29 SAMD21E17L Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21E17L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e17l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012697) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E17L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E17L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e18a.h new file mode 100644 index 0000000..6e52322 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21e18a.h @@ -0,0 +1,547 @@ +/** + * \file + * + * \brief Header file for SAMD21E18A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21E18A_ +#define _SAMD21E18A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21E18A_definitions SAMD21E18A definitions + * This file defines all structures and symbols for SAMD21E18A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21E18A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21E18A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21E18A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21E18A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21E18A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21E18A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21E18A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21E18A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21E18A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21E18A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21E18A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21E18A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21E18A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21E18A Serial Communication Interface 3 (SERCOM3) */ + TCC0_IRQn = 15, /**< 15 SAMD21E18A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21E18A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21E18A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21E18A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21E18A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21E18A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21E18A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21E18A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21E18A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21E18A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21E18A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pvReserved13; + void* pvReserved14; + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM_INST_NUM 4 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21E18A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21e18a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x40000UL /* 256 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 4096 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x8000UL /* 32 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001000AUL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21E18A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21E18A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15a.h new file mode 100644 index 0000000..9257f68 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15a.h @@ -0,0 +1,559 @@ +/** + * \file + * + * \brief Header file for SAMD21G15A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G15A_ +#define _SAMD21G15A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G15A_definitions SAMD21G15A definitions + * This file defines all structures and symbols for SAMD21G15A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G15A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G15A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G15A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G15A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G15A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G15A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G15A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G15A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G15A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G15A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G15A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G15A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G15A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G15A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G15A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G15A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G15A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G15A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G15A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G15A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G15A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G15A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G15A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G15A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G15A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G15A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G15A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g15a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010008UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G15A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G15A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15b.h new file mode 100644 index 0000000..041b54d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15b.h @@ -0,0 +1,563 @@ +/** + * \file + * + * \brief Header file for SAMD21G15B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G15B_ +#define _SAMD21G15B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G15B_definitions SAMD21G15B definitions + * This file defines all structures and symbols for SAMD21G15B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G15B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G15B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G15B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G15B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G15B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G15B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G15B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G15B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G15B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G15B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G15B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G15B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G15B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G15B Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G15B Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G15B Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G15B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G15B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G15B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G15B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G15B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G15B Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G15B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G15B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G15B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G15B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G15B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g15b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011424UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G15B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G15B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15l.h new file mode 100644 index 0000000..65f3645 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g15l.h @@ -0,0 +1,554 @@ +/** + * \file + * + * \brief Header file for SAMD21G15L + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G15L_ +#define _SAMD21G15L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G15L_definitions SAMD21G15L definitions + * This file defines all structures and symbols for SAMD21G15L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G15L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G15L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G15L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G15L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G15L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G15L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G15L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G15L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G15L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G15L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G15L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G15L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G15L Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G15L Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G15L Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G15L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G15L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G15L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G15L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G15L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G15L Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21G15L Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21G15L Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21G15L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G15L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G15L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21G15L Analog Comparators 1 (AC1) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ + +#define ID_PERIPH_COUNT 86 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G15L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g15l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011458UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G15L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G15L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16a.h new file mode 100644 index 0000000..1513991 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16a.h @@ -0,0 +1,559 @@ +/** + * \file + * + * \brief Header file for SAMD21G16A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G16A_ +#define _SAMD21G16A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G16A_definitions SAMD21G16A definitions + * This file defines all structures and symbols for SAMD21G16A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G16A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G16A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G16A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G16A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G16A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G16A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G16A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G16A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G16A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G16A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G16A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G16A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G16A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G16A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G16A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G16A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G16A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G16A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G16A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G16A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G16A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G16A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G16A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G16A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G16A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G16A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G16A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g16a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010007UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G16A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G16A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16b.h new file mode 100644 index 0000000..55889e7 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16b.h @@ -0,0 +1,563 @@ +/** + * \file + * + * \brief Header file for SAMD21G16B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G16B_ +#define _SAMD21G16B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G16B_definitions SAMD21G16B definitions + * This file defines all structures and symbols for SAMD21G16B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G16B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G16B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G16B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G16B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G16B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G16B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G16B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G16B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G16B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G16B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G16B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G16B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G16B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G16B Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G16B Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G16B Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G16B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G16B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G16B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G16B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G16B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G16B Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G16B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G16B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G16B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G16B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G16B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g16b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011423UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G16B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G16B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16l.h new file mode 100644 index 0000000..7eef278 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g16l.h @@ -0,0 +1,554 @@ +/** + * \file + * + * \brief Header file for SAMD21G16L + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G16L_ +#define _SAMD21G16L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G16L_definitions SAMD21G16L definitions + * This file defines all structures and symbols for SAMD21G16L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G16L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G16L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G16L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G16L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G16L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G16L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G16L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G16L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G16L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G16L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G16L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G16L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G16L Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G16L Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G16L Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G16L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G16L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G16L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G16L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G16L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G16L Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21G16L Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21G16L Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21G16L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G16L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G16L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21G16L Analog Comparators 1 (AC1) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ + +#define ID_PERIPH_COUNT 86 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G16L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g16l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011457UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G16L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G16L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17a.h new file mode 100644 index 0000000..e85c4c7 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17a.h @@ -0,0 +1,559 @@ +/** + * \file + * + * \brief Header file for SAMD21G17A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G17A_ +#define _SAMD21G17A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G17A_definitions SAMD21G17A definitions + * This file defines all structures and symbols for SAMD21G17A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G17A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G17A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G17A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G17A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G17A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G17A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G17A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G17A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G17A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G17A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G17A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G17A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G17A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G17A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G17A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G17A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G17A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G17A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G17A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G17A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G17A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G17A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G17A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G17A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G17A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G17A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G17A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g17a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x20000UL /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x4000UL /* 16 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010006UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G17A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G17A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17au.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17au.h new file mode 100644 index 0000000..192c332 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17au.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21G17AU + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G17AU_ +#define _SAMD21G17AU_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G17AU_definitions SAMD21G17AU definitions + * This file defines all structures and symbols for SAMD21G17AU: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G17AU-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G17AU Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G17AU System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G17AU Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G17AU Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G17AU External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G17AU Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G17AU Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G17AU Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G17AU Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G17AU Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G17AU Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G17AU Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G17AU Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G17AU Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G17AU Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G17AU Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G17AU Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G17AU Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G17AU Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G17AU Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G17AU Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21G17AU Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21G17AU Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21G17AU Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G17AU Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G17AU Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G17AU Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G17AU Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17AU_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g17au.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x20000UL /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x4000UL /* 16 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010010UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G17AU */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G17AU_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17d.h new file mode 100644 index 0000000..2d4fb6e --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17d.h @@ -0,0 +1,586 @@ +/** + * \file + * + * \brief Header file for SAMD21G17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G17D_ +#define _SAMD21G17D_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G17D_definitions SAMD21G17D definitions + * This file defines all structures and symbols for SAMD21G17D: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G17D-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G17D Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G17D System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G17D Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G17D Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G17D External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G17D Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G17D Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G17D Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G17D Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G17D Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G17D Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G17D Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G17D Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G17D Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G17D Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G17D Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G17D Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G17D Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G17D Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G17D Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G17D Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G17D Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G17D Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G17D Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G17D Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G17D Inter-IC Sound Interface (I2S) */ + TCC3_IRQn = 29, /**< 29 SAMD21G17D Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_variant_d.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define PTC (0x42004C00) /**< \brief (PTC) APB Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define USB (0x41005000) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define PTC ((void *)0x42004C00UL) /**< \brief (PTC) APB Base Address */ +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17D_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g17d.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012693) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G17D */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G17D_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17l.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17l.h new file mode 100644 index 0000000..ec18829 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g17l.h @@ -0,0 +1,575 @@ +/** + * \file + * + * \brief Header file for SAMD21G17L + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G17L_ +#define _SAMD21G17L_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G17L_definitions SAMD21G17L definitions + * This file defines all structures and symbols for SAMD21G17L: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G17L-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G17L Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G17L System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G17L Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G17L Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G17L External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G17L Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G17L Direct Memory Access Controller (DMAC) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G17L Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G17L Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G17L Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G17L Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G17L Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G17L Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G17L Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G17L Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G17L Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G17L Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G17L Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G17L Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G17L Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21G17L Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21G17L Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21G17L Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G17L Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G17L Digital Analog Converter (DAC) */ + AC1_IRQn = 28, /**< 28 SAMD21G17L Analog Comparators 1 (AC1) */ + TCC3_IRQn = 29, /**< 29 SAMD21G17L Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pvReserved7; + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pvReserved26; + void* pvReserved27; + void* pfnAC1_Handler; /* 28 Analog Comparators 1 */ + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void AC1_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_lighting.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/ac1.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_AC1 85 /**< \brief Analog Comparators 1 (AC1) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define AC1 (0x42005400) /**< \brief (AC1) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC1 ((Ac *)0x42005400UL) /**< \brief (AC1) APB Base Address */ +#define AC_INST_NUM 2 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC, AC1 } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ +/** \defgroup SAMD21G17L_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g17l.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012696) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 0 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G17L */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G17L_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18a.h new file mode 100644 index 0000000..f03f09e --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18a.h @@ -0,0 +1,559 @@ +/** + * \file + * + * \brief Header file for SAMD21G18A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G18A_ +#define _SAMD21G18A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G18A_definitions SAMD21G18A definitions + * This file defines all structures and symbols for SAMD21G18A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G18A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G18A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G18A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G18A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G18A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G18A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G18A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G18A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G18A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G18A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G18A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G18A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G18A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G18A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G18A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G18A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G18A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G18A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G18A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G18A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G18A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G18A Basic Timer Counter 5 (TC5) */ + ADC_IRQn = 23, /**< 23 SAMD21G18A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G18A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G18A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G18A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G18A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pvReserved21; + void* pvReserved22; + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC_INST_NUM 3 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g18a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x40000UL /* 256 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 4096 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x8000UL /* 32 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010005UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G18A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G18A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18au.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18au.h new file mode 100644 index 0000000..08b694e --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21g18au.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21G18AU + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21G18AU_ +#define _SAMD21G18AU_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21G18AU_definitions SAMD21G18AU definitions + * This file defines all structures and symbols for SAMD21G18AU: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21G18AU-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21G18AU Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21G18AU System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21G18AU Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21G18AU Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21G18AU External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21G18AU Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21G18AU Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21G18AU Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21G18AU Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21G18AU Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21G18AU Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21G18AU Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21G18AU Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21G18AU Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21G18AU Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21G18AU Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21G18AU Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21G18AU Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21G18AU Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21G18AU Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21G18AU Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21G18AU Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21G18AU Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21G18AU Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21G18AU Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21G18AU Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21G18AU Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21G18AU Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ +/** \defgroup SAMD21G18AU_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21g18au.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x40000UL /* 256 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 4096 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x8000UL /* 32 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x1001000FUL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21G18AU */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21G18AU_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15a.h new file mode 100644 index 0000000..8790b53 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15a.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21J15A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J15A_ +#define _SAMD21J15A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J15A_definitions SAMD21J15A definitions + * This file defines all structures and symbols for SAMD21J15A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J15A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J15A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J15A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J15A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J15A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J15A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J15A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J15A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J15A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J15A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J15A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J15A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J15A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J15A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J15A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J15A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J15A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J15A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J15A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J15A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J15A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J15A Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J15A Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J15A Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J15A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J15A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J15A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J15A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J15A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j15a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010003UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J15A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J15A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15b.h new file mode 100644 index 0000000..19b0266 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j15b.h @@ -0,0 +1,575 @@ +/** + * \file + * + * \brief Header file for SAMD21J15B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J15B_ +#define _SAMD21J15B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J15B_definitions SAMD21J15B definitions + * This file defines all structures and symbols for SAMD21J15B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J15B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J15B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J15B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J15B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J15B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J15B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J15B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J15B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J15B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J15B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J15B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J15B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J15B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J15B Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J15B Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J15B Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J15B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J15B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J15B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J15B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J15B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J15B Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J15B Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J15B Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J15B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J15B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J15B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J15B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J15B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J15B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j15b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x8000UL /* 32 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 512 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x1000UL /* 4 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011421UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x400UL /* 1 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J15B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J15B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16a.h new file mode 100644 index 0000000..687a262 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16a.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21J16A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J16A_ +#define _SAMD21J16A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J16A_definitions SAMD21J16A definitions + * This file defines all structures and symbols for SAMD21J16A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J16A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J16A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J16A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J16A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J16A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J16A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J16A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J16A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J16A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J16A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J16A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J16A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J16A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J16A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J16A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J16A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J16A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J16A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J16A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J16A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J16A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J16A Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J16A Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J16A Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J16A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J16A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J16A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J16A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J16A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j16a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010002UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J16A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J16A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16b.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16b.h new file mode 100644 index 0000000..1f2c069 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j16b.h @@ -0,0 +1,575 @@ +/** + * \file + * + * \brief Header file for SAMD21J16B + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J16B_ +#define _SAMD21J16B_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J16B_definitions SAMD21J16B definitions + * This file defines all structures and symbols for SAMD21J16B: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J16B-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J16B Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J16B System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J16B Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J16B Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J16B External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J16B Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J16B Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J16B Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J16B Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J16B Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J16B Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J16B Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J16B Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J16B Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J16B Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J16B Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J16B Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J16B Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J16B Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J16B Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J16B Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J16B Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J16B Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J16B Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J16B Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J16B Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J16B Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J16B Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 29 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ +/** \defgroup SAMD21J16B_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j16b.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x10000UL /* 64 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 1024 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x2000UL /* 8 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10011420UL +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE 0x800UL /* 2 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J16B */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J16B_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17a.h new file mode 100644 index 0000000..d8d3f1d --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17a.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21J17A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J17A_ +#define _SAMD21J17A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J17A_definitions SAMD21J17A definitions + * This file defines all structures and symbols for SAMD21J17A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J17A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J17A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J17A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J17A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J17A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J17A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J17A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J17A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J17A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J17A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J17A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J17A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J17A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J17A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J17A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J17A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J17A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J17A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J17A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J17A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J17A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J17A Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J17A Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J17A Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J17A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J17A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J17A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J17A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J17A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j17a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x20000UL /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x4000UL /* 16 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010001UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J17A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J17A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17d.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17d.h new file mode 100644 index 0000000..7f181ab --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j17d.h @@ -0,0 +1,598 @@ +/** + * \file + * + * \brief Header file for SAMD21J17D + * + * Copyright (c) 2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J17D_ +#define _SAMD21J17D_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J17D_definitions SAMD21J17D definitions + * This file defines all structures and symbols for SAMD21J17D: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#endif + +#if !defined(SKIP_INTEGER_LITERALS) +#if defined(_U_) || defined(_L_) || defined(_UL_) + #error "Integer Literals macros already defined elsewhere" +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +/* Macros that deal with adding suffixes to integer literal constants for C/C++ */ +#define _U_(x) x ## U /**< C code: Unsigned integer literal constant value */ +#define _L_(x) x ## L /**< C code: Long integer literal constant value */ +#define _UL_(x) x ## UL /**< C code: Unsigned Long integer literal constant value */ +#else /* Assembler */ +#define _U_(x) x /**< Assembler: Unsigned integer literal constant value */ +#define _L_(x) x /**< Assembler: Long integer literal constant value */ +#define _UL_(x) x /**< Assembler: Unsigned Long integer literal constant value */ +#endif /* !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +#endif /* SKIP_INTEGER_LITERALS */ + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J17D-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J17D Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J17D System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J17D Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J17D Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J17D External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J17D Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J17D Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J17D Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J17D Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J17D Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J17D Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J17D Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J17D Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J17D Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J17D Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J17D Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J17D Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J17D Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J17D Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J17D Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J17D Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J17D Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J17D Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J17D Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J17D Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J17D Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J17D Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J17D Inter-IC Sound Interface (I2S) */ + TCC3_IRQn = 29, /**< 29 SAMD21J17D Timer Counter Control 3 (TCC3) */ + + PERIPH_COUNT_IRQn = 30 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; + void* pfnTCC3_Handler; /* 29 Timer Counter Control 3 */ +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); +void TCC3_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys_variant_d.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl_variant_d.h" +#include "component/pac.h" +#include "component/pm_variant_d.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc_variant_d.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys_variant_d.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl_variant_d.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port_variant_d.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/tcc3.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ +#define ID_TCC3 88 /**< \brief Timer Counter Control 3 (TCC3) */ + +#define ID_PERIPH_COUNT 89 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL_AUX3 (0x0080A000) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL (0x41004000) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000) /**< \brief (PORT) IOBUS Base Address */ +#define PTC (0x42004C00) /**< \brief (PTC) APB Base Address */ +#define RTC (0x40001400) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800) /**< \brief (TCC2) APB Base Address */ +#define TCC3 (0x42006000) /**< \brief (TCC3) APB Base Address */ +#define USB (0x41005000) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL_AUX3 (0x0080A000UL) /**< \brief (NVMCTRL) AUX3 Base Address */ +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ +#define PORT_IOBUS_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_IOBUS_INSTS { PORT_IOBUS } /**< \brief (PORT) Instances List */ + +#define PTC ((void *)0x42004C00UL) /**< \brief (PTC) APB Base Address */ +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC3 ((Tcc *)0x42006000UL) /**< \brief (TCC3) APB Base Address */ +#define TCC_INST_NUM 4 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2, TCC3 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ +/** \defgroup SAMD21J17D_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j17d.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ + +#define FLASH_SIZE _UL_(0x00020000) /* 128 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 2048 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE _UL_(0x00004000) /* 16 kB */ + +#define FLASH_ADDR _UL_(0x00000000) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR _UL_(0x00800000) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR _UL_(0x20000000) /**< HMCRAMC0 base address */ +#define HPB0_ADDR _UL_(0x40000000) /**< HPB0 base address */ +#define HPB1_ADDR _UL_(0x41000000) /**< HPB1 base address */ +#define HPB2_ADDR _UL_(0x42000000) /**< HPB2 base address */ +#define PPB_ADDR _UL_(0xE0000000) /**< PPB base address */ + +#define DSU_DID_RESETVALUE _UL_(0x10012692) +#define EIC_EXTINT_NUM 16 +#define NVMCTRL_RWW_EEPROM_SIZE _UL_(0x00001000) /* 4 kB */ +#define PORT_GROUPS 2 +#define USB_HOST 1 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J17D */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J17D_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h new file mode 100644 index 0000000..c6a3ffd --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h @@ -0,0 +1,571 @@ +/** + * \file + * + * \brief Header file for SAMD21J18A + * + * Copyright (c) 2017-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#ifndef _SAMD21J18A_ +#define _SAMD21J18A_ + +/** + * \ingroup SAMD21_definitions + * \addtogroup SAMD21J18A_definitions SAMD21J18A definitions + * This file defines all structures and symbols for SAMD21J18A: + * - registers and bitfields + * - peripheral base address + * - peripheral ID + * - PIO definitions +*/ +/*@{*/ + +#ifdef __cplusplus + extern "C" { +#endif + +#if !(defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) +#include +#ifndef __cplusplus +typedef volatile const uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile const uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile const uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#else +typedef volatile uint32_t RoReg; /**< Read only 32-bit register (volatile const unsigned int) */ +typedef volatile uint16_t RoReg16; /**< Read only 16-bit register (volatile const unsigned int) */ +typedef volatile uint8_t RoReg8; /**< Read only 8-bit register (volatile const unsigned int) */ +#endif +typedef volatile uint32_t WoReg; /**< Write only 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t WoReg16; /**< Write only 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t WoReg8; /**< Write only 8-bit register (volatile unsigned int) */ +typedef volatile uint32_t RwReg; /**< Read-Write 32-bit register (volatile unsigned int) */ +typedef volatile uint16_t RwReg16; /**< Read-Write 16-bit register (volatile unsigned int) */ +typedef volatile uint8_t RwReg8; /**< Read-Write 8-bit register (volatile unsigned int) */ +#define CAST(type, value) ((type *)(value)) +#define REG_ACCESS(type, address) (*(type*)(address)) /**< C code: Register value */ +#else +#define CAST(type, value) (value) +#define REG_ACCESS(type, address) (address) /**< Assembly code: Register address */ +#endif + +/* ************************************************************************** */ +/** CMSIS DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_cmsis CMSIS Definitions */ +/*@{*/ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ + /****** Cortex-M0+ Processor Exceptions Numbers ******************************/ + NonMaskableInt_IRQn = -14,/**< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13,/**< 3 Cortex-M0+ Hard Fault Interrupt */ + SVCall_IRQn = -5, /**< 11 Cortex-M0+ SV Call Interrupt */ + PendSV_IRQn = -2, /**< 14 Cortex-M0+ Pend SV Interrupt */ + SysTick_IRQn = -1, /**< 15 Cortex-M0+ System Tick Interrupt */ + /****** SAMD21J18A-specific Interrupt Numbers ***********************/ + PM_IRQn = 0, /**< 0 SAMD21J18A Power Manager (PM) */ + SYSCTRL_IRQn = 1, /**< 1 SAMD21J18A System Control (SYSCTRL) */ + WDT_IRQn = 2, /**< 2 SAMD21J18A Watchdog Timer (WDT) */ + RTC_IRQn = 3, /**< 3 SAMD21J18A Real-Time Counter (RTC) */ + EIC_IRQn = 4, /**< 4 SAMD21J18A External Interrupt Controller (EIC) */ + NVMCTRL_IRQn = 5, /**< 5 SAMD21J18A Non-Volatile Memory Controller (NVMCTRL) */ + DMAC_IRQn = 6, /**< 6 SAMD21J18A Direct Memory Access Controller (DMAC) */ + USB_IRQn = 7, /**< 7 SAMD21J18A Universal Serial Bus (USB) */ + EVSYS_IRQn = 8, /**< 8 SAMD21J18A Event System Interface (EVSYS) */ + SERCOM0_IRQn = 9, /**< 9 SAMD21J18A Serial Communication Interface 0 (SERCOM0) */ + SERCOM1_IRQn = 10, /**< 10 SAMD21J18A Serial Communication Interface 1 (SERCOM1) */ + SERCOM2_IRQn = 11, /**< 11 SAMD21J18A Serial Communication Interface 2 (SERCOM2) */ + SERCOM3_IRQn = 12, /**< 12 SAMD21J18A Serial Communication Interface 3 (SERCOM3) */ + SERCOM4_IRQn = 13, /**< 13 SAMD21J18A Serial Communication Interface 4 (SERCOM4) */ + SERCOM5_IRQn = 14, /**< 14 SAMD21J18A Serial Communication Interface 5 (SERCOM5) */ + TCC0_IRQn = 15, /**< 15 SAMD21J18A Timer Counter Control 0 (TCC0) */ + TCC1_IRQn = 16, /**< 16 SAMD21J18A Timer Counter Control 1 (TCC1) */ + TCC2_IRQn = 17, /**< 17 SAMD21J18A Timer Counter Control 2 (TCC2) */ + TC3_IRQn = 18, /**< 18 SAMD21J18A Basic Timer Counter 3 (TC3) */ + TC4_IRQn = 19, /**< 19 SAMD21J18A Basic Timer Counter 4 (TC4) */ + TC5_IRQn = 20, /**< 20 SAMD21J18A Basic Timer Counter 5 (TC5) */ + TC6_IRQn = 21, /**< 21 SAMD21J18A Basic Timer Counter 6 (TC6) */ + TC7_IRQn = 22, /**< 22 SAMD21J18A Basic Timer Counter 7 (TC7) */ + ADC_IRQn = 23, /**< 23 SAMD21J18A Analog Digital Converter (ADC) */ + AC_IRQn = 24, /**< 24 SAMD21J18A Analog Comparators (AC) */ + DAC_IRQn = 25, /**< 25 SAMD21J18A Digital Analog Converter (DAC) */ + PTC_IRQn = 26, /**< 26 SAMD21J18A Peripheral Touch Controller (PTC) */ + I2S_IRQn = 27, /**< 27 SAMD21J18A Inter-IC Sound Interface (I2S) */ + + PERIPH_COUNT_IRQn = 28 /**< Number of peripheral IDs */ +} IRQn_Type; + +typedef struct _DeviceVectors +{ + /* Stack pointer */ + void* pvStack; + + /* Cortex-M handlers */ + void* pfnReset_Handler; + void* pfnNMI_Handler; + void* pfnHardFault_Handler; + void* pvReservedM12; + void* pvReservedM11; + void* pvReservedM10; + void* pvReservedM9; + void* pvReservedM8; + void* pvReservedM7; + void* pvReservedM6; + void* pfnSVC_Handler; + void* pvReservedM4; + void* pvReservedM3; + void* pfnPendSV_Handler; + void* pfnSysTick_Handler; + + /* Peripheral handlers */ + void* pfnPM_Handler; /* 0 Power Manager */ + void* pfnSYSCTRL_Handler; /* 1 System Control */ + void* pfnWDT_Handler; /* 2 Watchdog Timer */ + void* pfnRTC_Handler; /* 3 Real-Time Counter */ + void* pfnEIC_Handler; /* 4 External Interrupt Controller */ + void* pfnNVMCTRL_Handler; /* 5 Non-Volatile Memory Controller */ + void* pfnDMAC_Handler; /* 6 Direct Memory Access Controller */ + void* pfnUSB_Handler; /* 7 Universal Serial Bus */ + void* pfnEVSYS_Handler; /* 8 Event System Interface */ + void* pfnSERCOM0_Handler; /* 9 Serial Communication Interface 0 */ + void* pfnSERCOM1_Handler; /* 10 Serial Communication Interface 1 */ + void* pfnSERCOM2_Handler; /* 11 Serial Communication Interface 2 */ + void* pfnSERCOM3_Handler; /* 12 Serial Communication Interface 3 */ + void* pfnSERCOM4_Handler; /* 13 Serial Communication Interface 4 */ + void* pfnSERCOM5_Handler; /* 14 Serial Communication Interface 5 */ + void* pfnTCC0_Handler; /* 15 Timer Counter Control 0 */ + void* pfnTCC1_Handler; /* 16 Timer Counter Control 1 */ + void* pfnTCC2_Handler; /* 17 Timer Counter Control 2 */ + void* pfnTC3_Handler; /* 18 Basic Timer Counter 3 */ + void* pfnTC4_Handler; /* 19 Basic Timer Counter 4 */ + void* pfnTC5_Handler; /* 20 Basic Timer Counter 5 */ + void* pfnTC6_Handler; /* 21 Basic Timer Counter 6 */ + void* pfnTC7_Handler; /* 22 Basic Timer Counter 7 */ + void* pfnADC_Handler; /* 23 Analog Digital Converter */ + void* pfnAC_Handler; /* 24 Analog Comparators */ + void* pfnDAC_Handler; /* 25 Digital Analog Converter */ + void* pfnPTC_Handler; /* 26 Peripheral Touch Controller */ + void* pfnI2S_Handler; /* 27 Inter-IC Sound Interface */ + void* pvReserved28; +} DeviceVectors; + +/* Cortex-M0+ processor handlers */ +void Reset_Handler ( void ); +void NMI_Handler ( void ); +void HardFault_Handler ( void ); +void SVC_Handler ( void ); +void PendSV_Handler ( void ); +void SysTick_Handler ( void ); + +/* Peripherals handlers */ +void PM_Handler ( void ); +void SYSCTRL_Handler ( void ); +void WDT_Handler ( void ); +void RTC_Handler ( void ); +void EIC_Handler ( void ); +void NVMCTRL_Handler ( void ); +void DMAC_Handler ( void ); +void USB_Handler ( void ); +void EVSYS_Handler ( void ); +void SERCOM0_Handler ( void ); +void SERCOM1_Handler ( void ); +void SERCOM2_Handler ( void ); +void SERCOM3_Handler ( void ); +void SERCOM4_Handler ( void ); +void SERCOM5_Handler ( void ); +void TCC0_Handler ( void ); +void TCC1_Handler ( void ); +void TCC2_Handler ( void ); +void TC3_Handler ( void ); +void TC4_Handler ( void ); +void TC5_Handler ( void ); +void TC6_Handler ( void ); +void TC7_Handler ( void ); +void ADC_Handler ( void ); +void AC_Handler ( void ); +void DAC_Handler ( void ); +void PTC_Handler ( void ); +void I2S_Handler ( void ); + +/* + * \brief Configuration of the Cortex-M0+ Processor and Core Peripherals + */ + +#define LITTLE_ENDIAN 1 +#define __CM0PLUS_REV 1 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 0 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 2 /*!< Number of bits used for Priority Levels */ +#define __VTOR_PRESENT 1 /*!< VTOR present or not */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ + +/** + * \brief CMSIS includes + */ + +#include +#if !defined DONT_USE_CMSIS_INIT +#include "system_samd21.h" +#endif /* DONT_USE_CMSIS_INIT */ + +/*@}*/ + +/* ************************************************************************** */ +/** SOFTWARE PERIPHERAL API DEFINITION FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_api Peripheral Software API */ +/*@{*/ + +#include "component/ac.h" +#include "component/adc.h" +#include "component/dac.h" +#include "component/dmac.h" +#include "component/dsu.h" +#include "component/eic.h" +#include "component/evsys.h" +#include "component/gclk.h" +#include "component/hmatrixb.h" +#include "component/i2s.h" +#include "component/mtb.h" +#include "component/nvmctrl.h" +#include "component/pac.h" +#include "component/pm.h" +#include "component/port.h" +#include "component/rtc.h" +#include "component/sercom.h" +#include "component/sysctrl.h" +#include "component/tc.h" +#include "component/tcc.h" +#include "component/usb.h" +#include "component/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** REGISTERS ACCESS DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_reg Registers Access Definitions */ +/*@{*/ + +#include "instance/ac.h" +#include "instance/adc.h" +#include "instance/dac.h" +#include "instance/dmac.h" +#include "instance/dsu.h" +#include "instance/eic.h" +#include "instance/evsys.h" +#include "instance/gclk.h" +#include "instance/sbmatrix.h" +#include "instance/i2s.h" +#include "instance/mtb.h" +#include "instance/nvmctrl.h" +#include "instance/pac0.h" +#include "instance/pac1.h" +#include "instance/pac2.h" +#include "instance/pm.h" +#include "instance/port.h" +#include "instance/rtc.h" +#include "instance/sercom0.h" +#include "instance/sercom1.h" +#include "instance/sercom2.h" +#include "instance/sercom3.h" +#include "instance/sercom4.h" +#include "instance/sercom5.h" +#include "instance/sysctrl.h" +#include "instance/tc3.h" +#include "instance/tc4.h" +#include "instance/tc5.h" +#include "instance/tc6.h" +#include "instance/tc7.h" +#include "instance/tcc0.h" +#include "instance/tcc1.h" +#include "instance/tcc2.h" +#include "instance/usb.h" +#include "instance/wdt.h" +/*@}*/ + +/* ************************************************************************** */ +/** PERIPHERAL ID DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_id Peripheral Ids Definitions */ +/*@{*/ + +// Peripheral instances on HPB0 bridge +#define ID_PAC0 0 /**< \brief Peripheral Access Controller 0 (PAC0) */ +#define ID_PM 1 /**< \brief Power Manager (PM) */ +#define ID_SYSCTRL 2 /**< \brief System Control (SYSCTRL) */ +#define ID_GCLK 3 /**< \brief Generic Clock Generator (GCLK) */ +#define ID_WDT 4 /**< \brief Watchdog Timer (WDT) */ +#define ID_RTC 5 /**< \brief Real-Time Counter (RTC) */ +#define ID_EIC 6 /**< \brief External Interrupt Controller (EIC) */ + +// Peripheral instances on HPB1 bridge +#define ID_PAC1 32 /**< \brief Peripheral Access Controller 1 (PAC1) */ +#define ID_DSU 33 /**< \brief Device Service Unit (DSU) */ +#define ID_NVMCTRL 34 /**< \brief Non-Volatile Memory Controller (NVMCTRL) */ +#define ID_PORT 35 /**< \brief Port Module (PORT) */ +#define ID_DMAC 36 /**< \brief Direct Memory Access Controller (DMAC) */ +#define ID_USB 37 /**< \brief Universal Serial Bus (USB) */ +#define ID_MTB 38 /**< \brief Cortex-M0+ Micro-Trace Buffer (MTB) */ +#define ID_SBMATRIX 39 /**< \brief HSB Matrix (SBMATRIX) */ + +// Peripheral instances on HPB2 bridge +#define ID_PAC2 64 /**< \brief Peripheral Access Controller 2 (PAC2) */ +#define ID_EVSYS 65 /**< \brief Event System Interface (EVSYS) */ +#define ID_SERCOM0 66 /**< \brief Serial Communication Interface 0 (SERCOM0) */ +#define ID_SERCOM1 67 /**< \brief Serial Communication Interface 1 (SERCOM1) */ +#define ID_SERCOM2 68 /**< \brief Serial Communication Interface 2 (SERCOM2) */ +#define ID_SERCOM3 69 /**< \brief Serial Communication Interface 3 (SERCOM3) */ +#define ID_SERCOM4 70 /**< \brief Serial Communication Interface 4 (SERCOM4) */ +#define ID_SERCOM5 71 /**< \brief Serial Communication Interface 5 (SERCOM5) */ +#define ID_TCC0 72 /**< \brief Timer Counter Control 0 (TCC0) */ +#define ID_TCC1 73 /**< \brief Timer Counter Control 1 (TCC1) */ +#define ID_TCC2 74 /**< \brief Timer Counter Control 2 (TCC2) */ +#define ID_TC3 75 /**< \brief Basic Timer Counter 3 (TC3) */ +#define ID_TC4 76 /**< \brief Basic Timer Counter 4 (TC4) */ +#define ID_TC5 77 /**< \brief Basic Timer Counter 5 (TC5) */ +#define ID_TC6 78 /**< \brief Basic Timer Counter 6 (TC6) */ +#define ID_TC7 79 /**< \brief Basic Timer Counter 7 (TC7) */ +#define ID_ADC 80 /**< \brief Analog Digital Converter (ADC) */ +#define ID_AC 81 /**< \brief Analog Comparators (AC) */ +#define ID_DAC 82 /**< \brief Digital Analog Converter (DAC) */ +#define ID_PTC 83 /**< \brief Peripheral Touch Controller (PTC) */ +#define ID_I2S 84 /**< \brief Inter-IC Sound Interface (I2S) */ + +#define ID_PERIPH_COUNT 85 /**< \brief Max number of peripheral IDs */ +/*@}*/ + +/* ************************************************************************** */ +/** BASE ADDRESS DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_base Peripheral Base Address Definitions */ +/*@{*/ + +#if defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__) +#define AC (0x42004400UL) /**< \brief (AC) APB Base Address */ +#define ADC (0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define DAC (0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DMAC (0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DSU (0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define EIC (0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EVSYS (0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define GCLK (0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define SBMATRIX (0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define I2S (0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define MTB (0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define NVMCTRL (0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define PAC0 (0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 (0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 (0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PM (0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PORT (0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS (0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define RTC (0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define SERCOM0 (0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 (0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 (0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 (0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 (0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 (0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SYSCTRL (0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define TC3 (0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 (0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 (0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 (0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 (0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TCC0 (0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 (0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 (0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define USB (0x41005000UL) /**< \brief (USB) APB Base Address */ +#define WDT (0x40001000UL) /**< \brief (WDT) APB Base Address */ +#else +#define AC ((Ac *)0x42004400UL) /**< \brief (AC) APB Base Address */ +#define AC_INST_NUM 1 /**< \brief (AC) Number of instances */ +#define AC_INSTS { AC } /**< \brief (AC) Instances List */ + +#define ADC ((Adc *)0x42004000UL) /**< \brief (ADC) APB Base Address */ +#define ADC_INST_NUM 1 /**< \brief (ADC) Number of instances */ +#define ADC_INSTS { ADC } /**< \brief (ADC) Instances List */ + +#define DAC ((Dac *)0x42004800UL) /**< \brief (DAC) APB Base Address */ +#define DAC_INST_NUM 1 /**< \brief (DAC) Number of instances */ +#define DAC_INSTS { DAC } /**< \brief (DAC) Instances List */ + +#define DMAC ((Dmac *)0x41004800UL) /**< \brief (DMAC) APB Base Address */ +#define DMAC_INST_NUM 1 /**< \brief (DMAC) Number of instances */ +#define DMAC_INSTS { DMAC } /**< \brief (DMAC) Instances List */ + +#define DSU ((Dsu *)0x41002000UL) /**< \brief (DSU) APB Base Address */ +#define DSU_INST_NUM 1 /**< \brief (DSU) Number of instances */ +#define DSU_INSTS { DSU } /**< \brief (DSU) Instances List */ + +#define EIC ((Eic *)0x40001800UL) /**< \brief (EIC) APB Base Address */ +#define EIC_INST_NUM 1 /**< \brief (EIC) Number of instances */ +#define EIC_INSTS { EIC } /**< \brief (EIC) Instances List */ + +#define EVSYS ((Evsys *)0x42000400UL) /**< \brief (EVSYS) APB Base Address */ +#define EVSYS_INST_NUM 1 /**< \brief (EVSYS) Number of instances */ +#define EVSYS_INSTS { EVSYS } /**< \brief (EVSYS) Instances List */ + +#define GCLK ((Gclk *)0x40000C00UL) /**< \brief (GCLK) APB Base Address */ +#define GCLK_INST_NUM 1 /**< \brief (GCLK) Number of instances */ +#define GCLK_INSTS { GCLK } /**< \brief (GCLK) Instances List */ + +#define SBMATRIX ((Hmatrixb *)0x41007000UL) /**< \brief (SBMATRIX) APB Base Address */ +#define HMATRIXB_INST_NUM 1 /**< \brief (HMATRIXB) Number of instances */ +#define HMATRIXB_INSTS { SBMATRIX } /**< \brief (HMATRIXB) Instances List */ + +#define I2S ((I2s *)0x42005000UL) /**< \brief (I2S) APB Base Address */ +#define I2S_INST_NUM 1 /**< \brief (I2S) Number of instances */ +#define I2S_INSTS { I2S } /**< \brief (I2S) Instances List */ + +#define MTB ((Mtb *)0x41006000UL) /**< \brief (MTB) APB Base Address */ +#define MTB_INST_NUM 1 /**< \brief (MTB) Number of instances */ +#define MTB_INSTS { MTB } /**< \brief (MTB) Instances List */ + +#define NVMCTRL ((Nvmctrl *)0x41004000UL) /**< \brief (NVMCTRL) APB Base Address */ +#define NVMCTRL_CAL (0x00800000UL) /**< \brief (NVMCTRL) CAL Base Address */ +#define NVMCTRL_LOCKBIT (0x00802000UL) /**< \brief (NVMCTRL) LOCKBIT Base Address */ +#define NVMCTRL_OTP1 (0x00806000UL) /**< \brief (NVMCTRL) OTP1 Base Address */ +#define NVMCTRL_OTP2 (0x00806008UL) /**< \brief (NVMCTRL) OTP2 Base Address */ +#define NVMCTRL_OTP4 (0x00806020UL) /**< \brief (NVMCTRL) OTP4 Base Address */ +#define NVMCTRL_TEMP_LOG (0x00806030UL) /**< \brief (NVMCTRL) TEMP_LOG Base Address */ +#define NVMCTRL_USER (0x00804000UL) /**< \brief (NVMCTRL) USER Base Address */ +#define NVMCTRL_INST_NUM 1 /**< \brief (NVMCTRL) Number of instances */ +#define NVMCTRL_INSTS { NVMCTRL } /**< \brief (NVMCTRL) Instances List */ + +#define PAC0 ((Pac *)0x40000000UL) /**< \brief (PAC0) APB Base Address */ +#define PAC1 ((Pac *)0x41000000UL) /**< \brief (PAC1) APB Base Address */ +#define PAC2 ((Pac *)0x42000000UL) /**< \brief (PAC2) APB Base Address */ +#define PAC_INST_NUM 3 /**< \brief (PAC) Number of instances */ +#define PAC_INSTS { PAC0, PAC1, PAC2 } /**< \brief (PAC) Instances List */ + +#define PM ((Pm *)0x40000400UL) /**< \brief (PM) APB Base Address */ +#define PM_INST_NUM 1 /**< \brief (PM) Number of instances */ +#define PM_INSTS { PM } /**< \brief (PM) Instances List */ + +#define PORT ((Port *)0x41004400UL) /**< \brief (PORT) APB Base Address */ +#define PORT_IOBUS ((Port *)0x60000000UL) /**< \brief (PORT) IOBUS Base Address */ +#define PORT_INST_NUM 1 /**< \brief (PORT) Number of instances */ +#define PORT_INSTS { PORT } /**< \brief (PORT) Instances List */ + +#define PTC_GCLK_ID 34 +#define PTC_INST_NUM 1 /**< \brief (PTC) Number of instances */ +#define PTC_INSTS { PTC } /**< \brief (PTC) Instances List */ + +#define RTC ((Rtc *)0x40001400UL) /**< \brief (RTC) APB Base Address */ +#define RTC_INST_NUM 1 /**< \brief (RTC) Number of instances */ +#define RTC_INSTS { RTC } /**< \brief (RTC) Instances List */ + +#define SERCOM0 ((Sercom *)0x42000800UL) /**< \brief (SERCOM0) APB Base Address */ +#define SERCOM1 ((Sercom *)0x42000C00UL) /**< \brief (SERCOM1) APB Base Address */ +#define SERCOM2 ((Sercom *)0x42001000UL) /**< \brief (SERCOM2) APB Base Address */ +#define SERCOM3 ((Sercom *)0x42001400UL) /**< \brief (SERCOM3) APB Base Address */ +#define SERCOM4 ((Sercom *)0x42001800UL) /**< \brief (SERCOM4) APB Base Address */ +#define SERCOM5 ((Sercom *)0x42001C00UL) /**< \brief (SERCOM5) APB Base Address */ +#define SERCOM_INST_NUM 6 /**< \brief (SERCOM) Number of instances */ +#define SERCOM_INSTS { SERCOM0, SERCOM1, SERCOM2, SERCOM3, SERCOM4, SERCOM5 } /**< \brief (SERCOM) Instances List */ + +#define SYSCTRL ((Sysctrl *)0x40000800UL) /**< \brief (SYSCTRL) APB Base Address */ +#define SYSCTRL_INST_NUM 1 /**< \brief (SYSCTRL) Number of instances */ +#define SYSCTRL_INSTS { SYSCTRL } /**< \brief (SYSCTRL) Instances List */ + +#define TC3 ((Tc *)0x42002C00UL) /**< \brief (TC3) APB Base Address */ +#define TC4 ((Tc *)0x42003000UL) /**< \brief (TC4) APB Base Address */ +#define TC5 ((Tc *)0x42003400UL) /**< \brief (TC5) APB Base Address */ +#define TC6 ((Tc *)0x42003800UL) /**< \brief (TC6) APB Base Address */ +#define TC7 ((Tc *)0x42003C00UL) /**< \brief (TC7) APB Base Address */ +#define TC_INST_NUM 5 /**< \brief (TC) Number of instances */ +#define TC_INSTS { TC3, TC4, TC5, TC6, TC7 } /**< \brief (TC) Instances List */ + +#define TCC0 ((Tcc *)0x42002000UL) /**< \brief (TCC0) APB Base Address */ +#define TCC1 ((Tcc *)0x42002400UL) /**< \brief (TCC1) APB Base Address */ +#define TCC2 ((Tcc *)0x42002800UL) /**< \brief (TCC2) APB Base Address */ +#define TCC_INST_NUM 3 /**< \brief (TCC) Number of instances */ +#define TCC_INSTS { TCC0, TCC1, TCC2 } /**< \brief (TCC) Instances List */ + +#define USB ((Usb *)0x41005000UL) /**< \brief (USB) APB Base Address */ +#define USB_INST_NUM 1 /**< \brief (USB) Number of instances */ +#define USB_INSTS { USB } /**< \brief (USB) Instances List */ + +#define WDT ((Wdt *)0x40001000UL) /**< \brief (WDT) APB Base Address */ +#define WDT_INST_NUM 1 /**< \brief (WDT) Number of instances */ +#define WDT_INSTS { WDT } /**< \brief (WDT) Instances List */ + +#endif /* (defined(__ASSEMBLY__) || defined(__IAR_SYSTEMS_ASM__)) */ +/*@}*/ + +/* ************************************************************************** */ +/** PORT DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ +/** \defgroup SAMD21J18A_port PORT Definitions */ +/*@{*/ + +#include "pio/samd21j18a.h" +/*@}*/ + +/* ************************************************************************** */ +/** MEMORY MAPPING DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ + +#define FLASH_SIZE 0x40000UL /* 256 kB */ +#define FLASH_PAGE_SIZE 64 +#define FLASH_NB_OF_PAGES 4096 +#define FLASH_USER_PAGE_SIZE 64 +#define HMCRAMC0_SIZE 0x8000UL /* 32 kB */ + +#define FLASH_ADDR (0x00000000u) /**< FLASH base address */ +#define FLASH_USER_PAGE_ADDR (0x00800000u) /**< FLASH_USER_PAGE base address */ +#define HMCRAMC0_ADDR (0x20000000u) /**< HMCRAMC0 base address */ +#define HPB0_ADDR (0x40000000u) /**< HPB0 base address */ +#define HPB1_ADDR (0x41000000u) /**< HPB1 base address */ +#define HPB2_ADDR (0x42000000u) /**< HPB2 base address */ +#define PPB_ADDR (0xE0000000u) /**< PPB base address */ + +#define DSU_DID_RESETVALUE 0x10010000UL +#define EIC_EXTINT_NUM 16 +#define PORT_GROUPS 2 + +/* ************************************************************************** */ +/** ELECTRICAL DEFINITIONS FOR SAMD21J18A */ +/* ************************************************************************** */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ + +#endif /* SAMD21J18A_H */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c new file mode 100644 index 0000000..5bf0fae --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/gcc/startup_samd21.c @@ -0,0 +1,278 @@ +/** + * \file + * + * \brief gcc startup file for SAMD21 + * + * Copyright (c) 2016-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ + +#include "samd21.h" + +/* Initialize segments */ +extern uint32_t _sfixed; +extern uint32_t _efixed; +extern uint32_t _etext; +extern uint32_t _srelocate; +extern uint32_t _erelocate; +extern uint32_t _szero; +extern uint32_t _ezero; +extern uint32_t _sstack; +extern uint32_t _estack; + +/** \cond DOXYGEN_SHOULD_SKIP_THIS */ +int main(void); +/** \endcond */ + +void __libc_init_array(void); + +/* Default empty handler */ +void Dummy_Handler(void); + +/* Cortex-M0+ core handlers */ +void NMI_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void HardFault_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SVC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void PendSV_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SysTick_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); + +/* Peripherals handlers */ +void PM_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SYSCTRL_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void WDT_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void RTC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void EIC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void NVMCTRL_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void DMAC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#ifdef ID_USB +void USB_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +void EVSYS_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SERCOM0_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SERCOM1_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SERCOM2_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void SERCOM3_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#ifdef ID_SERCOM4 +void SERCOM4_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_SERCOM5 +void SERCOM5_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +void TCC0_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void TCC1_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void TCC2_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void TC3_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void TC4_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +void TC5_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#ifdef ID_TC6 +void TC6_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_TC7 +void TC7_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_ADC +void ADC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_AC +void AC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_DAC +void DAC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_PTC +void PTC_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_I2S +void I2S_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_AC1 +void AC1_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif +#ifdef ID_TCC3 +void TCC3_Handler ( void ) __attribute__ ((weak, alias("Dummy_Handler"))); +#endif + +/* Exception Table */ +__attribute__ ((section(".vectors"))) +const DeviceVectors exception_table = { + + /* Configure Initial Stack Pointer, using linker-generated symbols */ + .pvStack = (void*) (&_estack), + + .pfnReset_Handler = (void*) Reset_Handler, + .pfnNMI_Handler = (void*) NMI_Handler, + .pfnHardFault_Handler = (void*) HardFault_Handler, + .pvReservedM12 = (void*) (0UL), /* Reserved */ + .pvReservedM11 = (void*) (0UL), /* Reserved */ + .pvReservedM10 = (void*) (0UL), /* Reserved */ + .pvReservedM9 = (void*) (0UL), /* Reserved */ + .pvReservedM8 = (void*) (0UL), /* Reserved */ + .pvReservedM7 = (void*) (0UL), /* Reserved */ + .pvReservedM6 = (void*) (0UL), /* Reserved */ + .pfnSVC_Handler = (void*) SVC_Handler, + .pvReservedM4 = (void*) (0UL), /* Reserved */ + .pvReservedM3 = (void*) (0UL), /* Reserved */ + .pfnPendSV_Handler = (void*) PendSV_Handler, + .pfnSysTick_Handler = (void*) SysTick_Handler, + + /* Configurable interrupts */ + .pfnPM_Handler = (void*) PM_Handler, /* 0 Power Manager */ + .pfnSYSCTRL_Handler = (void*) SYSCTRL_Handler, /* 1 System Control */ + .pfnWDT_Handler = (void*) WDT_Handler, /* 2 Watchdog Timer */ + .pfnRTC_Handler = (void*) RTC_Handler, /* 3 Real-Time Counter */ + .pfnEIC_Handler = (void*) EIC_Handler, /* 4 External Interrupt Controller */ + .pfnNVMCTRL_Handler = (void*) NVMCTRL_Handler, /* 5 Non-Volatile Memory Controller */ + .pfnDMAC_Handler = (void*) DMAC_Handler, /* 6 Direct Memory Access Controller */ +#ifdef ID_USB + .pfnUSB_Handler = (void*) USB_Handler, /* 7 Universal Serial Bus */ +#else + .pvReserved7 = (void*) (0UL), /* 7 Reserved */ +#endif + .pfnEVSYS_Handler = (void*) EVSYS_Handler, /* 8 Event System Interface */ + .pfnSERCOM0_Handler = (void*) SERCOM0_Handler, /* 9 Serial Communication Interface 0 */ + .pfnSERCOM1_Handler = (void*) SERCOM1_Handler, /* 10 Serial Communication Interface 1 */ + .pfnSERCOM2_Handler = (void*) SERCOM2_Handler, /* 11 Serial Communication Interface 2 */ + .pfnSERCOM3_Handler = (void*) SERCOM3_Handler, /* 12 Serial Communication Interface 3 */ +#ifdef ID_SERCOM4 + .pfnSERCOM4_Handler = (void*) SERCOM4_Handler, /* 13 Serial Communication Interface 4 */ +#else + .pvReserved13 = (void*) (0UL), /* 13 Reserved */ +#endif +#ifdef ID_SERCOM5 + .pfnSERCOM5_Handler = (void*) SERCOM5_Handler, /* 14 Serial Communication Interface 5 */ +#else + .pvReserved14 = (void*) (0UL), /* 14 Reserved */ +#endif + .pfnTCC0_Handler = (void*) TCC0_Handler, /* 15 Timer Counter Control 0 */ + .pfnTCC1_Handler = (void*) TCC1_Handler, /* 16 Timer Counter Control 1 */ + .pfnTCC2_Handler = (void*) TCC2_Handler, /* 17 Timer Counter Control 2 */ + .pfnTC3_Handler = (void*) TC3_Handler, /* 18 Basic Timer Counter 0 */ + .pfnTC4_Handler = (void*) TC4_Handler, /* 19 Basic Timer Counter 1 */ + .pfnTC5_Handler = (void*) TC5_Handler, /* 20 Basic Timer Counter 2 */ +#ifdef ID_TC6 + .pfnTC6_Handler = (void*) TC6_Handler, /* 21 Basic Timer Counter 3 */ +#else + .pvReserved21 = (void*) (0UL), /* 21 Reserved */ +#endif +#ifdef ID_TC7 + .pfnTC7_Handler = (void*) TC7_Handler, /* 22 Basic Timer Counter 4 */ +#else + .pvReserved22 = (void*) (0UL), /* 22 Reserved */ +#endif +#ifdef ID_ADC + .pfnADC_Handler = (void*) ADC_Handler, /* 23 Analog Digital Converter */ +#else + .pvReserved23 = (void*) (0UL), /* 23 Reserved */ +#endif +#ifdef ID_AC + .pfnAC_Handler = (void*) AC_Handler, /* 24 Analog Comparators 0 */ +#else + .pvReserved24 = (void*) (0UL), /* 24 Reserved */ +#endif +#ifdef ID_DAC + .pfnDAC_Handler = (void*) DAC_Handler, /* 25 Digital Analog Converter */ +#else + .pvReserved25 = (void*) (0UL), /* 25 Reserved */ +#endif +#ifdef ID_PTC + .pfnPTC_Handler = (void*) PTC_Handler, /* 26 Peripheral Touch Controller */ +#else + .pvReserved26 = (void*) (0UL), /* 26 Reserved */ +#endif +#ifdef ID_I2S + .pfnI2S_Handler = (void*) I2S_Handler, /* 27 Inter-IC Sound Interface */ +#else + .pvReserved27 = (void*) (0UL), /* 27 Reserved */ +#endif +#ifdef ID_AC1 + .pfnAC1_Handler = (void*) AC1_Handler, /* 28 Analog Comparators 1 */ +#else + .pvReserved28 = (void*) (0UL), /* 28 Reserved */ +#endif +#ifdef ID_TCC3 + .pfnTCC3_Handler = (void*) TCC3_Handler /* 29 Timer Counter Control 3 */ +#endif +}; + +/** + * \brief This is the code that gets called on processor reset. + * To initialize the device, and call the main() routine. + */ +void Reset_Handler(void) +{ + uint32_t *pSrc, *pDest; + + /* Initialize the relocate segment */ + pSrc = &_etext; + pDest = &_srelocate; + + if (pSrc != pDest) { + for (; pDest < &_erelocate;) { + *pDest++ = *pSrc++; + } + } + + /* Clear the zero segment */ + for (pDest = &_szero; pDest < &_ezero;) { + *pDest++ = 0; + } + + /* Set the vector table base address */ + pSrc = (uint32_t *) & _sfixed; + SCB->VTOR = ((uint32_t) pSrc & SCB_VTOR_TBLOFF_Msk); + + /* Change default QOS values to have the best performance and correct USB behaviour */ + SBMATRIX->SFR[SBMATRIX_SLAVE_HMCRAMC0].reg = 2; +#if defined(ID_USB) + USB->DEVICE.QOSCTRL.bit.CQOS = 2; + USB->DEVICE.QOSCTRL.bit.DQOS = 2; +#endif + DMAC->QOSCTRL.bit.DQOS = 2; + DMAC->QOSCTRL.bit.FQOS = 2; + DMAC->QOSCTRL.bit.WRBQOS = 2; + + /* Overwriting the default value of the NVMCTRL.CTRLB.MANW bit (errata reference 13134) */ + NVMCTRL->CTRLB.bit.MANW = 1; + + /* Initialize the C library */ + __libc_init_array(); + + /* Branch to main function */ + main(); + + /* Infinite loop */ + while (1); +} + +/** + * \brief Default interrupt handler for unused IRQs. + */ +void Dummy_Handler(void) +{ + while (1) { + } +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c new file mode 100644 index 0000000..5e088bb --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.c @@ -0,0 +1,71 @@ +/** + * \file + * + * \brief Low-level initialization functions called upon chip startup. + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "samd21.h" + +/** + * Initial system clock frequency. The System RC Oscillator (RCSYS) provides + * the source for the main clock at chip startup. + */ +#define __SYSTEM_CLOCK (1000000) + +uint32_t SystemCoreClock = __SYSTEM_CLOCK;/*!< System Clock Frequency (Core Clock)*/ + +/** + * Initialize the system + * + * @brief Setup the microcontroller system. + * Initialize the System and update the SystemCoreClock variable. + */ +void SystemInit(void) +{ + // Keep the default device state after reset + SystemCoreClock = __SYSTEM_CLOCK; + return; +} + +/** + * Update SystemCoreClock variable + * + * @brief Updates the SystemCoreClock with current core Clock + * retrieved from cpu registers. + */ +void SystemCoreClockUpdate(void) +{ + // Not implemented + SystemCoreClock = __SYSTEM_CLOCK; + return; +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h new file mode 100644 index 0000000..90d9439 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h @@ -0,0 +1,55 @@ +/** + * \file + * + * \brief Low-level initialization functions called upon chip startup + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SYSTEM_SAMD21_H_INCLUDED_ +#define _SYSTEM_SAMD21_H_INCLUDED_ + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +extern uint32_t SystemCoreClock; /*!< System Clock Frequency (Core Clock) */ + +void SystemInit(void); +void SystemCoreClockUpdate(void); + +#ifdef __cplusplus +} +#endif + +#endif /* SYSTEM_SAMD21_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/compiler.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/compiler.h new file mode 100644 index 0000000..a83b46c --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/compiler.h @@ -0,0 +1,1166 @@ +/** + * \file + * + * \brief Commonly used includes, types and macros. + * + * Copyright (c) 2012-2019 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef UTILS_COMPILER_H_INCLUDED +#define UTILS_COMPILER_H_INCLUDED + +/** + * \defgroup group_sam0_utils Compiler abstraction layer and code utilities + * + * Compiler abstraction layer and code utilities for Cortex-M0+ based Atmel SAM devices. + * This module provides various abstraction layers and utilities to make code compatible between different compilers. + * + * @{ + */ + +#if (defined __ICCARM__) +# include +#endif + +#include +#include +#include +#include +#include + +#ifndef __ASSEMBLY__ + +#include +#include +#include +#include + +/** + * \def UNUSED + * \brief Marking \a v as a unused parameter or value. + */ +#define UNUSED(v) (void)(v) + +/** + * \def barrier + * \brief Memory barrier + */ +#ifdef __GNUC__ +# define barrier() asm volatile("" ::: "memory") +#else +# define barrier() asm ("") +#endif + +/** + * \brief Emit the compiler pragma \a arg. + * + * \param[in] arg The pragma directive as it would appear after \e \#pragma + * (i.e. not stringified). + */ +#define COMPILER_PRAGMA(arg) _Pragma(#arg) + +/** + * \def COMPILER_PACK_SET(alignment) + * \brief Set maximum alignment for subsequent struct and union definitions to \a alignment. + */ +#define COMPILER_PACK_SET(alignment) COMPILER_PRAGMA(pack(alignment)) + +/** + * \def COMPILER_PACK_RESET() + * \brief Set default alignment for subsequent struct and union definitions. + */ +#define COMPILER_PACK_RESET() COMPILER_PRAGMA(pack()) + + +/** + * \brief Set aligned boundary. + */ +#if (defined __GNUC__) || (defined __CC_ARM) +# define COMPILER_ALIGNED(a) __attribute__((__aligned__(a))) +#elif (defined __ICCARM__) +# define COMPILER_ALIGNED(a) COMPILER_PRAGMA(data_alignment = a) +#endif + +/** + * \brief Set word-aligned boundary. + */ +#if (defined __GNUC__) || defined(__CC_ARM) +#define COMPILER_WORD_ALIGNED __attribute__((__aligned__(4))) +#elif (defined __ICCARM__) +#define COMPILER_WORD_ALIGNED COMPILER_PRAGMA(data_alignment = 4) +#endif + +/** + * \def __always_inline + * \brief The function should always be inlined. + * + * This annotation instructs the compiler to ignore its inlining + * heuristics and inline the function no matter how big it thinks it + * becomes. + */ +#if defined(__CC_ARM) +# define __always_inline __forceinline +#elif (defined __GNUC__) +# define __always_inline __attribute__((__always_inline__)) +#elif (defined __ICCARM__) +# define __always_inline _Pragma("inline=forced") +#endif + +/** + * \def __no_inline + * \brief The function should never be inlined + * + * This annotation instructs the compiler to ignore its inlining + * heuristics and not inline the function no matter how small it thinks it + * becomes. + */ +#if defined(__CC_ARM) +# define __no_inline __attribute__((noinline)) +#elif (defined __GNUC__) +# define __no_inline __attribute__((noinline)) +#elif (defined __ICCARM__) +# define __no_inline _Pragma("inline=never") +#endif + + +/** \brief This macro is used to test fatal errors. + * + * The macro tests if the expression is false. If it is, a fatal error is + * detected and the application hangs up. If \c TEST_SUITE_DEFINE_ASSERT_MACRO + * is defined, a unit test version of the macro is used, to allow execution + * of further tests after a false expression. + * + * \param[in] expr Expression to evaluate and supposed to be nonzero. + */ +#if defined(_ASSERT_ENABLE_) +# if defined(TEST_SUITE_DEFINE_ASSERT_MACRO) +# include "unit_test/suite.h" +# else +# undef TEST_SUITE_DEFINE_ASSERT_MACRO +# define Assert(expr) \ + {\ + if (!(expr)) asm("BKPT #0");\ + } +# endif +#else +# define Assert(expr) ((void) 0) +#endif + +/* Define WEAK attribute */ +#if defined ( __CC_ARM ) +# define WEAK __attribute__ ((weak)) +#elif defined ( __ICCARM__ ) +# define WEAK __weak +#elif defined ( __GNUC__ ) +# define WEAK __attribute__ ((weak)) +#endif + +/* Define NO_INIT attribute */ +#if defined ( __CC_ARM ) +# define NO_INIT __attribute__((zero_init)) +#elif defined ( __ICCARM__ ) +# define NO_INIT __no_init +#elif defined ( __GNUC__ ) +# define NO_INIT __attribute__((section(".no_init"))) +#endif + +#include "interrupt.h" + +/** \name Usual Types + * @{ */ +#ifndef __cplusplus +# if !defined(__bool_true_false_are_defined) +typedef unsigned char bool; +# endif +#endif +typedef uint16_t le16_t; +typedef uint16_t be16_t; +typedef uint32_t le32_t; +typedef uint32_t be32_t; +typedef uint32_t iram_size_t; +/** @} */ + +/** \name Aliasing Aggregate Types + * @{ */ + +/** 16-bit union. */ +typedef union +{ + int16_t s16; + uint16_t u16; + int8_t s8[2]; + uint8_t u8[2]; +} Union16; + +/** 32-bit union. */ +typedef union +{ + int32_t s32; + uint32_t u32; + int16_t s16[2]; + uint16_t u16[2]; + int8_t s8[4]; + uint8_t u8[4]; +} Union32; + +/** 64-bit union. */ +typedef union +{ + int64_t s64; + uint64_t u64; + int32_t s32[2]; + uint32_t u32[2]; + int16_t s16[4]; + uint16_t u16[4]; + int8_t s8[8]; + uint8_t u8[8]; +} Union64; + +/** Union of pointers to 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef union +{ + int64_t *s64ptr; + uint64_t *u64ptr; + int32_t *s32ptr; + uint32_t *u32ptr; + int16_t *s16ptr; + uint16_t *u16ptr; + int8_t *s8ptr; + uint8_t *u8ptr; +} UnionPtr; + +/** Union of pointers to volatile 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef union +{ + volatile int64_t *s64ptr; + volatile uint64_t *u64ptr; + volatile int32_t *s32ptr; + volatile uint32_t *u32ptr; + volatile int16_t *s16ptr; + volatile uint16_t *u16ptr; + volatile int8_t *s8ptr; + volatile uint8_t *u8ptr; +} UnionVPtr; + +/** Union of pointers to constant 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef union +{ + const int64_t *s64ptr; + const uint64_t *u64ptr; + const int32_t *s32ptr; + const uint32_t *u32ptr; + const int16_t *s16ptr; + const uint16_t *u16ptr; + const int8_t *s8ptr; + const uint8_t *u8ptr; +} UnionCPtr; + +/** Union of pointers to constant volatile 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef union +{ + const volatile int64_t *s64ptr; + const volatile uint64_t *u64ptr; + const volatile int32_t *s32ptr; + const volatile uint32_t *u32ptr; + const volatile int16_t *s16ptr; + const volatile uint16_t *u16ptr; + const volatile int8_t *s8ptr; + const volatile uint8_t *u8ptr; +} UnionCVPtr; + +/** Structure of pointers to 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef struct +{ + int64_t *s64ptr; + uint64_t *u64ptr; + int32_t *s32ptr; + uint32_t *u32ptr; + int16_t *s16ptr; + uint16_t *u16ptr; + int8_t *s8ptr; + uint8_t *u8ptr; +} StructPtr; + +/** Structure of pointers to volatile 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef struct +{ + volatile int64_t *s64ptr; + volatile uint64_t *u64ptr; + volatile int32_t *s32ptr; + volatile uint32_t *u32ptr; + volatile int16_t *s16ptr; + volatile uint16_t *u16ptr; + volatile int8_t *s8ptr; + volatile uint8_t *u8ptr; +} StructVPtr; + +/** Structure of pointers to constant 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef struct +{ + const int64_t *s64ptr; + const uint64_t *u64ptr; + const int32_t *s32ptr; + const uint32_t *u32ptr; + const int16_t *s16ptr; + const uint16_t *u16ptr; + const int8_t *s8ptr; + const uint8_t *u8ptr; +} StructCPtr; + +/** Structure of pointers to constant volatile 64-, 32-, 16- and 8-bit unsigned integers. */ +typedef struct +{ + const volatile int64_t *s64ptr; + const volatile uint64_t *u64ptr; + const volatile int32_t *s32ptr; + const volatile uint32_t *u32ptr; + const volatile int16_t *s16ptr; + const volatile uint16_t *u16ptr; + const volatile int8_t *s8ptr; + const volatile uint8_t *u8ptr; +} StructCVPtr; + +/** @} */ + +#endif /* #ifndef __ASSEMBLY__ */ + +/** \name Usual Constants + * @{ */ +#define DISABLE 0 +#define ENABLE 1 + +#ifndef __cplusplus +# if !defined(__bool_true_false_are_defined) +# define false 0 +# define true 1 +# endif +#endif +/** @} */ + +#ifndef __ASSEMBLY__ + +/** \name Optimization Control + * @{ */ + +/** + * \def likely(exp) + * \brief The expression \a exp is likely to be true + */ +#if !defined(likely) || defined(__DOXYGEN__) +# define likely(exp) (exp) +#endif + +/** + * \def unlikely(exp) + * \brief The expression \a exp is unlikely to be true + */ +#if !defined(unlikely) || defined(__DOXYGEN__) +# define unlikely(exp) (exp) +#endif + +/** + * \def is_constant(exp) + * \brief Determine if an expression evaluates to a constant value. + * + * \param[in] exp Any expression + * + * \return true if \a exp is constant, false otherwise. + */ +#if (defined __GNUC__) || (defined __CC_ARM) +# define is_constant(exp) __builtin_constant_p(exp) +#else +# define is_constant(exp) (0) +#endif + +/** @} */ + +/** \name Bit-Field Handling + * @{ */ + +/** \brief Reads the bits of a value specified by a given bit-mask. + * + * \param[in] value Value to read bits from. + * \param[in] mask Bit-mask indicating bits to read. + * + * \return Read bits. + */ +#define Rd_bits( value, mask) ((value) & (mask)) + +/** \brief Writes the bits of a C lvalue specified by a given bit-mask. + * + * \param[in] lvalue C lvalue to write bits to. + * \param[in] mask Bit-mask indicating bits to write. + * \param[in] bits Bits to write. + * + * \return Resulting value with written bits. + */ +#define Wr_bits(lvalue, mask, bits) ((lvalue) = ((lvalue) & ~(mask)) |\ + ((bits ) & (mask))) + +/** \brief Tests the bits of a value specified by a given bit-mask. + * + * \param[in] value Value of which to test bits. + * \param[in] mask Bit-mask indicating bits to test. + * + * \return \c 1 if at least one of the tested bits is set, else \c 0. + */ +#define Tst_bits( value, mask) (Rd_bits(value, mask) != 0) + +/** \brief Clears the bits of a C lvalue specified by a given bit-mask. + * + * \param[in] lvalue C lvalue of which to clear bits. + * \param[in] mask Bit-mask indicating bits to clear. + * + * \return Resulting value with cleared bits. + */ +#define Clr_bits(lvalue, mask) ((lvalue) &= ~(mask)) + +/** \brief Sets the bits of a C lvalue specified by a given bit-mask. + * + * \param[in] lvalue C lvalue of which to set bits. + * \param[in] mask Bit-mask indicating bits to set. + * + * \return Resulting value with set bits. + */ +#define Set_bits(lvalue, mask) ((lvalue) |= (mask)) + +/** \brief Toggles the bits of a C lvalue specified by a given bit-mask. + * + * \param[in] lvalue C lvalue of which to toggle bits. + * \param[in] mask Bit-mask indicating bits to toggle. + * + * \return Resulting value with toggled bits. + */ +#define Tgl_bits(lvalue, mask) ((lvalue) ^= (mask)) + +/** \brief Reads the bit-field of a value specified by a given bit-mask. + * + * \param[in] value Value to read a bit-field from. + * \param[in] mask Bit-mask indicating the bit-field to read. + * + * \return Read bit-field. + */ +#define Rd_bitfield( value, mask) (Rd_bits( value, mask) >> ctz(mask)) + +/** \brief Writes the bit-field of a C lvalue specified by a given bit-mask. + * + * \param[in] lvalue C lvalue to write a bit-field to. + * \param[in] mask Bit-mask indicating the bit-field to write. + * \param[in] bitfield Bit-field to write. + * + * \return Resulting value with written bit-field. + */ +#define Wr_bitfield(lvalue, mask, bitfield) (Wr_bits(lvalue, mask, (uint32_t)(bitfield) << ctz(mask))) + +/** @} */ + + +/** \name Zero-Bit Counting + * + * Under GCC, __builtin_clz and __builtin_ctz behave like macros when + * applied to constant expressions (values known at compile time), so they are + * more optimized than the use of the corresponding assembly instructions and + * they can be used as constant expressions e.g. to initialize objects having + * static storage duration, and like the corresponding assembly instructions + * when applied to non-constant expressions (values unknown at compile time), so + * they are more optimized than an assembly periphrasis. Hence, clz and ctz + * ensure a possible and optimized behavior for both constant and non-constant + * expressions. + * + * @{ */ + +/** \brief Counts the leading zero bits of the given value considered as a 32-bit integer. + * + * \param[in] u Value of which to count the leading zero bits. + * + * \return The count of leading zero bits in \a u. + */ +#if (defined __GNUC__) || (defined __CC_ARM) +# define clz(u) ((u) ? __builtin_clz(u) : 32) +#else +# define clz(u) (((u) == 0) ? 32 : \ + ((u) & (1ul << 31)) ? 0 : \ + ((u) & (1ul << 30)) ? 1 : \ + ((u) & (1ul << 29)) ? 2 : \ + ((u) & (1ul << 28)) ? 3 : \ + ((u) & (1ul << 27)) ? 4 : \ + ((u) & (1ul << 26)) ? 5 : \ + ((u) & (1ul << 25)) ? 6 : \ + ((u) & (1ul << 24)) ? 7 : \ + ((u) & (1ul << 23)) ? 8 : \ + ((u) & (1ul << 22)) ? 9 : \ + ((u) & (1ul << 21)) ? 10 : \ + ((u) & (1ul << 20)) ? 11 : \ + ((u) & (1ul << 19)) ? 12 : \ + ((u) & (1ul << 18)) ? 13 : \ + ((u) & (1ul << 17)) ? 14 : \ + ((u) & (1ul << 16)) ? 15 : \ + ((u) & (1ul << 15)) ? 16 : \ + ((u) & (1ul << 14)) ? 17 : \ + ((u) & (1ul << 13)) ? 18 : \ + ((u) & (1ul << 12)) ? 19 : \ + ((u) & (1ul << 11)) ? 20 : \ + ((u) & (1ul << 10)) ? 21 : \ + ((u) & (1ul << 9)) ? 22 : \ + ((u) & (1ul << 8)) ? 23 : \ + ((u) & (1ul << 7)) ? 24 : \ + ((u) & (1ul << 6)) ? 25 : \ + ((u) & (1ul << 5)) ? 26 : \ + ((u) & (1ul << 4)) ? 27 : \ + ((u) & (1ul << 3)) ? 28 : \ + ((u) & (1ul << 2)) ? 29 : \ + ((u) & (1ul << 1)) ? 30 : \ + 31) +#endif + +/** \brief Counts the trailing zero bits of the given value considered as a 32-bit integer. + * + * \param[in] u Value of which to count the trailing zero bits. + * + * \return The count of trailing zero bits in \a u. + */ +#if (defined __GNUC__) || (defined __CC_ARM) +# define ctz(u) ((u) ? __builtin_ctz(u) : 32) +#else +# define ctz(u) ((u) & (1ul << 0) ? 0 : \ + (u) & (1ul << 1) ? 1 : \ + (u) & (1ul << 2) ? 2 : \ + (u) & (1ul << 3) ? 3 : \ + (u) & (1ul << 4) ? 4 : \ + (u) & (1ul << 5) ? 5 : \ + (u) & (1ul << 6) ? 6 : \ + (u) & (1ul << 7) ? 7 : \ + (u) & (1ul << 8) ? 8 : \ + (u) & (1ul << 9) ? 9 : \ + (u) & (1ul << 10) ? 10 : \ + (u) & (1ul << 11) ? 11 : \ + (u) & (1ul << 12) ? 12 : \ + (u) & (1ul << 13) ? 13 : \ + (u) & (1ul << 14) ? 14 : \ + (u) & (1ul << 15) ? 15 : \ + (u) & (1ul << 16) ? 16 : \ + (u) & (1ul << 17) ? 17 : \ + (u) & (1ul << 18) ? 18 : \ + (u) & (1ul << 19) ? 19 : \ + (u) & (1ul << 20) ? 20 : \ + (u) & (1ul << 21) ? 21 : \ + (u) & (1ul << 22) ? 22 : \ + (u) & (1ul << 23) ? 23 : \ + (u) & (1ul << 24) ? 24 : \ + (u) & (1ul << 25) ? 25 : \ + (u) & (1ul << 26) ? 26 : \ + (u) & (1ul << 27) ? 27 : \ + (u) & (1ul << 28) ? 28 : \ + (u) & (1ul << 29) ? 29 : \ + (u) & (1ul << 30) ? 30 : \ + (u) & (1ul << 31) ? 31 : \ + 32) +#endif + +/** @} */ + + +/** \name Bit Reversing + * @{ */ + +/** \brief Reverses the bits of \a u8. + * + * \param[in] u8 U8 of which to reverse the bits. + * + * \return Value resulting from \a u8 with reversed bits. + */ +#define bit_reverse8(u8) ((U8)(bit_reverse32((U8)(u8)) >> 24)) + +/** \brief Reverses the bits of \a u16. + * + * \param[in] u16 U16 of which to reverse the bits. + * + * \return Value resulting from \a u16 with reversed bits. + */ +#define bit_reverse16(u16) ((uint16_t)(bit_reverse32((uint16_t)(u16)) >> 16)) + +/** \brief Reverses the bits of \a u32. + * + * \param[in] u32 U32 of which to reverse the bits. + * + * \return Value resulting from \a u32 with reversed bits. + */ +#define bit_reverse32(u32) __RBIT(u32) + +/** \brief Reverses the bits of \a u64. + * + * \param[in] u64 U64 of which to reverse the bits. + * + * \return Value resulting from \a u64 with reversed bits. + */ +#define bit_reverse64(u64) ((uint64_t)(((uint64_t)bit_reverse32((uint64_t)(u64) >> 32)) |\ + ((uint64_t)bit_reverse32((uint64_t)(u64)) << 32))) + +/** @} */ + + +/** \name Alignment + * @{ */ + +/** \brief Tests alignment of the number \a val with the \a n boundary. + * + * \param[in] val Input value. + * \param[in] n Boundary. + * + * \return \c 1 if the number \a val is aligned with the \a n boundary, else \c 0. + */ +#define Test_align(val, n) (!Tst_bits( val, (n) - 1 ) ) + +/** \brief Gets alignment of the number \a val with respect to the \a n boundary. + * + * \param[in] val Input value. + * \param[in] n Boundary. + * + * \return Alignment of the number \a val with respect to the \a n boundary. + */ +#define Get_align(val, n) ( Rd_bits( val, (n) - 1 ) ) + +/** \brief Sets alignment of the lvalue number \a lval to \a alg with respect to the \a n boundary. + * + * \param[in] lval Input/output lvalue. + * \param[in] n Boundary. + * \param[in] alg Alignment. + * + * \return New value of \a lval resulting from its alignment set to \a alg with respect to the \a n boundary. + */ +#define Set_align(lval, n, alg) ( Wr_bits(lval, (n) - 1, alg) ) + +/** \brief Aligns the number \a val with the upper \a n boundary. + * + * \param[in] val Input value. + * \param[in] n Boundary. + * + * \return Value resulting from the number \a val aligned with the upper \a n boundary. + */ +#define Align_up( val, n) (((val) + ((n) - 1)) & ~((n) - 1)) + +/** \brief Aligns the number \a val with the lower \a n boundary. + * + * \param[in] val Input value. + * \param[in] n Boundary. + * + * \return Value resulting from the number \a val aligned with the lower \a n boundary. + */ +#define Align_down(val, n) ( (val) & ~((n) - 1)) + +/** @} */ + + +/** \name Mathematics + * + * The same considerations as for clz and ctz apply here but GCC does not + * provide built-in functions to access the assembly instructions abs, min and + * max and it does not produce them by itself in most cases, so two sets of + * macros are defined here: + * - Abs, Min and Max to apply to constant expressions (values known at + * compile time); + * - abs, min and max to apply to non-constant expressions (values unknown at + * compile time), abs is found in stdlib.h. + * + * @{ */ + +/** \brief Takes the absolute value of \a a. + * + * \param[in] a Input value. + * + * \return Absolute value of \a a. + * + * \note More optimized if only used with values known at compile time. + */ +#define Abs(a) (((a) < 0 ) ? -(a) : (a)) + +#ifndef __cplusplus +/** \brief Takes the minimal value of \a a and \a b. + * + * \param[in] a Input value. + * \param[in] b Input value. + * + * \return Minimal value of \a a and \a b. + * + * \note More optimized if only used with values known at compile time. + */ +#define Min(a, b) (((a) < (b)) ? (a) : (b)) + +/** \brief Takes the maximal value of \a a and \a b. + * + * \param[in] a Input value. + * \param[in] b Input value. + * + * \return Maximal value of \a a and \a b. + * + * \note More optimized if only used with values known at compile time. + */ +#define Max(a, b) (((a) > (b)) ? (a) : (b)) + +/** \brief Takes the minimal value of \a a and \a b. + * + * \param[in] a Input value. + * \param[in] b Input value. + * + * \return Minimal value of \a a and \a b. + * + * \note More optimized if only used with values unknown at compile time. + */ +#define min(a, b) Min(a, b) + +/** \brief Takes the maximal value of \a a and \a b. + * + * \param[in] a Input value. + * \param[in] b Input value. + * + * \return Maximal value of \a a and \a b. + * + * \note More optimized if only used with values unknown at compile time. + */ +#define max(a, b) Max(a, b) +#endif + +/** @} */ + + +/** \brief Calls the routine at address \a addr. + * + * It generates a long call opcode. + * + * For example, `Long_call(0x80000000)' generates a software reset on a UC3 if + * it is invoked from the CPU supervisor mode. + * + * \param[in] addr Address of the routine to call. + * + * \note It may be used as a long jump opcode in some special cases. + */ +#define Long_call(addr) ((*(void (*)(void))(addr))()) + + +/** \name MCU Endianism Handling + * ARM is MCU little endian. + * + * @{ */ +#define BE16(x) swap16(x) +#define LE16(x) (x) + +#define le16_to_cpu(x) (x) +#define cpu_to_le16(x) (x) +#define LE16_TO_CPU(x) (x) +#define CPU_TO_LE16(x) (x) + +#define be16_to_cpu(x) swap16(x) +#define cpu_to_be16(x) swap16(x) +#define BE16_TO_CPU(x) swap16(x) +#define CPU_TO_BE16(x) swap16(x) + +#define le32_to_cpu(x) (x) +#define cpu_to_le32(x) (x) +#define LE32_TO_CPU(x) (x) +#define CPU_TO_LE32(x) (x) + +#define be32_to_cpu(x) swap32(x) +#define cpu_to_be32(x) swap32(x) +#define BE32_TO_CPU(x) swap32(x) +#define CPU_TO_BE32(x) swap32(x) +/** @} */ + + +/** \name Endianism Conversion + * + * The same considerations as for clz and ctz apply here but GCC's + * __builtin_bswap_32 and __builtin_bswap_64 do not behave like macros when + * applied to constant expressions, so two sets of macros are defined here: + * - Swap16, Swap32 and Swap64 to apply to constant expressions (values known + * at compile time); + * - swap16, swap32 and swap64 to apply to non-constant expressions (values + * unknown at compile time). + * + * @{ */ + +/** \brief Toggles the endianism of \a u16 (by swapping its bytes). + * + * \param[in] u16 U16 of which to toggle the endianism. + * + * \return Value resulting from \a u16 with toggled endianism. + * + * \note More optimized if only used with values known at compile time. + */ +#define Swap16(u16) ((uint16_t)(((uint16_t)(u16) >> 8) |\ + ((uint16_t)(u16) << 8))) + +/** \brief Toggles the endianism of \a u32 (by swapping its bytes). + * + * \param[in] u32 U32 of which to toggle the endianism. + * + * \return Value resulting from \a u32 with toggled endianism. + * + * \note More optimized if only used with values known at compile time. + */ +#define Swap32(u32) ((uint32_t)(((uint32_t)Swap16((uint32_t)(u32) >> 16)) |\ + ((uint32_t)Swap16((uint32_t)(u32)) << 16))) + +/** \brief Toggles the endianism of \a u64 (by swapping its bytes). + * + * \param[in] u64 U64 of which to toggle the endianism. + * + * \return Value resulting from \a u64 with toggled endianism. + * + * \note More optimized if only used with values known at compile time. + */ +#define Swap64(u64) ((uint64_t)(((uint64_t)Swap32((uint64_t)(u64) >> 32)) |\ + ((uint64_t)Swap32((uint64_t)(u64)) << 32))) + +/** \brief Toggles the endianism of \a u16 (by swapping its bytes). + * + * \param[in] u16 U16 of which to toggle the endianism. + * + * \return Value resulting from \a u16 with toggled endianism. + * + * \note More optimized if only used with values unknown at compile time. + */ +#define swap16(u16) Swap16(u16) + +/** \brief Toggles the endianism of \a u32 (by swapping its bytes). + * + * \param[in] u32 U32 of which to toggle the endianism. + * + * \return Value resulting from \a u32 with toggled endianism. + * + * \note More optimized if only used with values unknown at compile time. + */ +#if (defined __GNUC__) +# define swap32(u32) ((uint32_t)__builtin_bswap32((uint32_t)(u32))) +#else +# define swap32(u32) Swap32(u32) +#endif + +/** \brief Toggles the endianism of \a u64 (by swapping its bytes). + * + * \param[in] u64 U64 of which to toggle the endianism. + * + * \return Value resulting from \a u64 with toggled endianism. + * + * \note More optimized if only used with values unknown at compile time. + */ +#if (defined __GNUC__) +# define swap64(u64) ((uint64_t)__builtin_bswap64((uint64_t)(u64))) +#else +# define swap64(u64) ((uint64_t)(((uint64_t)swap32((uint64_t)(u64) >> 32)) |\ + ((uint64_t)swap32((uint64_t)(u64)) << 32))) +#endif + +/** @} */ + + +/** \name Target Abstraction + * + * @{ */ + +#define _GLOBEXT_ extern /**< extern storage-class specifier. */ +#define _CONST_TYPE_ const /**< const type qualifier. */ +#define _MEM_TYPE_SLOW_ /**< Slow memory type. */ +#define _MEM_TYPE_MEDFAST_ /**< Fairly fast memory type. */ +#define _MEM_TYPE_FAST_ /**< Fast memory type. */ + +#define memcmp_ram2ram memcmp /**< Target-specific memcmp of RAM to RAM. */ +#define memcmp_code2ram memcmp /**< Target-specific memcmp of RAM to NVRAM. */ +#define memcpy_ram2ram memcpy /**< Target-specific memcpy from RAM to RAM. */ +#define memcpy_code2ram memcpy /**< Target-specific memcpy from NVRAM to RAM. */ + +/** @} */ + +/** + * \brief Calculate \f$ \left\lceil \frac{a}{b} \right\rceil \f$ using + * integer arithmetic. + * + * \param[in] a An integer + * \param[in] b Another integer + * + * \return (\a a / \a b) rounded up to the nearest integer. + */ +#define div_ceil(a, b) (((a) + (b) - 1) / (b)) + +#endif /* #ifndef __ASSEMBLY__ */ +#ifdef __ICCARM__ +/** \name Compiler Keywords + * + * Port of some keywords from GCC to IAR Embedded Workbench. + * + * @{ */ + +#define __asm__ asm +#define __inline__ inline +#define __volatile__ + +/** @} */ + +#endif + +#define FUNC_PTR void * +/** + * \def unused + * \brief Marking \a v as a unused parameter or value. + */ +#define unused(v) do { (void)(v); } while(0) + +/* Define RAMFUNC attribute */ +#if defined ( __CC_ARM ) /* Keil uVision 4 */ +# define RAMFUNC __attribute__ ((section(".ramfunc"))) +#elif defined ( __ICCARM__ ) /* IAR Ewarm 5.41+ */ +# define RAMFUNC __ramfunc +#elif defined ( __GNUC__ ) /* GCC CS3 2009q3-68 */ +# define RAMFUNC __attribute__ ((section(".ramfunc"))) +#endif + +/* Define OPTIMIZE_HIGH attribute */ +#if defined ( __CC_ARM ) /* Keil uVision 4 */ +# define OPTIMIZE_HIGH _Pragma("O3") +#elif defined ( __ICCARM__ ) /* IAR Ewarm 5.41+ */ +# define OPTIMIZE_HIGH _Pragma("optimize=high") +#elif defined ( __GNUC__ ) /* GCC CS3 2009q3-68 */ +# define OPTIMIZE_HIGH __attribute__((optimize("s"))) +#endif +#define PASS 0 +#define FAIL 1 +#define LOW 0 +#define HIGH 1 + +typedef int8_t S8 ; //!< 8-bit signed integer. +typedef uint8_t U8 ; //!< 8-bit unsigned integer. +typedef int16_t S16; //!< 16-bit signed integer. +typedef uint16_t U16; //!< 16-bit unsigned integer. +typedef int32_t S32; //!< 32-bit signed integer. +typedef uint32_t U32; //!< 32-bit unsigned integer. +typedef int64_t S64; //!< 64-bit signed integer. +typedef uint64_t U64; //!< 64-bit unsigned integer. +typedef float F32; //!< 32-bit floating-point number. +typedef double F64; //!< 64-bit floating-point number. + +#define MSB(u16) (((U8 *)&(u16))[1]) //!< Most significant byte of \a u16. +#define LSB(u16) (((U8 *)&(u16))[0]) //!< Least significant byte of \a u16. + +#define MSH(u32) (((U16 *)&(u32))[1]) //!< Most significant half-word of \a u32. +#define LSH(u32) (((U16 *)&(u32))[0]) //!< Least significant half-word of \a u32. +#define MSB0W(u32) (((U8 *)&(u32))[3]) //!< Most significant byte of 1st rank of \a u32. +#define MSB1W(u32) (((U8 *)&(u32))[2]) //!< Most significant byte of 2nd rank of \a u32. +#define MSB2W(u32) (((U8 *)&(u32))[1]) //!< Most significant byte of 3rd rank of \a u32. +#define MSB3W(u32) (((U8 *)&(u32))[0]) //!< Most significant byte of 4th rank of \a u32. +#define LSB3W(u32) MSB0W(u32) //!< Least significant byte of 4th rank of \a u32. +#define LSB2W(u32) MSB1W(u32) //!< Least significant byte of 3rd rank of \a u32. +#define LSB1W(u32) MSB2W(u32) //!< Least significant byte of 2nd rank of \a u32. +#define LSB0W(u32) MSB3W(u32) //!< Least significant byte of 1st rank of \a u32. + +#define MSW(u64) (((U32 *)&(u64))[1]) //!< Most significant word of \a u64. +#define LSW(u64) (((U32 *)&(u64))[0]) //!< Least significant word of \a u64. +#define MSH0(u64) (((U16 *)&(u64))[3]) //!< Most significant half-word of 1st rank of \a u64. +#define MSH1(u64) (((U16 *)&(u64))[2]) //!< Most significant half-word of 2nd rank of \a u64. +#define MSH2(u64) (((U16 *)&(u64))[1]) //!< Most significant half-word of 3rd rank of \a u64. +#define MSH3(u64) (((U16 *)&(u64))[0]) //!< Most significant half-word of 4th rank of \a u64. +#define LSH3(u64) MSH0(u64) //!< Least significant half-word of 4th rank of \a u64. +#define LSH2(u64) MSH1(u64) //!< Least significant half-word of 3rd rank of \a u64. +#define LSH1(u64) MSH2(u64) //!< Least significant half-word of 2nd rank of \a u64. +#define LSH0(u64) MSH3(u64) //!< Least significant half-word of 1st rank of \a u64. +#define MSB0D(u64) (((U8 *)&(u64))[7]) //!< Most significant byte of 1st rank of \a u64. +#define MSB1D(u64) (((U8 *)&(u64))[6]) //!< Most significant byte of 2nd rank of \a u64. +#define MSB2D(u64) (((U8 *)&(u64))[5]) //!< Most significant byte of 3rd rank of \a u64. +#define MSB3D(u64) (((U8 *)&(u64))[4]) //!< Most significant byte of 4th rank of \a u64. +#define MSB4D(u64) (((U8 *)&(u64))[3]) //!< Most significant byte of 5th rank of \a u64. +#define MSB5D(u64) (((U8 *)&(u64))[2]) //!< Most significant byte of 6th rank of \a u64. +#define MSB6D(u64) (((U8 *)&(u64))[1]) //!< Most significant byte of 7th rank of \a u64. +#define MSB7D(u64) (((U8 *)&(u64))[0]) //!< Most significant byte of 8th rank of \a u64. +#define LSB7D(u64) MSB0D(u64) //!< Least significant byte of 8th rank of \a u64. +#define LSB6D(u64) MSB1D(u64) //!< Least significant byte of 7th rank of \a u64. +#define LSB5D(u64) MSB2D(u64) //!< Least significant byte of 6th rank of \a u64. +#define LSB4D(u64) MSB3D(u64) //!< Least significant byte of 5th rank of \a u64. +#define LSB3D(u64) MSB4D(u64) //!< Least significant byte of 4th rank of \a u64. +#define LSB2D(u64) MSB5D(u64) //!< Least significant byte of 3rd rank of \a u64. +#define LSB1D(u64) MSB6D(u64) //!< Least significant byte of 2nd rank of \a u64. +#define LSB0D(u64) MSB7D(u64) //!< Least significant byte of 1st rank of \a u64. + +#define LSB0(u32) LSB0W(u32) //!< Least significant byte of 1st rank of \a u32. +#define LSB1(u32) LSB1W(u32) //!< Least significant byte of 2nd rank of \a u32. +#define LSB2(u32) LSB2W(u32) //!< Least significant byte of 3rd rank of \a u32. +#define LSB3(u32) LSB3W(u32) //!< Least significant byte of 4th rank of \a u32. +#define MSB3(u32) MSB3W(u32) //!< Most significant byte of 4th rank of \a u32. +#define MSB2(u32) MSB2W(u32) //!< Most significant byte of 3rd rank of \a u32. +#define MSB1(u32) MSB1W(u32) //!< Most significant byte of 2nd rank of \a u32. +#define MSB0(u32) MSB0W(u32) //!< Most significant byte of 1st rank of \a u32. + +#if defined(__ICCARM__) +#define SHORTENUM __packed +#elif defined(__GNUC__) +#define SHORTENUM __attribute__((packed)) +#endif + +/* No operation */ +#if defined(__ICCARM__) +#define nop() __no_operation() +#elif defined(__GNUC__) +#define nop() __NOP() +#endif + +#define FLASH_DECLARE(x) const x +#define FLASH_EXTERN(x) extern const x +#define PGM_READ_BYTE(x) *(x) +#define PGM_READ_WORD(x) *(x) +#define MEMCPY_ENDIAN memcpy +#define PGM_READ_BLOCK(dst, src, len) memcpy((dst), (src), (len)) + +/*Defines the Flash Storage for the request and response of MAC*/ +#define CMD_ID_OCTET (0) + +/* Converting of values from CPU endian to little endian. */ +#define CPU_ENDIAN_TO_LE16(x) (x) +#define CPU_ENDIAN_TO_LE32(x) (x) +#define CPU_ENDIAN_TO_LE64(x) (x) + +/* Converting of values from little endian to CPU endian. */ +#define LE16_TO_CPU_ENDIAN(x) (x) +#define LE32_TO_CPU_ENDIAN(x) (x) +#define LE64_TO_CPU_ENDIAN(x) (x) + +/* Converting of constants from little endian to CPU endian. */ +#define CLE16_TO_CPU_ENDIAN(x) (x) +#define CLE32_TO_CPU_ENDIAN(x) (x) +#define CLE64_TO_CPU_ENDIAN(x) (x) + +/* Converting of constants from CPU endian to little endian. */ +#define CCPU_ENDIAN_TO_LE16(x) (x) +#define CCPU_ENDIAN_TO_LE32(x) (x) +#define CCPU_ENDIAN_TO_LE64(x) (x) + +#define ADDR_COPY_DST_SRC_16(dst, src) ((dst) = (src)) +#define ADDR_COPY_DST_SRC_64(dst, src) ((dst) = (src)) + +/** + * @brief Converts a 64-Bit value into a 8 Byte array + * + * @param[in] value 64-Bit value + * @param[out] data Pointer to the 8 Byte array to be updated with 64-Bit value + * @ingroup apiPalApi + */ +static inline void convert_64_bit_to_byte_array(uint64_t value, uint8_t *data) +{ + uint8_t index = 0; + + while (index < 8) + { + data[index++] = value & 0xFF; + value = value >> 8; + } +} + +/** + * @brief Converts a 16-Bit value into a 2 Byte array + * + * @param[in] value 16-Bit value + * @param[out] data Pointer to the 2 Byte array to be updated with 16-Bit value + * @ingroup apiPalApi + */ +static inline void convert_16_bit_to_byte_array(uint16_t value, uint8_t *data) +{ + data[0] = value & 0xFF; + data[1] = (value >> 8) & 0xFF; +} + +/* Converts a 16-Bit value into a 2 Byte array */ +static inline void convert_spec_16_bit_to_byte_array(uint16_t value, uint8_t *data) +{ + data[0] = value & 0xFF; + data[1] = (value >> 8) & 0xFF; +} + +/* Converts a 16-Bit value into a 2 Byte array */ +static inline void convert_16_bit_to_byte_address(uint16_t value, uint8_t *data) +{ + data[0] = value & 0xFF; + data[1] = (value >> 8) & 0xFF; +} + +/* + * @brief Converts a 2 Byte array into a 16-Bit value + * + * @param data Specifies the pointer to the 2 Byte array + * + * @return 16-Bit value + * @ingroup apiPalApi + */ +static inline uint16_t convert_byte_array_to_16_bit(uint8_t *data) +{ + return (data[0] | ((uint16_t)data[1] << 8)); +} + +/* Converts a 4 Byte array into a 32-Bit value */ +static inline uint32_t convert_byte_array_to_32_bit(uint8_t *data) +{ + union + { + uint32_t u32; + uint8_t u8[4]; + }long_addr; + uint8_t index; + for (index = 0; index < 4; index++) + { + long_addr.u8[index] = *data++; + } + return long_addr.u32; +} + +/** + * @brief Converts a 8 Byte array into a 64-Bit value + * + * @param data Specifies the pointer to the 8 Byte array + * + * @return 64-Bit value + * @ingroup apiPalApi + */ +static inline uint64_t convert_byte_array_to_64_bit(uint8_t *data) +{ + union + { + uint64_t u64; + uint8_t u8[8]; + } long_addr; + + uint8_t index; + + for (index = 0; index < 8; index++) + { + long_addr.u8[index] = *data++; + } + + return long_addr.u64; +} + +/** @} */ + +#endif /* UTILS_COMPILER_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/header_files/io.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/header_files/io.h new file mode 100644 index 0000000..73e73a3 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/header_files/io.h @@ -0,0 +1,115 @@ +/** + * \file + * + * \brief Arch file for SAM0. + * + * This file defines common SAM0 series. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _SAM_IO_ +#define _SAM_IO_ + +#include +#include +#include + +/* SAM D20 family */ +#if (SAMD20) +# include "samd20.h" +#endif + +#if (SAMD21) +# include "samd21.h" +#endif + +#if (SAMR21) +# include "samr21.h" +#endif + +#if (SAMD09) +# include "samd09.h" +#endif + +#if (SAMD10) +# include "samd10.h" +#endif + +#if (SAMD11) +# include "samd11.h" +#endif + +#if (SAML21) +# include "saml21.h" +#endif + +#if (SAMR30) +# include "samr30.h" +#endif + +#if (SAMR34) +# include "samr34.h" +#endif + +#if (SAMR35) +# include "samr35.h" +#endif + +#if (SAML22) +# include "saml22.h" +#endif + +#if (SAMDA1) +# include "samda1.h" +#endif + +#if (SAMC20) +# include "samc20.h" +#endif + +#if (SAMC21) +# include "samc21.h" +#endif + +#if (SAMHA1) +# include "samha1.h" +#endif + +#if (SAMHA0) +# include "samha0.h" +#endif + +#if (SAMB11) +# include "samb11.h" +#endif + +#endif /* _SAM_IO_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld b/D21_ADC_with_DMA/src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld new file mode 100644 index 0000000..17395a3 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/linker_scripts/samd21/gcc/samd21j18a_flash.ld @@ -0,0 +1,157 @@ +/** + * \file + * + * \brief Linker script for running in internal FLASH on the SAMD21J18A + * + * Copyright (c) 2014-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions are met: + * + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * + * 3. The name of Atmel may not be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * 4. This software may only be redistributed and used in connection with an + * Atmel microcontroller product. + * + * THIS SOFTWARE IS PROVIDED BY ATMEL "AS IS" AND ANY EXPRESS OR IMPLIED + * WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT ARE + * EXPRESSLY AND SPECIFICALLY DISCLAIMED. IN NO EVENT SHALL ATMEL BE LIABLE FOR + * ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, + * STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN + * ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + * POSSIBILITY OF SUCH DAMAGE. + * + * \asf_license_stop + * + */ + + +OUTPUT_FORMAT("elf32-littlearm", "elf32-littlearm", "elf32-littlearm") +OUTPUT_ARCH(arm) +SEARCH_DIR(.) + +/* Memory Spaces Definitions */ +MEMORY +{ + rom (rx) : ORIGIN = 0x00000000, LENGTH = 0x00040000 + ram (rwx) : ORIGIN = 0x20000000, LENGTH = 0x00008000 +} + +/* The stack size used by the application. NOTE: you need to adjust according to your application. */ +STACK_SIZE = DEFINED(STACK_SIZE) ? STACK_SIZE : DEFINED(__stack_size__) ? __stack_size__ : 0x2000; + +/* Section Definitions */ +SECTIONS +{ + .text : + { + . = ALIGN(4); + _sfixed = .; + KEEP(*(.vectors .vectors.*)) + *(.text .text.* .gnu.linkonce.t.*) + *(.glue_7t) *(.glue_7) + *(.rodata .rodata* .gnu.linkonce.r.*) + *(.ARM.extab* .gnu.linkonce.armextab.*) + + /* Support C constructors, and C destructors in both user code + and the C library. This also provides support for C++ code. */ + . = ALIGN(4); + KEEP(*(.init)) + . = ALIGN(4); + __preinit_array_start = .; + KEEP (*(.preinit_array)) + __preinit_array_end = .; + + . = ALIGN(4); + __init_array_start = .; + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array)) + __init_array_end = .; + + . = ALIGN(4); + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*crtend.o(.ctors)) + + . = ALIGN(4); + KEEP(*(.fini)) + + . = ALIGN(4); + __fini_array_start = .; + KEEP (*(.fini_array)) + KEEP (*(SORT(.fini_array.*))) + __fini_array_end = .; + + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*crtend.o(.dtors)) + + . = ALIGN(4); + _efixed = .; /* End of text section */ + } > rom + + /* .ARM.exidx is sorted, so has to go in its own output section. */ + PROVIDE_HIDDEN (__exidx_start = .); + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } > rom + PROVIDE_HIDDEN (__exidx_end = .); + + . = ALIGN(4); + _etext = .; + + .relocate : AT (_etext) + { + . = ALIGN(4); + _srelocate = .; + *(.ramfunc .ramfunc.*); + *(.data .data.*); + . = ALIGN(4); + _erelocate = .; + } > ram + + /* .bss section which is used for uninitialized data */ + .bss (NOLOAD) : + { + . = ALIGN(4); + _sbss = . ; + _szero = .; + *(.bss .bss.*) + *(COMMON) + . = ALIGN(4); + _ebss = . ; + _ezero = .; + } > ram + + /* stack section */ + .stack (NOLOAD): + { + . = ALIGN(8); + _sstack = .; + . = . + STACK_SIZE; + . = ALIGN(8); + _estack = .; + } > ram + + . = ALIGN(4); + _end = . ; +} diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/make/Makefile.sam.in b/D21_ADC_with_DMA/src/ASF/sam0/utils/make/Makefile.sam.in new file mode 100644 index 0000000..f72b845 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/make/Makefile.sam.in @@ -0,0 +1,492 @@ +# List of available make goals: +# +# all Default target, builds the project +# clean Clean up the project +# rebuild Rebuild the project +# debug_flash Builds the project and debug in flash +# debug_sram Builds the project and debug in sram +# +# doc Build the documentation +# cleandoc Clean up the documentation +# rebuilddoc Rebuild the documentation +# +# \file +# +# Copyright (c) 2011 - 2018 Microchip Technology Inc. and its subsidiaries. +# +# \asf_license_start +# +# \page License +# +# Subject to your compliance with these terms, you may use Microchip +# software and any derivatives exclusively with Microchip products. +# It is your responsibility to comply with third party license terms applicable +# to your use of third party software (including open source software) that +# may accompany Microchip software. +# +# THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, +# WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, +# INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, +# AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE +# LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL +# LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE +# SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE +# POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT +# ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY +# RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, +# THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. +# +# \asf_license_stop +# + +# Include the config.mk file from the current working path, e.g., where the +# user called make. +include config.mk + +# Tool to use to generate documentation from the source code +DOCGEN ?= doxygen + +# Look for source files relative to the top-level source directory +VPATH := $(PRJ_PATH) + +# Output target file +project_type := $(PROJECT_TYPE) + +# Output target file +ifeq ($(project_type),flash) +target := $(TARGET_FLASH) +linker_script := $(PRJ_PATH)/$(LINKER_SCRIPT_FLASH) +debug_script := $(PRJ_PATH)/$(DEBUG_SCRIPT_FLASH) +else +target := $(TARGET_SRAM) +linker_script := $(PRJ_PATH)/$(LINKER_SCRIPT_SRAM) +debug_script := $(PRJ_PATH)/$(DEBUG_SCRIPT_SRAM) +endif + +# Output project name (target name minus suffix) +project := $(basename $(target)) + +# Output target file (typically ELF or static library) +ifeq ($(suffix $(target)),.a) +target_type := lib +else +ifeq ($(suffix $(target)),.elf) +target_type := elf +else +$(error "Target type $(target_type) is not supported") +endif +endif + +# Allow override of operating system detection. The user can add OS=Linux or +# OS=Windows on the command line to explicit set the host OS. +# +# This allows to work around broken uname utility on certain systems. +ifdef OS + ifeq ($(strip $(OS)), Linux) + os_type := Linux + endif + ifeq ($(strip $(OS)), Windows) + os_type := windows32_64 + endif +endif + +os_type ?= $(strip $(shell uname)) + +ifeq ($(os_type),windows32) +os := Windows +else +ifeq ($(os_type),windows64) +os := Windows +else +ifeq ($(os_type),windows32_64) +os ?= Windows +else +ifeq ($(os_type),) +os := Windows +else +# Default to Linux style operating system. Both Cygwin and mingw are fully +# compatible (for this Makefile) with Linux. +os := Linux +endif +endif +endif +endif + +# Output documentation directory and configuration file. +docdir := ../doxygen/html +doccfg := ../doxygen/doxyfile.doxygen + +CROSS ?= arm-none-eabi- +AR := $(CROSS)ar +AS := $(CROSS)as +CC := $(CROSS)gcc +CPP := $(CROSS)gcc -E +CXX := $(CROSS)g++ +LD := $(CROSS)g++ +NM := $(CROSS)nm +OBJCOPY := $(CROSS)objcopy +OBJDUMP := $(CROSS)objdump +SIZE := $(CROSS)size +GDB := $(CROSS)gdb + +RM := rm +ifeq ($(os),Windows) +RMDIR := rmdir /S /Q +else +RMDIR := rmdir -p --ignore-fail-on-non-empty +endif + +# On Windows, we need to override the shell to force the use of cmd.exe +ifeq ($(os),Windows) +SHELL := cmd +endif + +# Strings for beautifying output +MSG_CLEAN_FILES = "RM *.o *.d" +MSG_CLEAN_DIRS = "RMDIR $(strip $(clean-dirs))" +MSG_CLEAN_DOC = "RMDIR $(docdir)" +MSG_MKDIR = "MKDIR $(dir $@)" + +MSG_INFO = "INFO " +MSG_PREBUILD = "PREBUILD $(PREBUILD_CMD)" +MSG_POSTBUILD = "POSTBUILD $(POSTBUILD_CMD)" + +MSG_ARCHIVING = "AR $@" +MSG_ASSEMBLING = "AS $@" +MSG_BINARY_IMAGE = "OBJCOPY $@" +MSG_COMPILING = "CC $@" +MSG_COMPILING_CXX = "CXX $@" +MSG_EXTENDED_LISTING = "OBJDUMP $@" +MSG_IHEX_IMAGE = "OBJCOPY $@" +MSG_LINKING = "LN $@" +MSG_PREPROCESSING = "CPP $@" +MSG_SIZE = "SIZE $@" +MSG_SYMBOL_TABLE = "NM $@" + +MSG_GENERATING_DOC = "DOXYGEN $(docdir)" + +# Don't use make's built-in rules and variables +MAKEFLAGS += -rR + +# Don't print 'Entering directory ...' +MAKEFLAGS += --no-print-directory + +# Function for reversing the order of a list +reverse = $(if $(1),$(call reverse,$(wordlist 2,$(words $(1)),$(1)))) $(firstword $(1)) + +# Hide command output by default, but allow the user to override this +# by adding V=1 on the command line. +# +# This is inspired by the Kbuild system used by the Linux kernel. +ifdef V + ifeq ("$(origin V)", "command line") + VERBOSE = $(V) + endif +endif +ifndef VERBOSE + VERBOSE = 0 +endif + +ifeq ($(VERBOSE), 1) + Q = +else + Q = @ +endif + +arflags-gnu-y := $(ARFLAGS) +asflags-gnu-y := $(ASFLAGS) +cflags-gnu-y := $(CFLAGS) +cxxflags-gnu-y := $(CXXFLAGS) +cppflags-gnu-y := $(CPPFLAGS) +cpuflags-gnu-y := +dbgflags-gnu-y := $(DBGFLAGS) +libflags-gnu-y := $(foreach LIB,$(LIBS),-l$(LIB)) +ldflags-gnu-y := $(LDFLAGS) +flashflags-gnu-y := +clean-files := +clean-dirs := + +clean-files += $(wildcard $(target) $(project).map) +clean-files += $(wildcard $(project).hex $(project).bin) +clean-files += $(wildcard $(project).lss $(project).sym) +clean-files += $(wildcard $(build)) + +# Use pipes instead of temporary files for communication between processes +cflags-gnu-y += -pipe +asflags-gnu-y += -pipe +ldflags-gnu-y += -pipe + +# Archiver flags. +arflags-gnu-y += rcs + +# Always enable warnings. And be very careful about implicit +# declarations. +cflags-gnu-y += -Wall -Wstrict-prototypes -Wmissing-prototypes +cflags-gnu-y += -Werror-implicit-function-declaration +cxxflags-gnu-y += -Wall +# IAR doesn't allow arithmetic on void pointers, so warn about that. +cflags-gnu-y += -Wpointer-arith +cxxflags-gnu-y += -Wpointer-arith + +# Preprocessor flags. +cppflags-gnu-y += $(foreach INC,$(addprefix $(PRJ_PATH)/,$(INC_PATH)),-I$(INC)) +asflags-gnu-y += $(foreach INC,$(addprefix $(PRJ_PATH)/,$(INC_PATH)),'-Wa,-I$(INC)') + +# CPU specific flags. +cpuflags-gnu-y += -mcpu=$(ARCH) -mthumb -D=__$(PART)__ + +# Dependency file flags. +depflags = -MD -MP -MQ $@ + +# Debug specific flags. +ifdef BUILD_DEBUG_LEVEL +dbgflags-gnu-y += -g$(BUILD_DEBUG_LEVEL) +else +dbgflags-gnu-y += -g3 +endif + +# Optimization specific flags. +ifdef BUILD_OPTIMIZATION +optflags-gnu-y = -O$(BUILD_OPTIMIZATION) +else +optflags-gnu-y = $(OPTIMIZATION) +endif + +# Always preprocess assembler files. +asflags-gnu-y += -x assembler-with-cpp +# Compile C files using the GNU99 standard. +cflags-gnu-y += -std=gnu99 +# Compile C++ files using the GNU++98 standard. +cxxflags-gnu-y += -std=gnu++98 + +# Don't use strict aliasing (very common in embedded applications). +cflags-gnu-y += -fno-strict-aliasing +cxxflags-gnu-y += -fno-strict-aliasing + +# Separate each function and data into its own separate section to allow +# garbage collection of unused sections. +cflags-gnu-y += -ffunction-sections -fdata-sections +cxxflags-gnu-y += -ffunction-sections -fdata-sections + +# Various cflags. +cflags-gnu-y += -Wchar-subscripts -Wcomment -Wformat=2 -Wimplicit-int +cflags-gnu-y += -Wmain -Wparentheses +cflags-gnu-y += -Wsequence-point -Wreturn-type -Wswitch -Wtrigraphs -Wunused +cflags-gnu-y += -Wuninitialized -Wunknown-pragmas -Wfloat-equal -Wundef +cflags-gnu-y += -Wshadow -Wbad-function-cast -Wwrite-strings +cflags-gnu-y += -Wsign-compare -Waggregate-return +cflags-gnu-y += -Wmissing-declarations +cflags-gnu-y += -Wformat -Wmissing-format-attribute -Wno-deprecated-declarations +cflags-gnu-y += -Wpacked -Wredundant-decls -Wnested-externs -Wlong-long +cflags-gnu-y += -Wunreachable-code +cflags-gnu-y += -Wcast-align +cflags-gnu-y += --param max-inline-insns-single=500 + +# To reduce application size use only integer printf function. +cflags-gnu-y += -Dprintf=iprintf + +# Use newlib-nano to reduce application size +ldflags-gnu-y += --specs=nano.specs + +# Garbage collect unreferred sections when linking. +ldflags-gnu-y += -Wl,--gc-sections + +# Use the linker script if provided by the project. +ifneq ($(strip $(linker_script)),) +ldflags-gnu-y += -Wl,-T $(linker_script) +endif + +# Output a link map file and a cross reference table +ldflags-gnu-y += -Wl,-Map=$(project).map,--cref + +# Add library search paths relative to the top level directory. +ldflags-gnu-y += $(foreach _LIB_PATH,$(addprefix $(PRJ_PATH)/,$(LIB_PATH)),-L$(_LIB_PATH)) + +a_flags = $(cpuflags-gnu-y) $(depflags) $(cppflags-gnu-y) $(asflags-gnu-y) -D__ASSEMBLY__ +c_flags = $(cpuflags-gnu-y) $(dbgflags-gnu-y) $(depflags) $(optflags-gnu-y) $(cppflags-gnu-y) $(cflags-gnu-y) +cxx_flags= $(cpuflags-gnu-y) $(dbgflags-gnu-y) $(depflags) $(optflags-gnu-y) $(cppflags-gnu-y) $(cxxflags-gnu-y) +l_flags = -Wl,--entry=Reset_Handler -Wl,--cref $(cpuflags-gnu-y) $(optflags-gnu-y) $(ldflags-gnu-y) +ar_flags = $(arflags-gnu-y) + +# Source files list and part informations must already be included before +# running this makefile + +# If a custom build directory is specified, use it -- force trailing / in directory name. +ifdef BUILD_DIR + build-dir := $(dir $(BUILD_DIR))$(if $(notdir $(BUILD_DIR)),$(notdir $(BUILD_DIR))/) +else + build-dir = +endif + +# Create object files list from source files list. +obj-y := $(addprefix $(build-dir), $(addsuffix .o,$(basename $(CSRCS) $(ASSRCS)))) +# Create dependency files list from source files list. +dep-files := $(wildcard $(foreach f,$(obj-y),$(basename $(f)).d)) + +clean-files += $(wildcard $(obj-y)) +clean-files += $(dep-files) + +clean-dirs += $(call reverse,$(sort $(wildcard $(dir $(obj-y))))) + +# Default target. +.PHONY: all +ifeq ($(project_type),all) +all: + $(MAKE) all PROJECT_TYPE=flash + $(MAKE) all PROJECT_TYPE=sram +else +ifeq ($(target_type),lib) +all: $(target) $(project).lss $(project).sym +else +ifeq ($(target_type),elf) +all: prebuild $(target) $(project).lss $(project).sym $(project).hex $(project).bin postbuild +endif +endif +endif + +prebuild: +ifneq ($(strip $(PREBUILD_CMD)),) + @echo $(MSG_PREBUILD) + $(Q)$(PREBUILD_CMD) +endif + +postbuild: +ifneq ($(strip $(POSTBUILD_CMD)),) + @echo $(MSG_POSTBUILD) + $(Q)$(POSTBUILD_CMD) +endif + +# Clean up the project. +.PHONY: clean +clean: + @$(if $(strip $(clean-files)),echo $(MSG_CLEAN_FILES)) + $(if $(strip $(clean-files)),$(Q)$(RM) $(clean-files),) + @$(if $(strip $(clean-dirs)),echo $(MSG_CLEAN_DIRS)) +# Remove created directories, and make sure we only remove existing +# directories, since recursive rmdir might help us a bit on the way. +ifeq ($(os),Windows) + $(Q)$(if $(strip $(clean-dirs)), \ + $(RMDIR) $(strip $(subst /,\,$(clean-dirs)))) +else + $(Q)$(if $(strip $(clean-dirs)), \ + for directory in $(strip $(clean-dirs)); do \ + if [ -d "$$directory" ]; then \ + $(RMDIR) $$directory; \ + fi \ + done \ + ) +endif + +# Rebuild the project. +.PHONY: rebuild +rebuild: clean all + +# Debug the project in flash. +.PHONY: debug_flash +debug_flash: all + $(GDB) -x "$(PRJ_PATH)/$(DEBUG_SCRIPT_FLASH)" -ex "reset" -readnow -se $(TARGET_FLASH) + +# Debug the project in sram. +.PHONY: debug_sram +debug_sram: all + $(GDB) -x "$(PRJ_PATH)/$(DEBUG_SCRIPT_SRAM)" -ex "reset" -readnow -se $(TARGET_SRAM) + +.PHONY: objfiles +objfiles: $(obj-y) + +# Create object files from C source files. +$(build-dir)%.o: %.c $(MAKEFILE_PATH) config.mk + $(Q)test -d $(dir $@) || echo $(MSG_MKDIR) +ifeq ($(os),Windows) + $(Q)test -d $(patsubst %/,%,$(dir $@)) || mkdir $(subst /,\,$(dir $@)) +else + $(Q)test -d $(dir $@) || mkdir -p $(dir $@) +endif + @echo $(MSG_COMPILING) + $(Q)$(CC) $(c_flags) -c $< -o $@ + +# Create object files from C++ source files. +$(build-dir)%.o: %.cpp $(MAKEFILE_PATH) config.mk + $(Q)test -d $(dir $@) || echo $(MSG_MKDIR) +ifeq ($(os),Windows) + $(Q)test -d $(patsubst %/,%,$(dir $@)) || mkdir $(subst /,\,$(dir $@)) +else + $(Q)test -d $(dir $@) || mkdir -p $(dir $@) +endif + @echo $(MSG_COMPILING_CXX) + $(Q)$(CXX) $(cxx_flags) -c $< -o $@ + +# Preprocess and assemble: create object files from assembler source files. +$(build-dir)%.o: %.S $(MAKEFILE_PATH) config.mk + $(Q)test -d $(dir $@) || echo $(MSG_MKDIR) +ifeq ($(os),Windows) + $(Q)test -d $(patsubst %/,%,$(dir $@)) || mkdir $(subst /,\,$(dir $@)) +else + $(Q)test -d $(dir $@) || mkdir -p $(dir $@) +endif + @echo $(MSG_ASSEMBLING) + $(Q)$(CC) $(a_flags) -c $< -o $@ + +# Include all dependency files to add depedency to all header files in use. +include $(dep-files) + +ifeq ($(target_type),lib) +# Archive object files into an archive +$(target): $(MAKEFILE_PATH) config.mk $(obj-y) + @echo $(MSG_ARCHIVING) + $(Q)$(AR) $(ar_flags) $@ $(obj-y) + @echo $(MSG_SIZE) + $(Q)$(SIZE) -Bxt $@ +else +ifeq ($(target_type),elf) +# Link the object files into an ELF file. Also make sure the target is rebuilt +# if the common Makefile.sam.in or project config.mk is changed. +$(target): $(linker_script) $(MAKEFILE_PATH) config.mk $(obj-y) + @echo $(MSG_LINKING) + $(Q)$(LD) $(l_flags) $(obj-y) $(libflags-gnu-y) -o $@ + @echo $(MSG_SIZE) + $(Q)$(SIZE) -Ax $@ + $(Q)$(SIZE) -Bx $@ +endif +endif + +# Create extended function listing from target output file. +%.lss: $(target) + @echo $(MSG_EXTENDED_LISTING) + $(Q)$(OBJDUMP) -h -S $< > $@ + +# Create symbol table from target output file. +%.sym: $(target) + @echo $(MSG_SYMBOL_TABLE) + $(Q)$(NM) -n $< > $@ + +# Create Intel HEX image from ELF output file. +%.hex: $(target) + @echo $(MSG_IHEX_IMAGE) + $(Q)$(OBJCOPY) -O ihex $(flashflags-gnu-y) $< $@ + +# Create binary image from ELF output file. +%.bin: $(target) + @echo $(MSG_BINARY_IMAGE) + $(Q)$(OBJCOPY) -O binary $< $@ + +# Provide information about the detected host operating system. +.SECONDARY: info-os +info-os: + @echo $(MSG_INFO)$(os) build host detected + +# Build Doxygen generated documentation. +.PHONY: doc +doc: + @echo $(MSG_GENERATING_DOC) + $(Q)cd $(dir $(doccfg)) && $(DOCGEN) $(notdir $(doccfg)) + +# Clean Doxygen generated documentation. +.PHONY: cleandoc +cleandoc: + @$(if $(wildcard $(docdir)),echo $(MSG_CLEAN_DOC)) + $(Q)$(if $(wildcard $(docdir)),$(RM) --recursive $(docdir)) + +# Rebuild the Doxygen generated documentation. +.PHONY: rebuilddoc +rebuilddoc: cleandoc doc diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrecursion.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrecursion.h new file mode 100644 index 0000000..6e6a1d2 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrecursion.h @@ -0,0 +1,588 @@ +/** + * \file + * + * \brief Preprocessor macro recursion utils. + * + * Copyright (c) 2013-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _MRECURSION_H_ +#define _MRECURSION_H_ + +/** + * \defgroup group_sam0_utils_mrecursion Preprocessor - Macro Recursion + * + * \ingroup group_sam0_utils + * + * @{ + */ + +#include "preprocessor.h" + +#define DEC_256 255 +#define DEC_255 254 +#define DEC_254 253 +#define DEC_253 252 +#define DEC_252 251 +#define DEC_251 250 +#define DEC_250 249 +#define DEC_249 248 +#define DEC_248 247 +#define DEC_247 246 +#define DEC_246 245 +#define DEC_245 244 +#define DEC_244 243 +#define DEC_243 242 +#define DEC_242 241 +#define DEC_241 240 +#define DEC_240 239 +#define DEC_239 238 +#define DEC_238 237 +#define DEC_237 236 +#define DEC_236 235 +#define DEC_235 234 +#define DEC_234 233 +#define DEC_233 232 +#define DEC_232 231 +#define DEC_231 230 +#define DEC_230 229 +#define DEC_229 228 +#define DEC_228 227 +#define DEC_227 226 +#define DEC_226 225 +#define DEC_225 224 +#define DEC_224 223 +#define DEC_223 222 +#define DEC_222 221 +#define DEC_221 220 +#define DEC_220 219 +#define DEC_219 218 +#define DEC_218 217 +#define DEC_217 216 +#define DEC_216 215 +#define DEC_215 214 +#define DEC_214 213 +#define DEC_213 212 +#define DEC_212 211 +#define DEC_211 210 +#define DEC_210 209 +#define DEC_209 208 +#define DEC_208 207 +#define DEC_207 206 +#define DEC_206 205 +#define DEC_205 204 +#define DEC_204 203 +#define DEC_203 202 +#define DEC_202 201 +#define DEC_201 200 +#define DEC_200 199 +#define DEC_199 198 +#define DEC_198 197 +#define DEC_197 196 +#define DEC_196 195 +#define DEC_195 194 +#define DEC_194 193 +#define DEC_193 192 +#define DEC_192 191 +#define DEC_191 190 +#define DEC_190 189 +#define DEC_189 188 +#define DEC_188 187 +#define DEC_187 186 +#define DEC_186 185 +#define DEC_185 184 +#define DEC_184 183 +#define DEC_183 182 +#define DEC_182 181 +#define DEC_181 180 +#define DEC_180 179 +#define DEC_179 178 +#define DEC_178 177 +#define DEC_177 176 +#define DEC_176 175 +#define DEC_175 174 +#define DEC_174 173 +#define DEC_173 172 +#define DEC_172 171 +#define DEC_171 170 +#define DEC_170 169 +#define DEC_169 168 +#define DEC_168 167 +#define DEC_167 166 +#define DEC_166 165 +#define DEC_165 164 +#define DEC_164 163 +#define DEC_163 162 +#define DEC_162 161 +#define DEC_161 160 +#define DEC_160 159 +#define DEC_159 158 +#define DEC_158 157 +#define DEC_157 156 +#define DEC_156 155 +#define DEC_155 154 +#define DEC_154 153 +#define DEC_153 152 +#define DEC_152 151 +#define DEC_151 150 +#define DEC_150 149 +#define DEC_149 148 +#define DEC_148 147 +#define DEC_147 146 +#define DEC_146 145 +#define DEC_145 144 +#define DEC_144 143 +#define DEC_143 142 +#define DEC_142 141 +#define DEC_141 140 +#define DEC_140 139 +#define DEC_139 138 +#define DEC_138 137 +#define DEC_137 136 +#define DEC_136 135 +#define DEC_135 134 +#define DEC_134 133 +#define DEC_133 132 +#define DEC_132 131 +#define DEC_131 130 +#define DEC_130 129 +#define DEC_129 128 +#define DEC_128 127 +#define DEC_127 126 +#define DEC_126 125 +#define DEC_125 124 +#define DEC_124 123 +#define DEC_123 122 +#define DEC_122 121 +#define DEC_121 120 +#define DEC_120 119 +#define DEC_119 118 +#define DEC_118 117 +#define DEC_117 116 +#define DEC_116 115 +#define DEC_115 114 +#define DEC_114 113 +#define DEC_113 112 +#define DEC_112 111 +#define DEC_111 110 +#define DEC_110 109 +#define DEC_109 108 +#define DEC_108 107 +#define DEC_107 106 +#define DEC_106 105 +#define DEC_105 104 +#define DEC_104 103 +#define DEC_103 102 +#define DEC_102 101 +#define DEC_101 100 +#define DEC_100 99 +#define DEC_99 98 +#define DEC_98 97 +#define DEC_97 96 +#define DEC_96 95 +#define DEC_95 94 +#define DEC_94 93 +#define DEC_93 92 +#define DEC_92 91 +#define DEC_91 90 +#define DEC_90 89 +#define DEC_89 88 +#define DEC_88 87 +#define DEC_87 86 +#define DEC_86 85 +#define DEC_85 84 +#define DEC_84 83 +#define DEC_83 82 +#define DEC_82 81 +#define DEC_81 80 +#define DEC_80 79 +#define DEC_79 78 +#define DEC_78 77 +#define DEC_77 76 +#define DEC_76 75 +#define DEC_75 74 +#define DEC_74 73 +#define DEC_73 72 +#define DEC_72 71 +#define DEC_71 70 +#define DEC_70 69 +#define DEC_69 68 +#define DEC_68 67 +#define DEC_67 66 +#define DEC_66 65 +#define DEC_65 64 +#define DEC_64 63 +#define DEC_63 62 +#define DEC_62 61 +#define DEC_61 60 +#define DEC_60 59 +#define DEC_59 58 +#define DEC_58 57 +#define DEC_57 56 +#define DEC_56 55 +#define DEC_55 54 +#define DEC_54 53 +#define DEC_53 52 +#define DEC_52 51 +#define DEC_51 50 +#define DEC_50 49 +#define DEC_49 48 +#define DEC_48 47 +#define DEC_47 46 +#define DEC_46 45 +#define DEC_45 44 +#define DEC_44 43 +#define DEC_43 42 +#define DEC_42 41 +#define DEC_41 40 +#define DEC_40 39 +#define DEC_39 38 +#define DEC_38 37 +#define DEC_37 36 +#define DEC_36 35 +#define DEC_35 34 +#define DEC_34 33 +#define DEC_33 32 +#define DEC_32 31 +#define DEC_31 30 +#define DEC_30 29 +#define DEC_29 28 +#define DEC_28 27 +#define DEC_27 26 +#define DEC_26 25 +#define DEC_25 24 +#define DEC_24 23 +#define DEC_23 22 +#define DEC_22 21 +#define DEC_21 20 +#define DEC_20 19 +#define DEC_19 18 +#define DEC_18 17 +#define DEC_17 16 +#define DEC_16 15 +#define DEC_15 14 +#define DEC_14 13 +#define DEC_13 12 +#define DEC_12 11 +#define DEC_11 10 +#define DEC_10 9 +#define DEC_9 8 +#define DEC_8 7 +#define DEC_7 6 +#define DEC_6 5 +#define DEC_5 4 +#define DEC_4 3 +#define DEC_3 2 +#define DEC_2 1 +#define DEC_1 0 +#define DEC_(n) DEC_##n + + +/** Maximal number of repetitions supported by MRECURSION. */ +#define MRECURSION_LIMIT 256 + +/** \brief Macro recursion. + * + * This macro represents a horizontal repetition construct. + * + * \param[in] count The number of repetitious calls to macro. Valid values + * range from 0 to MRECURSION_LIMIT. + * \param[in] macro A binary operation of the form macro(data, n). This macro + * is expanded by MRECURSION with the current repetition number + * and the auxiliary data argument. + * \param[in] data A recursive threshold, building on this to decline by times + * defined with param count. + * + * \return macro(data-count+1,0) macro(data-count+2,1)...macro(data,count-1) + */ +#define MRECURSION(count, macro, data) TPASTE2(MRECURSION, count) (macro, data) + +#define MRECURSION0( macro, data) +#define MRECURSION1( macro, data) MRECURSION0( macro, DEC_(data)) macro(data, 0) +#define MRECURSION2( macro, data) MRECURSION1( macro, DEC_(data)) macro(data, 1) +#define MRECURSION3( macro, data) MRECURSION2( macro, DEC_(data)) macro(data, 2) +#define MRECURSION4( macro, data) MRECURSION3( macro, DEC_(data)) macro(data, 3) +#define MRECURSION5( macro, data) MRECURSION4( macro, DEC_(data)) macro(data, 4) +#define MRECURSION6( macro, data) MRECURSION5( macro, DEC_(data)) macro(data, 5) +#define MRECURSION7( macro, data) MRECURSION6( macro, DEC_(data)) macro(data, 6) +#define MRECURSION8( macro, data) MRECURSION7( macro, DEC_(data)) macro(data, 7) +#define MRECURSION9( macro, data) MRECURSION8( macro, DEC_(data)) macro(data, 8) +#define MRECURSION10( macro, data) MRECURSION9( macro, DEC_(data)) macro(data, 9) +#define MRECURSION11( macro, data) MRECURSION10( macro, DEC_(data)) macro(data, 10) +#define MRECURSION12( macro, data) MRECURSION11( macro, DEC_(data)) macro(data, 11) +#define MRECURSION13( macro, data) MRECURSION12( macro, DEC_(data)) macro(data, 12) +#define MRECURSION14( macro, data) MRECURSION13( macro, DEC_(data)) macro(data, 13) +#define MRECURSION15( macro, data) MRECURSION14( macro, DEC_(data)) macro(data, 14) +#define MRECURSION16( macro, data) MRECURSION15( macro, DEC_(data)) macro(data, 15) +#define MRECURSION17( macro, data) MRECURSION16( macro, DEC_(data)) macro(data, 16) +#define MRECURSION18( macro, data) MRECURSION17( macro, DEC_(data)) macro(data, 17) +#define MRECURSION19( macro, data) MRECURSION18( macro, DEC_(data)) macro(data, 18) +#define MRECURSION20( macro, data) MRECURSION19( macro, DEC_(data)) macro(data, 19) +#define MRECURSION21( macro, data) MRECURSION20( macro, DEC_(data)) macro(data, 20) +#define MRECURSION22( macro, data) MRECURSION21( macro, DEC_(data)) macro(data, 21) +#define MRECURSION23( macro, data) MRECURSION22( macro, DEC_(data)) macro(data, 22) +#define MRECURSION24( macro, data) MRECURSION23( macro, DEC_(data)) macro(data, 23) +#define MRECURSION25( macro, data) MRECURSION24( macro, DEC_(data)) macro(data, 24) +#define MRECURSION26( macro, data) MRECURSION25( macro, DEC_(data)) macro(data, 25) +#define MRECURSION27( macro, data) MRECURSION26( macro, DEC_(data)) macro(data, 26) +#define MRECURSION28( macro, data) MRECURSION27( macro, DEC_(data)) macro(data, 27) +#define MRECURSION29( macro, data) MRECURSION28( macro, DEC_(data)) macro(data, 28) +#define MRECURSION30( macro, data) MRECURSION29( macro, DEC_(data)) macro(data, 29) +#define MRECURSION31( macro, data) MRECURSION30( macro, DEC_(data)) macro(data, 30) +#define MRECURSION32( macro, data) MRECURSION31( macro, DEC_(data)) macro(data, 31) +#define MRECURSION33( macro, data) MRECURSION32( macro, DEC_(data)) macro(data, 32) +#define MRECURSION34( macro, data) MRECURSION33( macro, DEC_(data)) macro(data, 33) +#define MRECURSION35( macro, data) MRECURSION34( macro, DEC_(data)) macro(data, 34) +#define MRECURSION36( macro, data) MRECURSION35( macro, DEC_(data)) macro(data, 35) +#define MRECURSION37( macro, data) MRECURSION36( macro, DEC_(data)) macro(data, 36) +#define MRECURSION38( macro, data) MRECURSION37( macro, DEC_(data)) macro(data, 37) +#define MRECURSION39( macro, data) MRECURSION38( macro, DEC_(data)) macro(data, 38) +#define MRECURSION40( macro, data) MRECURSION39( macro, DEC_(data)) macro(data, 39) +#define MRECURSION41( macro, data) MRECURSION40( macro, DEC_(data)) macro(data, 40) +#define MRECURSION42( macro, data) MRECURSION41( macro, DEC_(data)) macro(data, 41) +#define MRECURSION43( macro, data) MRECURSION42( macro, DEC_(data)) macro(data, 42) +#define MRECURSION44( macro, data) MRECURSION43( macro, DEC_(data)) macro(data, 43) +#define MRECURSION45( macro, data) MRECURSION44( macro, DEC_(data)) macro(data, 44) +#define MRECURSION46( macro, data) MRECURSION45( macro, DEC_(data)) macro(data, 45) +#define MRECURSION47( macro, data) MRECURSION46( macro, DEC_(data)) macro(data, 46) +#define MRECURSION48( macro, data) MRECURSION47( macro, DEC_(data)) macro(data, 47) +#define MRECURSION49( macro, data) MRECURSION48( macro, DEC_(data)) macro(data, 48) +#define MRECURSION50( macro, data) MRECURSION49( macro, DEC_(data)) macro(data, 49) +#define MRECURSION51( macro, data) MRECURSION50( macro, DEC_(data)) macro(data, 50) +#define MRECURSION52( macro, data) MRECURSION51( macro, DEC_(data)) macro(data, 51) +#define MRECURSION53( macro, data) MRECURSION52( macro, DEC_(data)) macro(data, 52) +#define MRECURSION54( macro, data) MRECURSION53( macro, DEC_(data)) macro(data, 53) +#define MRECURSION55( macro, data) MRECURSION54( macro, DEC_(data)) macro(data, 54) +#define MRECURSION56( macro, data) MRECURSION55( macro, DEC_(data)) macro(data, 55) +#define MRECURSION57( macro, data) MRECURSION56( macro, DEC_(data)) macro(data, 56) +#define MRECURSION58( macro, data) MRECURSION57( macro, DEC_(data)) macro(data, 57) +#define MRECURSION59( macro, data) MRECURSION58( macro, DEC_(data)) macro(data, 58) +#define MRECURSION60( macro, data) MRECURSION59( macro, DEC_(data)) macro(data, 59) +#define MRECURSION61( macro, data) MRECURSION60( macro, DEC_(data)) macro(data, 60) +#define MRECURSION62( macro, data) MRECURSION61( macro, DEC_(data)) macro(data, 61) +#define MRECURSION63( macro, data) MRECURSION62( macro, DEC_(data)) macro(data, 62) +#define MRECURSION64( macro, data) MRECURSION63( macro, DEC_(data)) macro(data, 63) +#define MRECURSION65( macro, data) MRECURSION64( macro, DEC_(data)) macro(data, 64) +#define MRECURSION66( macro, data) MRECURSION65( macro, DEC_(data)) macro(data, 65) +#define MRECURSION67( macro, data) MRECURSION66( macro, DEC_(data)) macro(data, 66) +#define MRECURSION68( macro, data) MRECURSION67( macro, DEC_(data)) macro(data, 67) +#define MRECURSION69( macro, data) MRECURSION68( macro, DEC_(data)) macro(data, 68) +#define MRECURSION70( macro, data) MRECURSION69( macro, DEC_(data)) macro(data, 69) +#define MRECURSION71( macro, data) MRECURSION70( macro, DEC_(data)) macro(data, 70) +#define MRECURSION72( macro, data) MRECURSION71( macro, DEC_(data)) macro(data, 71) +#define MRECURSION73( macro, data) MRECURSION72( macro, DEC_(data)) macro(data, 72) +#define MRECURSION74( macro, data) MRECURSION73( macro, DEC_(data)) macro(data, 73) +#define MRECURSION75( macro, data) MRECURSION74( macro, DEC_(data)) macro(data, 74) +#define MRECURSION76( macro, data) MRECURSION75( macro, DEC_(data)) macro(data, 75) +#define MRECURSION77( macro, data) MRECURSION76( macro, DEC_(data)) macro(data, 76) +#define MRECURSION78( macro, data) MRECURSION77( macro, DEC_(data)) macro(data, 77) +#define MRECURSION79( macro, data) MRECURSION78( macro, DEC_(data)) macro(data, 78) +#define MRECURSION80( macro, data) MRECURSION79( macro, DEC_(data)) macro(data, 79) +#define MRECURSION81( macro, data) MRECURSION80( macro, DEC_(data)) macro(data, 80) +#define MRECURSION82( macro, data) MRECURSION81( macro, DEC_(data)) macro(data, 81) +#define MRECURSION83( macro, data) MRECURSION82( macro, DEC_(data)) macro(data, 82) +#define MRECURSION84( macro, data) MRECURSION83( macro, DEC_(data)) macro(data, 83) +#define MRECURSION85( macro, data) MRECURSION84( macro, DEC_(data)) macro(data, 84) +#define MRECURSION86( macro, data) MRECURSION85( macro, DEC_(data)) macro(data, 85) +#define MRECURSION87( macro, data) MRECURSION86( macro, DEC_(data)) macro(data, 86) +#define MRECURSION88( macro, data) MRECURSION87( macro, DEC_(data)) macro(data, 87) +#define MRECURSION89( macro, data) MRECURSION88( macro, DEC_(data)) macro(data, 88) +#define MRECURSION90( macro, data) MRECURSION89( macro, DEC_(data)) macro(data, 89) +#define MRECURSION91( macro, data) MRECURSION90( macro, DEC_(data)) macro(data, 90) +#define MRECURSION92( macro, data) MRECURSION91( macro, DEC_(data)) macro(data, 91) +#define MRECURSION93( macro, data) MRECURSION92( macro, DEC_(data)) macro(data, 92) +#define MRECURSION94( macro, data) MRECURSION93( macro, DEC_(data)) macro(data, 93) +#define MRECURSION95( macro, data) MRECURSION94( macro, DEC_(data)) macro(data, 94) +#define MRECURSION96( macro, data) MRECURSION95( macro, DEC_(data)) macro(data, 95) +#define MRECURSION97( macro, data) MRECURSION96( macro, DEC_(data)) macro(data, 96) +#define MRECURSION98( macro, data) MRECURSION97( macro, DEC_(data)) macro(data, 97) +#define MRECURSION99( macro, data) MRECURSION98( macro, DEC_(data)) macro(data, 98) +#define MRECURSION100(macro, data) MRECURSION99( macro, DEC_(data)) macro(data, 99) +#define MRECURSION101(macro, data) MRECURSION100( macro, DEC_(data)) macro(data, 100) +#define MRECURSION102(macro, data) MRECURSION101( macro, DEC_(data)) macro(data, 101) +#define MRECURSION103(macro, data) MRECURSION102( macro, DEC_(data)) macro(data, 102) +#define MRECURSION104(macro, data) MRECURSION103( macro, DEC_(data)) macro(data, 103) +#define MRECURSION105(macro, data) MRECURSION104( macro, DEC_(data)) macro(data, 104) +#define MRECURSION106(macro, data) MRECURSION105( macro, DEC_(data)) macro(data, 105) +#define MRECURSION107(macro, data) MRECURSION106( macro, DEC_(data)) macro(data, 106) +#define MRECURSION108(macro, data) MRECURSION107( macro, DEC_(data)) macro(data, 107) +#define MRECURSION109(macro, data) MRECURSION108( macro, DEC_(data)) macro(data, 108) +#define MRECURSION110(macro, data) MRECURSION109( macro, DEC_(data)) macro(data, 109) +#define MRECURSION111(macro, data) MRECURSION110( macro, DEC_(data)) macro(data, 110) +#define MRECURSION112(macro, data) MRECURSION111( macro, DEC_(data)) macro(data, 111) +#define MRECURSION113(macro, data) MRECURSION112( macro, DEC_(data)) macro(data, 112) +#define MRECURSION114(macro, data) MRECURSION113( macro, DEC_(data)) macro(data, 113) +#define MRECURSION115(macro, data) MRECURSION114( macro, DEC_(data)) macro(data, 114) +#define MRECURSION116(macro, data) MRECURSION115( macro, DEC_(data)) macro(data, 115) +#define MRECURSION117(macro, data) MRECURSION116( macro, DEC_(data)) macro(data, 116) +#define MRECURSION118(macro, data) MRECURSION117( macro, DEC_(data)) macro(data, 117) +#define MRECURSION119(macro, data) MRECURSION118( macro, DEC_(data)) macro(data, 118) +#define MRECURSION120(macro, data) MRECURSION119( macro, DEC_(data)) macro(data, 119) +#define MRECURSION121(macro, data) MRECURSION120( macro, DEC_(data)) macro(data, 120) +#define MRECURSION122(macro, data) MRECURSION121( macro, DEC_(data)) macro(data, 121) +#define MRECURSION123(macro, data) MRECURSION122( macro, DEC_(data)) macro(data, 122) +#define MRECURSION124(macro, data) MRECURSION123( macro, DEC_(data)) macro(data, 123) +#define MRECURSION125(macro, data) MRECURSION124( macro, DEC_(data)) macro(data, 124) +#define MRECURSION126(macro, data) MRECURSION125( macro, DEC_(data)) macro(data, 125) +#define MRECURSION127(macro, data) MRECURSION126( macro, DEC_(data)) macro(data, 126) +#define MRECURSION128(macro, data) MRECURSION127( macro, DEC_(data)) macro(data, 127) +#define MRECURSION129(macro, data) MRECURSION128( macro, DEC_(data)) macro(data, 128) +#define MRECURSION130(macro, data) MRECURSION129( macro, DEC_(data)) macro(data, 129) +#define MRECURSION131(macro, data) MRECURSION130( macro, DEC_(data)) macro(data, 130) +#define MRECURSION132(macro, data) MRECURSION131( macro, DEC_(data)) macro(data, 131) +#define MRECURSION133(macro, data) MRECURSION132( macro, DEC_(data)) macro(data, 132) +#define MRECURSION134(macro, data) MRECURSION133( macro, DEC_(data)) macro(data, 133) +#define MRECURSION135(macro, data) MRECURSION134( macro, DEC_(data)) macro(data, 134) +#define MRECURSION136(macro, data) MRECURSION135( macro, DEC_(data)) macro(data, 135) +#define MRECURSION137(macro, data) MRECURSION136( macro, DEC_(data)) macro(data, 136) +#define MRECURSION138(macro, data) MRECURSION137( macro, DEC_(data)) macro(data, 137) +#define MRECURSION139(macro, data) MRECURSION138( macro, DEC_(data)) macro(data, 138) +#define MRECURSION140(macro, data) MRECURSION139( macro, DEC_(data)) macro(data, 139) +#define MRECURSION141(macro, data) MRECURSION140( macro, DEC_(data)) macro(data, 140) +#define MRECURSION142(macro, data) MRECURSION141( macro, DEC_(data)) macro(data, 141) +#define MRECURSION143(macro, data) MRECURSION142( macro, DEC_(data)) macro(data, 142) +#define MRECURSION144(macro, data) MRECURSION143( macro, DEC_(data)) macro(data, 143) +#define MRECURSION145(macro, data) MRECURSION144( macro, DEC_(data)) macro(data, 144) +#define MRECURSION146(macro, data) MRECURSION145( macro, DEC_(data)) macro(data, 145) +#define MRECURSION147(macro, data) MRECURSION146( macro, DEC_(data)) macro(data, 146) +#define MRECURSION148(macro, data) MRECURSION147( macro, DEC_(data)) macro(data, 147) +#define MRECURSION149(macro, data) MRECURSION148( macro, DEC_(data)) macro(data, 148) +#define MRECURSION150(macro, data) MRECURSION149( macro, DEC_(data)) macro(data, 149) +#define MRECURSION151(macro, data) MRECURSION150( macro, DEC_(data)) macro(data, 150) +#define MRECURSION152(macro, data) MRECURSION151( macro, DEC_(data)) macro(data, 151) +#define MRECURSION153(macro, data) MRECURSION152( macro, DEC_(data)) macro(data, 152) +#define MRECURSION154(macro, data) MRECURSION153( macro, DEC_(data)) macro(data, 153) +#define MRECURSION155(macro, data) MRECURSION154( macro, DEC_(data)) macro(data, 154) +#define MRECURSION156(macro, data) MRECURSION155( macro, DEC_(data)) macro(data, 155) +#define MRECURSION157(macro, data) MRECURSION156( macro, DEC_(data)) macro(data, 156) +#define MRECURSION158(macro, data) MRECURSION157( macro, DEC_(data)) macro(data, 157) +#define MRECURSION159(macro, data) MRECURSION158( macro, DEC_(data)) macro(data, 158) +#define MRECURSION160(macro, data) MRECURSION159( macro, DEC_(data)) macro(data, 159) +#define MRECURSION161(macro, data) MRECURSION160( macro, DEC_(data)) macro(data, 160) +#define MRECURSION162(macro, data) MRECURSION161( macro, DEC_(data)) macro(data, 161) +#define MRECURSION163(macro, data) MRECURSION162( macro, DEC_(data)) macro(data, 162) +#define MRECURSION164(macro, data) MRECURSION163( macro, DEC_(data)) macro(data, 163) +#define MRECURSION165(macro, data) MRECURSION164( macro, DEC_(data)) macro(data, 164) +#define MRECURSION166(macro, data) MRECURSION165( macro, DEC_(data)) macro(data, 165) +#define MRECURSION167(macro, data) MRECURSION166( macro, DEC_(data)) macro(data, 166) +#define MRECURSION168(macro, data) MRECURSION167( macro, DEC_(data)) macro(data, 167) +#define MRECURSION169(macro, data) MRECURSION168( macro, DEC_(data)) macro(data, 168) +#define MRECURSION170(macro, data) MRECURSION169( macro, DEC_(data)) macro(data, 169) +#define MRECURSION171(macro, data) MRECURSION170( macro, DEC_(data)) macro(data, 170) +#define MRECURSION172(macro, data) MRECURSION171( macro, DEC_(data)) macro(data, 171) +#define MRECURSION173(macro, data) MRECURSION172( macro, DEC_(data)) macro(data, 172) +#define MRECURSION174(macro, data) MRECURSION173( macro, DEC_(data)) macro(data, 173) +#define MRECURSION175(macro, data) MRECURSION174( macro, DEC_(data)) macro(data, 174) +#define MRECURSION176(macro, data) MRECURSION175( macro, DEC_(data)) macro(data, 175) +#define MRECURSION177(macro, data) MRECURSION176( macro, DEC_(data)) macro(data, 176) +#define MRECURSION178(macro, data) MRECURSION177( macro, DEC_(data)) macro(data, 177) +#define MRECURSION179(macro, data) MRECURSION178( macro, DEC_(data)) macro(data, 178) +#define MRECURSION180(macro, data) MRECURSION179( macro, DEC_(data)) macro(data, 179) +#define MRECURSION181(macro, data) MRECURSION180( macro, DEC_(data)) macro(data, 180) +#define MRECURSION182(macro, data) MRECURSION181( macro, DEC_(data)) macro(data, 181) +#define MRECURSION183(macro, data) MRECURSION182( macro, DEC_(data)) macro(data, 182) +#define MRECURSION184(macro, data) MRECURSION183( macro, DEC_(data)) macro(data, 183) +#define MRECURSION185(macro, data) MRECURSION184( macro, DEC_(data)) macro(data, 184) +#define MRECURSION186(macro, data) MRECURSION185( macro, DEC_(data)) macro(data, 185) +#define MRECURSION187(macro, data) MRECURSION186( macro, DEC_(data)) macro(data, 186) +#define MRECURSION188(macro, data) MRECURSION187( macro, DEC_(data)) macro(data, 187) +#define MRECURSION189(macro, data) MRECURSION188( macro, DEC_(data)) macro(data, 188) +#define MRECURSION190(macro, data) MRECURSION189( macro, DEC_(data)) macro(data, 189) +#define MRECURSION191(macro, data) MRECURSION190( macro, DEC_(data)) macro(data, 190) +#define MRECURSION192(macro, data) MRECURSION191( macro, DEC_(data)) macro(data, 191) +#define MRECURSION193(macro, data) MRECURSION192( macro, DEC_(data)) macro(data, 192) +#define MRECURSION194(macro, data) MRECURSION193( macro, DEC_(data)) macro(data, 193) +#define MRECURSION195(macro, data) MRECURSION194( macro, DEC_(data)) macro(data, 194) +#define MRECURSION196(macro, data) MRECURSION195( macro, DEC_(data)) macro(data, 195) +#define MRECURSION197(macro, data) MRECURSION196( macro, DEC_(data)) macro(data, 196) +#define MRECURSION198(macro, data) MRECURSION197( macro, DEC_(data)) macro(data, 197) +#define MRECURSION199(macro, data) MRECURSION198( macro, DEC_(data)) macro(data, 198) +#define MRECURSION200(macro, data) MRECURSION199( macro, DEC_(data)) macro(data, 199) +#define MRECURSION201(macro, data) MRECURSION200( macro, DEC_(data)) macro(data, 200) +#define MRECURSION202(macro, data) MRECURSION201( macro, DEC_(data)) macro(data, 201) +#define MRECURSION203(macro, data) MRECURSION202( macro, DEC_(data)) macro(data, 202) +#define MRECURSION204(macro, data) MRECURSION203( macro, DEC_(data)) macro(data, 203) +#define MRECURSION205(macro, data) MRECURSION204( macro, DEC_(data)) macro(data, 204) +#define MRECURSION206(macro, data) MRECURSION205( macro, DEC_(data)) macro(data, 205) +#define MRECURSION207(macro, data) MRECURSION206( macro, DEC_(data)) macro(data, 206) +#define MRECURSION208(macro, data) MRECURSION207( macro, DEC_(data)) macro(data, 207) +#define MRECURSION209(macro, data) MRECURSION208( macro, DEC_(data)) macro(data, 208) +#define MRECURSION210(macro, data) MRECURSION209( macro, DEC_(data)) macro(data, 209) +#define MRECURSION211(macro, data) MRECURSION210( macro, DEC_(data)) macro(data, 210) +#define MRECURSION212(macro, data) MRECURSION211( macro, DEC_(data)) macro(data, 211) +#define MRECURSION213(macro, data) MRECURSION212( macro, DEC_(data)) macro(data, 212) +#define MRECURSION214(macro, data) MRECURSION213( macro, DEC_(data)) macro(data, 213) +#define MRECURSION215(macro, data) MRECURSION214( macro, DEC_(data)) macro(data, 214) +#define MRECURSION216(macro, data) MRECURSION215( macro, DEC_(data)) macro(data, 215) +#define MRECURSION217(macro, data) MRECURSION216( macro, DEC_(data)) macro(data, 216) +#define MRECURSION218(macro, data) MRECURSION217( macro, DEC_(data)) macro(data, 217) +#define MRECURSION219(macro, data) MRECURSION218( macro, DEC_(data)) macro(data, 218) +#define MRECURSION220(macro, data) MRECURSION219( macro, DEC_(data)) macro(data, 219) +#define MRECURSION221(macro, data) MRECURSION220( macro, DEC_(data)) macro(data, 220) +#define MRECURSION222(macro, data) MRECURSION221( macro, DEC_(data)) macro(data, 221) +#define MRECURSION223(macro, data) MRECURSION222( macro, DEC_(data)) macro(data, 222) +#define MRECURSION224(macro, data) MRECURSION223( macro, DEC_(data)) macro(data, 223) +#define MRECURSION225(macro, data) MRECURSION224( macro, DEC_(data)) macro(data, 224) +#define MRECURSION226(macro, data) MRECURSION225( macro, DEC_(data)) macro(data, 225) +#define MRECURSION227(macro, data) MRECURSION226( macro, DEC_(data)) macro(data, 226) +#define MRECURSION228(macro, data) MRECURSION227( macro, DEC_(data)) macro(data, 227) +#define MRECURSION229(macro, data) MRECURSION228( macro, DEC_(data)) macro(data, 228) +#define MRECURSION230(macro, data) MRECURSION229( macro, DEC_(data)) macro(data, 229) +#define MRECURSION231(macro, data) MRECURSION230( macro, DEC_(data)) macro(data, 230) +#define MRECURSION232(macro, data) MRECURSION231( macro, DEC_(data)) macro(data, 231) +#define MRECURSION233(macro, data) MRECURSION232( macro, DEC_(data)) macro(data, 232) +#define MRECURSION234(macro, data) MRECURSION233( macro, DEC_(data)) macro(data, 233) +#define MRECURSION235(macro, data) MRECURSION234( macro, DEC_(data)) macro(data, 234) +#define MRECURSION236(macro, data) MRECURSION235( macro, DEC_(data)) macro(data, 235) +#define MRECURSION237(macro, data) MRECURSION236( macro, DEC_(data)) macro(data, 236) +#define MRECURSION238(macro, data) MRECURSION237( macro, DEC_(data)) macro(data, 237) +#define MRECURSION239(macro, data) MRECURSION238( macro, DEC_(data)) macro(data, 238) +#define MRECURSION240(macro, data) MRECURSION239( macro, DEC_(data)) macro(data, 239) +#define MRECURSION241(macro, data) MRECURSION240( macro, DEC_(data)) macro(data, 240) +#define MRECURSION242(macro, data) MRECURSION241( macro, DEC_(data)) macro(data, 241) +#define MRECURSION243(macro, data) MRECURSION242( macro, DEC_(data)) macro(data, 242) +#define MRECURSION244(macro, data) MRECURSION243( macro, DEC_(data)) macro(data, 243) +#define MRECURSION245(macro, data) MRECURSION244( macro, DEC_(data)) macro(data, 244) +#define MRECURSION246(macro, data) MRECURSION245( macro, DEC_(data)) macro(data, 245) +#define MRECURSION247(macro, data) MRECURSION246( macro, DEC_(data)) macro(data, 246) +#define MRECURSION248(macro, data) MRECURSION247( macro, DEC_(data)) macro(data, 247) +#define MRECURSION249(macro, data) MRECURSION248( macro, DEC_(data)) macro(data, 248) +#define MRECURSION250(macro, data) MRECURSION249( macro, DEC_(data)) macro(data, 249) +#define MRECURSION251(macro, data) MRECURSION250( macro, DEC_(data)) macro(data, 250) +#define MRECURSION252(macro, data) MRECURSION251( macro, DEC_(data)) macro(data, 251) +#define MRECURSION253(macro, data) MRECURSION252( macro, DEC_(data)) macro(data, 252) +#define MRECURSION254(macro, data) MRECURSION253( macro, DEC_(data)) macro(data, 253) +#define MRECURSION255(macro, data) MRECURSION254( macro, DEC_(data)) macro(data, 254) +#define MRECURSION256(macro, data) MRECURSION255( macro, DEC_(data)) macro(data, 255) + +/** @} */ + +#endif /* _MRECURSION_H_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrepeat.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrepeat.h new file mode 100644 index 0000000..5b0eb0a --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/mrepeat.h @@ -0,0 +1,328 @@ +/** + * \file + * + * \brief Preprocessor macro repeating utils. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _MREPEAT_H_ +#define _MREPEAT_H_ + +/** + * \defgroup group_sam0_utils_mrepeat Preprocessor - Macro Repeat + * + * \ingroup group_sam0_utils + * + * @{ + */ + +#include "preprocessor.h" + +/** Maximal number of repetitions supported by MREPEAT. */ +#define MREPEAT_LIMIT 256 + +/** \brief Macro repeat. + * + * This macro represents a horizontal repetition construct. + * + * \param[in] count The number of repetitious calls to macro. Valid values + * range from 0 to MREPEAT_LIMIT. + * \param[in] macro A binary operation of the form macro(n, data). This macro + * is expanded by MREPEAT with the current repetition number + * and the auxiliary data argument. + * \param[in] data Auxiliary data passed to macro. + * + * \return macro(0, data) macro(1, data) ... macro(count - 1, data) + */ +#define MREPEAT(count, macro, data) TPASTE2(MREPEAT, count) (macro, data) + +#define MREPEAT0( macro, data) +#define MREPEAT1( macro, data) MREPEAT0( macro, data) macro( 0, data) +#define MREPEAT2( macro, data) MREPEAT1( macro, data) macro( 1, data) +#define MREPEAT3( macro, data) MREPEAT2( macro, data) macro( 2, data) +#define MREPEAT4( macro, data) MREPEAT3( macro, data) macro( 3, data) +#define MREPEAT5( macro, data) MREPEAT4( macro, data) macro( 4, data) +#define MREPEAT6( macro, data) MREPEAT5( macro, data) macro( 5, data) +#define MREPEAT7( macro, data) MREPEAT6( macro, data) macro( 6, data) +#define MREPEAT8( macro, data) MREPEAT7( macro, data) macro( 7, data) +#define MREPEAT9( macro, data) MREPEAT8( macro, data) macro( 8, data) +#define MREPEAT10( macro, data) MREPEAT9( macro, data) macro( 9, data) +#define MREPEAT11( macro, data) MREPEAT10( macro, data) macro( 10, data) +#define MREPEAT12( macro, data) MREPEAT11( macro, data) macro( 11, data) +#define MREPEAT13( macro, data) MREPEAT12( macro, data) macro( 12, data) +#define MREPEAT14( macro, data) MREPEAT13( macro, data) macro( 13, data) +#define MREPEAT15( macro, data) MREPEAT14( macro, data) macro( 14, data) +#define MREPEAT16( macro, data) MREPEAT15( macro, data) macro( 15, data) +#define MREPEAT17( macro, data) MREPEAT16( macro, data) macro( 16, data) +#define MREPEAT18( macro, data) MREPEAT17( macro, data) macro( 17, data) +#define MREPEAT19( macro, data) MREPEAT18( macro, data) macro( 18, data) +#define MREPEAT20( macro, data) MREPEAT19( macro, data) macro( 19, data) +#define MREPEAT21( macro, data) MREPEAT20( macro, data) macro( 20, data) +#define MREPEAT22( macro, data) MREPEAT21( macro, data) macro( 21, data) +#define MREPEAT23( macro, data) MREPEAT22( macro, data) macro( 22, data) +#define MREPEAT24( macro, data) MREPEAT23( macro, data) macro( 23, data) +#define MREPEAT25( macro, data) MREPEAT24( macro, data) macro( 24, data) +#define MREPEAT26( macro, data) MREPEAT25( macro, data) macro( 25, data) +#define MREPEAT27( macro, data) MREPEAT26( macro, data) macro( 26, data) +#define MREPEAT28( macro, data) MREPEAT27( macro, data) macro( 27, data) +#define MREPEAT29( macro, data) MREPEAT28( macro, data) macro( 28, data) +#define MREPEAT30( macro, data) MREPEAT29( macro, data) macro( 29, data) +#define MREPEAT31( macro, data) MREPEAT30( macro, data) macro( 30, data) +#define MREPEAT32( macro, data) MREPEAT31( macro, data) macro( 31, data) +#define MREPEAT33( macro, data) MREPEAT32( macro, data) macro( 32, data) +#define MREPEAT34( macro, data) MREPEAT33( macro, data) macro( 33, data) +#define MREPEAT35( macro, data) MREPEAT34( macro, data) macro( 34, data) +#define MREPEAT36( macro, data) MREPEAT35( macro, data) macro( 35, data) +#define MREPEAT37( macro, data) MREPEAT36( macro, data) macro( 36, data) +#define MREPEAT38( macro, data) MREPEAT37( macro, data) macro( 37, data) +#define MREPEAT39( macro, data) MREPEAT38( macro, data) macro( 38, data) +#define MREPEAT40( macro, data) MREPEAT39( macro, data) macro( 39, data) +#define MREPEAT41( macro, data) MREPEAT40( macro, data) macro( 40, data) +#define MREPEAT42( macro, data) MREPEAT41( macro, data) macro( 41, data) +#define MREPEAT43( macro, data) MREPEAT42( macro, data) macro( 42, data) +#define MREPEAT44( macro, data) MREPEAT43( macro, data) macro( 43, data) +#define MREPEAT45( macro, data) MREPEAT44( macro, data) macro( 44, data) +#define MREPEAT46( macro, data) MREPEAT45( macro, data) macro( 45, data) +#define MREPEAT47( macro, data) MREPEAT46( macro, data) macro( 46, data) +#define MREPEAT48( macro, data) MREPEAT47( macro, data) macro( 47, data) +#define MREPEAT49( macro, data) MREPEAT48( macro, data) macro( 48, data) +#define MREPEAT50( macro, data) MREPEAT49( macro, data) macro( 49, data) +#define MREPEAT51( macro, data) MREPEAT50( macro, data) macro( 50, data) +#define MREPEAT52( macro, data) MREPEAT51( macro, data) macro( 51, data) +#define MREPEAT53( macro, data) MREPEAT52( macro, data) macro( 52, data) +#define MREPEAT54( macro, data) MREPEAT53( macro, data) macro( 53, data) +#define MREPEAT55( macro, data) MREPEAT54( macro, data) macro( 54, data) +#define MREPEAT56( macro, data) MREPEAT55( macro, data) macro( 55, data) +#define MREPEAT57( macro, data) MREPEAT56( macro, data) macro( 56, data) +#define MREPEAT58( macro, data) MREPEAT57( macro, data) macro( 57, data) +#define MREPEAT59( macro, data) MREPEAT58( macro, data) macro( 58, data) +#define MREPEAT60( macro, data) MREPEAT59( macro, data) macro( 59, data) +#define MREPEAT61( macro, data) MREPEAT60( macro, data) macro( 60, data) +#define MREPEAT62( macro, data) MREPEAT61( macro, data) macro( 61, data) +#define MREPEAT63( macro, data) MREPEAT62( macro, data) macro( 62, data) +#define MREPEAT64( macro, data) MREPEAT63( macro, data) macro( 63, data) +#define MREPEAT65( macro, data) MREPEAT64( macro, data) macro( 64, data) +#define MREPEAT66( macro, data) MREPEAT65( macro, data) macro( 65, data) +#define MREPEAT67( macro, data) MREPEAT66( macro, data) macro( 66, data) +#define MREPEAT68( macro, data) MREPEAT67( macro, data) macro( 67, data) +#define MREPEAT69( macro, data) MREPEAT68( macro, data) macro( 68, data) +#define MREPEAT70( macro, data) MREPEAT69( macro, data) macro( 69, data) +#define MREPEAT71( macro, data) MREPEAT70( macro, data) macro( 70, data) +#define MREPEAT72( macro, data) MREPEAT71( macro, data) macro( 71, data) +#define MREPEAT73( macro, data) MREPEAT72( macro, data) macro( 72, data) +#define MREPEAT74( macro, data) MREPEAT73( macro, data) macro( 73, data) +#define MREPEAT75( macro, data) MREPEAT74( macro, data) macro( 74, data) +#define MREPEAT76( macro, data) MREPEAT75( macro, data) macro( 75, data) +#define MREPEAT77( macro, data) MREPEAT76( macro, data) macro( 76, data) +#define MREPEAT78( macro, data) MREPEAT77( macro, data) macro( 77, data) +#define MREPEAT79( macro, data) MREPEAT78( macro, data) macro( 78, data) +#define MREPEAT80( macro, data) MREPEAT79( macro, data) macro( 79, data) +#define MREPEAT81( macro, data) MREPEAT80( macro, data) macro( 80, data) +#define MREPEAT82( macro, data) MREPEAT81( macro, data) macro( 81, data) +#define MREPEAT83( macro, data) MREPEAT82( macro, data) macro( 82, data) +#define MREPEAT84( macro, data) MREPEAT83( macro, data) macro( 83, data) +#define MREPEAT85( macro, data) MREPEAT84( macro, data) macro( 84, data) +#define MREPEAT86( macro, data) MREPEAT85( macro, data) macro( 85, data) +#define MREPEAT87( macro, data) MREPEAT86( macro, data) macro( 86, data) +#define MREPEAT88( macro, data) MREPEAT87( macro, data) macro( 87, data) +#define MREPEAT89( macro, data) MREPEAT88( macro, data) macro( 88, data) +#define MREPEAT90( macro, data) MREPEAT89( macro, data) macro( 89, data) +#define MREPEAT91( macro, data) MREPEAT90( macro, data) macro( 90, data) +#define MREPEAT92( macro, data) MREPEAT91( macro, data) macro( 91, data) +#define MREPEAT93( macro, data) MREPEAT92( macro, data) macro( 92, data) +#define MREPEAT94( macro, data) MREPEAT93( macro, data) macro( 93, data) +#define MREPEAT95( macro, data) MREPEAT94( macro, data) macro( 94, data) +#define MREPEAT96( macro, data) MREPEAT95( macro, data) macro( 95, data) +#define MREPEAT97( macro, data) MREPEAT96( macro, data) macro( 96, data) +#define MREPEAT98( macro, data) MREPEAT97( macro, data) macro( 97, data) +#define MREPEAT99( macro, data) MREPEAT98( macro, data) macro( 98, data) +#define MREPEAT100(macro, data) MREPEAT99( macro, data) macro( 99, data) +#define MREPEAT101(macro, data) MREPEAT100(macro, data) macro(100, data) +#define MREPEAT102(macro, data) MREPEAT101(macro, data) macro(101, data) +#define MREPEAT103(macro, data) MREPEAT102(macro, data) macro(102, data) +#define MREPEAT104(macro, data) MREPEAT103(macro, data) macro(103, data) +#define MREPEAT105(macro, data) MREPEAT104(macro, data) macro(104, data) +#define MREPEAT106(macro, data) MREPEAT105(macro, data) macro(105, data) +#define MREPEAT107(macro, data) MREPEAT106(macro, data) macro(106, data) +#define MREPEAT108(macro, data) MREPEAT107(macro, data) macro(107, data) +#define MREPEAT109(macro, data) MREPEAT108(macro, data) macro(108, data) +#define MREPEAT110(macro, data) MREPEAT109(macro, data) macro(109, data) +#define MREPEAT111(macro, data) MREPEAT110(macro, data) macro(110, data) +#define MREPEAT112(macro, data) MREPEAT111(macro, data) macro(111, data) +#define MREPEAT113(macro, data) MREPEAT112(macro, data) macro(112, data) +#define MREPEAT114(macro, data) MREPEAT113(macro, data) macro(113, data) +#define MREPEAT115(macro, data) MREPEAT114(macro, data) macro(114, data) +#define MREPEAT116(macro, data) MREPEAT115(macro, data) macro(115, data) +#define MREPEAT117(macro, data) MREPEAT116(macro, data) macro(116, data) +#define MREPEAT118(macro, data) MREPEAT117(macro, data) macro(117, data) +#define MREPEAT119(macro, data) MREPEAT118(macro, data) macro(118, data) +#define MREPEAT120(macro, data) MREPEAT119(macro, data) macro(119, data) +#define MREPEAT121(macro, data) MREPEAT120(macro, data) macro(120, data) +#define MREPEAT122(macro, data) MREPEAT121(macro, data) macro(121, data) +#define MREPEAT123(macro, data) MREPEAT122(macro, data) macro(122, data) +#define MREPEAT124(macro, data) MREPEAT123(macro, data) macro(123, data) +#define MREPEAT125(macro, data) MREPEAT124(macro, data) macro(124, data) +#define MREPEAT126(macro, data) MREPEAT125(macro, data) macro(125, data) +#define MREPEAT127(macro, data) MREPEAT126(macro, data) macro(126, data) +#define MREPEAT128(macro, data) MREPEAT127(macro, data) macro(127, data) +#define MREPEAT129(macro, data) MREPEAT128(macro, data) macro(128, data) +#define MREPEAT130(macro, data) MREPEAT129(macro, data) macro(129, data) +#define MREPEAT131(macro, data) MREPEAT130(macro, data) macro(130, data) +#define MREPEAT132(macro, data) MREPEAT131(macro, data) macro(131, data) +#define MREPEAT133(macro, data) MREPEAT132(macro, data) macro(132, data) +#define MREPEAT134(macro, data) MREPEAT133(macro, data) macro(133, data) +#define MREPEAT135(macro, data) MREPEAT134(macro, data) macro(134, data) +#define MREPEAT136(macro, data) MREPEAT135(macro, data) macro(135, data) +#define MREPEAT137(macro, data) MREPEAT136(macro, data) macro(136, data) +#define MREPEAT138(macro, data) MREPEAT137(macro, data) macro(137, data) +#define MREPEAT139(macro, data) MREPEAT138(macro, data) macro(138, data) +#define MREPEAT140(macro, data) MREPEAT139(macro, data) macro(139, data) +#define MREPEAT141(macro, data) MREPEAT140(macro, data) macro(140, data) +#define MREPEAT142(macro, data) MREPEAT141(macro, data) macro(141, data) +#define MREPEAT143(macro, data) MREPEAT142(macro, data) macro(142, data) +#define MREPEAT144(macro, data) MREPEAT143(macro, data) macro(143, data) +#define MREPEAT145(macro, data) MREPEAT144(macro, data) macro(144, data) +#define MREPEAT146(macro, data) MREPEAT145(macro, data) macro(145, data) +#define MREPEAT147(macro, data) MREPEAT146(macro, data) macro(146, data) +#define MREPEAT148(macro, data) MREPEAT147(macro, data) macro(147, data) +#define MREPEAT149(macro, data) MREPEAT148(macro, data) macro(148, data) +#define MREPEAT150(macro, data) MREPEAT149(macro, data) macro(149, data) +#define MREPEAT151(macro, data) MREPEAT150(macro, data) macro(150, data) +#define MREPEAT152(macro, data) MREPEAT151(macro, data) macro(151, data) +#define MREPEAT153(macro, data) MREPEAT152(macro, data) macro(152, data) +#define MREPEAT154(macro, data) MREPEAT153(macro, data) macro(153, data) +#define MREPEAT155(macro, data) MREPEAT154(macro, data) macro(154, data) +#define MREPEAT156(macro, data) MREPEAT155(macro, data) macro(155, data) +#define MREPEAT157(macro, data) MREPEAT156(macro, data) macro(156, data) +#define MREPEAT158(macro, data) MREPEAT157(macro, data) macro(157, data) +#define MREPEAT159(macro, data) MREPEAT158(macro, data) macro(158, data) +#define MREPEAT160(macro, data) MREPEAT159(macro, data) macro(159, data) +#define MREPEAT161(macro, data) MREPEAT160(macro, data) macro(160, data) +#define MREPEAT162(macro, data) MREPEAT161(macro, data) macro(161, data) +#define MREPEAT163(macro, data) MREPEAT162(macro, data) macro(162, data) +#define MREPEAT164(macro, data) MREPEAT163(macro, data) macro(163, data) +#define MREPEAT165(macro, data) MREPEAT164(macro, data) macro(164, data) +#define MREPEAT166(macro, data) MREPEAT165(macro, data) macro(165, data) +#define MREPEAT167(macro, data) MREPEAT166(macro, data) macro(166, data) +#define MREPEAT168(macro, data) MREPEAT167(macro, data) macro(167, data) +#define MREPEAT169(macro, data) MREPEAT168(macro, data) macro(168, data) +#define MREPEAT170(macro, data) MREPEAT169(macro, data) macro(169, data) +#define MREPEAT171(macro, data) MREPEAT170(macro, data) macro(170, data) +#define MREPEAT172(macro, data) MREPEAT171(macro, data) macro(171, data) +#define MREPEAT173(macro, data) MREPEAT172(macro, data) macro(172, data) +#define MREPEAT174(macro, data) MREPEAT173(macro, data) macro(173, data) +#define MREPEAT175(macro, data) MREPEAT174(macro, data) macro(174, data) +#define MREPEAT176(macro, data) MREPEAT175(macro, data) macro(175, data) +#define MREPEAT177(macro, data) MREPEAT176(macro, data) macro(176, data) +#define MREPEAT178(macro, data) MREPEAT177(macro, data) macro(177, data) +#define MREPEAT179(macro, data) MREPEAT178(macro, data) macro(178, data) +#define MREPEAT180(macro, data) MREPEAT179(macro, data) macro(179, data) +#define MREPEAT181(macro, data) MREPEAT180(macro, data) macro(180, data) +#define MREPEAT182(macro, data) MREPEAT181(macro, data) macro(181, data) +#define MREPEAT183(macro, data) MREPEAT182(macro, data) macro(182, data) +#define MREPEAT184(macro, data) MREPEAT183(macro, data) macro(183, data) +#define MREPEAT185(macro, data) MREPEAT184(macro, data) macro(184, data) +#define MREPEAT186(macro, data) MREPEAT185(macro, data) macro(185, data) +#define MREPEAT187(macro, data) MREPEAT186(macro, data) macro(186, data) +#define MREPEAT188(macro, data) MREPEAT187(macro, data) macro(187, data) +#define MREPEAT189(macro, data) MREPEAT188(macro, data) macro(188, data) +#define MREPEAT190(macro, data) MREPEAT189(macro, data) macro(189, data) +#define MREPEAT191(macro, data) MREPEAT190(macro, data) macro(190, data) +#define MREPEAT192(macro, data) MREPEAT191(macro, data) macro(191, data) +#define MREPEAT193(macro, data) MREPEAT192(macro, data) macro(192, data) +#define MREPEAT194(macro, data) MREPEAT193(macro, data) macro(193, data) +#define MREPEAT195(macro, data) MREPEAT194(macro, data) macro(194, data) +#define MREPEAT196(macro, data) MREPEAT195(macro, data) macro(195, data) +#define MREPEAT197(macro, data) MREPEAT196(macro, data) macro(196, data) +#define MREPEAT198(macro, data) MREPEAT197(macro, data) macro(197, data) +#define MREPEAT199(macro, data) MREPEAT198(macro, data) macro(198, data) +#define MREPEAT200(macro, data) MREPEAT199(macro, data) macro(199, data) +#define MREPEAT201(macro, data) MREPEAT200(macro, data) macro(200, data) +#define MREPEAT202(macro, data) MREPEAT201(macro, data) macro(201, data) +#define MREPEAT203(macro, data) MREPEAT202(macro, data) macro(202, data) +#define MREPEAT204(macro, data) MREPEAT203(macro, data) macro(203, data) +#define MREPEAT205(macro, data) MREPEAT204(macro, data) macro(204, data) +#define MREPEAT206(macro, data) MREPEAT205(macro, data) macro(205, data) +#define MREPEAT207(macro, data) MREPEAT206(macro, data) macro(206, data) +#define MREPEAT208(macro, data) MREPEAT207(macro, data) macro(207, data) +#define MREPEAT209(macro, data) MREPEAT208(macro, data) macro(208, data) +#define MREPEAT210(macro, data) MREPEAT209(macro, data) macro(209, data) +#define MREPEAT211(macro, data) MREPEAT210(macro, data) macro(210, data) +#define MREPEAT212(macro, data) MREPEAT211(macro, data) macro(211, data) +#define MREPEAT213(macro, data) MREPEAT212(macro, data) macro(212, data) +#define MREPEAT214(macro, data) MREPEAT213(macro, data) macro(213, data) +#define MREPEAT215(macro, data) MREPEAT214(macro, data) macro(214, data) +#define MREPEAT216(macro, data) MREPEAT215(macro, data) macro(215, data) +#define MREPEAT217(macro, data) MREPEAT216(macro, data) macro(216, data) +#define MREPEAT218(macro, data) MREPEAT217(macro, data) macro(217, data) +#define MREPEAT219(macro, data) MREPEAT218(macro, data) macro(218, data) +#define MREPEAT220(macro, data) MREPEAT219(macro, data) macro(219, data) +#define MREPEAT221(macro, data) MREPEAT220(macro, data) macro(220, data) +#define MREPEAT222(macro, data) MREPEAT221(macro, data) macro(221, data) +#define MREPEAT223(macro, data) MREPEAT222(macro, data) macro(222, data) +#define MREPEAT224(macro, data) MREPEAT223(macro, data) macro(223, data) +#define MREPEAT225(macro, data) MREPEAT224(macro, data) macro(224, data) +#define MREPEAT226(macro, data) MREPEAT225(macro, data) macro(225, data) +#define MREPEAT227(macro, data) MREPEAT226(macro, data) macro(226, data) +#define MREPEAT228(macro, data) MREPEAT227(macro, data) macro(227, data) +#define MREPEAT229(macro, data) MREPEAT228(macro, data) macro(228, data) +#define MREPEAT230(macro, data) MREPEAT229(macro, data) macro(229, data) +#define MREPEAT231(macro, data) MREPEAT230(macro, data) macro(230, data) +#define MREPEAT232(macro, data) MREPEAT231(macro, data) macro(231, data) +#define MREPEAT233(macro, data) MREPEAT232(macro, data) macro(232, data) +#define MREPEAT234(macro, data) MREPEAT233(macro, data) macro(233, data) +#define MREPEAT235(macro, data) MREPEAT234(macro, data) macro(234, data) +#define MREPEAT236(macro, data) MREPEAT235(macro, data) macro(235, data) +#define MREPEAT237(macro, data) MREPEAT236(macro, data) macro(236, data) +#define MREPEAT238(macro, data) MREPEAT237(macro, data) macro(237, data) +#define MREPEAT239(macro, data) MREPEAT238(macro, data) macro(238, data) +#define MREPEAT240(macro, data) MREPEAT239(macro, data) macro(239, data) +#define MREPEAT241(macro, data) MREPEAT240(macro, data) macro(240, data) +#define MREPEAT242(macro, data) MREPEAT241(macro, data) macro(241, data) +#define MREPEAT243(macro, data) MREPEAT242(macro, data) macro(242, data) +#define MREPEAT244(macro, data) MREPEAT243(macro, data) macro(243, data) +#define MREPEAT245(macro, data) MREPEAT244(macro, data) macro(244, data) +#define MREPEAT246(macro, data) MREPEAT245(macro, data) macro(245, data) +#define MREPEAT247(macro, data) MREPEAT246(macro, data) macro(246, data) +#define MREPEAT248(macro, data) MREPEAT247(macro, data) macro(247, data) +#define MREPEAT249(macro, data) MREPEAT248(macro, data) macro(248, data) +#define MREPEAT250(macro, data) MREPEAT249(macro, data) macro(249, data) +#define MREPEAT251(macro, data) MREPEAT250(macro, data) macro(250, data) +#define MREPEAT252(macro, data) MREPEAT251(macro, data) macro(251, data) +#define MREPEAT253(macro, data) MREPEAT252(macro, data) macro(252, data) +#define MREPEAT254(macro, data) MREPEAT253(macro, data) macro(253, data) +#define MREPEAT255(macro, data) MREPEAT254(macro, data) macro(254, data) +#define MREPEAT256(macro, data) MREPEAT255(macro, data) macro(255, data) + +/** @} */ + +#endif /* _MREPEAT_H_ */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/preprocessor.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/preprocessor.h new file mode 100644 index 0000000..d28f8b0 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/preprocessor.h @@ -0,0 +1,45 @@ +/** + * \file + * + * \brief Preprocessor utils. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _PREPROCESSOR_H_ +#define _PREPROCESSOR_H_ + +#include "tpaste.h" +#include "stringz.h" +#include "mrepeat.h" +#include "mrecursion.h" + +#endif // _PREPROCESSOR_H_ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/stringz.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/stringz.h new file mode 100644 index 0000000..cf307d1 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/stringz.h @@ -0,0 +1,74 @@ +/** + * \file + * + * \brief Preprocessor stringizing utils. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _STRINGZ_H_ +#define _STRINGZ_H_ + +/** + * \defgroup group_sam0_utils_stringz Preprocessor - Stringize + * + * \ingroup group_sam0_utils + * + * @{ + */ + +/** \brief Stringize. + * + * Stringize a preprocessing token, this token being allowed to be \#defined. + * + * May be used only within macros with the token passed as an argument if the + * token is \#defined. + * + * For example, writing STRINGZ(PIN) within a macro \#defined by PIN_NAME(PIN) + * and invoked as PIN_NAME(PIN0) with PIN0 \#defined as A0 is equivalent to + * writing "A0". + */ +#define STRINGZ(x) #x + +/** \brief Absolute stringize. + * + * Stringize a preprocessing token, this token being allowed to be \#defined. + * + * No restriction of use if the token is \#defined. + * + * For example, writing ASTRINGZ(PIN0) anywhere with PIN0 \#defined as A0 is + * equivalent to writing "A0". + */ +#define ASTRINGZ(x) STRINGZ(x) + +/** @} */ + +#endif // _STRINGZ_H_ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/tpaste.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/tpaste.h new file mode 100644 index 0000000..dd1033a --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/preprocessor/tpaste.h @@ -0,0 +1,93 @@ +/** + * \file + * + * \brief Preprocessor token pasting utils. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef _TPASTE_H_ +#define _TPASTE_H_ + +/** + * \defgroup group_sam0_utils_tpaste Preprocessor - Token Paste + * + * \ingroup group_sam0_utils + * + * @{ + */ + +/** \name Token Paste + * + * Paste N preprocessing tokens together, these tokens being allowed to be \#defined. + * + * May be used only within macros with the tokens passed as arguments if the tokens are \#defined. + * + * For example, writing TPASTE2(U, WIDTH) within a macro \#defined by + * UTYPE(WIDTH) and invoked as UTYPE(UL_WIDTH) with UL_WIDTH \#defined as 32 is + * equivalent to writing U32. + * + * @{ */ +#define TPASTE2( a, b) a##b +#define TPASTE3( a, b, c) a##b##c +#define TPASTE4( a, b, c, d) a##b##c##d +#define TPASTE5( a, b, c, d, e) a##b##c##d##e +#define TPASTE6( a, b, c, d, e, f) a##b##c##d##e##f +#define TPASTE7( a, b, c, d, e, f, g) a##b##c##d##e##f##g +#define TPASTE8( a, b, c, d, e, f, g, h) a##b##c##d##e##f##g##h +#define TPASTE9( a, b, c, d, e, f, g, h, i) a##b##c##d##e##f##g##h##i +#define TPASTE10(a, b, c, d, e, f, g, h, i, j) a##b##c##d##e##f##g##h##i##j +/** @} */ + +/** \name Absolute Token Paste + * + * Paste N preprocessing tokens together, these tokens being allowed to be \#defined. + * + * No restriction of use if the tokens are \#defined. + * + * For example, writing ATPASTE2(U, UL_WIDTH) anywhere with UL_WIDTH \#defined + * as 32 is equivalent to writing U32. + * + * @{ */ +#define ATPASTE2( a, b) TPASTE2( a, b) +#define ATPASTE3( a, b, c) TPASTE3( a, b, c) +#define ATPASTE4( a, b, c, d) TPASTE4( a, b, c, d) +#define ATPASTE5( a, b, c, d, e) TPASTE5( a, b, c, d, e) +#define ATPASTE6( a, b, c, d, e, f) TPASTE6( a, b, c, d, e, f) +#define ATPASTE7( a, b, c, d, e, f, g) TPASTE7( a, b, c, d, e, f, g) +#define ATPASTE8( a, b, c, d, e, f, g, h) TPASTE8( a, b, c, d, e, f, g, h) +#define ATPASTE9( a, b, c, d, e, f, g, h, i) TPASTE9( a, b, c, d, e, f, g, h, i) +#define ATPASTE10(a, b, c, d, e, f, g, h, i, j) TPASTE10(a, b, c, d, e, f, g, h, i, j) +/** @} */ + +/** @} */ + +#endif // _TPASTE_H_ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/status_codes.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/status_codes.h new file mode 100644 index 0000000..532f8b2 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/status_codes.h @@ -0,0 +1,148 @@ +/** + * \file + * + * \brief Status code definitions. + * + * This file defines various status codes returned by functions, + * indicating success or failure as well as what kind of failure. + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#ifndef STATUS_CODES_H_INCLUDED +#define STATUS_CODES_H_INCLUDED + +#include + +/** + * \defgroup group_sam0_utils_status_codes Status Codes + * + * \ingroup group_sam0_utils + * + * @{ + */ + +/** Mask to retrieve the error category of a status code. */ +#define STATUS_CATEGORY_MASK 0xF0 + +/** Mask to retrieve the error code within the category of a status code. */ +#define STATUS_ERROR_MASK 0x0F + +/** Status code error categories. */ +enum status_categories { + STATUS_CATEGORY_OK = 0x00, + STATUS_CATEGORY_COMMON = 0x10, + STATUS_CATEGORY_ANALOG = 0x30, + STATUS_CATEGORY_COM = 0x40, + STATUS_CATEGORY_IO = 0x50, +}; + +/** + * Status code that may be returned by shell commands and protocol + * implementations. + * + * \note Any change to these status codes and the corresponding + * message strings is strictly forbidden. New codes can be added, + * however, but make sure that any message string tables are updated + * at the same time. + */ +enum status_code { + STATUS_OK = STATUS_CATEGORY_OK | 0x00, + STATUS_VALID_DATA = STATUS_CATEGORY_OK | 0x01, + STATUS_NO_CHANGE = STATUS_CATEGORY_OK | 0x02, + STATUS_ABORTED = STATUS_CATEGORY_OK | 0x04, + STATUS_BUSY = STATUS_CATEGORY_OK | 0x05, + STATUS_SUSPEND = STATUS_CATEGORY_OK | 0x06, + + STATUS_ERR_IO = STATUS_CATEGORY_COMMON | 0x00, + STATUS_ERR_REQ_FLUSHED = STATUS_CATEGORY_COMMON | 0x01, + STATUS_ERR_TIMEOUT = STATUS_CATEGORY_COMMON | 0x02, + STATUS_ERR_BAD_DATA = STATUS_CATEGORY_COMMON | 0x03, + STATUS_ERR_NOT_FOUND = STATUS_CATEGORY_COMMON | 0x04, + STATUS_ERR_UNSUPPORTED_DEV = STATUS_CATEGORY_COMMON | 0x05, + STATUS_ERR_NO_MEMORY = STATUS_CATEGORY_COMMON | 0x06, + STATUS_ERR_INVALID_ARG = STATUS_CATEGORY_COMMON | 0x07, + STATUS_ERR_BAD_ADDRESS = STATUS_CATEGORY_COMMON | 0x08, + STATUS_ERR_BAD_FORMAT = STATUS_CATEGORY_COMMON | 0x0A, + STATUS_ERR_BAD_FRQ = STATUS_CATEGORY_COMMON | 0x0B, + STATUS_ERR_DENIED = STATUS_CATEGORY_COMMON | 0x0c, + STATUS_ERR_ALREADY_INITIALIZED = STATUS_CATEGORY_COMMON | 0x0d, + STATUS_ERR_OVERFLOW = STATUS_CATEGORY_COMMON | 0x0e, + STATUS_ERR_NOT_INITIALIZED = STATUS_CATEGORY_COMMON | 0x0f, + + STATUS_ERR_SAMPLERATE_UNAVAILABLE = STATUS_CATEGORY_ANALOG | 0x00, + STATUS_ERR_RESOLUTION_UNAVAILABLE = STATUS_CATEGORY_ANALOG | 0x01, + + STATUS_ERR_BAUDRATE_UNAVAILABLE = STATUS_CATEGORY_COM | 0x00, + STATUS_ERR_PACKET_COLLISION = STATUS_CATEGORY_COM | 0x01, + STATUS_ERR_PROTOCOL = STATUS_CATEGORY_COM | 0x02, + + STATUS_ERR_PIN_MUX_INVALID = STATUS_CATEGORY_IO | 0x00, +}; +typedef enum status_code status_code_genare_t; + +/** + Status codes used by MAC stack. + */ +enum status_code_wireless { + //STATUS_OK = 0, //!< Success + ERR_IO_ERROR = -1, //!< I/O error + ERR_FLUSHED = -2, //!< Request flushed from queue + ERR_TIMEOUT = -3, //!< Operation timed out + ERR_BAD_DATA = -4, //!< Data integrity check failed + ERR_PROTOCOL = -5, //!< Protocol error + ERR_UNSUPPORTED_DEV = -6, //!< Unsupported device + ERR_NO_MEMORY = -7, //!< Insufficient memory + ERR_INVALID_ARG = -8, //!< Invalid argument + ERR_BAD_ADDRESS = -9, //!< Bad address + ERR_BUSY = -10, //!< Resource is busy + ERR_BAD_FORMAT = -11, //!< Data format not recognized + ERR_NO_TIMER = -12, //!< No timer available + ERR_TIMER_ALREADY_RUNNING = -13, //!< Timer already running + ERR_TIMER_NOT_RUNNING = -14, //!< Timer not running + + /** + * \brief Operation in progress + * + * This status code is for driver-internal use when an operation + * is currently being performed. + * + * \note Drivers should never return this status code to any + * callers. It is strictly for internal use. + */ + OPERATION_IN_PROGRESS = -128, +}; + +typedef enum status_code_wireless status_code_t; + +/** @} */ + +#endif /* STATUS_CODES_H_INCLUDED */ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/read.c b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/read.c new file mode 100644 index 0000000..3dd571b --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/read.c @@ -0,0 +1,152 @@ +/** + * \file + * + * \brief System-specific implementation of the \ref _read function used by + * the standard library. + * + * Copyright (c) 2009-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "compiler.h" + +/** + * \defgroup group_common_utils_stdio Standard I/O (stdio) + * + * Common standard I/O driver that implements the stdio + * read and write functions on AVR and SAM devices. + * + * \{ + */ + +extern volatile void *volatile stdio_base; +void (*ptr_get)(void volatile*, char*); + + +// IAR common implementation +#if ( defined(__ICCARM__) ) + +#include + +#if (__VER__ < 8010000) +// Refer http://ftp.iar.se/WWWfiles/arm/webic/doc/EWARM_MigrationGuide.ENU.pdf +_STD_BEGIN +#endif + +#pragma module_name = "?__read" + +/*! \brief Reads a number of bytes, at most \a size, into the memory area + * pointed to by \a buffer. + * + * \param handle File handle to read from. + * \param buffer Pointer to buffer to write read bytes to. + * \param size Number of bytes to read. + * + * \return The number of bytes read, \c 0 at the end of the file, or + * \c _LLIO_ERROR on failure. + */ +size_t __read(int handle, unsigned char *buffer, size_t size) +{ + int nChars = 0; + // This implementation only reads from stdin. + // For all other file handles, it returns failure. + if (handle != _LLIO_STDIN) { + return _LLIO_ERROR; + } + for (; size > 0; --size) { + ptr_get(stdio_base, (char*)buffer); + buffer++; + nChars++; + } + return nChars; +} + +/*! \brief This routine is required by IAR DLIB library since EWAVR V6.10 + * the implementation is empty to be compatible with old IAR version. + */ +int __close(int handle) +{ + UNUSED(handle); + return 0; +} + +/*! \brief This routine is required by IAR DLIB library since EWAVR V6.10 + * the implementation is empty to be compatible with old IAR version. + */ +int remove(const char* val) +{ + UNUSED(val); + return 0; +} + +/*! \brief This routine is required by IAR DLIB library since EWAVR V6.10 + * the implementation is empty to be compatible with old IAR version. + */ +long __lseek(int handle, long val, int val2) +{ + UNUSED(handle); + UNUSED(val2); + return val; +} + +#if (__VER__ < 8010000) +// Refer http://ftp.iar.se/WWWfiles/arm/webic/doc/EWARM_MigrationGuide.ENU.pdf +_STD_END +#endif + +// GCC implementation +#elif (defined(__GNUC__)) + +int __attribute__((weak)) +_read (int file, char * ptr, int len); // Remove GCC compiler warning + +int __attribute__((weak)) +_read (int file, char * ptr, int len) +{ + int nChars = 0; + + if (file != 0) { + return -1; + } + + for (; len > 0; --len) { + ptr_get(stdio_base, ptr); + ptr++; + nChars++; + } + return nChars; +} + +#endif + +/** + * \} + */ + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h new file mode 100644 index 0000000..954ea65 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/stdio_serial/stdio_serial.h @@ -0,0 +1,140 @@ +/** + * + * \file + * + * \brief Common Standard I/O Serial Management. + * + * This file defines a useful set of functions for the Stdio Serial interface on + * SAM0 devices. + * + * Copyright (c) 2009-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + ******************************************************************************/ +/* + * Support and FAQ: visit Microchip Support + */ + + +#ifndef STDIO_SERIAL_H_INCLUDED +#define STDIO_SERIAL_H_INCLUDED + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * \defgroup group_common_utils_stdio_stdio_serial Standard serial I/O (stdio) + * \ingroup group_common_utils_stdio + * + * Common standard serial I/O management driver that + * implements a stdio serial interface on AVR and SAM devices. + * + * @{ + */ + +#include +#include +#include + +/** Pointer to the base of the USART module instance to use for stdio. */ +extern volatile void *volatile stdio_base; + +/** Pointer to the external low level write function. */ +extern int (*ptr_put)(void volatile*, char); + +/** Pointer to the external low level read function. */ +extern void (*ptr_get)(void volatile*, char*); + +#if SAM0 +/** \brief Initializes the stdio in Serial Mode. + * + * \param module Software USART instance to associate with the hardware. + * \param hw Base address of the USART hardware instance. + * \param config USART configuration parameters for the STDIO stream. + * + */ +static inline void stdio_serial_init( + struct usart_module *const module, + usart_inst_t const hw, + const struct usart_config *const config) +{ + stdio_base = (void *)module; + ptr_put = (int (*)(void volatile*,char))&usart_serial_putchar; + ptr_get = (void (*)(void volatile*,char*))&usart_serial_getchar; + + usart_serial_init(module, hw, config); +# if defined(__GNUC__) + // Specify that stdout and stdin should not be buffered. + setbuf(stdout, NULL); + setbuf(stdin, NULL); + // Note: Already the case in IAR's Normal DLIB default configuration + // and AVR GCC library: + // - printf() emits one character at a time. + // - getchar() requests only 1 byte to exit. +# endif +} +#endif + +#if SAMB +/** \brief Initializes the stdio in Serial Mode. + * + * \param module Software UART instance to associate with the hardware. + * \param hw Base address of the UART hardware instance. + * \param config UART configuration parameters for the STDIO stream. + * + */ +static inline void stdio_serial_init( + struct uart_module *const module, + Uart * const hw, + const struct uart_config *const config) +{ + stdio_base = (void *)module; + ptr_put = (int (*)(void volatile*,char))&usart_serial_putchar; + ptr_get = (void (*)(void volatile*,char*))&usart_serial_getchar; + + usart_serial_init(module, hw, config); +# if defined(__GNUC__) + // Specify that stdout and stdin should not be buffered. + setbuf(stdout, NULL); + setbuf(stdin, NULL); + // Note: Already the case in IAR's Normal DLIB default configuration + // and AVR GCC library: + // - printf() emits one character at a time. + // - getchar() requests only 1 byte to exit. +# endif +} +#endif + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif // _STDIO_SERIAL_H_ diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/write.c b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/write.c new file mode 100644 index 0000000..1007ba8 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/stdio/write.c @@ -0,0 +1,132 @@ +/** + * \file + * + * \brief System-specific implementation of the \ref _write function used by + * the standard library. + * + * Copyright (c) 2009-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include "compiler.h" + +/** + * \addtogroup group_common_utils_stdio + * + * \{ + */ + +volatile void *volatile stdio_base; +int (*ptr_put)(void volatile*, char); + + +#if ( defined(__ICCARM__)) + +#include + +#if (__VER__ < 8010000) +// Refer http://ftp.iar.se/WWWfiles/arm/webic/doc/EWARM_MigrationGuide.ENU.pdf +_STD_BEGIN +#endif + +#pragma module_name = "?__write" + +/*! \brief Writes a number of bytes, at most \a size, from the memory area + * pointed to by \a buffer. + * + * If \a buffer is zero then \ref __write performs flushing of internal buffers, + * if any. In this case, \a handle can be \c -1 to indicate that all handles + * should be flushed. + * + * \param handle File handle to write to. + * \param buffer Pointer to buffer to read bytes to write from. + * \param size Number of bytes to write. + * + * \return The number of bytes written, or \c _LLIO_ERROR on failure. + */ +size_t __write(int handle, const unsigned char *buffer, size_t size) +{ + size_t nChars = 0; + + if (buffer == 0) { + // This means that we should flush internal buffers. + return 0; + } + + // This implementation only writes to stdout and stderr. + // For all other file handles, it returns failure. + if (handle != _LLIO_STDOUT && handle != _LLIO_STDERR) { + return _LLIO_ERROR; + } + + for (; size != 0; --size) { + if (ptr_put(stdio_base, *buffer++) < 0) { + return _LLIO_ERROR; + } + ++nChars; + } + return nChars; +} + +#if (__VER__ < 8010000) +// Refer http://ftp.iar.se/WWWfiles/arm/webic/doc/EWARM_MigrationGuide.ENU.pdf +_STD_END +#endif + + +#elif (defined(__GNUC__)) + +int __attribute__((weak)) +_write (int file, char * ptr, int len); + +int __attribute__((weak)) +_write (int file, char * ptr, int len) +{ + int nChars = 0; + + if ((file != 1) && (file != 2) && (file!=3)) { + return -1; + } + + for (; len != 0; --len) { + if (ptr_put(stdio_base, *ptr++) < 0) { + return -1; + } + ++nChars; + } + return nChars; +} + +#endif + +/** + * \} + */ + diff --git a/D21_ADC_with_DMA/src/ASF/sam0/utils/syscalls/gcc/syscalls.c b/D21_ADC_with_DMA/src/ASF/sam0/utils/syscalls/gcc/syscalls.c new file mode 100644 index 0000000..3079234 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/sam0/utils/syscalls/gcc/syscalls.c @@ -0,0 +1,120 @@ +/** + * \file + * + * \brief Syscalls for SAM0 (GCC). + * + * Copyright (c) 2012-2018 Microchip Technology Inc. and its subsidiaries. + * + * \asf_license_start + * + * \page License + * + * Subject to your compliance with these terms, you may use Microchip + * software and any derivatives exclusively with Microchip products. + * It is your responsibility to comply with third party license terms applicable + * to your use of third party software (including open source software) that + * may accompany Microchip software. + * + * THIS SOFTWARE IS SUPPLIED BY MICROCHIP "AS IS". NO WARRANTIES, + * WHETHER EXPRESS, IMPLIED OR STATUTORY, APPLY TO THIS SOFTWARE, + * INCLUDING ANY IMPLIED WARRANTIES OF NON-INFRINGEMENT, MERCHANTABILITY, + * AND FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT WILL MICROCHIP BE + * LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL OR CONSEQUENTIAL + * LOSS, DAMAGE, COST OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE + * SOFTWARE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE + * POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT + * ALLOWED BY LAW, MICROCHIP'S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY + * RELATED TO THIS SOFTWARE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, + * THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THIS SOFTWARE. + * + * \asf_license_stop + * + */ +/* + * Support and FAQ: visit Microchip Support + */ + +#include +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#undef errno +extern int errno; +extern int _end; + +extern caddr_t _sbrk(int incr); +extern int link(char *old, char *new); +extern int _close(int file); +extern int _fstat(int file, struct stat *st); +extern int _isatty(int file); +extern int _lseek(int file, int ptr, int dir); +extern void _exit(int status); +extern void _kill(int pid, int sig); +extern int _getpid(void); + +extern caddr_t _sbrk(int incr) +{ + static unsigned char *heap = NULL; + unsigned char *prev_heap; + + if (heap == NULL) { + heap = (unsigned char *)&_end; + } + prev_heap = heap; + + heap += incr; + + return (caddr_t) prev_heap; +} + +extern int link(char *old, char *new) +{ + return -1; +} + +extern int _close(int file) +{ + return -1; +} + +extern int _fstat(int file, struct stat *st) +{ + st->st_mode = S_IFCHR; + + return 0; +} + +extern int _isatty(int file) +{ + return 1; +} + +extern int _lseek(int file, int ptr, int dir) +{ + return 0; +} + +extern void _exit(int status) +{ + asm("BKPT #0"); + for (;;); +} + +extern void _kill(int pid, int sig) +{ + return; +} + +extern int _getpid(void) +{ + return -1; +} + +#ifdef __cplusplus +} +#endif diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/ATMEL-disclaimer.txt b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/ATMEL-disclaimer.txt new file mode 100644 index 0000000..422f7fe --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/ATMEL-disclaimer.txt @@ -0,0 +1,20 @@ +/* + * Only the CMSIS required parts for ASF are included here, go to the below + * address for the full package: + * http://www.arm.com/products/processors/cortex-m/cortex-microcontroller-software-interface-standard.php + * + * The library file thirdparty/CMSIS/Lib/GCC/libarm_cortexM4lf_math_softfp.a was generated by ATMEL, which + * is support -mfloat-abi=softfp compiler flag, and this is also the default selection for device that + * have FPU module and enabled. + * If customer want to use -mfloat-abi=hard compiler flag, the project compile/link flag and link library + * should be manual modified. The library thirdparty/CMSIS/Lib/GCC/libarm_cortexM4lf_math.a is used for + * -mfloat-abi=hard configration. + * + * __CORTEX_SC is not defined for cortex-m0+, and may cause compiler warning, so the include file + * thirdparty/CMSIS/Include/core_cmInstr.h was modified to void such warning. + * Modified from: + * #if (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) + * to: + * #if (__CORTEX_M >= 0x03) || ((defined(__CORTEX_SC)) && (__CORTEX_SC >= 300)) + * + */ diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/CMSIS_END_USER_LICENCE_AGREEMENT.pdf b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/CMSIS_END_USER_LICENCE_AGREEMENT.pdf new file mode 100644 index 0000000000000000000000000000000000000000..c67c8672dbf9fdcf4bf64a22791e24c9f1688d1d GIT binary patch literal 179946 zcmdSARdgK7vaTzzm@Q_qn3*kRvY1&dW@gD2Gcz+<%*@QpXfZQOSN7a2IbP5i3js^~jb^w69wY38QBg>yI(t390 z;#Nl1A7*|Z0t^X0x&gj^eBht~R)&9E{5JM)zW(^26SQ`;av)%#lQcE7*C1f}ZH|C} z`LBlcuZI0k!$|+T(IWV#&EMVs!{�JHUs{ztqbE?5!Q`3;^~Y+C;3a9DX+p1b@jE zVI*MtZIn)giGbtx!Pl>J-|Van6aWqybRW5*Qv|p;(1}}qBtzh@M)0pj{I?7`X@H@r zo`AKB#>Y^40u~MyS|&yUc4h`z4n{44kHD-PK4jPv{0W9m)Xv(`=I=EBIiXXaQ?%2w zvbXsy*T9udP=QVe;ACn5kQWvBu&$`5Z~xKduY~^t z{zTV=8(Tji#CR@66Et-;-WKQWT-Rz-880gnA6h;;x-6b_lSJ>^-c z@=rCVxmjE98F@Ry;*D}X5&Ulz-l}JVed+Ui4HqXBU@!3(g`XUiJ!SK#=?tT=u6}&) zhUxb?{hUz7&};=OU-bykY8_qNX=^#XJDaH$FE6dub4ygmHh;M;gWPekQtj_O@ZHv$ zI_MDL?nE>;c~jb~14I7gammxgrVd38@&@m+|I|V|eWd4rpf<5n+PUz`leZQgk*L|% zI>}6-9n^ohTG{F$MF_&=E}u1LYX?&8b$LZY|4Mh<^O{o~HpZunb?R^Ox+bO#P1El- zRiLY0WiNF7L>K%xI*xx!i(SlrNf3LEmYVyTKbv%FLxSFsVG)ry>?x?TWz)u?evE|u zY;!wfSEz=QD_gg8;Qg?jNV1Sg%r*kg<6>{Yzwq;MYk!O!%B9x7vo~B1D6;YH)TWpX zYi6gisB0HraxYP+75>(sNfnfoo=__Mf(Kj&$=Q50*I*S$C$05+8(tc%zxs=oxqiDU zuJws^ps3N@j_+K`Nk|(0@o>2##Ngura7gdjS{0O>(`9k`<~9{~OFUt>mu*0cfzbw8 zAepgbE&6lnLg2-@b%4M?Y1=|-?38^}u{~m$p|s!7C$3TyE|ulV1*XR)Ji@?wj*{?0 zy~g8y z`qaBwg4ARt=zqFe2T$akOZ(!Zb-O<%cgghv^YT!t?Pl*%V);5D(inlH(>}ysr9DaJ>qwzMkCIo(=eWZ>=^j;M4|LIq*v+*>SANLGE>* z3zMgeZl*k-D@tRxG{U8?Z*@I1fyCkloq-+HYUU)XVms}9U^2FT94nt#gjV9i`et23 z(VVz-C%IjGI~v>EPXjh;vFb8?zCv86DN?|RMbuc<8IHw<(|V^ks>IVwT^v_J{6rD) zPf&vDEQ`ti#jxodEyJO0TCl;i@4*P2ny+h)E=(l`(lUFaUkBM+f&L&AcusbaT^#=A z6~6!0qVynDuPllWlJ3jOrfEvJiP;LZF$QTsR9iU=mVsmbi`u{Nu6hbW`HOX;EeC^21`3gcDCac?9#z5jJ z95ebt?t#LQwz;_s3);Ib5Pr-rVv~Y|)>Va4xJ&L3gzX=wmrs019x57M2vw~57_JbNFkWVt#m2xFr(PtRQU%|ja^t0!_4E?#x#Q}o z5|a#^>o{9`Q4%g2s3&+A*{UT=qI@igBD{3%O}r;BJjmnVM`8T@yJWJHmOSQQG&M8C z{^}9D$ELctc~flU(zwGq83Un6XR8T=EO|5qGqz9|Xfx%>v}&EtwXr#!^IJAJY8~C- zI~0 zS+U$SZ)qXkES=8Gf25wXx*r~mx{O-pTZ~mw3SPs_-_!$i)f+{CWhZ5VmUdn=`y;pm z`fzR z4(cRj>o)I=w*T?VihWsXC|0kZ`75717GZ0Tx?!iw$=eYPd)l&T3&dr&#DG7AgG1;s z!o{J$$Px$l#z@nzVl-j{GBhcz3#7AC2s(5G~_Hz zs4`oQUHe~(b*(2D@d{(MA!c=8LlNn@-+(835ofcZ;>l-#HAPDTC+1H3=21pzKk#`x2ivOK zvN6{@G>c-5ATV2A8Ad{Y+Zr+eJGb%~^yRC;=_;W|w}jO*1iUIP{rOhRfSyE5ng?r{ zpE|olj1uQldET2MR}1cYh9 zj=lT zAG)DUQ18wv`_kUjl5Pv|^YD<4=27*VpK7x>$c&@zIPzeGmM_jW*$0jGZT9YQLGCRr zxIu>VT$3u>PM0RK+XP1>P6^sg8^{ndqE|U@b`~X+_&8mieCc@;7Fg-n)6}2$c^2;9 z!_k0(L*;2Sj&3Lqu32n{aK0PP@54GC%T@8L_&F;2;FQn18Joc`;SYfp!XQrS$a>^N zwZ!*EXcij$&5itQ>pBVps_yIvWWXe_h3hoUH!2B|4&8V*Dwic_PSz2Y92x*Zxw6*0IUClO z`5yrhMJqn$t7o)noH>;DzB4W3V-Qz*DWKjAHjtC03}}hrKH9uLPU42Y#k1n`j1L#X zf4=?GY6x9E7 zR1M6+6Sve-_wKeQedeYnj{c@6c~#XxW0SHlSzWujgbl`6lN0dLU0>uvVtaU~%g}m> zV3iK#O8<-g1YF{?Yc%9Y(@d539VYh|+U?seRl>1%NEmLuw}sU3#Z&jnc9LO@U!%aZ zPOCRiN%`2b@!G@XtvN5muG)sKk<8!rWa%Q$jJYQ}<Q}p|;<0sUX=z z6w;6Bd2!3a^J;2-|2h)zQN0 zSTf4&egTc7<^`@TU2Y9w=@til&OqM$(r~c5m3x|tP6|O}VChS z^kMR_(6dp;~K@3A4W02_nZNdJW4FexitIr+znoE&Z>}(ow9klJ!$ya{T z>v2!%v*OIY*`l%t=^ngs_@&GXmS5)d=>U%fO{qbGC^A1j?kK&9a560S%_I!Zyu6TB zuhdECu24Kn*UuP>h`@GI3;u-)HUa`McXi%Q{71QO8s!Xv^_(Rq=_if31jZcDtv}vw zlG8CoK(DONd5+lBS)vK|PG?T&Gmi?pfmPLZ=PCWFEznn*z2<22^kK`qpcd;tv0uxq zz}i`&weOp4_@EW+mtftfT^3E0knA(88Ih2pwuG?OPik-&$hH&c$1_;qy=l%s zDQyVk?ViuQ@~z_ck!NT3G%CZEoL54fwKvNCLV$A^&cbuwaf-gwDBbxpAYvSAq1-IO z3Dpwr{;I=%Lj%^)YeNG8eU=OdwPKPpr6J3Q#VlUIfrBOORJw*K>>hW;=Rg!&^B2ti zS}FfpYw!ZDwK!8Ltj09uLQ$fL=)^)XBo|B)z_~751ipuZs&$4pDNS`d8r{P0_?26xj`x zZ|fgckAA&RyMAme8A+L%>#vjNk))!~9%ct-WaB`Q^^msdPbI?KA+)rvE1b zwf@l9Mf{%2)VJOV&`m{ga5IvnaR}1vTZ1?^Jqu77QcU+umSG%yc1BiRds*V@EL6EF zqXiYMB?{i($m=M*1K<-`5*m`{|Ri(09}eh+UX6{gx!6q>lO zgr!bhsC(G5!D5qs5WtBWZVYn*saB}gM)D z)N_-k=7|^Vv_g+VJ1izm4c3lWZORsV^~*6Ps-6ZpFKX26t56@aOj`y2~FJp7k z6tA^^p>VOs48GcXQn>6TdsHyo_Tv@q*VopS_Y8t|%YIr(Ih5#fPj}Nu-I55lqNh5Q zNG@-w568;dFT;WaJj`;%1~ zoX(Mj8)2Ta4cL&L*U}&@x_1MJq7t3tD2N#qO;wmHdI@OWgqkkZxN+I&NB`q2rsr7Z zbp<#Hpc87DjTA04{ZXbAxgsG*dre~A#lRea?VTvd5Q8b)NC(ZBGsX<$+xdRS@Xa?| zFyGY`mn@O`grVlH&d9uuHQ^YyJC-;^e5vME_B(4rj_(%y$mzI0-k9y$elS%>mWe9n z-lHIl=`8c@Q?NNaoDG;I)axaEdtP5A{qgk4QqZ%*SFYPTiu_zF zapgJuTKEoId@qSdeuL7mR(2>m;uAvMc{UcN%DTT&tz8_MLD}F(a9Pm81!-VrtVuzxeqB^EBn`6)-YM^kfLYX4F z%&iiq%O@gdiP)aT{q+?uj;Ce&e$D}=fU#TlaaA}KJK+|d=9spom?Gds8XS zuxtXj&lY`;?LE>(Ps6z-pr+DxBZd>noqlKyW@w|SH`2ah^ELeSx%09IH*?g;#d8i! zI58T1Qb0>O70x+`j(A+DGd7;^h7oTTVXv;iy{m*A@g6ASx%^l_Kh zVQQ3BO9;8)S|ob__^2s6W-8V(l?}&4O13bk51gWu#`-Jfx53K z4h?W4Y_TsyCDU_SqGZHoo`+#9L?{1n^+rjYi>qeNq7BKH)vYV+xo>s zT(vx8c54+rCK86$mthG`-Qn4j3fOj5jh}ErU>ei8zk;`S{=^=dmaFsD60+>~yW6zU z48V(2{d_to=j|ZEfg*gsg)a5YnpRIgrSFbCQI(#e;LYjGpj=SyxRzG`$3cjE_Iqq< zesZDZP;D1({?sr+Uuk*>@c3PTsx+I$AzQ_z(MBTTQCBlF>Y%d2<(U}KnjYVQGi>n<{}8XgVawm} z>o;%u8`b;)ZNE_zor0sj!*A-Q_yNoQT$RzY1bpBrIsrXoK^uN!4_Ynfv8`zoJI9S^e{NZJEBBpls4uU3nb_7g}AMozKju{vkKZYAR znAj6AF#Z?p`WKx0J@P;F{mb$na`s2uUxGd`ld=78^Wq=4(A0q6%Gd%xKu^bSZ}1zu zanQ4TkgUIsX&4#kKd7RO7{Jun#DRc~k)4j;$@q^D0%m504_xT-*9jvlE6X1@Du4B4 zrl+S<{jX7sEc72VOYe6I2$+~YkQl&H`D65-?gD=R9L>jQg5SOf80qQhf4lj2Q1~13 z{YQNNQ~nwMk$(X}Az@)E!H+2Q?M(ka7vc}G{2vOz%=+JTVEThJ|B3W|5Bv|E|I0f3 zyRiR#LD)X>_pgF{ocyC8A7}qv5EjON6oi3|ndyI5kiV+H!uZcBu+TGoRDp&5V~R0< zRDp&5gMKjQsYT|O2E{XdHF?~6g)@ME%>I=Iq^ z{a0{?dLN!XynW2@KZ&JNv{te*{mnu@^!!;H|8)JQ&mZ3!1Pp(KX%YNO*q-Y3 z7hw2nbHe|b4Fe4S7XLpVL;sr{1FVdHX3F0WF_*Mco)s%>j_PyZD}+~anjD$y`L#4y zSazrCL4MLy>992jV|<*A?eyNOVY~664SckUK4q4cctamg3|Nt>FHTC)W$viOg@LK3 zV{=Q7^-s48g@G%lOOJ&kp+`4|Lr-Tqva;=KT3)X^e@Ng6-A|p%QxxHrV z9jR33*reA6Ly8NOQH>qxO2>A0MBiT|9iJbf@%sU;i`TIOks0lV@#Qk*c)a>b25$yR z1IOSQhB*nIj#s;vxdqJui5*|P9GZ1U;#F@hpnjtO!xaS_J&U>?5pw24lQoaZ5^j8J$iwBQmLDC{~2P^(mYnrcQaeEXsfS}BOM=CqMYk- zgSEKRH#JWA0@5{TPTw*cQw+-*4x!vkXf^x6wFhSAJC2bv(t-lMa=!h9q4YRC&c(fYHiDSJ3SHX6} zzYdVAT73FOs95cDgr7)|i(R^4oj}#3if!oylldy&RqB_BHLG^b6nxc6FEC3>$4=g= z54#vqX_!9aNFp{Dx)D)QNPPG3=+>g;oy-{-vc88-|qeVs7Ho1|~@s{gDc>Xr@5Ji&YKS0HqLUaiADI9thOE*te4gA&vm95 zFu5=-p#7s8@_1!_k17<`_u0=HcW*W244??gWh~Y%=3h7WyqzlLJDR;;b>ZG?Axh_Y zi6h}TA7b6)j>s9NYF*Y1!M0k8bo zx;#~%=;FeMf*IaG+LxZ zms=VJI~Y2x9iY+xnk`pmKNYtA!UpS!%}|;}K5N3U6%7N@QY1}&k53i;H;v<~f_uB& z{YpTqSZhawFOG-pEH(>>_Dfx;x^<9jbMLgtHYgUnT|P&n?N(bFFkYabw4wkO{O*X=M`CXaeu^}<{8 z$ir?vT-7XLhhCX4=7p~vMe6O)8N2~!L}&1S6!8>d|HdIB!QEw(7vIc z(0}|{_X+Vtb}2oianMP59oQHr?fnR_birucrOuS+^yqJH8c-|kqM!&{BTTkSMehQ6YAA%q_+#>a3@4M*lRyOpB z6Jl(J9e0Rg=cUdB+ci_9z0-}F!K4?)tS#vtUyHteu9nu%Oy1vg?kaTBc7j{2cckD9 ziP;KNzYEqL=2RI`zdU*4_*Zbs+I3Z?#t`L9 zt1Zz2riMmWCw!pR>ikuP+Prf;r?I&L;kste`j^<+;;(?Hwk8?EVg zpIB;>{Ifh4_PXE^L8X-ASFBfuj*P@#x8eM;2JYb#TnFr%d`HXLgS5fnN(l2Y*|Bp9@1j8kS& zDCXWYUv-Qo67D>99ZP}DptEo1bi3R$p+KiA>7eb~UfaUHZUEHYJ3@&{ZtDJ|ubHq# zfjTY9XL>h7ONd~p+uWgAKvy1rSqWW>Hz4`JTxU03u#41M948{k5A1}+$MIx2^tk<6 zdY=`AHbAG{0RsJgxxJRX6ue&!`Pv>9B9U3y9{6;Q_sQN2U;VlwqX|I@g|)j8IAklF z-NQH)&SG!a)sx&>SBDpz=d2z6=u1g{(Tp6q>DxF<2ZHihddQ{MWJfMht9fD{WVa91u}ZZ3r@g64 zV9ar!lqI$At8fVS6*e2A7nU4?V?9KfIaU8dAEA$ zk(Rt;ni;S#E?P;{6khJ17&9Fsj}?DwIi?}iI_1BK^=9;os-!1pt3Z)@KgUW9p4FAp zp3$BpEUsYJQsW*p3=rsh#qRN)%h%5Th|H^vCw>msVp7oI^SOWo0$FQ!k4lHs8DgPg zgRRPuRYkD)upb6*8G6u|qzqzVt(dO;&{2Djg*ItHe$bZIPo*#YKqoN0-%+xd`l?g#7)QgFvN2MaKl4*^Z2 zuS0^^g$TCp5gJLMC&M>E8hXQp2D$H6P88EL!%1QCLa5jVvn<-SH;`#@IwbcLr1JqT z^~Z6o9S8+o=$Q_S?MU`>wJf2uu4XC3!lEdYZXt*2fGH_?_2{@XH{hG1DJqIzQ+CPHi zw`mQhwOG!y5g1`-M@5 zTh?y7P<}PV86kky|H!QCf;6q*>R=FyG&Vg%%G?^oEpMClBF9Yso{_%j?lUV-q%>R- zd3T?yJgcTHvg5BA&F~`cCHuJ1l#8@4fH_d(d$Z0Z;e29o$?1iXAwFp;T+MR|N?h?` z86#NjL}Ka^aPdQl{$0dD!Eb6h`u&}f;Q=DxtEylkmF7h`}` zP0WPx%f?r6cDvR7@YAr+pG{5=$CZ#$CWSAuu2&tyB$&1scktzr9O9SdBoq}6K_@?@ zj~9P^!qKV;q}Dh?Y=I?))jJeK!Hp9mut$*MpC%**Zo-x^*y#pQ_tP{0AD^u9!!!JK z%$V9;DXlL=QVVx8I&lpNAp8!@k-{yRdS%S8Q`v@OZ*i48uvW*}bp%LL4MDxuYw5F68pr1eI`wqTyZ>__pb}%QIbvrk;6-*=Z zVp)u49l}now;$e>ZI81HH#Hk@QLns+FeS}@TuUM<1QhG(ES{r?MhwHK$RYqoH-y)aQ)<-z${V9PsOLi6n1wrAW0;;N%vqT5=|hl!Dwp9QBc%6l&90xj7xsE zDOQXp+l#2jl#*k@*VM&@w1(Z|5%AI{N<9k{PI)%S9 zC?EtDI=M_1;FF$o zj4_IdLyQUgd)h|&dj`??lxz=Wrkwdm)j%ez!h5#iJ+cFu ztI@5ookiFVSQA(axh!EF;a_znj^HC8+SbYhX)#m;pt%+lnsvl>7@b{!Wzi=>z6~D8 zJ5BcZWf_t!gt5By%gv@9ybvkfVE~DeroU$CE`VC{PvK z!S1#wa%5%?KW3D)GGk6-?(}OqKN_AHi~-w?v}~ax({OI}VurwtCp-w`NHW7DB7eI; z;p}E3b6iv?k-dO#4G*(2CgF>!pfymZ(UBok$W|3A>+B(nt7K}lOQmwwj6=6P3TT9J z=TSUO@R3&`&DYP?AGmlCQF^B3me>&^j!H8I^5)Y>tW-#m;QyezT{CfR@=>&;^&b19 z0tG>eDs1-8`pl?t;I4rIQ`9!8DC-3S{*_P)bs-$V)6L&fVjNlJ0wB4iNrt14WFwTF zieT}frg}Jchi}O}1g>xhfB5&1sk%Q zJ_oa0MFfRfIKN;$t~IF{0frsiI1?x&XNVN90pl<#G0YG(UZ+6vZrRZyvmxGkUk2J zQDc;c7tOUayZ%iZHt)QJV~X4y+LK>k5kzmVCygtDaz?0ldcCNF)t7^VrCR@(4aK)B zz?)@$X}~?8y26sz^wqTtCqnhTn#BN4t;v!Tu_)|?pA2HM1@lOK^J`gNXwZ;;g&AQ- zG+_kUav>_axfsb}-8@VWA6bss`dtqcLaO1n1&L@P32UgHE2({yF%x&-J&ZJRA81bt(ItvH0;+YyE z^Vzp+0b7$ErKf;v-p4U@M}5nr`P;ZbL;k z(&YfvVbe92nrX4{#j$(Nd5S5uGlz8ytxcpZQ4M+Ae1)AJuky)Wz)F*gYxoo#m$k)etTd|hlN#rO{}LObEtrLmgg*3b6TrR}JmSAL<>Ai?N*R>Ytoq_XqZeF8zLjk>D?0aV! zvoPe_Qa(irdOg6hOn*fmppx_~y-nE1QlqXbOOo(d_2QFZklv1-y?%CqUsWTz?aYiUt(hOj zp6hk#tMPf0d_LLRIr+m{fEQ@C7j3h0S=CvV?*L#}P1Jnm8x$)bxg*`DEr8B+HRwDV zjA3sz3Icr7%YSUEM?p6pQ}-a6^E;%)cg6-n3lV3{#(YPp8PdR4R?|q$;Gw!w{QeF0 zDi?EEzCr*Ac!>vqBDAs#iMRc|^iTvs#AyoV=O*(Z-ix$TchAeeSK;@8M7Yi|Hc^gKYd^T2@3?EF*MK!r{sQdCu%kLx}p=|Nt~G$!-T z*d3jO)}a(DqNbYIpKhI<$*>+x2>ImljR@7$hOWkEPN8oD2`VF3+?ibHFf7EiX}sLh`F z&<(JT4_nTbmapF_WW~AMPjV-Mf zsFq}D`~pS<=)sIlt3=#8;cTl8ji>!ij*wf=8D^JXOAQ0$`p zWuXJ?OV@C0k>VM8xGk=*>>N$RGVA^479=fQzVv)5I0^DW9uo!-W=|B!H^-EZyPdQ` zUEzw)^XBYJM&odL5(G~&JryAS@SP8_j6IUz+6h(BU08Xa6$32IBrc0QB5-IRUel!U z(YFs4yunH&3IU>_T=dk0LY@7ax%zX|}L3r0$dFBhldZ)p~ z{Cw~EbAOp!#;Mr-Y=PVO4aIe51AMws71cX`@Yy#xp?2+N9xmAU-KLs0GIxYZY@W9E zlrdCMR4av61(u^zob1QU>pCs)5Be`X=-w_=xStmlQy~(s=aVI1NA~2wB+Ij&L+*=j zT#*)L&Ro?;6k%+-ZBeUrL{cPb2(d@N(jo?puFIfJLl23t$y!FYM!9D&j9in4!0mxM zmQ=y7-fw!$UH_N66&U_wJHY>yob0c6cmL0DGRA-7WdGbQ@IP}hHU=iP-^2dJ$$n~S z*kdrql<#^0WOtyZy^ zFl<^ct&(R)b+PzzFK@x%E)MOy8{M7l-O0UPE)TD48k=4#-8D3M+nT)}o@Yuo@SJ4n ztk*X@+c{R(yuI$q*g3dp-fkb{X5zwig;kUQBrwV<04*D3r|QLXJl>~c{LqO={3U(O zz0h<`9P13cbF30u>dx@BSMxm8aqY%Z#F<#T1A}n zCJ^2-ekuuT+2cNCoo{r8dj~FS64PjyTK+6Qfj{!_#Zj*5fycO-N0|s~l?rRMP#Q{g z`K4p7o}l5*^{aV2@*Bwo@exQE{!ium%#NR=6IYREss8d6v7tZ(6V=bx6Ans|n+h)Ag zk{eUm6mh=UL<1gPZn?QMrGF6T$ODuVA_$nu^3YRjI7*F;kGElYU|-0;Df4;3lzBU_ z9`kb5+O4O2{HeSB3x@a=s>z|^_}QW$NFbOzG`D(z6i@-;&3Yu2AeIQSUqTTdTa_gk z!&vY++$LzWRGw9_znVsmf@i8o#M|9T^4QCjHJ_wS|N_3Jqe9cr1aMC~24Ddv38 zxs4_xGQx1=HA3>L-F2T6Pq0;^Q@pSq^q!>2rVDz7uoYU9DM__Nq?*eO*Bp|Siu+9z zBpi?#QdE-rYiWEu4X0JpGb~YM$9y9aEm5ahGk$KUc@BoD!xLDa!J#ltMFX{SnX??^ zREX>K*5RSJ%~^-I1AbPqWV_xB1XdL+HCUy)>ZO1KJq?*hWFf-(e+OY?BQqiBhG7|E zZ9-^92%vDVK{w%fU|r|pxo4?7h9M8Xo*Kp5e{K$?h5{`u|4I1z+#38Mh z9XnG%tHf-I*KOj;L?6~gNY^HAu0CPPLnki1&}A~0FmotsZLFI6rLuozfLg;6nl#xd zR#?-#{nYVSNsw1(HKFW969KWxN_0-}(0|8R~>k>5DC?%*G^cg5b^89xcaIU|VFDj`1#pWPfhv z$Z_j$YX52~b?@*fYyiWWUc6Mhz*<@^1#J54CZkR?L4&k@%!W`WDe^2g^R+%faQa5L zK0_fy`2) zTi8`^ctU(Gl47SnNFX#O&u}V*muoIm6)@|`IZrDHYj5WOS0n(^k;hr;8cPS-rQP0* zpEo#)Pna(&laqkHGuzrF9NOeX(95n6)@ocM=(E3eOrv0i`Y`mA7sV%ka+W|l4tWaV zw1rkf8baajQ-o&=W@13hMFAy8=pbRSR)YL6;uhAM%c>}^*t0j+`Ba$9B<8$Br%ljY zFv8gzULd_H90WP}ByZ1;KrXF=c`8R^bQ6Ya+aN@PM=V!!pwjWYAF7MRog%*LoaI7?e&23t}=F zzg86_YGKn~6@k6qmpW{XGbfnPBwiuL49FEDs|YscA#bsCQrSk6lV16QlvO4I%e56g|yfcY$ozA`%FYcos(j% z4y}+M1&|B|D)`Y-L{c&N4j}fYP>h%F&Lg>j{Yjmz8Rx6A3Bko9l-mhjS36Kh?E~il z6WH*aPG^ri0*BNklovr%pEC#*gsa_lzOoNgaF4gXAu`PpbkC8f;OqR$?yMD+-?t=2 z@5a(vd(e*^8D|MuviBK91bfDH16C0g&|izO9~XfDlKx6>D}hr2PlWWf0JC-S##~Ef zxZplTMe5@fuK74i^o|+~no@AUL_(s$m>xS_&h!dIMT+$+;}ut=J%K5k^6qh=k*BL) zn-ekRlT5tH;9~mD^8kGEQr$5+!Me2u>ffUGV9$e>T3Hg z!&CK7)yvkf2?t_`B08{AG^BZlA(3(zMjL&c1Fg7WFiA*Gwi`rU7<66GCA~#a0pu}i zwQl-G600^yIaF_l;6I9tkBRMbm8B^(2I|o1d>~we0_hoBDQ;o}{MA-1p)Q?6Qv>`vERTWglPyp#Gw*L=d|OK=x*bi{`M z=1=Ld(uwVH+jd^>JhyphnPhfxK&oyVs`7M#o%u4`c3VT5bo1;UxXU$AL#GW-15!Vb zCHwy{_s&7GeO>xz)vc;qRkv*0wr$(CZQHnI+qP}nwrz8&zi;$RzrX2@iHVtsnAj&X zcbq&s^ThdQuUMb8o@YHx&$YG-cSm=iv!6QXg%AyiW;tUp?rHE5@@PTDhJ4fY6SbSV zz)3-AS^`Af`2g`&f?Gr->6iZc7P={OR;djQODF-)j*)>P%gPKl+-TP6`x;!3Od1LRYqk22hCvcI7XI386SW6gwZeRy=eudP2QRk?K?So9+%XzImliPy! zbfhlS{6(l@52`w0^wwl=%-$D|u?tg|bnVeMYN*@c9FQDIsF|}V zvs6s*^SK&4B|I4NEMi_XrXxvfHO#NE?z>oFF2pXA5OYgWUzH8?3TiHkQcAPDCc#%__wrU$S|a+!9QMoY z#jZwNG9E~8D^8yce#&W%IQ?jQ5xoLRlhW5b0--23gp!Wj zd&V1(rn_8b9CqBD$r!75ZIbx$`R8-7k3uwmVI`oU8mb^Hya?o&nMRj(=^@V#1Q$Hk z_=Pp~EWfWKi^@r(@uG^N3trkR`DnXDZmX(rcaWSIaZHcG4v0%XgW9rEFi904l(@Y# z6DZYDr&xrG(B~V7P`c2qT0ZJSQN0^As!a`E z3|yqF8=H}!lp;}Bz|Bup6^~4K}855+~+b-kkmbmjf(dinj1hN zYV%1KVazY4%4xb!u&lSPwij&HmRqhXl=oDe>H;P%NG6@|T-;5F5{o${s%a1b$F8hi z<*PWP8tLLxjw)qL5g*1h@sc-rV0of*H{vkCYy%g*C^Z*n+ltiXV!3t!?(}!>HLmVG zTACLW64Me>6AIA(au zkg`GtHkvrTz|54h8W6%ekm07W$xS%$l5{@m4|NUwcPpz!Aj)2xZhK)9Y zrPHu8wCDj^%koLL$%l@xSJvhg?Q4roBF9|5PpkQp*&3p|Cxn8fxz&xtiRyj0gi^Lbe@}Hq+r}-skrOg{8$9$;D4R z`|)aED4bHEU0Bg}VNp7)T?G|F2`@&F@jl=t%WYQzk)U& z_q&pFjgn9ZZ^0HtYz_HiPERMU1(lyxs3c-$KS>b_sPxf+&Nh&Mb%+3b?iqW4oxMIr zu|R)LKoI`@y@Y4~D^^kAZ_|fqd=%*yab{|S2NeOivSeLDoJZc;@4c(-nLI+tMy=H$ z!Jjak7s!v*pEQkSN{aT`7WNCq5-NUwhrV!Akf$mwy#TL7>Y!KPptqfTNVsnEMXlI zzabVJ6p{&;$;T0Gu&XzVUJ8)nd?KAmH|FpSb5Ivk^$tLAC`JTbhhF%e)KIdygKEAO z%#wUXE0Kg4*fV#e-8t5m7c*e3)HQfd3V!Le`%^JxB4NylbpJuI;vIi-AS`Q=|FEL2 zU(tO!Z;5A!QZA#w$4`+?p*cSYblzt}> z{|IPyROnqvSh_tOBwaCP&{h>;e!A+_3OT?NO#kYt(dP5SlT4^T zgPTi1Xnb%*5S17mf#iQ{jHjFFy|NmOsQtm4r=w)MhUuR40_-mTHQ0BpW*#>i*&;TQ zIo_oobLdsj+A;U+$*-PDa(mY|1xKXCKZ2JO(b~t+PYG+s+Cw`?^cJm{+ z(dAjLaF%QAnjs`;)R$q3nu&mxEnZk*!Gf2D&dETJM{M}z9uY>EoZ1*pL;dkI8v-eD zZx{p@>Wct#K!qL*P^Sto{>&iR{USNJU1up>t+|-vEfyUOr7RC~i>w4vNUw`F*vmZ~ zYIg8{MA!G;aq}6MT4g_Z#pFTaZa@G!4IgJVtTtE5lD~_N-U(ij z@Y)}H&Tg-b^lKg3^b-9;6>+=VTBMj8eD;yRsK0E$2S+m1LQRLMIZ5bj90;_7aa*x< zK$TGuyHgj)uh~0Es^JT4EkX_SN!V9Rxs)#GwM%1C{DcRr(e>XyiLs3Ymo*m4Rad~^ zJb8Ii@|8m*V4mCcyIf15Dk$EK5GpCBdcW0tPtjW@ir(rX}$$GRXk?&3sJ3);&7 z1)35rm%Xo2s94AuC$})HZI@XtkD!<1GPg_bdc)2-k6$}AW{Iyu^rT7c+dE4#jVh6s zbRoUu4Fi=@K}>p)NcHgpw}GW&qUtH#p( zxLcs(dQt}*{@L{h$G5s>fO``<3mU3XpP$^Hmf0}->ozQpU}YzXpKBjx^D!g=_Jv zy4BZ_)e+Mr1?Ee!M@=Sk%_SQ`Cn;OCffV4SoQF?cp~q>-Xje21p=evzfwxk6#l;!7 zFrVPRi9~%!nkX=Zb|pX-?NrIApr97;P<#RG)NP=Pcy)dP5#t6@B}#DOEPPn4x*|D$ zKlb&#P5nXpVtIK5tITe0V{W(C#m=s5E~ZI(%_7`1tIP_D^w5vXtG%LHVmDT|--_KI zEbCB8;N%(w&+3`@U6wiu-uWibu9d!cC_KJp-rkn7{;+8T%kMEnZtU6sCFw>LBB7~f zH;+Bnsj^Pe!zy8KD@{Sa`GGjTl*ESt_EnXb3Z~q`V*C)eKE}uJQySlZvx&jzkUqV{ zGp)wb&In)6e$QlfmM*8wz&Yu-M=66%ahNh${({(Sm7QAM7dUjaPxNOU0Y$Ba)$8`m z(n|F)UdwN;g4R=~KRsBcXIDkL#)(F%I3TdH9}*SPgs*9gQ)I+UVZxveOSc2fvVy_z zuD*#WoXBUy`Yx36r4!}_4sN=G;5DAoZ6|S|WjnvFi*>t##yhQ@3_AVfWEzkQEitsL z@Hhk~K2%Z^-Pxx()~W`CcCKS9EC11vog5b6MSSWj@48DpjSF0*d(HTqM5>2vt9G@O z3}Bi@Sp$lCjK{4Nd@u>w>1JbhjldyV} z>e)F&-LN{Hv7ioI8a^g%j319{4fF**lP?E%7%i!8xy%nsrFimc_S zkPp;Ot;(vjOjM1}I?)(#5wT`CVP~`g4keU+DJ4h*!YA7_lcR&R;@x$8wNkB+&V#$q zk0jL*;iy3{j58geE2P4YM>%__qTS-ZAjh9q$eXe#D{THoW-3l1MxI|HQdt^N+y6bN z4sii;!R?%6O4V7Zt}*N1-=O2atv3-Cv3RP<&PnS6z{0836M1~}NNe&i__R2hEvJ)9 zn#pcabsFlc))o1<#zrDqx-Md^%ZYUzHDeA*hkAJ`iU*v# z^0y>RQk@;ba8_})Fx6zT1MR&CmvVgx?W}>6HLC;t5XeENZ1f{POD8zS+4Ll0Kv)hG zEdJM<*?Rm4=XSgw{LAKW6!ShQe_@O&OM@a^B5X>J!mp(gWzTADt8Y-3YL%8L%~T$T z#i$Em3p?Q%_xq;d5$A?K&it_SAduB;eM~acAj=(>xLBca418?*e2$Ixx{sCl(3I{U z6$;Q|4f7pZ`_ny^m8yap%zPP4!ObyG=JkzB!KFJpqJAg zPw#;94JqdD4l~+md*S3H+P)k8bpRkX*xue*Q-c(hdgUO3#TlHFg6QteSuqq zPPUg+RF{;nCCA_?C99PYvnU^I=308Afk-RoR$0az)ix+fK!W;jQcE-Qe{% zX;+7*Ub-FImgV=wVZ?Gsh3w$!g{C1X>K&O)@%#OYy?3tc28#!lV=IPCJZEBu)eQe) zrSku^iL>=|C$RcWzR(o5slYicAS zz^`7zi;V$VNNE|S;q@>m@&B;W&wsH}sQ-hNUP>{k;TBvfR&U1qrr0S{f?N{E|C@?! zL=?#?Efb7;Mf12;)2r<;|JmFYN1*lT3}x{!yYqJGNKEpKKH1&Vhy(GGa3l9CLuosn ztQ-PJ^3e&f^v0h~wmp)_0`7c>6lq)sdGH+2qr<0rbz|F#WmHP3NiteKzxK#S6)6`B z$DCPL|3T1Bso@PFc{nKP%Xi`%-p#64P_XMI>p%nQmy_TZw&U?pyYt+s@h$3auIO&T zF0tVl7ZyYsHz}uFD~C4TwcA|d{&NEif*ZrSKa7|rIJ9Lt9o&G0-$u3Uq~zr*`CEDv zPTw1G4Ahe(DRKd*=$Ni7Y)soYJNt7xp|2a70n?#W(7SX%l-axc>iH49=p7L-Z2pqc zRi2>PP7?PUIBSh<(3e&G*TFLkXvB$NCTs4?x2RkF_1~8-FS=f%>g0=#pJ zDwBb7Ci@^fdxIt{sQ9rO^t@bI(YC{!sKK{`(Xo!YssY+J$4BuN)`q$qjDcSnb?C1I z@-_E4d1e5!2ul;dsP;IRb^=4+_zwCn-Wl}cC9f^%%m-uwn-5kM0U=bt zny|JN9%>sfq(t->I=0t5W<A$k-eXPO^w3)bnbDk;;s1NI3Ptow~Tea{O?PwXk;DxZCJrxhPR zsbLTK!2)BRZB_4BjoWqZ#aL?EWM#lB4fl#%Ph-!NSI+fh`a0YY|0eLh4ilOj5Jydlk!>7%>>u``w%_K8n%m<1Lj3fZZl~%loP7NJJgDn zlhLNzrv%DoQ)7C?L#sxZaUyx0D3@%3Zj=|ZC}W?U@C|IO82KD~&|ljK++e_P$Q3?^ zfMIt}t4N}RGMsC@0d!8SOQ2v>i{-;eEv$QDV0`mHu}Z$pH72nk9nb~)7wa88Ii*NE z$&t;a?wzs&{IqjBoj5ohdbyM$Ae{~P)M_cqi)6Cuo<-QY^bWPEV*9#{Wfs=Fpia9Q zb}h_Fl{fbQn2a=H+h)D^*IMiKmL`YDA0fW%Nki*AX_2K|xC|-p`{4%on4QG^M%BD zA}lPH7}7~kOS@wb7H)52&N+h?U3PtqB+mN9w--7mPm_WspfaP?crR@Gq_Uq2XRdU# z#%km80|Lw!83NhkK;t84EAaeLN$Z3wH+2pt9)IfHT$v9yEc)Ps*PN+l3zT8@AxXf7 zK~Q*Ka3iY9hK9i!p!sO^;mrif)rO?EE0Aqu!;45*AV15SFwct5M^mk-8iM;DDmOoo zoO6jmjXNv6=h01zTtqgkAG%~gHhweiq5m{M17+A|HGZ$Ju2bQ|ETiHlbXKj?`qI{} z7$D0&;A*-2;?UEfu5Izj)nV&|nqYubqNjN-y? z76E5YJW)G6vz%)95!ljA84_PvMi0Mrf=2Ks)TuP^eYvTEA}YZ!`N^>n{_iQ zDrmKIWfQ_OkUUjOq&xj`_YWh=B1#gWf?)D4%Hkne@@*V~yHZ6Ipf8HZF(^f}271A^ z)DC@Uri7qS4b|cY@ zB2&;}sa@((M9dQ1xrY>4v;dqpKR2OLx=zUs4g+2YVl;UDVQ3%Ovxu^j6ZzhcP}Hi$ZkRBGh8aR}fM2=Kj z6VXwYkYFR-sozZ*f1W9r%DTQ^zy3%9e6ikpV6PwDRJu((X3EofPr0`O1knq4Hwq=2 z6N$@d_*F*T>YZi>@g^}hlm`@^f-Yig;(0tj3`9D$))b9v3*(rYUBQW%NH<`rkLB=m z+{P_T;AB(#1P%UKou($_@e;h$#pdM67|v$Gs=snASNR(28KjFOTJh!$Sqq*G*45Kg z$AXyaLwhqCV+Y0PA)-45!__^fnn^K&z1q)=Z(PQ4%$(?)cTt#!4O{@%m18B<_-fM3 z$387axxXiCF#sLPu<)w+Q&j-6%mTZvq0XxXb4AM`+AGwL0UzYdB~iH7#0mv^qA58w znUQOt*ZLqJ2-SzD0M@Y2>Cygl|2G=_k@m7_QYv436@H@5;ORVQajdN{2gKocis4$E zh7SfF#OSYs{c`zy4$m9fK2M$Lc@9-61E{qS{rQ_md4IzXk^~L~RRI0S%-(CJ?kVdk zYo<0iU;J_tZp8GGffidW50&57FJG@yR<5(MZchrL?Zq-_7=~}MoQ66y&pjfu$ z-_*6`P{D0s(QA{rW!Kc225-Q<1iCoEv_Y-jR8JwIRxMWV$UidCdEWjl()Xh@?P8UT zec*Rbqx2pHm$bKLg3G<{#;b8{4r^x(V}1iwI%68 z2j?ISE=)|t&s}*Ji;f3}AJ%Hf7pvnvVkR0`GNLiFCL0y%GYb!{9Sy9dio;MSUnWF_ zHDVx_Unr_AD^?uWG$FDBwr;FsPMhi#fvdB`KQ>OYT48%B(*cWEJ^H(i4Vn4~ayWej z#yX#anyIUxdMXhPc%IYy;~9&S_0=y;nOS_I-enPsIbZLOPjlD0M(`OMt<-*UYrlV0 zY1L65T#JIbT2Fir#uXP2wI!xJf2IDLg?Rpeb1$dWikny*wBa zEV2UHx%katU+U*g$|he_L=CcT_qPnr$Xv=cj#MG^P+)?mBq}u-hWq=M8p;GbXNsNc z>Kd$jM5-=}sYiXw0tDj)Oo_Ev-$h*fcuES3O9l<)!^`KidAB4?F_$iLm-D4BP8#06&Z%`37Z4~Sq^M(4yT_|8 zAE`kcyqXNAJkGOEx-@!of=)_zHyR9wz7ia^U03sLf3u;7j{6n(-(7}M#~5bfsYQW4 z*~fAz_l6p{2QRUfW@ykPkaINVe~*fMxT~45q&)KZ*?@p#^>cPE>MIF8_6@;V&(_R} z*Zu`(R1MjMyGSGB@euZr6Jq*lrN$#{b9z4Dzc62k&~D5H(j=5g(SmGdv0L2AZ!Jy^ zH-j!_pXfYcb7ZDkZMphukKjATQ~aSGvV9#8a=QFUVvnT0ZE~9gXM7b@pT}o%%a>v}uMSSNMyX6sqmG2)Zu4t!LR`Sxw zQ_IFc738nQm8yC!pq%8WUT^faWmT8pK;#dwSQBMFoNnlesi2Tx^g6=9N_j5#ZX(OthIH<{7!%} zpQ}o4^kgTBv3Z}!ek*?(0_*@TH(Px$bf60oYh{8!w(3i;ul=#Kb<LI zY+2Exe)XIM9T8QMskZ~Kb(@Q0q*vdZyH21@iVM;MYF9)Q1uNM1!S1#;R685aNWsMF zwKfz`NP~rXW;Tr9N-#_Ga~1v~(eDN=rLU*Xh1XOF zVMFu&q?qBmwn@WeyApKc4PN_%m1q!G&j)WAadK!Aeo@J9x9cS&Y7HPtu0Je+?UVwY z%DpbF1Or|QP-RAA%)+mo8^0ClKmQ{gS6f6Ogto~N^Tqpw4KAEqSIL~dBqF1Lm%whY3EPY^Az`n`eb+;6)pYG$AA=%8 z-`dBn>Cus2_df-=fR4nQVu&N%KkaH(a{OP+7MyEVc;D?M2d%d89ExZm81F!9k%^rD(Ij#t*{oAe?vQyA>uxuF@|GHbCES=xL z;^zbDMh;{>px<0cvSK}-M2Sy`ZuR4A3c=qhJKVcoYteUPgICds-Gr<6S92eJQAeH8 zrKhw#oG(;R7!KWKbKk6<`|1Hwu=F*B+1`W*G)l1&_`M?S2frwIg1NIIXQSTw>Xl`T zU=M+E^0>PZo2>ugN!t~3yf2u!v6O?ULJR~L?N#;Xh}I+Lw}nfNEO|eM!y~`xcXV-N znSKQsT*bw#ox)6tbp1RCM6v?(^c}X5Ws^XhQa>{Rl}LD(V6oeUBN(WGcur;P4tXht z^7H-WjHo1dDLaS(mJQ6v|Kdk`N%BU&Hy`BCfdog7_TW@NV>U5f;WrG-92^OaG8zYa z#W@wpI;gn7$G^T%VX+&-a=TsKaphqgh7+VF+HF|!T~_c{eM_WWpl&%I54w9s5y4Jk zbYetpJ_r6MH)}|bs8o&7ePi0kzUkS5>1^v}RmUFR))|EYM#X^JdJWJ_$Pn%OvSnv2 zbV6KC;9XwUzjCp}5*9s>`d-5Z2IL7%Krb2q`;0V(`rR5Jw`X-(M>k;9{$bzvdBR&P zu0({mW9H$_OMOEa&!KPro%ZHc%d(%z0oOBuN5mPhDp*|mA*bq+nt@k|;_(HBpxC*5 zpjgClpMa@RIIJ%9{OCE&c`T#0J4)@NjEoTrRQ}YG%Co%wYG8FKv%64MvSCJP-;_*r zY&tJcS5$rAnPG7?HApnv%&E3H-DK`nrEV)bYN!Xmf9=RM6*Qvd9q~n;vYBHmS2g~M zcnVESxRFO?zcP`Qy);p*Es{Y2r-W|UOmWrg6X>5FqWdWIMvr1YK@~3C^KK(64hW6S zCHkf%EDs>q`$Bwyt=?CGQWb7!A*EvPjnnRBLQj7ku*S#W!a z_V3lVuL&s4njD=^eQy)Iw5y<%K~O$=>;hM6TTToaE@+ZK3&CRZmIYDV1vnKIx&j+s z&Jg9^JBG_Cfjddt8sW8vJUChKx1GP((yQ!k`2ptBF5IYIW3?U*_exVX+sNSp8)cUD za>2N$bf$xnaA95kqNIL-GP7)3N`k$Gxb}g4pR69af&4MdGq!gxZP0^2(bXaI*6HdK z_OY7;&=B=9syvz8AD*eg4s@DaMGXd)jvJDurkF^U_9RurmeP1mKLZ=Eo8x8}HO@2ApN7i`{! zicJZ!{jFwat$n8gVaiu(r8nVM4Z7}t@QTgr($_q8SOS(NT&6E-?ktfhiv;Rxz+A&R zREe=%3{>MxROf}-z0UhC>Zr+Avda>5 zX@{H&kRbr6E&>~N6X?nv;N8Ca&Z)tL9PcTG`bFGsjP5MCrN58wf2vWL<<2L@QleRb zqw!XWm>Z#Ec8V&A8AhcGq$4XOk7OraL;$@kvOOo_;L(Q#z~A}(QwRO!4h*J7wLu|P zA^e27z-}UBzFH1Znl3q*Oe2rq?cub~r|*3I*Fha-!i+~uk%f@C{*}R72cK+q+sZPo za7{_V-4N1BBMrPiw{<{>eWLa;g(@;A+*YXAWQ6P<qVaaH!qlaUl(Js6V(}YuF zg|r2aG?vB z6F7TRa)$Foy7rTpJL=*yb)_(#mKUDx7M%*5CO$XSWNQJQ>J{(i5OkmMvx&ce5jNaO zkVs%rKel8Dj9z63CjO!?onCBTyD3e~1c2gWT;4BC1Sm?^uAy>v&Ran*&<6P$`(^fZ zaJu;&@PB~}v3d6XS)*GoFk^giKTGxW~NCBs2ct-xu4 zx?sZFU*=ZUT5b5?n!zX+Yh^#R@LSgVj`a^OHnJGk)Q8h9N7|3;6nPh>@_S}$gc$s; zqY$FA$1d*C^IDsf7!WW(hgvZu|>AU$6VXI zcf*h+A=7^hjY#ju7_S~Bn?#GwD>O#$L{1iMz~P$X+SYWBUcEuUS1N$hf{Ba0=ZHhp z6kl2vaNiI%7JndwP#_mlEQEZ{@Sn^pQO<~l`okag`f+Qufg2RAMk5;y!{Z?xCa^9q zZfq8^54=^U`S(;sDbe!>;Y_YnmF^Mk=gXpTV9qM+ieQM%Qk8^TO81+nHEsD0eDn|RVn(}uXplbS zFD1kF;Gk2E5M29Rt!J^o4B;(?O1k>M%|}Sux_jgd{g+JFcBVjqmG%D6&i6~s0A#Lb3>9}Ia{IG%q)X0L zZ{zpgxh_P*=@RbF`egnEA9YiFR|P?7tC-ABFhLQidsV?6wmT7l^dQDYu`L<*+e4$EqMIL5cS^#T>qbgMZ^$e;n2Upv>8Z)%7mbWKl z6GX)l8p2>enqpmySuwd8?rj_H`>k5rv^0}1CevT_)TpbjlUXkIKg{nRhCj~E=k2{~ zTQn+B4$bSm-;=O1G3$M)bz#e6IH+ML_M9 ze;P|X!=UR{wF*pVUOq6f4(j82^LvJYd007(W^pdgXx=@FcY1ZY=XIzVY*!wWJ1RU4 zzQm2`dX**$n^CVJ^rJTfiv^1eO8KR+zL85;t4)qcio1nDIa9TSGNz|swq5e>E}=zZ z2j#C3ky6W9pQCt@z2xxN*zlLHmC}sul7c(jqiZq1o8pOHmW zq+Jg9!In-E=P(@#k^Ta$7~zv)CZpuSKTDM#akiLm+xaZZpZ3W6=;%jTmsVBh1vobO znRg9!e-7L*3`YOtWbV?Wl~lo47YF@o@llV#+Ow{TBr7rM2Bdi~>)mohaID7c?^(Bs z?g^DKoxvATKVSsl4h2OiXY_Y+0*(K0OSyYOHZR)H!(d6eAFW!XsmKD;Pk;Qbb$Bm} zK*S~f{!!Jxd%}J@4MwgV(WE3@3;_|54jkxvr8@#MZ>j?rv#b8ampw@X`+yTQEu$(A zm~K!-s*5MvofxPOZ3Y?PpN^m8ztQoTE0V49hDSM)LSc&|8AeQ!8;ac>F}Hd;y1g?W z6M#n&R4*zJAUMIW{4gSIv@P8l<)qg<7T;T0#HGEG_meicj4nGx@TM%OnllMIjPKGj zd1>{BfA?*4HY0uXeO8;^!<-7^u!si6g?Z1mfVwS-gf;0DiH{01SgR;ZfxbKA3hw~`mkZPhIzPU9I~{5gMa7QbY;b8q2hiZ!%BQier08gOYJ`i(l=-^# zjhGN5A%Pqv4q8zLT7hgQj;9zIEAyKuI}er?K5Aog9^9Xl?568<1RvrEWVXu{dv33; z@z)0$QxuON{{;0kO+X3}@_8$=CShXCLDtFW;ULfU{^;zj7c?39Ure91FNoQ&MLt>P z^NY49B#5e_ny&9+_Y5`Sih$>W(NS z9OBe-0_ySuLR;MnruGfV=wh%Y$Hx|&?*5|1Zz1*|E8=uip5bqQ3D6`FTM$dlnEmGe z?1pvq$8}bupGj>3fqluIh6&0hE;GX^qGs?Hi4OBmIqH^z>ZTr!WKdF*O#rn>?lC?) z4f(E)T=`3?SQO)5v@!eBZP%NN-gzTc^x$r9$rj_k`~gX{TrR|I`ziW^S_`r;`r(pY zedmaq)4oM0ForBhgEbST<6QtjEaW4oX@52o3eir!jgZEB3@_;nM_Zu={G{ru0mw-jAhU!vr3J)0cE>${ zpNTm$!$=TYi?tfYT=iKxq)*zWyi6=Cm`tKr5pntpUh9CiFFLMuZ(_U_)nsVC^OR_R z60G(j+vYX|dIyP`V0!XtFQ3)QZs2w_Hq?6|UQ*RocaLy)7|}_`biv?B!4&w@A`8q6 zTup`1B$3gF)cJ=w9ucjXqV3_T8L8s)bim4jUGGPxwb*I%xAG=1-|C;hNu(waev8RV z{NePpr354s7&uEf2X3k&`93Ypw>q2~YJioa(taqErQ#y9*`y{64&IVcC5DKVV0Ps( zkWI`qqfLKoX?!6TP!$XQ;j{F=tEEvRMN+{nn6z=Q7PQLHIA;OOc#QE{k;!gN4q#eb z2sPa6egh~Nq9k7!zb1YvMPRZjDvh!E6Qim^ehfHMTiM^3k4@@6-PMj6z3e8s#o`FQ znkrXP&G~2L#-1reTWd3#pJWpmt+CNWLLRd1xq9^K3rm}a< zy7F0>#SRs(TGa?yV3jj=D7I+q6E(IY!s>@gOW7a0y0Q6{gML&;y);=hki0tBjx+Jl zJ;(Zx+pq|HC=B918x60tiqf-dtB@>g0mGsbd97NLrd8-L3iTRw<+{Lb$%8~gU~f62 zIC;@fQl8Ocp_rR2w5lVWT?vom=De_kZ`PmrBv0gOmg`H_2S5R-sg%N0qFh11lY8y! zPJ%f`9hRC(2|w>jcdKt@LqkierNCsbu7BoRSri0qhHFtyXiE296=wvIGUjqNgit@+ z^}570Q53LC7bDGdxxg)jFN_Gk>trZ>_9VR(;VD+9HvBb*DFlbZSFLI^7xKyhes1W) zrX*`CXeB1r2N(CfONN%AKP{{vu~0~qFp=g7`#7O~enmHezI3B&hVO1^baznmxj(u0 zd|wA1C(pQlw@E`_DWf=yNIh5u&-DWai$jXN6jVq)i z$%kwFW9lLxr68t&D`;eC=4@oIr*CQW)A>ci=j3Q=V^1n%WoGGyD`;<|_j69*=i|o( zhV<(jKnlPNzyQD=zy`qK=Vc7w2v7xp3!w5dw+Ar%nb8B#0?+}_{^uey8*9Ozn4eUT zot~DCiI$a~g_ebmg_enemY#%`mgMI$DI3H8iz{U9Z48|ZjQ;Qa{eKPA|1k&owd(z5)_EFF#PX?`qE9E}8x{=?qm#~jAm#L*O&j*X7_--Gx= z)pctGF<38=J)Ad~jm76A*tx4;>H|V>e0zF>0b<4ig8BseqBqYT=H?eGdKb5de!!K1 z3Jx5_ZRO={()(q#LmUX@w_CD!`vG<>53?=se`Q>=puQFX=TiKV1cyilW|ym$p4 zzUylS&MW?v;Pkf89Kw-p^=CD_NeK72QDhwrjViRHWt0h36^=~7L3o6o9l?~Z`z`PU zc!0Sc>ZX6jt!E3uH?>0rXjiDqx`reH_(zALOGFai03WDcZ^ejzEwVPWHqsPOgi(#EZCxk> z-ijdvHLnn}L7}S^ZQ}`@H6=DRJ=iYe?`afKaA>k!CjK!L>~oV^{{e{9mtPaBbeJ;s zdi=D>6DT)ISe|ex>wb;n<3J995Q3pG24OLw2b|Qf5C@P+86ju*)U+BQOphbfUDc{Y z1JoMYRu!quq1;Pz1RTGv7`Xco%K`$Nw=m^CtP~hhM52jBCQK&NM{f^I@1E9=jqN)$ zH8Ux*wHcG+QNDMOIMHf4S>p2U43OUgAnv%%UL#>8sWcQZBl-mP9CNUWN%*i}C$}fmF<7#g zC$1-)vVgKE6=b1CLQ9b_Q1D|wmyqs2f(iOOz>%BGY|GatB&z$_6zZF-hp^dcB$1hrkvP$Z!k9T_<43kQLaf#5Kmcc0Fyr zM1bXh$iIF}6F(h}NtB}E42WWtM*7YWK|*S44sO#j{f!86aYyQhq$(V4ffyh#2-!du zxqbU`dN=@6)L=oBr&1RgymgHaC(F{2SjsO^8MRfD>xpe1SyR+P>VKPj{#_tB;C^89 z?!egPIN%{#x~N#b#i9^K1g!mKv=~xRG_js!&L#UNL1|TO%>l?8HKNQvbdbV4bdU#W z%ZUydIhK^L%o82ZL5VK^1ME?Rv`5)fWoyPwWH>1*+`frxe?(u!cZBrtCE#GFgEF4At2KmEV zCn@=F+h5kionrAWA{WjD_*nOY?H zK_Pz`5`I-)f~9%RMOG&=`3qo2N_v1$KkC2i5acBLOv!XVPFaJ}R{Bo6JmPe0`&9}^ zM@*5eZc5JrgJvifDl`w5;@FETpE6zCqJwtE%~`CSI%Rs(yce$$ubE$}jMs+Gm`{Wc z5KAG&F8libE+A7rt6s{D5B|NNVF(}sON+LWr2udd>2~R+F z6oJ|cLLody1ttNTgiFb%>R$uw2kIyC?*mN`F^QB?Of{~CP*1EcE|3UZ0zQfGpA&|9 zqrayAZP5Ipp%2BU6jBYZLDVDblMgHelR$F)_i?$SwW+bbTJ=?VZh2yPVR`lxWW618 zw3bDne$I})eA^N=?DQUX{-W7j?Fil+t80TIb#KhABfT|2lcq91T~Wx26dO8O;Wa)N zo^6Xkbv$Z!5b6*|5e`Lt^+Mb%l4AE*l zarXB5BU-hD>638>_S%cXKr5Mie6Qxxt(x`CF(~BmY~A(ppvV2q=tj@e+BT4~Mxw*b zJSlH}y7*v7x83r6PVi;D@sq&Qo|tCF#?;BkX2#eK$MJM-O3{|i%FNcHO0%x2uBPs; z3BKJVuNm2g7&bX;dV85!Uy}34(W2>X%X&^#s{A%Sa&9V`Ei$3;uwk9^U}?}6=pXt7 z-fxy{K|;7*DA0@dNhE95KdWXck9ti@`+ZkiCedltT{)v(~Ma&z_ep_#oaz+DXXu;Z^58kC6EXSeS4s&D&= zFX-$l#CVLGrfa(=7B-LG5WTi3wT=fLXTOS|I4;gky4lZM3Kn)t-lMEvyMJSIzrZ;1 zije)cG1k9qxc+mK|DQK<2A2QDfG9{|+&X|3w&Na&yC?)~oij}oj4VcQZze?i9T1p? zOr9^RNCKNmULU+-GcyxS!-8e9DYe35uj{;NB9}yoDk!*$>lA(jFsjj!l_-z; zoviM=jx1q6?a1@Q-IQLfQ5q5MxgW7z8^*8}?h-Hy^H~aFrjavlP$ey$m51yR2x=rt zmLw|7cMP@@@vPC*x24G{6~v5b@t(%rnd=s$l3Xx z*@w`$tJOx2yPfFKq<~ZKk|~eNB9z3$gSoYj(EaI#+q2;cBxT^qcl-GOPp_uy@nDkk z^-E2EgWovOlhfJvPES7`a11om(;N4HW9%)1+X|Mg(KsS)C8fDF7*D9wmlq>$McHaR`g?g;s-UHmeHCV&{2YUbibn!S?{-H!O_ns!^$%X(OST@M$COx z`+?HD?K`iAej0e%Q4Wl1tG3Wipa(KkcWg$T)>H)rEY(6^Tpo20GJQibtD&{guQw~H>VUQ^4xNan(G4ePMiJ)#Ap?Gd)XzH=<-2ANNNeU@) zM}vu%&*o^kSl4=}AvZeVDSY8aJ2hAD(O6;23Hh1({btiaRqriia7SGGOb|+PlIybs z(;Ob{B?}6Mcm$nu*&+t|s${l5L0pNXH=wz)==33vw+D8(m*`-&sgjaYuJgW_F@mHG zmAf{px83vjh?gT<_>9wR*<$FGH4Vc4w)+~%_`#NZZZWSOG%z@wx6vN9{IgE@X&x<0 zCtaBa$Du3Bk?$q4EZeuQr}t8t;@*&D7Kay$LDNV5;Et1Dg3W}i0rssg?GU0ew#j+Jnk@XV=Ukr(vZH_DElf?R7F)M?p|+0xc}z2ch^zoq`ri@9(=r z8%AQ{+pTtez38lI?GwI9AMpDR>=!5nJNaz|elDn+ZcuTT#ZMNkXuy{>ky51vZ&U%B>;w1-PE~!6qFrGxChj(m zEro`<8dAQla|zm>w*gO9M@26tKu>F%(^9L1%J*|p3m`#e=7O)Qzm+>LwbQI#6z zpoX<;y3_52-X<;c;yZK_IP!h)Zk+44t~@sLJEP`O&`aM6Ze?n2Uap6I(9e{!O>pO6 z>b0|3VXVl{SnknlOch7liU_FnVr~se=ld)7j>&YBF)SH}{W7QwV!ELGEE87^g_ltT z_rDzpew*HClZiUjhsrA7zh2K~`SHH(>F<<3HM!%!g^zfYj-*5RlhBgLj|TU0dRPN7 zydOsjdo=5giTBzwx&J@E3IE@3$sQ?}e-WFJ@Damred&fzuuUGUQZHX@T99+p%K&ag zfQs%$HuG&z?1NSFxUWJUph9c!wr;sW+ipSmp307@tfbas0%|yxyN1hIHHTwK>vcb; zj-Oc&jKPMQJA+MdQ&QoNCwDKj=B3_yaBa5!A3D|M6xN^3wryXCy*#-T=pAUy?fMxoggVXP@w=S48f)zt8`3fvln31)tdN+VjLauRd!{I4Zu`EX%3MX`w%rzr7uyMX{bz_wFGj}gvFJiT5`uGen_LxgsRVI zT9T&u@ey2I(@4s3kVCGbRh@1S8j@Eh%U|10Bc+jKpenM z7v48qFDN~@?qt7j-O;tlTLqoRZ8uv$LTv;+$t6+$x|42~ZyhZZ3E`KurogkXb&`3BPwv6kvx95 z!2?NlU_Dc+waxg>)VK(Ora@mo%wEXPT>NCc3W`6_pRrC742me#e4}^@6aijOYF=R+ zLU|?O1=F(PKgF6=#(FtkU`KgFOxBcBma1)>DnG($Y|*rk5R z{49T_;D_{$CJgV9R}}gvJ>dmm0XsotAbu|yzsT0Aj^NzHw%HdDoeL#D)JDmPy%*s# zSofE=1pN>{F~(8Gx#3Db5&zKl9Q`zdeE%8R8AY`X(K->qqNRf)E5>fHjk1#?5Ctd? zgbDHo+5tZ*pCP(Q1tmWU-3tunyl4DH^GfP0c|kU-U~gfY;eJ&oeyikyqEMEcs-;Nz zJ_?Gj+3!!&J_;Yhin##6V{9s% zq__3?xzhQ0O7swvbCTVok^^ujWGUnqOq!=%%yuXxL_#JB|w(d;%Y9u zpg=YoD;UvS2FDWXUwG<2M(J_d2az7Ce%qy0QeI5jxK6Fj^6)7E;K6(4{QYi?!9F0v zqw?xK%%j{#pHuF#6h&bs2tyt#Ty%T@_vZtJhr9>Xze|yEGW)MA5ycPR-7ahw=)?Iy zx4?f9IGb>XR#HLG_!=9fHX`%lQReH`poRCLw5t4n z6$uR--&voT*kU4Yjc16LSs+&-KCQ9i;1yDb&;1s`Z>YXYOOJyXSqHx{ut%Fb8j~<) zi+V>9JZL&(&hkr+Lxrw~CE+<$cr-s2TPv=ri^)Y(X*G} zW%<~;lrD8fYX3Ylsej!D<#l(#qh7lkWE+6{{t}Y^Y={@Xcpd>z-DlyWP^b*g$< zHF?QrhZUbNnl)(FU^Y#DZYPoBfa6G^OCyWcvSUx2HD$|arYIuAA>(bNs8KRuqe@i& zs>_k6u(US*9p!<3|CI#T4{ojS&sB)2KX1{t|E*%G^VAb)ZJRS zYS;YEdPG>HSH}~f=~T06%p_3nT(ED-tieoQsBF#rXSix{E(#p-&YR;j#M!h_b2f64 z9v0ebHAbQz*N;(vZ=ds_|Id6n`u-n~d!Gi5C6&W@QEGt*W7^6^OSEmqOUHB@10Mln z=I&f)tz_YgwrynGNoUfCoeM_JYiHI~5Z73rKDtmjo7pm|9=%Y>d0I^oKAQdMOLX9Z zo}cbC{cl$PApo=MqfqCcf2o2({MF|m;yolOY280qX>6opZ(Iesh3nc}i{jtFQUMv+ zXD;nYAk4DKoPDSEq@0KbAH=d%YtpPq>!xE{j+(_vd8K<+%{vZR)Sz1Mc3aZN71=L? zd$bBjAR|jI)T6@u6-eAa~AH8t< zoxIL4Pi96&UQy%LxMl~mX*+dM*UN0on=NhA(Z!5r2V+=+@w>laks5eF{Y*TbnF1-u zr=o?!Q}lWMn|bi{?1D%GrD$;ADbTo?n^RuEaOEI_n?B*9(Pd~`OU*vySk_ahB{+<;v4Jb?U-}Ptp0;%2~%Q@-ZwmdE0`K)9>gSqYUc#Dj96_ zKafF?{1>vM2m|z8c>nK`wvk~{}RJ5#F zPo^Fx9(hFap2oosp(_8KYmB4bd?d7TT1S0&o?^p;{|$~Q!2xN}tf|ss63-`XB${S{ zCqvjTkqgaib)1tq7wlvuzh5^&%`PcjRx4KaEeqnKwPYGBZUCGl7e6z>CIHaMgWy_l z=EOi3T+sW;i2jrOUinCZqiLC3jF1GG)kXhhKN(8z@)%BY$mus|jcN{&@?wpPHv87h z$qV~k&M%-R78aje!?2YD=Wv#wp6@a^J@rdvtsSH1ZofA$+wcl9m{nG$Gh>2_%i2+| zVFI!~Ee3}EaX|9v3&fy%ihuY}=nDQ9pI84w?l-CT?1Y=sziu~%mel?XW(``OduQny z@V-31{mtJ>$6@Py%dIvn6+|n^7u?D#X!RP|bM)p@j~}*l!D~u=v$c;NCJ@U{{gTcP zE*LAg89uC$O$apG$CvT?7l|!zkNz7Xq=?d>@D+ZdGmKDFEnJWhg{Acio4RV&^w{tA ze#eJ5Cn+8qZ7zlI6=ih};-)f#gbNmKS&$Skz) z$}1(hOpbWLbn-(18GS_{n~3}y-s-Qa=`+zE8Nt1_Ch49sUISM3M0P}Gm zh2OV76BGC$syp~uoUEjbl-W2E)RfLHRK>04KP1Aid_N_SFzd(}30}CnTU~y}4WCK} zjN|-+E+FcIh3?b;m;w={{{^QFpvr%t3-P~=1jMH>;7Pc7C&@nbdL`d@tKXA#^3TL> z)P71I!h}1EcpB+=Ddd08PEQX1LYu9UnOf5dNrfyWxh3?+c(gv|$Gxmf8`NC*^R9pX zLN7S^s`lgfbif4Q8fkC}$AU{K!CjJzWwQ`wl-TwIJyUP4B0 z=8EHElJce;MrH;9 z_w||)`JPehbCxjqsE%7AQ;b0ZKCWz>{|Et6OGo^_%Lw#Go~MGKa%YFw)14t>Xv2-_ zyY5)(p;KsQC;bzer=cS4ZmC&FzkW?-pbqwa;bnm$c*-_j z`kb4F8_-k4xW{W90rm)3B3Gin{G2@ON5YAYW#{7w&)JSDeg0L`^m}{(ITJ|11KBE(;06 zdoaoPce)xxY)UpBUnCMF;M ze?vky9UXVJ0Ljp#q#XTEAsFt~46=^ElulKs1hfS6xgWT)v25v61v;qB!E$y7QK1$Z2poJdlD5Bt~y%g40?n)r+CTPOtG}54EKCY)S)O%W+9lW zJXe<@+f1<=9R4P2P%hfTD>0A?jn(CEgMiJ)2o6VBXhkBqiNN6BiE>U5{LygQHLL&;drfA)#vS$@P7t<7+L#Sw) z+!f5n9(ey8_Jc|L0)d@F^vV@T3bXoWk+ptZu);*)tD9v86cX`2^p+II99zu93abZ=TGc3_?)q#>{ z{}d2aq@d*f3#zU_W|+;|x~6u(I1xOJ;uVG-N@lo7vz?b{HGaP5ra~0@K8HjoMTyzz zU(6-Bl9F>n*@p{x;+TDeljwDf1q=6&rWKW>&&s(HT4V8SZ3C+|ry?x?3r=wB)vVp6 z*4FoWlLz_WoIQ6Tj!YWtlF909-e1L2mR};ZP5;zW0=;b7Hw^KNH+aHqI0fB zJc3XZ?-2e9sim0jre=it>)kA(4&rvtLNjQk&VlVQs$(z`F3#djbAB~*IYh_`h^+1Q z6`^7(=&tDgEo+~J1-mSIo)t12GQ66;$VPzArFU~k+cgWL!Lh4NZ(8D^1g*M)VoY1+ zF19?@v4W6w=Fz69wKYS{R8U#b*g%SAhmiI4*ZP9CaD^I8s)!Kv5BmP#Gjx!(|79t( zQr0R>1%|b!9~Nngf`5J7>?;GYx`m)7Wxhhxg7`cSgjrlgpA_%4bAq=uZNp+w7 zZ47yGJ_BcDS08SuW61co{xE>125cF1S$i3=L|hKbHwUb@n?zEszsJf0o?}+t+lWPu zOtAS22L=aLD{L!fsoD!QyR0ErU+bUy3VM{;4Np51A9r{C0*X^bo{}H*9K%u0aVpWL z@m4V<$z{WHC!{7DGA0`mF)?aK8bfp0Mh){BYRjd?60$psQRvJNNGuR>jG-($FpbO- zejREqO6U|3s~+-VF16DW47#1-DG`xDBbH!K2Q?(8&0MgwF+p@^r)b-VeS*P_FlgN4U6^&#_~-zlpgSW>e>a8Be`lZ0-cN zi{r1(w|76pI+fDLuyZg~7iKJGwwEy!;U$JIvR5I6KbOJYw?$s+mPUW$4%4{^<@DC3 z4v(c@LM5m5t0#)JR|agEO%u(QS}uD1bfjCsuHMG5t*?+NhNYYU6FE1OAIUo>te>Rb zimCfbnNk5jZhJaW3(_ctW`tEt#M5|%*s)^w)%Q@A&qI4JES>&7DfC=@Woi+YM@x~#iQ^Gki11pZie+kN+Y{7jRV$h5+< znEYkw+8sLgDA8zb-xqkQBt0HP?vd3$tG2{R-Om!}7^6MU+WY9EJ^M1Bt-uk6&zGFK zXJZ}*aWASSavu@lWsRe$RZ?pWe$kEB#>U7FtG+c_QwPU}H@D@Wk%P!)+|UkX@gAD? zZ;7QzB0@OEu$Z#eT2u8o*y7^YYNM78rp>si9hf@)F=|kbBxZ$lY-V-c4}BM@;n?9b z6SScALgARF?}s7uGj>c`^x~h~BU|LA7nKgLMoJ$ZdnyT)rJ;a{HyIwjX5&*>GeXVH zA7+jr92O=V2D6=U`m~k$vi>i4K;Z*2SC5PuUh@}q#4NZ@#p zGbNikv6CjjT23hpB0mBx7HY8yjO5EczLKv11-Ce7{TmhSEF;4)YTE*92jP ztL`UnCAA$VV&9#%uHPFq>y*X>@ZS1&MC|GC!kR>VPozb0PQWZM-5Wv^jXD-OAU9Mu zEcFWPe=(Hbp3b@I>osmxw4K&?HEotJowj&MGXkaa*2ym;_GwV;8W(HHw564#C)Xgv zQ@1rTK)>|!i>NZm7GYalQ>!^TTm=@U;KV-EuuhC6s@2&FWH4P9mF;}Zn#tN)Whtj4 zFpm}`Tmf-*K*O^WiFt|8RFCx9pFrbA<(9sx0f?*!=HaNSE*Ykqwvaa1SUtX zLbv!Op0?#3!H4tnoGufQ&8qHku`x9;>>8Z4d0cj;*G5MjT`#(%Wp97}mQq9ERb<;3 zUn8+$(N3bJI%Cit(Wp}=X#nnmMkB6Q_YpYMOPAB*G@ve* z4No98CpBxTNzp_IS^F&D>Y_H|ej$5z|R|sFFI3O06MOw^| zW7k|8rnyzps#J|Kc9$%vm8)RI-X-Y$cx8+)QyZ(wm-jH`k2bA0n_s1pV6{Glkb}6p zMmA?%R32LswIL-mq#ZY_fr>|Q=jBW{Hd7|N71n9x%Z)aOHoInPrF666_mJ*TVoAHB z-U~YL52SLM(1HtVj^xs;nTJkRZ05KXBnQGtor%>ABu)O>)sP!rcRsj73+~bu#a|7wEg5a?cwK6hynn$%MmR=&g>4#>swT-g zNv?udwj+2eL^Jf#3ncydu9{+AS?Uj3f- zo@b3*6~;L+o}_5Of36POC6P6Xtu7xv53^+3G72n?u#-{dsmg_(Y^ zj%g1EVwexL+}1PUO~(zTW{fKsmo+pwkTV+DEbCQXA~i}nrfwQ~?=*>DRR|ox6@|zc z(X{S`V(zff4b@_BK-a+;MDxs&t%{{%V^T&9N7C=IT-!RfU}NNAZDF`aeW3OZQpie- zG9n~4mgrg)vT0~DY>@bp`4(psc`DonE|%+6cdM?Iyx~`6UUyh^9Cz%wRJq`})}3TA z@eq}TA0vgo6EUw5>ys@;AORLc5>vvE55;4Sem4tc(-{`So-WJm__PX-%x9Mn(8idl zSmvd~+PoFlt3ReQsb^1EYZ2s-4fOVw$fsS1D^u_*co$1vFY6B^PS0hoK=kR?Wbiad z;08Oti{mpKL`~1G?YfmF+ZXjpOB|Yehj7+Ek=LRXM(p?lrADiyTuLdt&%XH+@CnywGRYc@S63uRSsKGB} zS0dQxo#7ZI&NGlTAdpG*4j19|vt*P@m&z_X?&6;7@6c;2tdWs+Q*I(%w!t7pC71YS z;@A@FgB<}%Trz!7a%!v+^vx-gM$DDcn!h!zc^Vg>i+K^7r*i)SaO^I0=Z)~tPBn`v56Az94cHqU|ux1-2wWmfQ%AxS=!|W>aZJx8~ z^+?{clG3Lr7F2+!Hc9|Lni#OA9aFkvhP@eDpjr3LjK>4T+YzfAFi6_9$C*EL;}+_9 zcC1q3HC)7mC1(;L{*GnzAVlc&###dLV5M|Yr{fB*+%PuH*i7?pd7H=l*<4|Zk5LJu zeu2!iiwaa}0BJ1h_1+)$G+Q4=zuWrDTFr~pzSqoxvMs3=Ql!jOgZzv$zJp_%Oyk6s zMhCTR1f*V~zkCpCaIEwU_uz#u+kM20jZ>~Y_(!B(dLdv^Oz4|4+StDO7yH6^s4lF0 za39q=U*=?ZTNosb%2BXtL5|4u2~jQ4fJ`E{`%n$R#f5^=MShG^2%{h?3DYu&57q?9 z2%~-n*G^PcT2WKk$b=7W<~qsw{`o-|3UV!>74%if&b{OyVsrD zJ-@MU6lVc)7@^wDU;jaRvgzlJ4i_9@?xy>@!Ev6OtCCjT-s;G?oI1uv2bS*sN^75H z?98n9B3(@SVtL`$VDR6`-y=?>qv@RSg_Csamg#FSjRU3ry6Bvbj&+}+CZ@W-ctS%l&P(qr&FLRk-Uej| z$u-${V;g-+vMk{n;8Y;JBM(m$sTK<7UX3Herf@CCxfx+yTmE-^LbmeC%4T$dw}fM1 z`*hmG@@iEz@ro}KEro4h>I7n}^nC$op3hD>z??@vvR&a)-(O7Xnhbp3uYv?UAN4t= zD#9)RlN;!$&!Jnn(e5WZriCvqoVaedae1{w5*l99j`!(2_FP?~3$0+03$141XICm( zJDom>+%(E%+|kCfnnp2b1ddr7)|AG2rrVmjdS9#jroc>;LLsYGm-8FAP;2p2Rdm@g z)imxnQ5|@8TvFF)camh}#Z|+^+)`JJal2E=$JDF;uwl#Xq%b*rp{~94;MXVB$B##< zuBfW{_G9LoGM~P!M;Gy~TVzrent0pzp6lWwVs#|e9_XY-Hbw6u&?NJaK0861wWQ`a zvZC#Y=Po085!BgA?Rk?f&&inCU3`4#UAyJbyz$G!L2oCFwzSH${G~Ap>nFFv3)gT6 z%zYT1{+Nxm8|c-&fOQeH{ph=;?G{b`oH;*V(m)vRsxpqymJTTPVEOV{y;64Fg*33g zPdca_!>Ef8ZJkVc{nSF4X<~yb_!7Q-3EnWl?e#YAIN2e+){x$^Cit~KX1|7d+J)`s)q#jN8yLC^jZt9(sJ3UHthh|Nu> z`t^VsvA>moe^Afj6v)3&duqzZHr2XzUhUYmVQm)Mo8cx-ym#LE6|bTDY-CJo;hBIt zN6B;^Jy;>SN;tzl2lOKc3z1&G%lKnItZhzXNAcR={&J(;?S^Rj&c}h}%~czc+Ca`f z<}%1bU0CBnIl#pLmG_E^bqa_~+fGOw5==mbm;@OQVIYXaw`4vuVV#OV&IW}7_Sss23$5^8Z=FL z%RLu>x1FdY_O;Ka{m=AtZ~W@Ub&B8DR8AKS^?Y;S{-1~!7Ud{jxAQ`&gDC`W4+(kL_Y^ycjw)PIefWFh#&41Deq-T6^g*pC9Yb4Bl~~=@Z0_JtMrKuWb*>| zWJ6fQS8XaLLSaJDxfwp-c{s9b71cKMTA|S;osjnDn5-AQrbE@aD!3}% z84zTXPAXY&{dAnZRzzYTX^U>Fh(50xlq`vT&gwxLVZQ$LT);m=X&*fpBW6QGhppvu z<$4MigDFP60V&xZp+HxIqy$--57kwciMFA|>{yADM0X$i6<*e)NX30#UBit~O~G(cXcCv-)a+#2x$a|sX^~=YlV$&AjcmI8hS%_%615Zhtp{)%usYXG zR17#a`04F}oKpzw4C_IC(!XnDFm zGVE+q0UusAjFsxm52zIjYq4vueuxe*Vl}XO;U``_myOV|&WhrG;PVd*2*zXY{6h!i zdm(@^r_=>^US?&#^ia4i2)p}1p`D!_Lydf|JB46{>RnN>$fI<+NYMm|)g~NTbilW- zYFFU6{?$B}P1$C?wq;jz5Fkx8!csfeHV>0pbFM?MxkY|5rEl^YR19ZJk!d)%CL1#yk*b1@Z zl!|l1VTb(bWwf-7`AvGwGsAVeJ}V;8XNV;%ST@JG)<1G&3>pLdSM zhXZKBzsun&qoTlWjiD;faXU^lZ!+_CrnF_MZS%u=tr+V;uA?Z)7 z)?kHSp7iimdN@<4wMa05F0NX&+a#fKin2RZJW}c*Ovz%o+U?Wdw@_Eu_j>d)?c(&| zaq1VBRDi+06e1p^LNOj_>8<0vgS3K3Ms+syq)x2ftGd6Ys`}Nzq|i|(p>x$adUL;H z*15_x(I(Zk<}`QvR$Uz08J@G!yyY4-?8vwhlgF+b37{N>5hNw=d@F$a^LbR>oLP!G z;Fr4Oc=J^k6U+-$Qh$xIY__8ceo;Fi<@f7jevq7xufG8lVKcxV9l(VyJiGvByHNK{ z@KGkN{ud^-MUFx-OV@&Pc2E;98nXF3OeriZxXs{X>=X~u0bMEAr=mgzfLN_iY>7%6 zJ8wq+>MHwSEl*3Gf9T+c4v#wfM%UB=;nNA0AUBJ}Vyt_uqQB!=i@s0#Wy1pRh3<3n zsq{^<8S91aZQiyE!^-pTBBP}lZ=GdZi9*3J9 zI69P@x*r*?@88YOkF4mOyXNaRe|uc^x18uAlf~h(I2veumGdh3`9Y0455lz>sGT{dSj+YS^&3R^6XCxGT1a9Yg7nX5%UhU9}%n@V~2N zpo@MfxzGQ-> zuprp-O+=5ddhZYw@-T# z=yfxrW+JMxDE3xjOp(yUCSXX+!MUec(7faNo2JW#^Bp(oilL58jwv@{rq-*=u%6Ss zvH9TAEg(kd=Yvily&NhmiY-iEL+;H&4J-bP&#-i*7*KIm!8PmDM0YSK59*&C>#@!v3$z3hO*24{&?->yPLD{JyJTr5*(?ht`D{5-j;dB1hR22Pf zT;%lYLm1(MEy5X+&jB}z0Gb=(XWh${!yU-cAYDN4WuGQinCq2vVhn83+nU0mr zr_}yJkc;Rlt)cW^nYiK8ScaK{W3r5_-Z`e{PV2NdYB85CvN~V*()9iFy+?Qb`EGBZ z_S>czUq`1t6Hflvx>>9bkBOUyLz^BL=la)8N=<*hx4h#zKwjCJ-!+ZKZPP|LN6oh} zh>5dMkOc;jMe3U{vLv)r3Y}Q>(Qhxh8`z5Qc1V;=Wg5agzqwUT`W=rbSby}9;k=RAI$7U6l8N-#boiAY)9bdw1KW>(T3a=&LvD@Uf$b@vB3XI%U4_tL8l4YcJ$^^$}`4hx9ymP!$P7b)b zYxT{ciYW1I&yiSDr6e}X@j?ot5TI^I&H{N~(qWKF$R3cHlYc1Ga}px2F$7!~F*18u z_%I8T%*G%S9BnRAEgx>d#nOmPWw(}axOdRM&-v77n}>;G!EqJr7%f((&M5Zc)a{r) zk}h4FoP^(0@ZR3s@U8w&9#R;~_7YfskI`M=h0*Y-m2X3q`VuPgQw`y^$=bvjWXRof zfoQ8}xH?=0eat(M;Do)%J)}01uOg?-xp5xGiuZ(HRz4qv(Ne*zl3YnQwn#U`S)$#e zfy%M?8Od}`lJV~K?D*kYkPuJlmj=CyAMe*oMFU}qy;$#`y_fnY(VQadSLBvshS&Md zjJv{=f`w{qxkM;A$T=8x4b{yNoKW@{hdN(E`}8U`&l((hb{i8Yw~QTmM9j=eN~jnG z!S~dWVR5AH2gPG~3{e_Mnlh8pfcYWhQ7-%98Jl{h9hiFPP`fP+?P-#N1=Jv(*xf$) zy1B1!H=;)BF0dA~3KrOKjS{V^AUj?ar&40`6Ghl6?h;hd(&y(BX&0VjnO4AzFOQD# z*dmD`m~lZe(Wq0f0`ra>s_d!!g2a9y-GW3RmvSn#*g#PNi`s(HVZnJUS0q44C0|C#g7Nk1apJ+UI4^v$iM+<{5Iu^Yw-ASFR zR}|FRhvV8gvM>ub8f3q|UP>sW3y^iqwWuN8$>z~X- z=^weLKfJeY(mH(Gx_vZ)xu?iM=~NJKG53v+9`BM)nq_IBm#kt9qi?`pbzD?y_QNuc>ouxyII;bf&3Rr%?` zxo(H(N4Jyp?v&Hpxdy7;5V$l!Ur<%OD75N4ef2iY#X;bnpj32S@&0G0`}(a5~17wAm5z>s(Z%0AlnP`sCMo3yYpfPg9+c;C*afJ6z&{S%zmw*F?+6Xi@P23lq&lIhuCqUH~E)Q`I1 z(?FNtF9Ifsm9{1DPKhJQkG%$&K9YNVX|z~BfgQYWunP7bJx(6|q;0yt>ECz@06Bt( zVIZAweW|iGeS?;oR;R~wLBZ=fmgl!%ivS!TuOf}tvP-mxR2n&qBOig(AgXdAos!G` zX&mmcw!Nw*j7}tK{Y}~jlJi2C+~^l7UQ2`tLluOwc*-7|vQ&&28+Wo!zJ9D6|0LP$ z541LA;CdS2z0+z(tTsxB+v~;*6kNx&T)hC__njVlAuNtiX-#snd_Sb$^6acOiR^u# z-p>;a8D5ExSfl=Y$!xRB2c3;ScLaDoa8_nnR_3PiT-7*97X@L}g z=xf(TG~V_?5_CroY4}iR)+we`Fq2ulMn~j99i}*};ix`*s5hG2sVKQ&EyZ6Clj>Ol zhPZ~CoKk{{n9Z1byRROae_`QFG5mdoQUp}&XH-7rnZS0ecGuDg7nvVEQ?T*bWN@F^&eV8pnWtBBQnHn`O74&T;1eeq~D__`QYr5>ku zJ6`}=Sv)ho?Ma{B|%wZYDZ)ku|<+J;dG9a7Gs>7bb;ru|_;h%}Ui z$&^1RLrx;!boiuPqi#!X&Ba^f^hb5ObnBa+6Q&;)a~!1&zY#5>&ahUQ&XLX& zacqxRUzm5_jMz-vNEh|mUK;%NM@})LgujL6O~IL zp?7_5VD+$nSHD@Zt_D6jnHD+%(Oh6*;+XJtB8J4( zJE{1LxLLi&B+8RR;Td$^wlH+9w3!_r(7QVrnOIx z(XEC@w@D?UM*FR{-kI%^btCW~z(qWUI>DX>efrqa7yYWyn1AK*-9M)6^4iB*8$S`3 zK~4jit=UQ%ihmXI#mQ|PU?;FfXzA<$ypEqN@bfUI<4|pVd=vfaLLVir4jy;ZAGC`K zzWmJ@mDm~!0|Mr=Y^bss87;mN^Dgg*AwZvad$JF4C*Z2$4_uL{mt0MP1NP_bJSwGY zf?8>5*hk$I@Dy&!5)NUn2|MVl>37!Q&y>WIil_M{0EA`&q>d(M z!{LSE+&^s}ZMnki*nwX^wJzdY%31-pJl^9<#FkEKoUTVkb=ziD)y!!~)sna>#j7zk zT>WO7+)n*!x0tuAu49%8?KG!tgC{HDtRYppZunLp@0r3kWS7D*rqhl^HY*$1&Zs$9q z-Gj&qZr7La-c8o0+i$nxw*9^BzkeKaTgPMt9K%_H$E0`v z9{^oIqQBx$b8&|3(>$y${)HK#Et!B9mSmt?nMfQbTX;e&lFV~qQ516iR_F_9gp4IY z5Ml-xoKs;Hht+ZFbalSkstT$M(KHKctnje!7gqEC9@q4R)fYMQL@L1|ndZ}%L^2Lq zUQQ-g^GriIqUCx&-2?n8hhc>nnbM#VbkHuFBa+bl1G`5gWwR>NtV&A2t~B-C?T2Pn zrb&-RGw)HU(WGQ^!Q!O*r*}J{nV)IqL(@&0XJ6@~TYQn}fC{TIjjyn==`cq+51&ti z!dCFhL0PTmT4~-o1ET(qgm;h)1W9y2};x27^4W^Dfn^2KlYt-L}_loY(6M zl5oChjCGFnnt@5eB+Ep7yfMu=**ncQAuus`ulF&c`$HV(2&oo#JPb(>jWVbbq+HY_ z(Rm6w^b(Cb=;%wi`nE*X5@FO6XwAp@_Bhp{coB8@^K!X>_-lS0~r1SKI{KA1^o96)_<`Z%T}D4Q<<7mUlN#! zxgnKdi93Bcl|&*2wEJ>)Qu<)SEe|%HOuw04gO}`oGBu`hW%?eW)8?4Dd+xq;PtVI7 zUblSeU2ZEy&ZOS^g_D3BH!8t5nGa8kKNTl_U!9w%d3*uQ##{xis8}ZS_a@>)gnIAb z_!wb~cVs*zjE_!=&l8q#i-mREI^kjTIQJZSiTe}!ljk$^nfEhaAWul3GGU0or-TQ6 z8{>b9^D$3ZyvCD^kMxbq8(uIxIyyc%bjdH2UZ z^!+*RSAEzG?6xzQMD*2!LBS;NbNR}I!2(Y>PYEZL#C;wCi4o2j5D48tLQyDW=ZIVs zk}QF^(?>Po%pupAi#l_-9c__s=9IefQ+n`9Vix>0% zT)iOqS=5Tud_8oZmn-uUr?m4k#?n{94_tSsH!9U+Lb;`^qQi;{cB~uRIsUN(L zZ|r<6{rm^J(x2Q{p4x5Ri?K?qd zQC!C3Ohx z^}+&J`kYMTMdfL)$Lox80|-z^l+g*BFO57+)*kxZ;v+{JO2&`rJ$-Qek0}9JbN;`Cqo{(o z&Egr{48Db1$n&w{fm|~0a&DwFCVzOr6-6V8ui+Y{sreI2?s3|pwA@6;yC~O)9-cO#8z=@)qAXK?f2<-7u^+m(7MsS(H+W0=lvsbM=&0+#7iLwN2LJ= zUsV}LGr_p5{TBuA36fyUW9=U*j^UW#5h$@_W~oB`EuoNyV}33H9FfYD!00B$-hM0Z z&in?={;?vPRTUz6`JtdJi9AO{94jh>W1>Gq)htf0ptD;piIi{fFsb7;PRV&Fn&U5Hdy zWh>4_>?;_VSNpPceYeZ&;k^u`lrQ5`_gJUBv25Op*NmGwBz?z_XR->Za9 z`?jq+o=y(J?@wx7yz1N&htuCYhTqkHeBZ<$wp=lMcGP=)qVCz5^Ip60=HIQb-FyFv zDPyav=adZDb=$3PwJiLSBDDhIflfB>c#mlnNC*ZTv46_V(m`6<{vTss0v}a%_I=N} z`@YO_XG><1%w(HLfJ}f1(Oi@rA?&h6qXNpJ5=6iX8mj^>MQT4Q*0!MX;!-VJSVXP) zl+wEJf)#1SBD8|yLgj5Kt-ws)bI!dJg7*9UzL2^1%w^W|Ea!Rt=l?uOxVv{dxdec< zn8*OYcHrS}Cmor%2f_0Ve=nq}e=RtP3k9c;catXkio%X3duFYqlqPq)NZ}lnR<6b-P1}@zO}w9ZKEqP-+R_ko=-MOt)Z=*n_9s2#`cLX{rU(nO@{a z@F@aSnM~`OGxO&U{&)V|f;We~y!_A(cK3xXNAee*T@BPP=;jMs-`IY`o1{vC645$0 z6!SR26&_d3VgV(Ph)$wdQD6aNYme+Rj_fn*>d>4w5n58zN!GHUnyREzQmxWkX;mH+ z9u(IooyuuNPAFp)$Us?u9??St3QC^QOExu;U0C#NNfZ+T>lXx;LMj4TKZLA^-s+2l zgtF0D0+Gaw>gaWhTTllkFv?Fl#V3vKU+l$;1f#5QCQ>> zYH-q7i5}LEB%Ks#$wdNjOie2Rb#4w>2jHOG@BaXn_llQZ1|Hd)dlO|Me{5f{bSYDH zZYZAfFh%i;acluTcFL=$abgqDb_V1W38}O_a@S* zGe9Jq4rJJjGMF8#j0#L-Co0neGufHSyuc!Mk#bi+X9F0mumogkkjSyAiAc>R-V;xL zG0m_n_`SRAm>&@vTWPZ*}(FicBSgiwIhO#|5#`baDtGIU)O1p%VZ6tXPSq=blV zM~p!t7)K>21swzE=1?pcw|Il0(yX>>K^0&U4 zf473S{rR_%tu{UJ_*Y5#lUyYK^*e05=t-(L&?XHB|+Mp_-P@C!;xos!S_B zNd}w&eWK*^B`6X3Kaqy2nowxJqR_}A(KLiU6)p9YP#XXNq@4-HQJ}I5T(>hJt&ut< zTG|D6ZNJWQKD!)=>u`**#(sk~(1RQ)L52N+H%qYdNsl>$b+#pRB7CalR0|pqwQadX zZ&$LHs3>MBqaR(zTG=S<>3MRsa?ex}*t+>`H+)C}S{Xwq;Vi(xY$%$wFvlR8^|>bo z6F8$;p){Js)xle17!d1p;<2m`1->-8sanu(^jSgsasg!sjWmNsGKOV(p;WEZ%F0Sn z$>o45DruA@Jc4+n_-u5|XQOL)1kvb{7(5Mnj8Wu`oMj!j=qU>(A;SK7<#QlSDC=_- zsQ4h4gXz=xN8=@Z0{J!Y0{l&W)g4V^uK^F_Mql_A%9quTEzSedB*|O76fk#(AU4p< zK=kE4-)7lZu|Ib-G+@H$K;wgm^QsWh`9C=W(SWH$2MejXP%YJJ%+26t?q>NDj=@UA z3B1U0B1emof;kKcN%l*U%+Z`k<4`$>uhIz!(82{cMdknsg@C*Zh8&FzG@(4+H1 zmo2+}4cK&HTka=FN?m`+&q0CNR}@X5fE+a8dQB?KTs4SPq!ns4X%xi8nHTbNe)vO- zxs2>O$;6l|s7k6oyv(T)Rk1p(MyjjS>guf8FVH{QP(7l$MQy2`tInxz?fsCtqUvYC zry?(?fy%<3U!K}a1a#ZsNTeZmt|cf$JJwhI0=v9 zc081>sm^4XY|RK}c+F&CYI?RXC%sr%sl2UxtA3j{`)4%37`4fa-M7Rax~}TBDp(b( z)ta9g$Pza=-?ay^f-;+2802@^%>Bk3=t(oX#<65 zq!yq%=xxlUPn^K9?(uY?2&(Y-Ha8_K6hl!Y@h5d1R9lMd(c%=TKlxXmsc=g?u7D(G z3I=eIdRaNm@fvh_tLQ;==2f1if@j?c)T=L7rQdHAigHf zHTW8XjghN^S4Uce7Ili=61+AtSD3Hftlt{EHPRXXNI7gD4*%8nwf%MY)1qVXu6Q`X z*6OwX-fWZZuvhD2+1c#jqJJ{y48;g&3;x#cUJo{d(}0PC zCeRFMfKxlHv;Y(Li?DhDqAGx-R=~ol0*I>Mc%TDo7^qJO4tFg8RKK@CfD;T(D{dxx?>ZC5uc z+r=Hyc0~y)E0j+mt(9J<-=^QA(>g#d#<{aMVS%)wkF|zcPaUI9qo7jPW$NN*jG>R7 z)CA(6N~7reCFOJ+tyBP$bFqLNBAwwxCJ`c&5wSpWKM&%36AyWf>~~1`K|FDy;^WXa5(3@n9 z8H!|f)aB-aNzMidGHOcAsDo9u-d`WP22Pa5`zOS1f-~7!;*I{+SZDko`=Reh_=N9- z{~P*$h7_(O1r&>{a{uJXwAC zaB*gAPtIW5=u^3#Mn@VrG#)3A1ioFgGrYT6QjdKxa>lN}Fd3p}(aC^Wd+z!0j=2Z# zYyD~Mwp`++I~M=f^LH(Mdc`jvIsfcBKtD8Y5Y)~Mh1PrT{NKHY-`j&_HVS#sVq|9l zB(n*Q9j9Ue6o<92E#f437Cn!>O`IhQ0k<0lnSkTYcsweKk@`35BlevCY=r4!HH7=b z23eycgJR>X8R7A<>#bWN*Tmkw z=;7LM@30eI5PnMex%z@CMAS-kbGS3igzD<`+W?}QPMg;@OSwf z#!fL54Icw!D|}m@0U5F-o{q&cYbX$Qu$hD%6`6?_U{{jhx)d7@RpW)&fA_W~{NC2Y zmt@%?jL-XOFba%M887`h_&K^@c|-%tjV*Wqus}C9()yS#_J!D$A_DMo#&!mWTK` zu_#su?N| zEg4cZv1DS^9R9|V8>?Dt+G`G1oGkfU**6uY9pnPL;FgZcn2#qbiIJdslXaw>>ZA@( zC~SqxoxW@=rb|OgV~P|E)TQdAR48=728Qj}t#-T3)SxMclWK@tvx!@?yWN^i+!`(t zC0D<6-5P!rE*kaRnvH{kk))1vkq%N+X*~IczF$A8cj-)AZ_=C5LPsn{k6_vArKE6? zptf$ovrc@Q9!}RRD#7jzrRo>m+nH0wcRXC~_*q)lF)f?~kQHua z6!vp=eSs+0v%+rnCb{obi~}u=M#U zk#VWMGpF_6{4i)dvKD|x*0x`m`|tfre??cn-}%OSZ|!{x=e#Q^ipIQgKe+xCDu@(6 zU}s4G0uo-Qn0k5$y<26-r3O2ku?15x{WJ?GJ;w5WSyED>Q`axkC4x>71j!l`bO=$u zk{tb51R_o>OhVZwv7H#fj}u9bO8Ub1u}DO`z>qRxO!6o9&+Z`fsxbs+w=?}Rn}er= za6xc=aC5LL$ONH3%Imd8gt*&zgLfARSCRWN6D7ifdEa%*Kkb=Z4 zAqhFh1cr_6!3!XrO$du6-6KLImr3Ux5)R@_nOLXBX?#lKlqgUIWS11iEbgU{QMVOkEXAy2)-#)#PUaZHbuy+Ypb_L7Y0?YCY0~yvw0ab>yUtvsE=J7X_IX-6UYmi5`ZDf^dN|M&d zATBq_%`%PYf=++@jXe4wNfcy~Q_968Gg0uDk_D2J5Zji+KL5)l!+KgZv0}`vA7fwy zu8nFMO-KlqM?!Gn;<~z(hTztWXo8`a3x*U0qQ*xlS0ivMsk#7gJ8a;+7ThObMMwVT z(th#&{W|IfJu!m$;{Er(z3WMB#AD2i^XvDFo{8-h=>q+4%ms$mJ5kP!t+>hDG?CWT zf3RmcS}YWExikT(Fc1p^!XqOzgGuxf30hpjM^LQO+pG$VYMt&tXNx5l2()|JxgTBO z7=~rI{^Bqs3hpv#inN5jLpn@<%JCaHP{x(>DIv=Z5S!Fybt*HJo5D{Omos;=Pl|iF zKQM>57z-OZHpS7uf?SJhiiU=Z7iKi7~I3M`9NmX>#=NXLXba z;^O@@6hkVewxQg^?E&IQVogz26pD%(F&}tq5vBzn+?pV#EhS#355g;KqdZ)e>+0NE zLMU)sgZT8xR)dg<(TUts$Z3mQ;3Z3i7S7hVIK^NVvcVf+XA=~yrCLx=vvUO(Nz z5(h;J2>K6j3kfpy?zwj#_w06`>~vCSxzX7;yN*4|GR^34nx*6H0=Av)Vj1MkB}lst z9={TC^Z^vvtfN3DN;6?kZ~yO$-oB`Zw|8aj#$$pPj1{(oySfUS#Gdb>#xR%qF6_K8 zbmSHv0CEri;F-CvgOnk-YbaNaVx}_iJ4_cnQ(*s|DRe*klQUXYGb!dcb6ot3eImhr z$ev9=TS%0Np=d&+>9XP&7r-F}54f^O*pLpSz?#(h6igvMrlr=Hz+_0qMyORLf$2!b z<|j4fq#qXkq9!DH8*yVM0qD#E@69amhs|A}#Zf}3HBk^HFBk28xhQ$LDCS_B_~oKx zm5h?aJBn?IEY48{zi_mG{6+EW1u0lpmI4PTfH43Vr?CCe#QuuDXMe=wQbBJ8zEFsf z&p3Xv6uY9(T$4O*&OWOt-(q09Vla*f!udsaSair-i6 zSIj7|)IedO_EHzzSBH=yY|`m~EY~EC?zwP3-FM^M#ZScVe&?4jZY!H{#e)CSF=gh} z_ck!)kB_bZX;5dI}NbbI1oA(>IyLl!LRv)7K*t57gQxxQ?z7=#91K{bIByy zl1a2BcSlMi;@6SLk#I zE(om;Z4PyYm=F!?0zqP%XFE*OD;W5X0hjchfXnO=a50`Gb~@H~1Gq6ZX(rJ9gRJlj z3BoS9nkEG{gjS5!;O;0a$eE%fNW4UIM!CspQJ_nfCuCfh)`q<>k+O&4_7L&PXYM%C z`t(>s>ZqPK{PyRV@+US8Suncq^4#rk#jUptdhER%sazf0b&{z-QdFrhn71Pk@(7J5 ziIL$BC(iA76ej;+@lseB#tj!Hb5n(zxH*E5F&eCfV0~zaG0GYh91@zr&Jf2NEmli# zeCQVT7ICI=i*-wIW@rfrh#adGW^LX$$g?k4fyUR ze>?$mJRq>r2AvD+x(UJDZ=u~04GQ*w`oh!^!<`}ow^P-)J5Bx_$M0n@|5`+0D~C8%mm^%Ij` z&wq2<2Y3Ir?U`K3OG|IxxM}en&*tYqVc;0h3-Ign_ibE#?kf7_ef!?}-N8e@!z@M#a#7i$WP9=_($AI0 zDxau%y!Qs_1?Aa_4VBxFV%$DSh1__Ts9eIG zW#roN0Dpi4>2ZcXL?WpZ2dtBirzboQan4B)zd@=CJ(#idXd$f)_wm4aWaNcX2y`>h zY2g@e>pPup>(MzS?e2u7aXZ>Jvh|H~8B7_*r|zx*wi`V;6_*v4vHqHJ)36MmL35>Q zB1(ysd=#*kp+m7B-7V3|qEu;_st8q56jWA-5|?J8RNN@SaaQ_bFL)~9~5_sQl#1FC;KVfpLR%+1Q} zbC%5w25Y1DzwyN6IeVAy{|HbTAjE#fg*nQYg(`ItBG zD!a}R?Z7b^Ui&e$nsnDq?2@e#n!&;RI=+)qZ= zL`Z&*eAzMlca}i&D^vvUIibuQCVW9$NOIb#v;3K~4sqAn23@RyB7}J*E=B|5RZwBSXem3?uElcqa}ht6CxJDok?yY5-?aC6TSoz zz@YEeb69@z2oj^Vd7*WV5w%WeBnpJC%BJh}>I&mTt8iC`2T zNk;--dVB$!6(~vI0M|{sPLit7+H_C$H6dT`tY-mLYGx(u-&Hd!qBJuj-~&XSZW}rS z?<`>`Lo6=IP?hLF;!d$Nm7#*@fbJc3?yl^Wp%UnzD^*mbST1F$dTBT{OqvWP!>Pg) zaWXQUeRb)*m#lqxCQR;`(GB$0KjV1`Aik}32L$DDHx2NYPPbV7osh!4ec zfv=Hp7S9T~3RzZ>F(K$k8qE#5?Mv@VQ?=dX^!^er2q{tWi=sr)5T;z#GAl`xUfLST&^2e zG_#kME@LL0$49#O<8s6aCD>O7z_0W3e{(z)52e3;C4VbZp1c31+a@lCt1y=C@)~y{ z?XZ}lLjApkBU9piToM@P%6kjc*wG36yHwXi@!SpcB;YSOjJgZteHXA?_pbBy&># z+&aUZ6Js)|aZ||A;9Qy>S<=bT^3*meX*y$3roam+UQcN_jnjBq1xiZY)pf|}kG+(N zw6B`vYAW#iI7v3krL;Mb886K+=bOvShfK+o7^I6>9Ijx#OWChYpQ&{Vg^c6)pS${_ z->BoK36-B`MM)A+jv^VRiTwMhZ7gM>m}P`BThg_}TP80gc+;}dEbnJoUPE$DshVF^ zH36kNX-V*-r(=GGxI+~-9ZSb`zmor~p#t0tr(LDhUEn!K zN;FI0Ht8PBb%m20vDpN-nfI8utY(rU8!TugI5CZk>$&aVtnch>5)y?+pJ{0cp|}S9 zVk2t_{bz2!XLTky{(n&WdCh3VH$29-QJdovrgW$YB>`XSI)-A}W0cl)po8kICoH6+ z-F;K1j@q1=KssI=*uvvZnCL>ugi)L82vJGsI<|#RxK}N&I{_{xJg@_Wg6Or8w>q$u z?~Pw^D>VS_cHgP{#k-$pljoUT$F@lcCP5AG>IS^h#DhDmELCHXg5E8@i~L&`&&K9Q zIu~GvLi~x(CItp`1r0{!U)}ve6I1uXE9>en-?1s*@#+gze?$)EspIB5@YdYgclW{B z=MTeW+b`_Le<&EOL;r(3jRF4btwRAF$Q%Pj4st5eNS#FFdTp90CFwL5-KkqZFAcjb zQO1U|)AXM*KNX(Tex`S_om?mXt}g0MFdLzLVnB@;^`Jq%7p#_rTI(8SDnC`8qCElD zN^9kvaF_CX`5o;&<1qc9_`dqFaYC}Ji!}h2sfSdfPy>KRI;jDWB*=Zg24FVF(QXw0 zCz3ha-l9S|FZWr;87J<|7Ygz+}&jFZbA}50s)o? zAwUQrd?x0_NMnk~M+_0OOB%`dCWIurNeEC%ky@%2L@A|yP^1(oQmaT25u^BlR;*Pq z)nf4rMG-$y5e0?)|7PypWVP70Z{PR-f8PJ|u=D(8&Ye4R=FB-~=FXkHyVt3uB*(<* zPCY(9F2>``H$0ZFB##==_tm~hf9abXD-(5-t}Yw>{uqExb*lbiQYJGytj9KTz!0f??w6o z*P>pF6BhUWsq6Y)E8|wiUzc!m^j2qQ?1R1bB)k`WG~sjCnb=bap_Bn(k4lVpru4JL z6vSK;qs1h5i*Ifs#C88XYC=qm5sSGJD~IHS`1m1l(Fr(+F)$epaYo}dI67WFGVatR z14@Za;iFRartp;A{G$6}po{#3-Ml2$fA9ZFgg~Ny2RNAQ_=|$r*Z=Mb0$|q1Sdz$cav!kmJTEyRUan zFYCw8Ub$YDe_{mpi63e{!|(lPh^6@KMr_vE|c zcJuuX9>=oHA8$OH9|eL<8lb-Eek^|~h+#ZEYE*0v%Za{}74kyIrBO3u%UB67armN& zVjEc%uW~d*{gAaeei-$0_EX1oQK#4m-Y?ma#)dgYMCCZ{aQuzgxsSVrde(oiJmqeq=%tL^Lu@8BQ;0NDf0#hYF|lof>0TUkQe(lZV}&B4_8`C%~+g^PKTyjtSo6MNEX^S|WlZfk zq%Lvv*!@zAQkCZ4{sp}1S03_O_S%jZsRS!|_x^r4QI5oZ{~;G=JNo3P+pg%u9G(F5 zP3)Oi#*Ab71@dRN%szh1px)_xb8G3@nOgOkN1GQlu=m$!4t-6>nerb--6ntk@ilwe z)(lN)G$22Qjg!CsP`{B@FT{#`Uzhw1h|Si@p1$cOxl=q#`;7ZU7dhp9ety~Q$@e7l zkL@4F^VjXK$Mfgx&&Bh-_Pz1^9{WA<{C4~8@qD#?bv$2cUmDN9a(tD*8y$@a+~@Em zaKm9F@Pv4W9e1Wq(qc}zwNu>f;>^f%QJ#FGK~esw_-6Z$?W^sYoyAW~$aA}lJS=qb z`}7^_ZfEw1jy%pNPt#U&#*>q*S1FqR*(hJvcT)XXPOQAGlz&U|VeB{l=GpwGXL)Z= z!9XCu0@jE9fc3sWy-}}^uGrBKTbOsCTw3qK^I;=?E8sV&FZ1#tkb9+WB;La)s~;uWq-@g z@3lW}=bt**FC4#d@K(oi2fxBmgPTMLb3g-DhX<$*Gbg%C-Mv%YOE!MJsM{H=UY&`2 zwH<}cF6|!Kg(c^bR?7G!qQ&ap$s6wD^0rvW2btBILBwy>0~X{_56c`0@2h{X(`Ej! z{&>$n?u9qk^Ue2!^;Z+lZ*AuNthG4;SA9n=?efgOfqQbNdYp@e_OKyrE0gcKOL~Yn z|CktmA7k?Py@HL3J)&NX$1nk~t!LxdM{LO5WY!V={_{Gb-|5>$MNb_@-&N=Bo_6T$ z9#+^>$MG-JanKig91(S5|AjiS^zok9a2@qT8>|pRMGp|i5;W6cjCJc#DYh8WnM>1M zLnxo}$4(qe`Ms0I#?V0GGcmXGf%40bUa{&OCUU{faLt~6X5UriKahJ)a@H2=>9L{m zVX_Hw>xku!nY`}Og4FQ?rzb}5%zb=Db^I;v85cCz%G67Cds|^1?WMik{0!kWe76cG zv_9H6ZNBX?+XLcR{XzRY$CRisQP)LxIX^V|8H;;@Yo@!Odv{D(%)_zCv4?wA_j)OA zYJ5%nw%!*dCM4e7XLQntd<}ZMZ?w)?>izpqj$#1kq0w>J1Q;f^yrn@bH+4`yM6q<6JDKo;Qy2G;lvMf zmggoRH2k;1@6U(x;e0qB{!8JB|1073^Wl8>Ul>kZ7=Pi&^Wl6rAI^vK;e0qB&WH2i zd^jJ@hx6gT90KRV`EWj*5C6LY$!{`=FGcKU%Sfl=q|xBeYQz&lhY;r>PN0O4p9YhL z{K3eVbdHL1Lpu@YDcXyYgUP4jG8OMa{xFIO^+()G#gg+V@Y#u2@)@Q0i~=7S=c%|% zo!y0!V^H!C;+V5vBJQQ)!6-9M$uy&E1l8^Y>aXGfDwZ53 zP_`;rpmGXTJVnLR(E0=_R`eB$o~`IoMf()bGQ>G(r2uiPqI)U2Kg#5w6&cG`a=>54 zQ&gF0XfX$zC4Ge|Q>tRw-&}B8jW`x%a=}f~{S`ex#e-Fu0u@hDIn!XdTyT^06)G-O zaargi%2RsCQ+mq-&jQ4~6x|=3^T6|mh^2&iO2Rzwlx1Y!^T1Qmvs8YGqDxgRFXBt- zQgFVMcF_>JLdkrElJ*MZOd~IH{D^xY_NlWzb+%09m#O?+%KE#Y)kBDPA(o$9{xHcm zG0RVbiZ$h#(dtOjoZR%DMQc<}&selAqKu#sgUS zyZAOHpZPaG<80ShG$Ubqz@jG2#wm3x_5&3RO+fx-CD`m51 zD>@!@oIPLB2@&OctNxmFVnlwjs#~n+eyS~#Xa9&Y10(3cs{C9<4_9=PqDLxviKHD7 z@;f5hG9vPga9{2u4`o9mqiHOt67o|G(&^MpO+Xthq863&Jsbr=%eVsh^{PZB&Rk55 z2p%d%ULESUQL8$tL0W^-3lLYMZuB6n{i%B(}vmqT;-+adfJ!{ng3DR zl5VO%$=iX_O_0PxGr_r5wIt7uR5CWhQ|lG~8S0E5Ia1Tgh;saN`&g}5E% zt1am~=tB-ogk)aSY{#+G>msD>${teVeoOzgO4c@&*NnJY<+rHz7AgBkz8>TRl}xf^ zl~t$4I<8QhT2$-vQL0UyleH=pk2cGSjaEOKx}`8{gyjfElxg9ZGJg zk#qOKJeFmv&|16FNVW3NZ)-x$`3;-y|2=e``o;n{u_Po;a=Ts`=A;hVOxfM z_*^{*xBicET^L~_*%PxbZK}QS=#c!)zE&frL-o8_jktg22^HV4dX2J9vlW}YGU;|4 zwW!#mq+QVM%_bjNvJoZznGa>&>B-I-J=Rm=uklQ8Zfb5@)KcU5UURUeIatwF-`tex zxwx^>Q(Rx?Z)^1w*R<9I7t~Z|UL35iX!O*#dMZ3^!HVjd`4z!=p61%`<`T}#HER~v z)U`KO1aoKC1Y2?1GcI%Vcu)HD`l?`aYjbVeu%7(vELCHANjKXP85IO8I_jJ1JTq%+ z>#J%!BR$2^!4$CT4q^+dcCu7J1rR zYdmd!$Y0ys)aGex_Egumwlv~IMN_q>C0LKlDx9f7TG8rhsR_=nZ)>Zm_EauHwHi+& z+H8_6a64V`@eY zpPvPvGe?aa9o5>c+P&IC+FsxRZI5^Z1$nj=g75r>F`wfvF8|d|XJ?LNa4WMu5$3cI>zXW|sg9KWfhBc8EuN8v+zIGYtX&Uasv>$6f zCax{hPJsSg`x^8a?JVe!2AOSSYsFfn&DI9G-L?qyV%uWSKeXKhdX4QDpx4^gg8rrL zm!Q{)Y+_=J7)zQMCnkWNC@ut@rxy^@FVWGiK24tvdWJp=bcuWwu0C785_G9v3fimB z0bQoIfo|8^K`+odKrhsPN?c!|{|xlC`gNeMw{Iq9zr%h9Y4$Dl`#|qG{Z&U|qQ45_|u{xujF4CNCXFBL%PTU1L$2xxp zdaLsu(A%Alf_}`o5A+kxKZAb8`4{5OXPw7DzvuiA`5!qy1AW4I67(0&FF=3kgyx;6 zoTov51>sJy{KWAS(APLt zfc}}|I?&fUeh&IZ=t^qoQ%fft+86;k!-;!nXO=Td_4j?yA2>fyeR%@$lLpqO#-GqH z*^5NPeO!Iemn2sb=w#V1t3T1Sj&+i#2v$^*r)p8KktWpzYv$1me@$hO${Q=%nlSpK ziCs}#;GqPJtPpfyBd0~-UPtMM)M%3TJFbXhhIBksD&D5zXI1>>{CV@|(Mc7bl`+#*>{fB2 ziU(NzKUd6=@`zOZ&KI%^c{c}nqtSaq-9bWHilcbwr8j!ihmt6n`cgkiq5d?02I4Ax z?t16SlXq^mZ=^Bc|6S=Ytcc4nA2wpvTSC{+N?J?nX*1nLJE@cQ;lA##^a>rJ59kDa z&1}qIeOWpi&kEQyR>I0ykgZ|2vQ2Co+rjp*$Jo>C1#or{V=G9$BSEs)IPTBi&%;SMN5{noKjTOH}1-^_lgP>u1+DSVsrzKWLza1oNoj zrH1z!&d!T7kLE3#w|3svd7Wnc#-(ODzrjqK3REda^MK~;<|)nP&27!sH*aj-rE*;@ z>sz+B>}xsL@XSZGX=!*HLbz zwaVLA#|^|{T9k(B=@q8KZrLoKm9rMMoIS%iAIpP$3BR7N1vc=_dx<_x&Tl6jZE1KJ`+Y?X&!9LkuYA?4} z+qc<|IC33#Ii7Sp?>HTm5|tg5A5|N*HtJ;b*ytV3Y0f6+O6NM~M&~x?5hKnhFlHNT zjh9^HigsnWCc9c(x4JgFcDVlPI_Y+}v)yg(HSXQ+r`-qLZ^qbSQe!e=ro!9h?w{Pb z%L^T1pM+MkQ@~fD)tmuQp+h_xh{1WL_8X(rexuwalzW77r%~=yGuQF{Hl)JVUzobHnlG%cg5sxnB4!eDS6~RVy-C{MzkseesX6|@|Ams z0~CM1+BKAWhH}SH?ib1(Gr3nN_w(dFq1@4vdxUa_(4}?=O)dp$Z&2?f?VHJcK)G`!_WB${Eu6Fd~ex9aUmaWRYJg)ZacSWrp5jqkz%C7@Um-`T!mv6-Wa{ zpkx%w2))Qgf}04v2=DTPs~=qbJQ3|dr{D=slWkD~%C17$Rp4F-&V}Gy2+6lW8b4(8 zLqLgL_i0IeOs3@^PHp87Azqs!8= zq*dy=a4CvG?xU!`8ZsV*2983`qmc6`NBj-~rNrVZeyc(SP9E-Fmkj zUi^j~bjzns!=Fw^YJoUxvH`Z(K!dE2qU;Qx8wj5ph&B#E%0rNHAj<+q17nmQbbd>l zhoH?vkbEFVeV!1S0Zq(+RvVO7`y(BIdBKBo7lewSoz;8@@`h3;Pe-|7$R8e>f$MEp z(pcyn(puiX`a5j;Z7IHK(?rncw(BBgSLuH{p#2@-xC0z_K>i)j`pYP_0~+6fQahmW z9as}UuK#hriUX$(w7(PFI$()y;Mf5fI#Bx%q~C_xhak@ea5w}G(zCXKLkDE*0EZ69 z)&c8ngXd#hk?SA4>0F+a`YmsgwGN}!VbnT|TGEP#QTi}SA4cf|u-J2`aTqlY!%EMg z)?uZ){iwAcwf3Xde$?8Jw)Ugue$?ELn)^{>KW2gOxR+zz`JMH`b<)gwXk|avEioY- z9;wrvp$^&x>2f7R>N13aDO3*bxEl>yek=KB9KGJri3xI{d zBJkJwbm(G+>ggU{0e$*$JRiE@s=axTD%#Rem#HC~pALPO08*z{!Jqs}E62!TX(6o3 zE@E3Q6e z!@2197W8`y??B!nTrFd|3=^!8B;SId@jyc zD5*B{D$vzPYmi@yv<{FyS&y^-Z9)+Rj4__oUa1t zNerxgK$W=)Idg#u<=?Wr8mF?qyh-_crA zKVi)`TiTZ;4p?XReEY2GwM|*wA2CBkhsU(iz4QyEcg(S-#;o3;WGqUKLCG<+4fTE2 zT-Z~ikE&r>=_tmGSySqu!}2hDx5gr+56c$SZT+x5d#oMSWfywzt+UY5Ro2mbrM*Us zfPfm6$~IElVf$NG*XC|{!Ws=r9zH8u;@$nVcVF>(W}dm2dFHAsew4aX_|NVVIE_<# zPb8mlk^fmq^4sBI2pWw-u+bO_k3mY zX$QIw_fWC44jbfuH5%=?Bs1N&ueL~6XbM^)GWvAFF>d(GnU(o=L#WfA& zf(shN?L3-NxxrnO#$$L4rSm?#4-MnVJeh{`emsRn@PT{~Ja;f3Oj$gQr_pFWj1Qx1 zK7x;+F+7uJ(pWy0Lw26aFQy5+fEUt5dz>oAG@EULgb>2n#9&j8u34Dz*9B=?`AOYwL zcz`q@19d(^nhi`;=UDKBI?sZqkxl{%fT=(cFq>E_%pNEMDv8>+0Cxd9h_&xV`Y^DM zRkUmh<_2x8OIscZ7T1;st6Sa+wkUiZTo7;sH-f$^P}XXww6fI*d=Oj$T-&lWnBQ_Y zurrunmlezpJlk5*q6Z6G_5=&N%Nz`rA}=3#`6mCm8v~nz<$-O%@|Guq#p?VsNMA$R z)9#W8y1eB$QXs%l&yBJtfvqkO(Yp9j>sVbv*P|99S8=RrVpU z9dd4he7jmH(AkOSkWo}f6%$N3^3S_`vHd%fR8-us$-?PmW`L7^9F6{Rz^{ezWj+2nyfHW?g zA83MqEQ4=IMAB_NG<;|<{3lCcCG>eP*brC)-Q5hR*O$$ zPlO?Ttsxe)Wu# zkAM@>@1f5r(Ax}oUSWN3tQwQj54dIHr(l!EFb=G=<&oB<%Kw#5s&NqZ*;2G8(^M&= znU6F)H$?iZ<%7~6VWSr5bIPZzvETNk)$G4}W?`lqHT^d-~o+41s*YfL3UhSoa&oXQs0?6)VHNk>N`!h`ZhCGeUF)-zQOFLzPlWxzO}qSePfuW zzVFKfm&f_X9M=h280|srK^m()q&-CAw7uG18m~R7JxUX_C$uMMqPAZdrip1ZU(66Qs7VxwB5D@JqL^Ali726fm@Q^gP?U;NY85`=qc%|{ z%BWqGi*i~Z=8C!0Au2^BEfm$Fnih##;itu-K{V2jM3ZQtWg;lr=qF-GpF71z>D;(BpCtr085O8SMkLEJ!V#f{=d z`lVPS*3de!R;;C8iFINf-7FC37ICY%m2MU5#d^9;Y!Dl0z1S!=(yzrPv57W_&0;g% zF1Cm*v{7soTj@7qo7hI1#9iVp`mMNI+)bOscCnrA5Ie*U+9Gy}o%B1gOFT$h#Y18* z-7Ov!kJG*43GpY|DfWv$)BWNv;yKzQ4u}KvkmwR!^ssnQyhwY+-^AbO5%IEknI08~ z#36c2yeeL$$HnX7b=oHmi^KGUcuTxRPl~t2+w>=KR2-$J#4&M<_KWw$`}DNZ=2gFz6D|$hk5h3c*nXb`Gy3p

    -|I;_X*@${zNTklP8>3#G*bVN_q`_bEae?67n)d%Z? z=_5T&Pot0ZbUmGp>%;Zo^ogFKXV9m5rk+Wk=~;RfozS!O@$|VqQJ+Ys^<4cz`bwXq ze~-@SWqKLY^m4tN+4Q;kTqg8Ny^`rzHP$h^Ua!|Pr#??_WQHEpTbbJ#<&0vn&U>A^ zSuX?2UzTjx3>)if=!VYv84kn2QjBOLn)NsEVFL`e;bsGkSR4Pm<>15j5IdVNH@}1rZL5UShg|A$Y&QBlZ_%a*(f%O+2uxwQNoIi*~V-(%P2KUS&88@eC!IN%qU~C zjdG)$U1`iU=CV?w(x_x!quQuuKBLy~vpGhC(ZI@$Mx&8kWi%O0Y%VcAkuU98Ki6PA zT2o1^4(sR|tch!yKyO0Y1}p}aSy%zAw6KP#HXZ5Bzy@G5>R|0%vklk|>;gK0$AG7R zXMw*0e+S+G-T^*9ox72K3Vf-~vAUh=JgdXHz2+?1umRCPERcwNtmA7_fK;M}TA&dK z5^Gq9bSZER^`nVYNJY3al27j}q~)}d*3m}XtL~(S=_z`FUZHpBIGtuT=4MGOm5pVE z@_Az4t~sf`&N=D6$L3(>_FeCL&9~ln1k^I$8@@HZcR(%hJ?&fWdmdDy?-}1h-wU8B zeY<>q-?N}feY<_-zNbOW@NM#y__l&7@NM=@_2F*Cm+QO1SLj;{D$94HFVD9QRGM#t zFT=M6RElqjFV%Mgs5oD(FUi+P@(&9AKDVz4RHCogXY-YUGJGZ8(>@<4?wjO2?kgbf z{nD53eaBY_>LcH9?<>A6P)B?j-WPn?pdQ27r+g`(cKhTSoTv`>ly$hD zti%0e9quFRj!=d--J1!F1#;`$-a_v*Z=yHFo9cbqTkXyFHh7D@rQR0r0&lr@3F776 zYY}enuJzvP-H4nm-n$TXcz1(-*t@T8YF$y?Y)Q$d$e@4pke}e66X##R7B*!IUD?8} zY+=9_Ik3ou-4^Kui(F1g`YhNbMcJjl?$doV5O%qXJkGmeogvPzoM&jLGXxtA|9{vL zqkL*pw$3ESKerR+3rA^N>EhC5r7KETmaZwixmG@%;^3e0&p@2yCy9w%kxN`J){9Al z?|I3l&w&RD=O3JZAl-S^d6w+|Rvi}iQM`QK-(U|D<{Up{^}B%tpfB-d@HM{&NCV)r z{%q@bA}|Rku#Tr%D6-1T2Fkj@{B6Xqwh_m?$ghr8Dfufw$AW$`0(+2`2&9;hd2msF zb*fokA>BfzRemgho?e{~6n2;IkqL9ZzX`y+?_aEVNSRd~t6lQrI^bWS%JHkGS$Qk} z4dD9Wzc~W9hWIxteelX<#IIV>4f1a@^D1TA+y4)F-vZRuawXWh#zNv30tE9gCbEoq zgy--GWi%KoJPO@7aPMx`#A-o>e@j5Iaj+b?@tcNfzhPYV9 zMOcSX91rWIC=cN|UY2pZjKi=L!?;{5OSu@z+0(6i#g!g@elIgSwN+DHr~BM_nDNfA=UBUUj!-7p3ywMOW7h6??0v#EI-WosT>lxgo${`-9>AXy zZkuck^s>rU0=^A3pFzzU$0~=6!}c4Tlnq#txCBB;VY?mAy+iC($0nD-_BrMt@3*u< zK4@`r*~V$dHkZQ=Tc30JY`+t6h3qKQ$!5oGEu4y-gj~%A31!;8&Q-9pPAON(&N~x; zvH*F8aoU*zt@@oAoPmAn%;r?aWoHgo!!A4Xxq6_dK+QF0A=k*hfVM4wrvSd@RB`8w z3r;n6f!%Uea0v2BkRR;E+1VYZ!8>80oHgG27MZi&`wZ|#(6P@sinS2sqt0=HLvE=i z6s%o8&?iB!kK`7kGsx9j&N!z*-xlXAHwgK>cgDEoT;Q@TP0pvl=QTMSbS`tlB*!%& zX9r0>3T<;NNzN9|!`3^`fwZm8HEz^$9_Zt&-5qy!%97^1z#&Vf(+;`J>47><=N30> z;ha0%yoH6Dlg5CH@~(c+=nOy|1+hS=Rj$*(1$fFaXE)bvDT4f}rNns^@-k;1*Jq&# zPjSvT`@wpQbCBd1zi8h8e;c(0xdnET9p;`|F1uu0f~Cim#5G#3K`-N=(ImG_{B4Zr z6@;-E=2AiuvIgf1@H$MNw%l-~d7l`!T$$XOrO2fK8+JRUxEIC+u>Y3jrYo1*G0wY= zf%R@-+;SV^#(Bq-k79RRMc|)!>=rC{TqQo4<*rlZOS0Sp$;T`Yz|V)s+?lXEbd~wi zEO%Wr+#MJ>r;T`4Zd9Cj?2j{TV6RPe8;RY zF3wkEje}7b0{o({#F~tGTGL&ZxttKr23 zIctIImUk8VgD=;59BX7P1r8%1RTJRluG?Y!ln=z;>UsdU-;u?2TQ9jDdS@&p zu1DS(>m}zb!50Y*v%`AD(sOnSVnV=IZS8d}`Ha@j3a?Vn>bRzEpmLh^(sWId1s-Iw#Yz|XV&9nENC9z;4-WumLy-3bZoI3_| ze++d7U`#j8Ua}bNXDb=PbC> z!E+kjBcRcO>lT+|o3{o1L$(FSrhnvvM!X8xo^}NMW7g;HA^!x9vHmHG!ad}juq}6V z!#EP$xP@b{ZLQ;~|Gw=-M;}*V+p-1xGhjWBe-1}}|6_=*3H~P*8t`Y(wx0{yb{x%o!Acg>byZ}QIAQrvDX!P?;V;}y!92a@;L zq`n)r4EH6d+332c?1VWsPH55SzNbo;?8M%+WbTWkQY zs;~y-aE-Qnh+PS`Ldyzxatzz=yA7WF1ftL|+vvN4ao=6&T?f{<>R9#Nv#H!;z6X{j z_lWNySYyKX2>7Ucix4>{d`l29AQG}cET_%mQ3NtUUldT-FMDzWx%M8~}rYfokKl`#G0mzvnRqjP?i4wZIve z*Ee8?0P8jAV)mQ?d=SrfdlHP@g#DqXsk5G~w~hK3>_5JjFutum29Gb`_dMqVO>EG^ zLVTF^I0LQrM;;EOS_G-i+m}Ep*1qCN6Jm&Q)N_&B!7D<*32igE07O(+ZK1Er;8#6> z2f?oZ$9}~Hp-vAM2>FloF~Q0DXj_BTYS4Pglf-okxHIU#Z=JxtXKnCY!_ffa>?q(l zz~_c{)4uMx8Q?4mjI+a@o1Dt3^V|~r6WWGx_JXG;aM2oL-40x42W>%Lt9_#*JJ4g# zb=?kJv%mD*1|JxO2$N=i1v{T{+c2zyIe6>>H}Kd6ZsOSy(!#f5eeRg(yozTov0i9) zJmqp6F`hf%GdrMZzb)H!%eTVzyC;0>HZ_dJI$H@ls2p1bc)|w6AC-@?!EVs^66TH@ z`@DOK&`Do7_ouVt>>5OmMw=&`x3HD|tWeHQT6+9S@cd`Ko3?ZARev7I3rK#P%$HUo ze{0CophpBfUT|-EpV;i~Z5*GmXWBd+$X{*?um;FIww$wB?9&dZ{}joUIOkNhZj;Ps z1kY4qO~F1+cC919uLDVH{HJYK*~TWdtq*22%&Cr)v)i_Q+f~1rUAA34yA76n%w?No z9T{Lxu!hfRtLexF4lg=#xE5O_%yg%92;_9)@#3~{?r&iGUAO$rI1c-pZC9a=(b|AV z7UZl4N#>#Vw$QE&xaITmwA%(d3PDrYo%*e|VPhZk4)n8^Y=#b%-)-D-yM44RU>x=t zElsdW=GaC%)PBDusiVSw$u{0m>Azx=b`<)0Y?B=ZuwJmE#@`Ehz5hB}-_ZzBqOYUH zy9p7}&n?@g-G2W7StGWMvyRP9WKV+K`!+<0IhfaJ7N>v6p6QtDl)_5q>`cHr@XnME z@Dss+wE^x9;Qf4O2F5$H37%tV!Z={!zIW#eFb9UO)+kL3^vTQ8?h%_2SDaN`PE z+c_`77YP0o<9;Q`T-vz|URvl+CwL9UaZDEQ&NaM0fjy+MBf+-_>zW((0BlD>=L_o$ zeiDQ|%u}w#IBvhuxdrp+Y3B~?2cF>i77oTJ5-0lv3&-_?pG@IBkA)*E+EjqDQx+~D z!+7T`!IO+zHYx0CiaM49X?ADF8rNdyI$l6jeA=<)Td`m4*x^)N)-HFK-{JNqa}^G& zH@T}EW|kkk5h4=!41S7yfg?6oZ<~eL#DsE3oab)fmLu77FL2wD?z|AV06%F49^$9Sz#~V2=Mn4*LQlBl*_MB*6+N-?&#L4p)p6Xj82PLk zdKz_m-=M|UXX!gbgUgCZO zuSmazxZkcA{%IONI~U?-=dL&`NmnwFq;OY~uJn)=p$Lhel)JL)yOBGyl$k*o}XyK%U;y{BGFfe6#42fMphv+ zqy6Md!z}Wp;bHQnVUElybE9`9sh;+LR1sK7Qc?pkS`zKC*L`K z6Z$AZIq1s>AsT%HWuhkJL&wn{L8?Jl(GO598bJRMna~iVIy8cAqk8l+G>P6v)9Bae zELuW;gT9CU7g|R)w1s|$IwX`NAN^}dx8yRqE$Nf|8vSRK*xq@Iss7UE0W-(ro;_mZlG& zA7Bt*7-eeuHG`UA&8TKvGpPvzHm#Y}%xe}jPc_S$HO&hsw=_F6Ma$?UI*rbx6?88C z_K%D$l4)cbM9CN#L%xwrp^j0<5TZ(`5+tEYsZvBy?@{leeN+XdK{4d_TvAF;=}|0I zOVuJ7WuoeloO+*nA0<*v)HhKQ`8`)M^&RRv=(CiCvH(vT~k`YY+L z@cVwWfSwY~Qt%g%nr76bY1Xu9+BH^YOZSrG((yZ&6s9FGo`t&nbFK? z9&4Uxo@rL0e6HElY}1IA(g}15ok3^QIdncA}N)h791}zeZm}Ymlmmjs7t9 zNbFH`I`-|@w^42EJF)K|W9%1W3y>+cFt!lY#j0Xes6MtdwiJCmRvoKGXJWq^TZz6A z`}No}=>6D+*any{64Vbf30ql-_7k5$no)ppfJuNLQfrnpE1Gr9hUTT_6&<5_NypL2 zbUK|yD>WN*9$i2mr%UN_`V_5${4{`>ZlIg#Ho6^>m3Gs9`VxJG?uGn1JwOiuZ3Jjz z^aSnJENULoQ<{g69svFb@`sw+nmd}intS+LMA`Rb7V@=eT!gh8kdC9jgj9@v3rPjD z{%_D9pjAjEvDLBF=tOL7Y%MB{ZH{e5Cy^v!Gm-qq9F&h@5voZ7NJA3i3n()I6o795 z?CdM5kr|f>BpKHTU_8zEq9)V0MXnXbotj(|Md-&&vYH}O5~1V!m?qQ{+6j1xDJ{$! z({Wo2n3sTK-U41`$|QjA;}Z8H+!KH{Dad`H9ma8gxD{!j0_`XnIDDgekR1&tWz#ErNUIvVFrZQ4qG|^$Z3#HIE zzQ*Gzl!A=dURWor!(|imk@kXaLfK=guDND1*4!|iiI7vQOSG&^lpTaJQzRSKN3dVC zFEoj8vCqnQ9R&Mfn!w|xsVSllp-g&>{(`CS8IvRCQ+g!kW6@6+_t#M@V}b7s_deO&3>y*4I<^nP6#wo8<)jVU2J zy{Qb3JF`bA)8Fmr1|a?}d2%$kbD_ zXu1}*nQYfLNV#OX5!MmcUoqXRS%*3srd#28&bO(JG2N|=Gu^HqW$Fn`L@LY?yDJFczApj4JbequM-!5HDf9-(zH1YfT-9Ex&-e`V?bqL$~O}^I@HMgUEDmq?8$0goISSDkO zc~yuZ#&hQ9#tY_6qusn6ww2IlbUYUPX0P=rie9TUEfPCpC@`(m9yhIv*Qwf4(?)H% z>1FLH)2j$x!a563t24*co;Jr3+-y!JYpwtSp9XU}DVxn%wQXi)ZM!*-=>Hn1wVDes z2!2=VHXkRY-&`tQOKUHg%WJQgPl?x_+FrAcl-Gd=*pZB{cx}%O`9bZF*^KoiI*ynd zYRAmYq}_zMO>D2)DRX=6eY3T8#_X=0Gy7{Fn=jQqF<+^DX6~(BHD9lNZXT%JG!NBo zn@2tp3-?<4gf&GRhhJAl`&TqSfsWU)CXv7G75ANc@E2mDus;yyi7@A7B45JwurFrw z>vP#|Y0L?Yw@`-RO?tj2)<*cUxD@71Qq(+(UjIa~l;|TW!|Q%jOo}L9CvSC>J)`;y zua(j3YIJ#C6z{~PFeXC0J{Gd~ciHbch52Um)FC_%jDb3-vAZt8h|FUIuGXbs2=BuM zegbbSUte8Dcn;%!#QS4m{t0_yzCDTGuud5J>$1c19@Amo;rS=#XB@1{*>msB^ED3F znaI;tjPoN}dP76?NxGo$0y@_$glYec8W7DE>?2KUe)-{NEw`Ut4qk zY2UBx--muj-lM!v-lLqA2Bp80^pZCy*T@@`LGlJ=p1eW%Yw`x=w{mY>8dX8wi!6}$ zBL9QD7x^plUgWRIdy&7z??v`y;`bu^^6-0+eP6)uMfMfq_aghg1W&X#(XHLL2Pz6x zs>)QficwXojH)xLW2z=qtLnUph1>}^rQ%c_f!v54^@v;Nyq)FMb(mOMYXOx2>MKwfyN9ffp)Jm;38U!i$;l6?f}%aZ>lnL%YSb1@&Ha{Rsu zT1t=^HHh?8ASEwB5%d?*yolFb(A+7~`7&sX^}P*x-hy-oYBj0u5*hKEzXRlpLhs3n?3P{}6p%k|aq&hsm!)J-fPAJ=|AR z^-%qy>QU8V)l%7&s+Fqs;+gk?RqIt7RWGYvRXt*2sy3K7Cb`(mB&(O1bSA5;jZp$E zuS}=PQLm|Ym;&Z_@c>hbbCpzu%5+RQbEWw`v3AOU8186pQcmm9;^uD~!Ktg(-mA*O`H;M`bz;#jC()gBfB*suoo_ zRg26RGf}mqUc(&1@JfXUKz$|-IL9$lg!_GFrr4~WW|Bc`4A2`7bIfDRSLlUs6aZwv zz&wF+9k>E8y^IwnYzgKWv-)0;DLn}?GRL9bb7m7H+}0w28xyCM;{GH02GAyGQmj97@jmgtym$@O&0k3ouu8UQVwE4xW+Cr_WtX-?FTG3W$E47B=nW}Z- zr$}2<^-5b0wHmc8Wxb4Fdyb6Zw)TS7jxDM6XanFo$H8-947J_btKc1GZ68yvZ`WJ( zZoOZBNqhwX0tgw-aBz&a~qe*f#1lRZej^B(v_8 z?zVuFRIa-NzEc3@U4VO_KPv9U>AlhSMs*K#4|R`pi@GIMj&23)Q?6UrZRlRUH~rqU z?iJ%_o)r&#`Mi!Q)9GVQ=IP_~$+}j3x;~5a(5}kSD`9p{>GQzXp$~n*m#MOH?FBNQ zplAJYeW~^;*slJ|=hd_Na{Vd2j@j0qu6m_6<5AK#Fvqbb>K8DA)7n|>ymo;xgH|vD zwNC+-wF_F%jJbZ&AGX(Z?F;Reb_Z);c167-*u839M}zG0h_8ncd&PaTS z$B7p$Kma?VYtmlOwQ4JL=XI>kspE7P1@DH4s)M?hLo-v?1JTuu0gq-VcIYVGHQf!} zP4#ru3V8oUaqs(cINItT!#F(FKhZbq+w{+vQ#c-ij2kfa$Fvn?*O@$s&O4wJV#^{p5)V9ZNF-6acxAaz^M9Eo-zLqRZ$$qsKxF zVxJXs5bU?S%cJzz$Mm(A{?Rf-mrq6GAoMTLqD#I`X%RpP00(gKW9;((ODWh_C|@^T zZz#ihzoCq_v0$e+l@aqRx}4ubeu014iSXPhr2!ZoXGHZxzFb-@s$&fCCw|Yz>(Vm- zO(L6#eFKkw>xqbYSb83S1#pV&F8Io2fSwcKxfq_4*LYcOoQRAylPAKluJks*%@BU_ z3P3Nwb%22rB(9$v;%OrQV|?E@CT{I9hJp^!bm;43UPw1_AHw~U6CoWUV&I#6Ejr$Q zT)f&VE=`AIIytpVKY1Tu24GHPtJlRLLD#+3rzm>qE#A%;?f~395wT8{-UE05@Gy$k z@-CD<0$AknrO?=fLEy8(m+Jr<055s{UjwDDLLm6v$r!$jI}x##o=gTvKM@h9PiFC@ zlDA`?NOu9iRwoNW`eGeV9tSAp+m%P!>*Of_9l&V-Ge84CGe8?aJAf6y4dDL-{vlpd zJ}xeQQhyUlVQ#%{?Ri}(j*a5E9$gA!{;IIPMqA_m4VE?^w9hh^Efo@hQa zkJSmg`l(Yye!~^?I`1R_q=_I?R7U}j3vdjeh;Ls4 zP{z~g-vbzcY7rO#&hTRZnIzPDJM@~?_gzkt2f zlRub0{MKdu{vg2UTh;mF`QvZr;2f7cHW|8tnuDYsZX3)W&Y#Yoh8nY?gwJ3|`RFfw z$;l!yTp1w!ICk~QdawBqbOz6y* z{p6S2apafWiR8?f4029P7C9m25IGx0LC%0tlG9&u$%!v{&g|DW-dpiwlw z`!}FNy-0s_@z4ZLN0$znkFFeDKe};rom{`fC4pCmCURqP(=xyhK0Q*Jt@&OkL0gHoCH3H%!ET6)EUCS)urTB4K^1`d zV8y{o!s8nL1updy>L<|mpHhDcl%G;RMHDqgjiG(ipHY7XlKmHuWLq*9jRAdKT?>Pebi^R&|CSEqupUWJx{ss~ zz5JlBfL}V2LB%0Pwc}I$p|@I2o;n~10 zKi`s_b>I%9>cbNXTG4d)65N@|p22mX)^-Kc;?+;^cMmI`Du7c>{RBH|5_14``F0M@jPU z$-jqE$*E^)@tg6R=s^5d{1(a}=bF9ssbZWNpc>#jiE>KvjY&QEErXhmeB}IG?b-LCGzy~a*vR{j}V%lNG(A@5$z!_x47OUky?VJni=xr8kZH>*Vf36C$}ov`KVRtvG1=>H>7=Dsb z_=k-0Ubc{+J7|{d3NrD3A5S|S27MX>DKo7)&6suu@+P=CLujoe58({lWzx<=YD#0t zHO3h}agdm_i##wQjxtCL0fC>uNzCUwDe)aA>Ay8}jdhWqm7fKj+vVV8a*G^$z$adt z&=?s({x6%U4FE$(pE?Msg5<;Daxk2RQ%A%2I3&nZ#!{}NwBsbI8B7@?AY6wyjgoSg z!)TaOh>tZjAw)?r1Kdw(PPv~tnL3H{l>6Ar<=>LG{=OQfJO|iB`ji(bTPZuKRH`gB zDK!mpTxO;!QgaD@EVT%7DzzlF3}}i}I+X#uI@L&06V%L1ZG}|AC)`FB=6F7pC3$A5 z6Y5}YGM*F1^Jz-if_s=EBdsye33&dN`Yptq$@oc#IX{P8`R8C){^#HucyIp$ zl7FA%KmG{*0}1o!?Vf+mwB!PSEZ z8n&+t0QQ0#JAKDv?f8aYZC1OGr${(fAx$@gl4$Z~KTOSl*Ek0Y{+q$1K0V9g^)55RgkL_D}Y`JDFx_;#2To9(>cD(A??H|8|tM% zTWR72;9-Xn*Gb^-ssTKS_2gctHHLbU3KJV4Z%k|v?HfMn^GuSYhG=*J^hPOh0LdbN z=Z}>C%ig&_Sy5bjzfMswuxNz7XORi2a@CRgs+z0V)^YXzoq_j?tF7e z)~wn)YhNa~ulAwZpVj_Keh$^H%nP$-=}%r(?Mgl7_Nu)zFSqu-yxhD~^KwP|GyTcS z%4?R_482_cR$11P$x(mLm)~B=v2RkQdIOT*E5x7EvkRmjv$NZ0mt=R% z?jb+DvoFh`Qi|0HMq&Eoj)+f!SKrSI&N zWEY5Lf!fNNnpKfikv%+nj2x$DRs1*iC;zR;&5^m8EBQHBA~RPaG*==wS0Xo8A~#ne zH&-Gzw>Z)3Bfqj5%pD}ZvP#O8yqP;rekUenQ{-2oHn%kSmCx;`n@iFga|&wB7c9&v zk)N*eQ(BU{F*#!>ALxIQv;N*fd$kqSHd*XX50Nw9Il@m|y{qE;KxMHY& ziqX>?yrHV(yPRxiMHRyLMLM?o(zC%qDo?L7sc^d6E}8joq*t*McC$&j?UC$~!}=<( z(bn!asdU4zk4Sb$w@Qo*aYoOr(kt9PMbVJ_pX$w3U|;1#<%)KeO6;tY@2QSrI9(;d zIy6rxN*#jXNaTkV(`A)QL_W1juI9F%VydueoURn21B&ToU{fRmzzR^?zdh1-FONn) zQA}?_^A^2AMLPxON4k@1pUxmJLq~BjXq@g(jh2f3PmpjQ!L31}=bCUgycY89=r01X zkkqvmRBxZ)tP|QJb3%I|e6yBTb_I(;cE6P}ZdG;Ea^?HjuMs{?jcdg-E7Cm#mxA*m z8R_6z@G$tE%54MX_8BCb;ID(NkhBh;6YK$A7Hy7v34ldf63*a#asMQ64^x{CY)qW%!`*O693(incGMze93~`-?2w^3Y6y z*I;2iHV1(nNssV{H%U?Ju!QwC~`(R-)Z*ajVrcDhc|zjl%barwcx= zl2l=+>(Db5ZAW;4>PLBrg@**6Q+aS>*hu(4SZPn{^YAR;Pjc2=MK=jP3S0<2q8JUq z&bNxuPHG&l@-RYv0L^~zdeoHbjuXdB49Oa(>CgGKOL zY%h%m_+fB|N`j@}CY7WbA^%EIvWor%(+TBv6xfQ=O<{fQueYN-SOy;rzK7;U_)>5X z*g7TIGU!WtT7#WwZ3x%@DJDG%S1H1Gd5N|`YFC|Z;2`8jIrlh{9g0;)!}j9UK5o3= zDxLfGYDVNbT006p7rsPMbjB0&q8YxX7%b(iR!Hh=sojsx zFG2qj9IjleeMe_I z*OZCM9kqzQYR=O9EPH7gcbN%X-4w$n@aw>7XpRYgCz@C4RUkDm=%hU8Cti9im;pWp z?!_Cs1oskGH3P{)L5kVb4_rXhT^t_N>wUOKB=@Lgn~(iL@coLZ<4D#anFaP!4C^B= zK(ZEm9lTo6wM6GP@Vf9-@Tu5bkE9R!3&4qr=0vD>H8+5_DW>Yd7b{Aul`9JgLA;~id945Bfu_d z#};Fm@k-rCU7P6XX8h38TdU#JqP9Ef7P*ITfSyuD>u99y$6O3(xi9tiI4?t#I5 za3{FCYtXI`aE(L_gqNedVo(o(drL7IIX zaKxYe^JTQS&jrQOt!hRa`Q(D0)z(>QtslxR2wZ*=2(4F<9|{Ord%ILPRAa9ty7$`Z ziy@G7A{g-E7FC{YD%u21z*ndQyufd*yg7=i{>rIE6D|0&C|LllAFz#>kc@d{3gZ!& zaPwhR`&#kOk5(6?Hr1(W*lAHxS2d5vnq46Wk7VvjS&-g}+)zF3-{V6JDVr=Me=o~P$gpub|^h*lZ$x?5iJvTFG(5H&(tb4HUl>%CQaNV_bdw`lRo#NqCl)&#AH za(>(`Ox;33JI(n7Kf3VCiS`)rR7TM_VKUZ|CrDr;1JgaRPhQrjcKdv zBv!|Y9?~s8D5r6yt*D@xsac_2t6lRDAJAal53?9D)&0Gu`&_%-@!lm9iXP)+u@ui3 zwE@isQy2LJ+{=1)9I6+p&pKjDdsDW*Zt~ejii$(1E9wosT99njpIum+hL?u5^4GvDS^a&&M{FG(m^VPUb3g1peH8hGeI|aU z-_{AZ#41194VqsIG5l~CoVJeMk2uKj&N*&6LO;Yi=RSF3Aflu{MXf#vsl(Aw=ZsZJ zql_s=5uWofbx?6_ENF5X-&)W4f?g&>qxE>p%FiiHKZ8CRFdvvjBNHtAzEy6CEA+AX zoxwNm3DJt2Q$VCV&v8}Xnm^SGT1S@H3+of-+3hbgx~`M4;2Hx#C0D8Aw5F*G+NEHz zFAo?p#Pse3rT~Q#dH0#b!&)7RC75uT4)V1)L*Bv?{+x#ix*4<+*bD3S&+R8`^1A-G zL1TkzhKBi?XW~5$(MD6!tWaVw3ZgH+j2=*XupZvUBJ=G_;GNX}^8Yz8)Ugf1X(+@ih+$=MJ{gYXnPA9>gn1IZ|t+2`Uek%?DH{grF@ZugR?hx;MsO%+-!vuCg!TH&2bZ2x&;y|n!oc_M33Am}Gw87GV-V)GHkbfHn z^bd355aPU8jsUF_^%TY#L4_`TMy4k9S7P=3ZiM&@6%M$ACi8h$1rZ^i(NW5@+hU|g zL-Vp83>q5ODz_MSF9kE+bTLZlgIA8v>>LngB!Gxnp)V$tu{gbkLU~!)_r@M5e{@cBeujSh`WdbX92

    4@+o^W>VaQIc%93|-p(KNG*_h^!tqtqn=-d=u+P zT!V68ks|IYu?)9gDIVL>k0=}KbAavJrrH?-=5b@HUHJo=Ju!NZ;x~PUhyl@wGlLW zL2z5;pGF~e-0znVa7d}ki_51V4%6Fe7`tk|4aGvLp5bdmXWHV6O0013@JGQ!{tt|-zm5}cg_`u^somabd8&t3SK)Vz@I|&+%Ml!~#Luk&v$H&o! zRs7<|=QN7A0$l;$9OMt51u&;GANewYwnFeil&9~z{v@$Rw`FjiZt-V`3H@tAX)6Vp2Ua zccmrQECq9V8)kLO=4cT@W)b0?WoM^Q#_xoyEqVN5+8}} zYjY%>w;0kViZQHV-qOVgcbT&<^vcy2Ocq;vg7`k`4mwZ!+~H)_a0U6pC}h_VCrkWB zZsD?`n2X=7mXE<|zpPXW$o&rHeSh+Hm+@Hh^LEy8pt!(21g$hEWV7G>+hy$TXjAW8 zr^=3xxCU<8Wo$y)L8hZ`mP>fV0EBO3kg>POb8Pex^7btB*vHkO>& zO0~2YT@Tcsf}a?y z1cI~&W8&8#ba%)ct1#A9%+RkUOA|@lPj?pz;?_r%gSF=1HdF%$)Tyi`vxgYZp&A}- zttave`>7g3{V&05fm_8b%lovc2HfGCf|BvZcYdFcz8BpJzN$mTMvohytgb(9XPq|F z*_vaxw*9%;vs-op+L?cC{5VqaCk2TZpeGB-BKM6ejGwTKzcD@1&#s}uar26LvOZBD z2u3?+tyt1W$&abH?WZ9Ku@4$UxV=wyXRm!8Tn<{R@DVul6>cWZ2)%P7tYsDja(m)# zz?=Or1T81d1ykE=>E^v9na#CQ=&-L>TFBB?C!2@;t@FX_+bqT_LJI#;_K~6R%+&_f zDCC15tY5eDXzkP;DuCK7+?EHD*6%q!hoR6t)Y~h+vZ$E=Es?Ky=_;^R=>i6Pk z2YA1`5*P59MLXfSuP{M*2QHN%E&!V{=H@~aGnM!|Aku!5yMR9M;j~}uhtF{xs;%w& zpM|niX6>B%=^Xf;n=)_gyM=3i#@T1QTO_$h;gdZZI0Sw56?caoK#=;~fkA=Ne&`e5 zWZWtIs+BlH2n5b)5o*mqDOd3wz*gba zaD<(c7-#xAdV*l!u4|p1wcO3#pulPqHHvU%)3YZeSwoXgOf9OAbDA_6I$P4^x$E}T-ILrJa@)}y@j2t&2&oWOF`{S@(ZO~oyw^R znK?a|eSUdC;WNxnPh2M0F++|qiC1h7Sz__boXggFn3WJJB4_q>K(j|JQ%*%}lvHxi zxXc}eP1Ku<_n7hn<|uyH82`8C{aL}`q)@3*s8s}J3bgo6l{0NkGgSDTjyO$^-o*fP@KZx{UTE>PBc8-1Q1Kk9o0*#h?-CcB2|c9i23&+ zj?S)m@PHNjHP|Tyz4}_{Gmj=K=i7T*VDpf;DOrpvN-uxrDTqj;3{a;g@uv^b!y(fA zi^=Mi=b(Hb?^*hmG~!r(iN}PpE4wDVelH{^=#2M4RrI=~wQwPFiwTlilCh>O?oH>z z)qpBZeTUPd%6^7T5kzy0utT1L5WW7rgLaK=tD-9@unjJv9l8=B(b{8R!2S9_;xxb0 z{p(k(yk%9g=C|}|(CTEX?^cWQ0_?kRw!b3WQOO{6cxeg+2y|f{;G88+v3=4H-Ek~+ zydM$2w-_4?e>Kmo?ddz=)cA5UNp*zh&p-V` zp0vCK$GsO9v!?=mV|2F~i9@=Lt<2LTj1N%6@f^1ea#nv%Wc?EUrhW}h)OR?|o7ua{ zuSM{U-rMxqIxeKFC~hRn%ZS5QTfrYvq-ips4SkCicD9pB{B!D-@$Ki#o*Wi#O-txy zUA!gl&wJ}19`;|8z=8=AGiOi521R4@i`rWe?WQa2L@~oq{Exs&QXVwjA3H-Hze=KY zR*BA7cnFpP%rc`1C`@aPZO?wSc?V?>KNd>t!Z~2>wo0~n1&OtjU!9A&5cOR!$DG3U z-Ql6Mfq3@AcChSGYVKaGeJ+~psd-YX>DKW)cwKExO>XzKQjE4OcPu%e7)+0tW+ym! z>8v`^&c1)@>%FqZFFR0FjTDJ1#rAw8y~t_ZrY>Nq#+rTPdQ2I8CL7W#;+;$HV$jcZ zB)hNVbzDrhnbBpB9%C`2b{c1#+n4hrkxUVk@}jC%bhWw@$hVNZe+q5ESb}d27$Hh1 zgGFg!OjWTwhWIJ0cNs51j$ki>1vN$dg>NA{%dy$(`j%tyl@(6lXRRA@u}1!92E|+L z&v7l}KTUD+h&b#t?C5lTzO03`y$ShDPG?wk$=`f9CRRNhx+?KAk0ByW?jpxFtScF>7B36Icx@lL zS1A!unT~Fys+2b!q=uWpd(iVBq@yuGC;g!L%)d}d#Oe0LatV7kHFs`IownQY!$<}6Z=v)zdzPH>qMf z4fpCygpOWiRr}lf*!z9F<#NIu=j&HlJmoU4T2b`D&mo(jE50cDNku0go@cB+wfzEl zl0NgTNttoK#5a`J8*0q)4JGh~Y6IO2ULjrVe;xOWdBefK;h5iWkeJQlt0C~S!vpqx zjZBTfb_3ZneqX}=EU!@dO1Goh1IoDmHM0PCRZeJn_)gJt>+J<(foJuNl#y`rI*QEs zRF56oB6H7&jBepmDnC!=^P#$K=0(itmMo90pl))0jOZh|x8QNnM+ySJsn7dYy2**D zh~|?#w#Ws33!nG*g-fDR5b-8^Y$pU zzYUx7rcjxDgCTE|AQ7 zo7|j&$TGnrmy?KAPGExSTx#*Hi;9R=MqmOpx<*l8g7jR>%q_7j0-BuV8}G%LuHfrW3kSavTMYRM*ZIA0S;lI-FVDfQD!$@@P;pK z5@)+ru~o4(eWxN^$b8r_88q35Gc;Ic##-`iK%$M2?xA@+b~+mJ2eu=rQh)jgY&FxI zs)y|CgP<>{MbEW6JjQeQ$(%s)(V3&|kHrU}ICc*0ofP6HYlD<#Q@!d5fv+p^_m>I7 z={&Ahbi&hgYUu~52MH6m8C^%$Mu+v4yT$XDt5s`iUy%$e)T(Do=JTy?;N>*(9MAGE z45Qb423Lw_7Ia7I+Dknu5{o|DY$Mwa5C+t3%33udUm*iJsJRrG>(p4Kv~WKY$r zZ;R*atm+`C5Q7n+LNos2c?C`FnUQO`)<}Jw$#IttCbl1!$gd%QvRhbY(2QU}DORBP zg(vxhcn5q86QY~i;zGt98Q~J1s#FUDD$&Og(+;ON>{4X))O16&v#t1BH{m*u21pPY@;ytV8#(yB&@E88=Zv)oc_%8NkHr@RI~gUsG+UDqrB z+@V2@3mXS_hk8pa24tMnUZYLR$>6r9lU@VAq>B-Et|rDN&Ogh6wW{{jHi;|Wf3w~I zy8H+@f8*a56-rO3>Llaa5dB85!CaiEtFyKBUK}5-sXk)7apPZHvGljxoi|uqT@ZH* z_icX}7c$Y9`+iJ*!}>`5nCm<9GHq8=G0%O3^H}b?dh(kXR3>(V={@DQqunIxUVgYU z>b$InHan@e<<;C;p7nXJ+(B%~fqOykn5seg#Os!wdwIlxeVM_%qMaNyv;$CDq_&wx;Q+Y)pur(@58E;m#*9~|TEt{O<}@ajQGn{MY?js*>}y7&ek z4X_L_3~LTuqD6G&agF47aYno zCG(Dh4CEe<+?d6opRO8N%`G0;QVqQFI7D8$8hcTdHQdk;T}NSrC= zw?=NnSXvkyY&2ztP)f1daRf#*jNjZsoU+1RCE2aT6 z;svx3wBx=TV--WRg`oV9$S3OjJVB%AWcH(i8tPt$`t`-U7RxkbI8uEfO)w| zJu=(GebRBal134-6Sqkfuw7lF5}AF}KIOQ3L8BB|W#?5QP0NrjLk!Q@Rg6WtJyT4W zuHl1>>WVE>LcgvBQ{0}ei5~@e)7(`Y4P@*pNlMQQUaE$PJ4v>?oDGK3YBrg;xz?@> zFL&J9kL>)hrV(g#$IGs{aVLI>hB3sPMv5_3k>($t?AL|tYTsBt%yL`jy@;H2w_;Wv z`)T#7gjD(|EBUhI2|4>9p$o!@g?l$4tML-6`*5VIK@;-`Px!0-@O1g0S_)eV!i+At zGpTLwkWW7srisETS+364P zT{-IkdCEnNoP5H3t4mbuHkFNfX7fsymlgny&f%!R9H+~OBgx;ytj@oQN8Tb1$2@D^ z81E>g_y*kP4Y&8J_A?B#wY@!d9a^HSW*r+&!1F9lp|1Yjdhfc#V`sC|H?m>2!_6j! zGz^`R$2ekdnqSA}K4inl(H0T0K~~Me6csTd=qRmggfnn-Y;=-H_$8!c`mZ{diGJ2u!*x+c=WLV>fs(HTExPCsvE&5sNVw==GRB&h1 zq3oDhm)Je!^BR0(hh5?4_1*?H?s0iHm1PvWaPM}(J@uw(3|W7tvSk%Jhf*a-ZX2>iH+)Su=T1MKnx>?8f`1##x7 zaprzfnY1KhHE##SSo)VtOYuxg`Ak}hu^LjC8cLXSX?Pkkm>P1J8fq995*VzwccWx5 z>GJS2q%baIFfLRu>0}j2y zY5E_6csTIIg~Cq`mTUzY1rj6~(_u9)y~OX)$PwbMDYq2P_{8 zImbUz9Hq(A&r1%xjQBBC2~7IY@(lSgdNe;voQ>|eVKzAD6a_AWEdzJOx!=3Jax?e@ zgj7^IKqIXht?z2y(c<`phWK{|9=(G1i{CX@rM@|IbE{RSOdsu7ah0SlLmNvH5~~mq zzbcT;n-#F0b2>v>Q79Yp7HVXLFYG?ino$gZGo}_rlY8;2|golLKWJs3m+a<$* z9E+)0ZVE%2-gQCY{%vH4tN+6bb2L-q;hv|KJtxEG--UZs9nPcnRUSLE>=9<6W7s1# zXpD7V=-wZ={G`I+w%2%=*f96^LO@|+D%M(Fh>vsAQ)EBh{;Epd(Bj|BCtiCkmai}q z{aqfP-R)^_0JFD#h_N`Y(dbmCI z@f(0!UUZ@1t7sURKL$lCK3DF!tmcHinngcEGi0&d6vv3OtBkasuE(>xnbGxy3D75} z$fTeh7HF7^yzW|!3J?uKo;TTt=h9f};(ZNzm)MP?k4$hyIb&RZy8h-+P5whR{UOHn zV~npffFL1`5o?fCYp9i))YFd7H9d3GdGxaHlD}frfPMD%5mNE!r#HLnHFo{HQZXy# zuGY_2+ke44({9tRe7k3m=6;`xvYFSHxNOg?(H|cyP86VU=q=Umk%XO7+L-9ydy*Oh z5qgdoT|5{9pp_%7iLLbj1izo~UkYDJjw&NGwTZ1P#sss-Lx^XB0R zk?HX9;bGAAMWpIa>k z$B&SVC&Fii?6y2d`iMnoST$N0rgt_Ld+nXUU6$EO$>@eq1W#5lsxfqJ%Oor;XOi3w zR4)i)eo#(_p5lgbP+b~HQ!cC66xWF|Zq}*FO`b?R(|4bK=V38n+9*+e+ka`4oUxl6 z&5%Gb>+Vq@BbMkY!hgEGU$EF_k5EpbyxJh6Hk=$x5JvnthK zX$!2bR({JI?-6V@cxG6r!s?;tYps$eD0ww-(%3$QUP#M1>|u~i^BuhKdxXSF83|~K z3dvMevVi#g-E6e;N0q1|)R}BP3si@>!wF{YLmB>C7~elEx4EAiXa!VMPvc zRJS2kqv@6CO1WdLpoHv5F0j^8j$MGHH~&kd>v6j#t1P;hYTrxEi!Cy)e>CgFD=d!a zxAr9P?h5be*0BA$#2GZ?1PTwHKB8yCfhUidB<|S^`s?m1v&hY&(}A$oOOhczbEo;) z=>v^lK0FZtkcA)nC$n94{!)Qo-4WeMMy>V?shieN>CHu7Wz{rEc+DBs5^=D(AVU#pS6vQ4`m0hPq&bf1! zQ2}Vg%Lz1CycF4F6=*o}&aq_mV~BoB`aSP~pbGedX=C^o!@HCBs`~aY@@{B3`lAWn zgw`3q?dC#AZfW(6p9L=1`cJ`=Kf5r|@di4vn1o>MdveIuor1MS(tKGxo^2)|pw>FI zEJH^NkGx!fw;mIL5J$t}tVa$Mvx~mbfo0H_%?3@6-A03&Pn#rX7%Jwgp%sRF?<8Pf zb42r2zLb!+&PzJ>LO#@Fr>(ct;;M&h{t#dLGsA&|ywzi?C-V6C^bK*IZCy&5Kte%U zL1w0B1QC{J`o4@79qhqUIqpAnU#GsD4VZ%_I}EvUtw&4KRX;9 zRPiX;&fcjTKv^Jud!PI5edN@A1=M>hJXJOtBYqL|kgi{qQ1EjSYvY9PIo^r9KM`3A zX#3tSe13dOzVcPyQ~I~|W@nR%Uy8Qc$plxvY(_1igRooHltO|o=31(kpkT_mqf~DK z+$Msf%waS9_t+I;+!YA-16lJ$N^4-nennF&7g5G@Ar0KI0eYpNp4)u<+dBvgg< z0aN`&jiY*t12;BK4W1VF9V*kcsbgOE6CbhfQz7ia)gc5vX1c`?z6y-ZqIq_|9YSi# zf$0Q&p9DI;sE~(u9WB57z(=Y#NPXt;T?i6Ma$H=K2qi^7AEPhZcuNztJoE^2_L%LE zhNiE5>Incq|NdJW-GXL^ySo6>*Md`B0>v6P>QI9)9C-B|6Mh0u8IBL|@4NU+yLH9W zF9>^-V?Mjg9hSStBMC{sayJ4z^_A54UY7TjIWHP7<8?UO{eYcNG^op&3#*E(PWVL z@Ez`J=<`UoUCP@#%&#EByl(P4!EY*riW?vN{6=)%;SeF*v|icSY9$NY^JWHlI*81l z3f%f~!0|)wcVhCxmAKI-sLe+liW+|!ygs*Bw^n_1nGuW4wvQ#UJq_&_0loIzG#Au} z>4#i13hKtUEeFqf>Z$(XqdCDJ^QDgP7_Q{FCl|j?Nz;vhD0Z0f`gS;^4 z#ZW=p8;Rp21sk7!Ze?>N@D6a&{G&`bR1oCzM_$p_?+8@b4LrOhU{R>M`xCmc{)x(8pE-AaT`?@Dt-7cxNhR5 zQHw7eFYRmqq5I&b1kEQM^eyTEQuv4l@|^m2)yxc&2v<;qARKXW;PGko(IN+W{0&ZE z9j#eokP*VWkAwU)`FE=vPC9To*FA@Aml24FykHj+fNH(PpbiAqd(0Ox;AU|AEwRaE z7VAQ^SDsq?U85;<$N-j=pmE|yItKv*Y}+dm@;*Ax?t-S@PvrZVLXO+*xe`*BA2ADb zWYyV(t$vIjg-^3jg>^*WXH})^;Z13&2PGt-l;Li2#Nj6Hm`Re|o2b#1VeB#nlTp~m z-S!~&O-A^GF+vQJh3S?l9_OXv1-z3yiUq3t-Vx@@iX} z6L{6}#aJ3oX94nZe$;ud>dcAvdigdHekaIq`aCr9v)ne`NP@=zGF_iu)k`OHTKcK^ zW4F1|vroTO%jT6llTRGMcRhdP=od9r+kn!WRxL9(yZ&>(Mq_B(4~qNd?a%r>kteWl|>nsWBp( z<47>t5;pWaY@fd3UBB<<>ZI^9vwe_%HV@&BsbGh_l)PsONkZehlbB11rQ#lMmJ&DZ zOgdKzEfUE!OaFxT2~y7)3OU2$YJNrAv@w%|%pAw&PhJ%S-1qoVlOLVE37i?rX`85} ze>va^c?#vQOk4}Z@fY*0Q3UUrb&yG(Aw*qvs^Km!J72Nvb)8}< zX^VZ#Ib>IhD4bg6UXHaxW#45?04w6yr*H|D#|{k`OftL^p~^9ddcN(+jj zjsSJeN}?*+7IVoeXh*ny`IX+QAkRA<`HHZG)N?0yI5Ycu7dc8j>W38Unrr8j_otb@ ze!Gpz0mSWNY~qWyczxx>%^H^yU!jRU9*3k8p!xCmhP&M&LMO8L7bR4{QTvdZo(q1> zx+++!iV%o1rb^kr7R4DEx8^&(BCHc9>`ASRoVJPn)JcCn+l12-LulXQYTA84E{~SR z{sSmm(QMF$>Y=B}2c27*;f-g&#q`ntWD{u%W7!u;@zN>d&=P?Z-iwLB2!o0DJST(W zD?DDwy1V9EzOw<#b@uOy{-IPC$>ZW8$?uj^9_@o&O0s@2OoBWVtqq}d;^1$xqNVE# zn)<3s-k#I|X=~*F{Bd%|ez`+`3+Uyw8-U(;nYH)a_2Z0qZ=@w|W$iued)Yha)yhK= z&8z71v=fiT7k?&2Huj=#2th#EJ=BCvnzd%W-f%@lo_H&x> zI=&HjbQ}7+zNk^|#!IV81*ON^nk}o2Xi1E$F(HB58UE<{F5vki4-T}4dW;VxR+a*{ zjZ{KKqst@!bwt1M70<)3`!(1O9z=?php@LuSd(HXNM$kmkN@Nj9u7!mn)}e{Etjj^ zxVb(YL1Gqp{hSi0P+CPx!S5pJb~JpV53H)D;}ftyW8zP8aEnPpXBQoP8)~2`VRSbx z{w4B#CU^>P{TNg>p(i+}M6Ns#)afQkUAFUkbxFoj{l@PT)sAEr+h-D`BtSWCbt#Rb z1|*)y(BLE?YPh_)gnR4&;)r*s{NTB!w27TfmGqrf09P}kP*qu6vRo|+u?3>BbfoFG zfU%NCYMe-{lkUn_5$QCU^*H+(_vcHXg$-&3?)DsZ4Bw!xM|JxUt^QoTsiF3hMd92?CYU~#%BBkF&f15GYQy08+=p3#dwjDB+y!`Es*rMGLqm1RGopJtW8we{3)r;^MS&5DE^w z75=3mj>v=Yqc zRhQtk?BDd=k3^>+V*g>H;@^{Js=8i2((okmh^uu;V9_GYV8!r_L3+!Y8&_p6UC>{R zrs&*RbF~$&MCrPEaaHNrs|~TL^;BqDHsge5lUoiDzC9bd{ZlttzC2Epz3Ie39o(&p z-s;#Z~{9zxxsP@Z<3tIw@NIu4kpd`m9Hf(;L?%!pDC9j&e zXPev@{2ZPHYYVi}y{oIGA{}Y|X#vJ={=BALG)7pCP*4QV+~Q0n$sy_@P6uhn_?NrX zD_-KlXk&L#vpU+IF}mlnTP73b*@{!=(ICmqNLMs%T{|>cr*@dfxaOrXm7#}RB1uu(Oh|?aAQEl2lqd|_Auh~aL%P&Aw zUS#D1`P&KVLz^sIq6_@8ITWW;G;mIz%IJo4{L!c-bZ|`X8pYG7vzjiKYJS#w+@!+7 zD`#%{Lh{_Zp2fqPLMPlX1thPx`6tbqmTiVUw#JU%$G@m!g*V#& zNATbDZ(P{9bV#_kxc>oeHa3#K@K2kSm4y3^Hj+e&zlVY(7xgS(*8^L zKjZ$J2QLT5fAQktew&HwZKnTP3m4Bnwf~azjqkrw|K|$W{?7XboNxHQ<=;8~rTe%1 ztBZf||E~Ov_OD!j`~DmL>WTY*E0LS`O|k!5gFI|+Dr95RBhe%IPt)-JZ`1r0iRG^? z-oF1%%kuX54>SDJ{!8EH4{m+-Hc|7YdD^nZE&*XRESL;TNN|E}kMr2GHc5dWcJ z_P@*h@6FG~_Fr24R|o&cl8y7tI{!J6_1}>K0?ZPYHZG=4%n~+VT}%O{#`Y$r%yOo7 z<}Ma*JCcou<-Z%OB&=M#Z|9qk5DMbIr=dssk?#ke`02(M-qj-=wh!|N$;_x9WJ%t2 zV&HrwL3+f8#}w(ONTDE~PnUa7Vu1yRujGhZ3u?wm8d$?{SJkyzwk~n)nbBSZP0#3& z-tw;UQ^OX&w7f39Uf-QBvQD!moI$LMZHruIYqd*Y#6a*wXXx8aQ2ysFIwjUe!1YVvVy)2@~e_mL>a zUs_-|4?C^424R@l-6j|#@!)4_XSjV|?xFdO$+=j~28wU%Ykvm}2i>lQK8!x}qPX^w ztS#1V@aWhVRq)Xtdytx=eXU{nj_(4OTsSwIwq>PO{uX0Jty@!JcR{2u zrLDq0tBPF`?Bif8`@?_D`s6rLEk`&Am-~LU-YlB%H`4XEP(IgV8njk@h8N${F8faM z%)$iDiECAv#h8Nf3O-^@IYfoGQ*(XWBO@-nigW4pWJZy>*;{^NYD_afCH$)0fLoCM zE~Ik%hkdJ1OV>sAK9LEd+}C)ex46bEe(g=WX%%fN#`j7QQ_TBrNU|GBbzyiML7nNP z-{3yUE`;1#F+B*hBITKN*@=;gqEgL3`s?V1hvNZ3U>dIsuyB98K67(f(#p_Wpp%-Z zewg4C{N%Ju`QRO=_8)JQ58#5ZOotlIC%7AbAv^=q`sXRzumX>V)x@h!&1uEuP>+WS z>TSHb#{xSsfgY8Aj!AzFVENX}Yk?QM+k9IS<13Ricjob3(c(wNbFBZ%;|h~f4;jXI z6?HL;ARgFvWy5(fF#I9nP9Y^Iqy1tYT75{=M$x`v=h*Oom22e@uk**=bKr7UwU@OK zD`u2$j$Qc)o7onzRik3mt2+LL%n`p0;^TVvW6$HssM=E)`rV7_MV8}^matOYW!qHL z>r3uqlEmX{Q*2AM)oiJd=ky?U0(PtEwrmoEevL!Nlc)3UaHg8NHc-Gh)cv6bvp^w5 zpvYn)_#Ey&M*?+d1aE`4BO@kuOU`Ak6sLN?;?E)0s6?XIVDXfcof;tPFh$TizTf+a zZZI5UYf*0d=A+1fw8+){9*OXIW3)jm)rA4~9xt*LpAwbtt`ekVnL%!-Xg>JBfiXfkFJdFON(7v?VJihWfcBEQ`uXd zI8~G6g4#5+v|i3^WcrMyGL~ww7B27*?e(k#4s#I=qob+0h8353X%FaA1UVIBB0iR_ z8UNHt%wx0L4Ud+qS|(&h<^9GG)bx1wicuhNg0d_zjOMA zietiEn2LV23>NDt?=be4MAE2%P1+cwuft;%v?eqlgK>=c#Wi#{=6Yfhxz*Z)oESM3 z&jTIA@g?YbZ(i2&L5BXnhE#x}n{}mR8;k*G55~TX$3%Ki{{Gl}=vZBqxn#gK%OANO zX0b5H#mPa2o+0X#>Wr~Kg0E_vRoXFu6By%6wKXNh!T2;ro{6eUeTd#@ZqX`wkBIX; z>a+{!()7K#TZwLJQ#0aAYUSFcV|=xp354hBMt5|A*a0%EvP9-4cVAkVbi8xak$m|_ zb@(DO)0~E_LN+GUK=XlL7`wik@2Fz&u$jWWy~C)b zoIT=Zlfb}FCAlpgA5m$8H53Vqujh!ssHn+TQJv!P1?e-hh4jrXvtWXAe8@)>b z5e6%KcHX^n$D)kxnqlbL-=V$qRxQw;**@JrE{?8tCx8JDq<=t zlcncs<^pL@R(txa{7%V{*f>g=KVDxuxxmK0<;7E}XD$h&)1@s>)tVQCHO0Oy ziuB|xAuH{qVJq$rlah^LwSFmoY>9NW%JUlewQcRiGihUHxmlVoNt&jzfHikza%sgC z!y=7`^2vyxKF_KwIa59XMNcik$hnF`j`HQ~{jHoadJlV98oh*f_rZtZ$TKgqwmW!3;M6*F(-Xl8!K&U~-brdE!n=H`DL?Y+5H*$2tG3pU zxVMHwRv7~5lFh~Wte?Py!y2RqsZKjnsz&pJ-yBjft4IPVao!P`Y7Oyy2Yr}2 za3{muFO5{)2~SdorLWySimaT^97uKpS@vTy(-j`c+(b^_P}K!;nx~Ne zFqh2Qg(Yk*RN|Qi9?BeVDN5 z;zds)$5t{OebKqLozC#PQ#T143uU{vFmr0{d%rBAERbGo#8g5KbI68ciRz}RiKrti zYH$XEH^Ruxqlmu?PuF*B(8eZ=Y(!S}7!i<^NM9{QInHh~9PUHrt4W&;@Hr>Q{dmGc z26S(~eytuX-$aQ?@XV1&jjre8aMnngKVQbSXc>+Y!jY)O66X9Aq3vQ7?R2A;h%AI_ z6oF+f?U;|10Zb?D*$3Jr8pUB1NLML?P=KXLU@?#@utpX=5iAc<$+JiRi-XK)Q*$li zjmoeJq|oERUqD{82f6R1l@(N>(eqfd4@o0h6fTi(9Ay^4ORVl|`y| zWls{&hqPxG=tI?00DKYywaK1`V-d@qbJGgNZv4a|mO0m;eIe_~%DWQ*2}_^1V)@5! z2-3cg^ql5-Xn=x%E#y6mz!u7$G++y9&mr(h0W_QIp$1x&IsZ(nAG0wFY+>k;1-8)i zAOQuKdz^s+^gR{19ugn}ne!SfMd|ZgEJZ0t5l~;Aha5;UZ;rW#94NrhBL)

    45<* zG57caucRC+ux_Os>#^LWs}wB)0(wqR*XU?AZqDQuX8kb;){qfVvbtAwXS{9wyq+ zqzwVu(FCIcEdJcR*bQ@_O_EU>mQEgzB%`o%)mcJ22eYqX?UBBlyKU48F{mK%rv z8-y6J{}CWj*@ZzwUk7fB@)GBt^<1%1uKmq_8K#k5weSywMPDiaRQxj~# zI!*=-0U(9#V2+Tdes&#sH$s|9=sE&7LPi(Sv=bncQ-1#o18f9NQRNCc@C?safmi~l zG2|)7XaR9W4-{ZBa5w-ntXHMd5fFl9$}s*3JdRcRjV9~h2N}L}yd+1U1Q$hj-Kxff@uAI4t&_|^8W z)sGO-UIR>s+!e-n#MTA$_@#+Y)SedD09{awsg1%bHBu2>Q2GjX{2jP&;F5JvP^Knp z51r0Cd5@G$Na_k|{26eIe*JS765srN&ko!ib|XHS9|Rt+N%&<4em|Z8aR0ch;PQD~ zA8Y`abkM)lp&eudaI4JA1Gq7kMO*~OT>-Ng$D~c6fqL@FehMzw33}2laN~C1p`uRH z_~!UM3~)rz5gEvdbR15#E@ICId>J-Mxged%Pctii#ST6OEQZxds67921{Y2Lst&IM zs2qxzqi5jBUkC8z6!Apf76w@mD)TER7yc0a zUieT1C>x)`S3-ibrW&IHOMq(uZ=115A4XZQwlu03A+AeTE@^WXWp=5}2@n?KU>HAPnU^&S&-edU{ z!BU*d3c*q+Ifj}cnl|Q549yt(#D|IMiHQ%@yl4@Be%{l2b$>1pLgjg_!3d<%TuJn) z^mG{?q^*$S!%cENvDbb~lT}%k4F0+Lt{_m7rGTkmq~yX(S$Y~wl0@NJ0bBI82&V`e zK63SF5^zx^ng72)ZPTe<=#g9BU+ z#|5seiTlI*VE7;`rB?aE`e6DX`k+3D2DBF*<8F&0J|p_PAq#qvSg)jiK>Op5C<62R zrX^Py`fMs5{2;uJ)d3B3Hx@+pL-HW?K)d7Zw1=sLZ-+NwQY1-uIiFSoiBvfres*HL`bJv&U+N!g~dH8@D4hk(#^b+fdr5B(jG#?rB%FBFh#%h_n_W}DM^R|oOtZLeO)w5hZEV|$t1;9h7S zT-n{|XCDsrGiNMS9X(gPDqmVUooXzu3tw{0ZMbMXY5ATVXSat6bZ;m<5%8WT{8N8| zai?YJSi*^ia!@QOjwFGK<_J`RKKs6(Tj!{9R~^`35}cXa1get2%}XD)nQAYCF2BE;aU7tBJm)Q5v@zr}`VEOux>u-@NQd4g0jU4*Tp# z$@$3Lu$(h9= zMO}lgCIilKPe-e9AjhCG=P?G`5v5MaZGaZ{_mf% zFWZZbkUXZs_fo65EHW~F$l?3S=~k7=ZynI|nDzmE^}p>7GRw*DME*QgE1J$8(w^-6 z9+&9yGmg*Dfgv(dh`pLu<(#%ln7uPQFCuRBO*XIbNKcJq_)>mEoIyAXIZy3WRjf zu5%etSHWswxxgF+xV=NKgDr+D|AaP<&IKFOp|g(n4w(z)>JvFOjCCMM9?2QJdIyOS zw*yBNsuoHa3_~F5`UfNab@&w6osO@sSNjrayQ~PHaH?oboekh z@Fz^RH)k+(Fbe^wO@W;q?~LB9!_@@Hzk~mbG#Q}w4uJ^f1N@f&+|O@tV33TEYy$AB zU;rI&h+yIKawkAa}_M7ZTVgFT~L_g#;BJ@9}sU=1D$hZN`@&=}Ylz#GULFc-K6TM1hU*N)%^ z-vakY;z8_z;_=o4)`P+W(}Um^{|x<1Zry7AaP!z`4UT!Z*Tt2O7dO!ZpJ1!t=uN!tuhqHYc!iaNYsH zfYQKRcuiPMI8B)5z~R8*0J%W908k((04)$LK)>Uz!?Oe2p=g9Oj_`;+k7)zn0Jm4Q zNSsafkZbzleC!|lG*e=XYoyf^>y|88Dj2XF>#p|A77^Nqs9{$G{<>jhT#<{!ws zb4{Vn$G)+xW7RkO*CPFYnW9(xkM=nX{)dQ>a_Ci5n`=G~1)0 z#(6>N`0X`fDL^;RtycJ$){PNVC9}Y~Q2H`d8A7>WWNG5I(mFDL$Ts`n3eBhHg%u79 z@k;@HBhAx{)A7n~r6jj_*dLH;9iGfst+|>Z)x#$`%pV{|~hz5LeT)xw# zq4jX@2>>THr2-*XuXMb?yC(79pw31{fg+FLYN-a=~Z$^RYPPXUdbeJ1i6T z{?m*8YJLL@{ae5MsGW;aa1T9>ZVu!Y#;V~fdy9$G^DBaBU1NScELEOftQ784TJTnp zvJ>(GWjVC%g4)tof?A)@8d6un_DW7GBcMi&Ku$vA6{ex<>SngYn<{VZIKWGO4YURd zS}62Qd6<7XhAsORzTlU|6}J(e5^m)E0U(^WK>tGIP3E~F1*Th2<;%}8ZZ{dLA)Ln@ z5U%tUiCG36n_mO38Qed$_B=u-mmrw|ww*WBLPBe=6K?D841|}GC+3gnA=Bt(F|2&{>UE3AskJ)gR1IC%*HtiYK`>jb+p%*bs1~jirG6%*u6Yc zaaZ8Y1_4hmyX`6c+SbpO!V~E5$u<$}TOY2QN0oAvGSrlBPZ^=H#E`_AM6T~2=xJ4> zCY;6FIQ%S6L%O=Er;^UMoP_B<&}Y~#heS@fgqk8T{>HOP{}CZH*ZO#Zx>C?Bz2h== zxf2@JsU7(dvrvpUEndjlI=0rwe02Izj5d3)gfmT{n|4&l*ueAvr7|-CvFHbeEKg=4 zQc)46EC;z?_qVgFH4KzByd%#(T7s~J=O#??Do;mx z5`c$>ZXrCmOrV9^H7|mljXT+d+@OQ6x%>ubN+IpQ&s^6S{ScBN;V-^@jwXtTj0Y{>OsPWTxp&i5m(vdx;75ky#j}}R zm1Pgt@iLvv2zZN2(uogYrao&13wV7F3w0;D)zD25tW47<+O~_;^Kw4p0LAk;J*al$l9ROT~Yo%m)@GFPfy9g(=Gh@ThErJ z_y@X1PghP!^H35Oo7C$Tgl5gzVro~O}q9#s`8M9%9-b}e4n(`y^zy*lL)7 zSYzipe|C3ifBbU(+N=CQDT9kV^A6^|GA4)!o`Mv9LP@pV9G5gu&Gv>QITOFI*G7?& z>jSs*7S0vIx$7n(dfZMME;s^^qM1AJpQf=cH6FmFi94u z^zF)|;A2HUQ*cDa2(c|B^+0~+1hOdo;_po0A334OF|Ia4cW(|i*YRjxV9_z=Jvwcq zTf&g`PI$`vvf#;*2}w@P7G{_N43mXcx0l_ZJ;ofrtF6AY0~{Z$_+ufiT22^W=4>H& z#>En6Q)4#kWdXJ5Tfjz((>{Sev=Q!#0&d-N1zlW+@Wb2|NHtHH_Lwer!PQURxWJYJ zJ~^FVHNE@tN{XYOxqj%X)=Y8Ms4_hcG?>~HI=kwcyWgUHB`0<+gB(oTh0i^YdA#n; zy0E`^JokSoEQ=LAr+a?cy8eAzA*w!L?4^Qs2Wm9TXi!%TSvAOZn&P-S@w@(`Iij*sIW2 zLehAyYcuBsR=U!7YTqtGr|}oN)K&u18W_CqA8l>Gr>$uXwQuhS*-w;N=HznU%vwG+ zKzaFJ5Ap8dvY?&9XD??k>2*S*^m@h2UwEsL-cl&jCeox&7ZbCOzv;^Y|l8a_4vTWNSkzguN}nc_?Q;YeE! z-ILgofBhoGE%}VTbgmdqD-w%IuK&oCzY@QJ(#=1Gf&-GPhAnkkeZ-hn#CYA9RLn?dO+=Qf z2H0LS0?rPV_+c|E!-_rzMO49pF-zE1i>%jt%fswKDu303$?Jjj(Aim_ijIj&_nBo> ztExxIzA5N>G~k+CXCjqf$MDGL9JqFZZq=&HeGP2IgBJNs=b3#c0Dr0FvVQwSL|rr7 z$n{>akjJFFT7##1@_TEtNt9pML;a_gYV{+~<0)$lPrZK2PXy{b>ou?PIqCN$zGLb> z{(NOSl5yR>7hL_cWT$7&@DCtA@DV{%s8=NA+MFnwJ(N+85Qz)P4;`wuZhw>LE#uTX;s{ zvesG+-N;R5;KS~xScv;c>;3$1yORq7##BHH@iVOL$w;^i2I-HO1Bcsb>vMpWt8U$` zu~7NG3~UbO$>7}ebTxE1PKq^PHLtwGt9+*XwcIt>Osd&_Peivr}W`Wt&herkSSs!yJ)m?@jNZr@kPV~stt znRbISscXEIWho!?ETRF0yQy2AQ53FBF+ zO2GOTlAv#qbK6qSG|-CbC~w(^5~yb^l6H4$gxJ{GqlT<65Fi6#7pG^H(H5CAV)kQV zn8~f-Nh%OCb)LA5x#!Emq(sL#)Ko}=As(7?**fH9b8u6juD~l}HOvZ(?b2#HOk35= zM+oV3hMP;yBDr1113bC)KwMi$&^`~tedhGF#yN>(S$Gk1)7#Sx0vF5d{RG34v+#_4 zeUmgG$>V!2ChQ?Yk`jCS%kX=EQH{@)6FTPa!q~A~Esv4BaEa%|Pl=*+8ke8ycK45G zOv`jb!9Nhl?J*G-|Lir+prLWT46T!>V;NZY+V6E4b9~Q!_0ygR|z_XC*G-)-Lu07Dyy=QVNU;=A*}-> z-|vonvidx1iF#X#(NNE`iC|KuBO%5&-N86cHmbSP ztee3e-yPIF^GUV7#Dz<(*5!GW!0OcGqlwO9SH2NOd1_lD$ehYlVSDWcJ6FiQ9> z4q9_VQ6adxckQLfvNl&IDpJOsy-&O@Tz9FP=-Rb2BjC&mnX7?fE}xQ+$ZnVfM<`-`=E^*yhOnd5RMgYq@0s25@*{9$Eb|6#W*VyQ7f!=)rr$y%=Z3+)XeX ztMaz`88dvh{i*++j@J5FSaf#nVER$?)&26N%W&{Dg-7gYmwc!^PCIv}++cmaw!(V} zCCdqIS3fb+{taf5(d_K&DvgLVRPrnH|04RLcI&;Ki}mvJEF-c8+<%ulHzrE{3_hbo zdm%o{h^e9JziXcw6UBY*-VmjDE;`GI<5;VH_R$mdCw!FY^2d4X^_R0m8PXuzTWc2% zUN?k!!QE&_HW*gXpqY(d7=g_8Q?|dgy0NPb%v1ne+@E|tiV?7zraZ~?0)Iq_gZv=bvE{yY{FHsGhS)CHy?DDnRQiZH8o z0{Mzg)~^Cv39ZKzg*2!eGp@XRJ@ytXa$7lVCY@E8w2G4%H6#q{6hoa6I9EbFvHm-P#d1+(uoz?}O$R?~@gHj=&2aI6WoX+9tUjR7oLudlJss?G_K^8XL0VmJUop#spjwudUo8l-6@ zNg9J~@2`a4m_}>=@T~g~IenWh#pjUd!s1}d!oKNew)R(H27Rqg;lCV{_1xx?vUFDgGqm1d4kk* zXk#Dg0`(?BwbU_AbMIS~_lL|H@kY{z(K4l|TlR9OS6?A72)w)RhD&z0wvIC6+ClZd zB-&=Zbjk}0^s?Npxmi!st=Bj0% zlh8fY-0if_iZ{5#eQR4K7sy{R>H!h;v*oiU=Rf`k?zk6>H%worAUE7CJ~v5yX<PQNtJ3YN>= zBwbF#rNGHrO-5?akgy_%ekDopZb2cR;c38Y1%G#Wi04S-pt?%dFb6ubL-o|Yx)<%%CrOaUsw$Ec6`u6V4z0(2 zB!6)As!?CCOj!}tK^5T6X3SOE(N`IB$|?yY2jRH3)~9$X!8+LALm-3 zK>Ce`_vEVXL>k<5RhKQQk)f;f0MwCc;mTs|tNhNL-kxmha4>C9s53NeFr_=R?2#@r zc*)&mA|`>Fag_X>J){!gvCx5?n*&h^N~N{LvZi{YBr|uOCSt7_y&D z1x^G`h&6}}27g8J75_*kl#;;ias6#>lg7dP`mC+HRJz6C3VCQgK3is#BHa^G;c<7F z(K?40OY#W}n7*Ho>7R%ESx#F4@C#laRzrJ-WlTq%o>%8}F3Q}pT(ew{y|)l!{M{al z=P=qF33lcoH*ua7cUH0W5G4f?pb)Rs;dV}9xFUt1=R;B(U@(nij454pR>?DFY~xxvcoBKx59$HA16d}Vq(yEC**yIuB9z0vThbZSI|_v{ zgQMAeHR%ANmvyJJJ35k(EUfwLv?IePr@>S1RC(BRn4vybnbB;$^ebToUPDRCckd8V z>Ru-DTgTt>QKFRMo@+RVTLkDhi=^#cJ6ds2v-`}wG+P3cDTnAaa|&*)ji^)V3sLIx zEt#>38b*75cz|3Kc_QBNn%e*Ar9SO)oXk296*$Vu^wdd4+lxJJ-2<@;ni1x(LN0>X z!y8s6Jnf5PM!Jhkf$7{3{&^vewT9Que=;X)upcMW@i=~6sT@u$s5!qEAzf&8H z$OX?J{udt68BWh({;TNHK0O-|UF&7u+&IS1&6G6RMzm|&Ahci!<1wG-`cylvR&P~0SS$BWz-W7ZL zfgT332GA{=cpA;!g!bs2_4WoA@TFreC~h)x^yBr#fPJbM%fp{b_qm_K`8$QQUiVHt z#d|hfwcK~}bBj1bxodxV5@dVXJ#+24R-BbCSTDL&$V0OnuOcob$Za7DyVsTUzrMR1Sqiqpaa$BsH>4{qMbniuH=9N|_BWXbnd>PXq^LQty#9p09Q2{4 znN0Jx@fr%Z$2J&ziD^qK1^BGk)I0qc@9I6;?nBe)54s&n^QyR6wzqzE-MT3@bKzU{ zgnI&i@|ED0FwL^&HW{;87Q(^c&g3kb)4R;EX|kf9;HSRApMsu*@s>+pmDFYTc-e(A z+t_jIo@8a0^AK(kMw?b1gfaFt?#gqi#9op1*8iynqsL7Hq0(lRts(}owARmHzyva z=trwGC=4r#3NSCtccxh^Z9Zh}LYL+d)QL2s$zxPlg$+i?$G$q-_tfsL*!Ed0D(0*L zj(?;v-~XWGzR^!VPcSw(03QDysg1rY>}$bmmoeVsNovigmf$=r=WSOR@m&;m7VWRy zRV+7e=b%kM3h%S}r#LWz%(HQ@sY8EA{5FsMT)WIHlmafu-hrKnrIR_^<}+`546hnjEUG#$%d}Yf3%HQ$E+KVl z947ZDyJcwa6Y+9;(nG6dDVD8g$rHm9Kdqk6l9d0_N34lBraQuWLD`>4?dpmV`LbH* zs#GR6^t91tX*^CiDO6Q5y`ISDx<#VLw&({TB=J9Um{DDS@fY^wpDA>lqeT6v8Se8 zdT=IVxnHW>IxqjiE)DIbgJVKiEMfxX zXF+p%Gu_~k_AIH%07Uvff23$i{SDMbp72nlwo$}9kKNb%jH-j^@tetsCXdXZkF z9Gt4P@}{3XPkvv=@lAuJIJMvM zCnYn4`}u^F*@l;TrN8k;%3|>r$@Vdr=U)3XA$NQfdl3wBlO7+nt>YZsOf;OB#U2LS zX<9$WT-($&X63BNWu6>pW0ngSsSO}{rBnKGsCRzIQG!)Lj)*Tkk#MgOImtX(r z^{cz)=57aUuZSmchA@hBKVor4ve&jSIellC?JTUKpa|~YK=HuI2`YYX*w^F72ZOYM z?Sp{eKor|Cr;IE{AL#$(W2_i4S-4Y4fGpTBD}Zf!_#0n0pFIqQi)9E=?NZ~ieGqh= z=@H9E$?&@xGaS=ynbyw{+HSv(N{sGKmqSwx)L-j;F+#CJsOZCRZt0T5kz>2s^JM#M zir=BG1)$C-!x!fh9OhAseu5t-T)KI;^UU+nsok_Cn%^#DkPTtdyvI?npO`K4!M!8f zAytjsAPpYN!TxQ3Z&ebM@g1&)(=&FzO)OToSDPr}?fQJjIe+X}H^^rVnYEMlmieT; z^NQJLZRp1R?pb8*1<_->gBpo~|7_rG!lWbK*+8HSrjQd^u_C`33{3nObQTVij1fS-aN8U}X2^3(3ErJB(LTDHu+xdD{x*xL&2*yWLGf1X9L&-` zdI#!=w7(~?3lJA3{)G!~@T0-!yJXA4N0QxdV(!DTHMEkmAp;rM+PG9eaWjR8GL(4k z_y1vbeIciF&6;*(4*|W;nsQ_g0lr_Jbd>G?iCq!mKpk?(t`?W?g+60iLLs4!uda?a zqoO9GLXIy{Qc`Gw{F)GP$k_aJ$lGy^HlqVV*R;Jw)ljv7Shc9ti)r`Lk!NggQ!?*G zdbBM@f9FG~>y()Q)rwwPbi!qc6tiEjj=qPxPIe0%7-wHr{cS%^&Xgs$YBPFs0?>$S zL!%eO`)v44HB3jWqqSq$OW6V3vr~9|HfijnfRQlbTH`(@KhVdrOlqRyo#-i!J}dsM zI{9?!dj|JzpXylGI>94L_t}YxvTTZ3MJ!-(c>PrO;(_tWWtE$kmZ0!|wzbeX3A&?u z*-WdyRTs1Gq7plYMuXXaS>fEH-S@l3z86&$o7we{Q|m=Yx^392uEN6qy37X; ziIyWbl{1&MJ2H~*-1}5Sp<%6r%$QD{zFjlOB=*1{qFB!JR|x)vHaM)7e_B|4r4lTV z^Ly;;johK)54-nQe(#UZ9WR}8(EpgdS5oA;(Ucx-F>P?cy6Ja(!M*`CiDsnate+y) zxd=1wOie0Z-1%ob^qB4zv*0kNMx3TBuu)QktaXTMy#X126dIIW8%S_#!RVJ(VE-@p@&a5^D%#6P+-xg+Kls3|_S zDm$3YWu>hSg3#_ku@jR7AuDqq;^oJFPu5mkmN5z+$^P$hTE1u(&icn!=Rj@F$c!)3 z@&y1K?!=OGNyrClT9v=8V>t-p&xOI|bvD zN*Y@C-7rMR!Rx3*=n_WsdMMpSe9-}=>()dla~)$wc+p`%WAx}yM>dLz;G+%1G#K74 z1qV*~kS}b+KRVQ!5GIXDTHq?XfM)_Nu=ZWLRS2tLf?}` z4ftE!V>r=AViB{(l;LwuZR-V$+-{0nxND~;IpVtQPLYA|Cx=ftbz~tDXZV5<3oYf# zAJJ?Ks}kqY489WjrQbH~$3xaP;T9 zZ>Er~KT<22(=iF#}eMnApjTW-CMo&un(WZ z)Gs;T;7dm%c13Zo1(#CN^d3=P|m#-$TE@DE?rc?x=5wlr0VnsXT0($o7rhWJbzbBZN z1I#GL z|K$BS6m$HofSASSV3uQT*5K1|c%gAzFERUlc;5}D{eE3HeY?yuBt`5S>Fu3c|f4z1j8wzbVUK&I6ONLG=4fzkT zFd<_w{*P{32f>lNHO`GaHZ(t2?V80J#9473A@sOUm}S8-#==5~aqv*mls&V;9ZwHf zgOHdr92>Z;_Vf$<-2u%FZV&R+0NxGec{uxSjay+Gc#TiP|Ec=C+0{XFF5gkALVG;3 z7%*pfL3iukt3qlEV118uEhcgt4zh?R{xdf+S*wM_V!Yd);<}Clcmbx@V=bkf+l+Yd z0E9?6b7F|c`l2mN`)b*@7XFiMO9!Qgb|an9%_I2Ta{3_qC(ks*ZQ$OL`k?xU!#u(} zZE(*2RaN=umm4I`l3!1_rNdeJj;fjYkHW=ed6=qMe2!K-A-!#jgl3xeJaYhXDAH;d zGMA|d9-v^E+>^@%#oUvT$?KaPln10LkhdQj037G>%&Pa0_K@4L#`l7^U!3iiQM;C! zwdZUv+7GWMh#bDoNRMszF!#sHgGJ_PTnCbO8oll z;ua7)jv^pI;u2Ufj%jr8&Eyjxyf)+0jyT-jo`D z(1RDHj#XhxhBm@L!J}5M!yxah*J1K)sEy02lqhru46?9VbJ@YR9`zR%pt zU2i0Q*)400+yX565*q|+Zjdi7VeSFW-N9kuiZQ>}2K(N;SNfne&&Xet5s-rtNw(zJ z+Uk(WDafcMPFLX?#$_a;xDR;LpCmds_f;pL=CVAUaBI{v?6^waT~mpE(ulD%&8GMN zxlAQ$;htgnXbbY5G5O|N60IZC@tFt-cgOhX19(jU!OmDyM1sQ9-7#sUccMqz$$!(v zLtYw}GMsMxiw9~>m_>j)xCi5mObCm$161|aAV}d%cOIR!oAHdH1+hJNZUe&ucQuIl zjK?Nf<>nJHKC19cd94SX!HD3c<$dLZKSV$_?4TXc8n}g9vwZ&n%HkfunATpgKKK;b zIOwo>!iMXI;y(PR$CHhc;I+#_jr$Ta&t)^FN--d?b8f6)eVBr;a1;Z8;6`s z=FS|xGxg=eYfQdxS6ae9xp}u3Rdso zc(eRF>`Z{R*(BmMUi#X$IW7GJ2LN)Z9L_0vWcwEMeKW~G1)`UxI8=6DAElKs z-<{^N;*Et5-&Qv<<>;+LUpS{o*7-&>*1uxm(TfG*{BOuQk0e#@1fd$V%!i{IJkDEW z)3earyA&wJy}Vu~e*16Fl6*_(QIA!5y)h%wvKUTsP!b>epI|V3 zRuD$W-BPk4g~4j=q0$W^`B$v(h0?FPEB_fDp}~5V?@V~#iYoOCNAiUt&tY$%#)nCJ zk6U0Z;^iy675JVfhU#wk;aRw+J^c0t`-NhYmFk)5KX9)iDH^pe^Y)3pfKuwRFv1US zB95vrN~z6x!e{PXMb+vM884d8i1S6-7Dd3_r=`nVk72#k-mGekQ}^-|l{txT|JGe~ zpCNB|2zcPl!aEm&z!Sa8`zCfS?7Y9zNcBfzSazie|IKdMH!*NwXZ@WOy7vJs#Wy>rZ~N@hs!0LIVZ_bGud)qzsb2^s z@txammR{q&ub6O;`PVH{=UJvZrD>6XuH5<&ZD~n!6QZ|wV8hNQ>193;sfFVf(^$%R zS)zr0bdn?$`N-!l9~L{$+hI?7^K1EBQs|!~59nk?Rqi7vm6H4lE^&);|9@DU3{-=i znL;gtwfB;|kAu`f&BU;49B?jF+A<%qH~rHO%pT3dKKlV5xzV71&RDSife4215~u&W za1z~8!)jWS0IiU4=qH0~G(d+U4=7EKCB({0@NuIpv0_U9ULr!py^EVGnoG~%u zMwafmojv9f8Bv&aSmLG*q-4oU4 zrl`*<^t~9iJ&xYjJdXB0&%TE1$G^$}?EI(c>hIA9#nptm5YYNrynvgm=U&fequBU-N{& zizDqLXXkN#7bDzf0FGB7V*C^OxMc~Nq`T|c5c|6Lh_L25uN>gz14uV$n}}(%RT>KTa-&IV7V~A9jFVXhtDQk>RajedZq|T zm3wg*(p;$j4WC;|ALMlj7|O%4u_0Ds*xu*>6X{Rv)Ol&uE&*U;Rtki3`Un|VA=Gsh zm^e5OypBQ`3WPKIfPc{{!HHuy|7Q@tXP}le4=IVpPJUa!A+9DJF@&u0Uz+E90sXK- znCp%(aqu2w9a}IINN0Qz{bE9xn~vCV!5(BiTkj~4&i{KW?`*?}2&tRT1F_wGYtt%= zu@`LbP=UB-{Ws3mVqTgZlzN3{?(ybwIu9`Z#PMl`2CM%AF~P3egKLh}=!C-#D!o$p zgz9=~j(>~pCZfJxHkL3)_Gpl?9{QFE5#j&TQVW*jZu%eK`({Fv90SYGrhgY|VRGh8 z{{m>kHWOmye)E%L>RWb%3h0$|!;&LK-~14mE|*yOcj%M5x=zoiXv+MbibLgMOxJ&c zBDxWPZ+OHZWumeshfXJ#DylfL>sWY=w-Ye?kgDC-TvK|4nA&ANPVNFJkcf4x}IGJ=Do;J=lk#$1w)^idKjWKo;Sc;N+n zc)j`buZsr$JBxenR_8)Aw1uQnt>tUFtr{ScZL!qS-mr1bwx*z}a(6v{?3 zu>bo15G>S$DV0Jyp4U_jCB0CUp{`H*OhwOc`bFAXYXw8guJq|Se|-CZ2!2&#DN5|amfqffn0A~uKo zd$$lM@-HJ%b!JcTARj@uFOHF3tW(US%N{YcgjeoHH6Jd}~z ztZB!PaSYEkITy|SaScxcEb#|B*0yLRyDIbT8JMZ=^!-$O0P7t@DE7g8z$=1xMcj{h zpc?s?WY85JwYq6sX)UgG2(5N8vZtP70Pdv610@w>GUE=h`Rn9F>Gz806nwi%wUwKJ z;8Uak{;ns|STys2R^&9?uiiYsxD`)=t_!U8a<@v{BZ;57%*Gx%qeomOv4E>M%v@jDASu6UYd^K*_nL;(; z{{oJBKffmZlKzNM#FaFu{LA37?(|z}Ipq+RGQ%fKzi{y-HZixc zI-aVjXN_2zv1^8?<-+-$+9+L?cT2&tzb@%ldRt{F!AT>~pXe!bg^NpOFACesr9)a^ zX|Uqz+m|_Q^P#bw%poRzrSrjd(igwT5)os?`)Kt|=i9H(AVZ-@%KqD4wswao4WLzZ z5P=eBiZo|R-HJqPDIpmgaBQ1jHJy{(`VCXFGZ!>`831Tay>3diNBW%@Wt{Qg9rh4N#k2t3F_B zs}Hbn3{-#4c_*m&jht>E8rMvkKV3ypBPkKzVjzmste2RBN?N*SAcBZkMUl2=AcQF0 z0d7xmeVJH~6Y$IsFYkYR>BHFG7`*nxSnY@IS%abXb;LMB-qaJI(~)V9erx6A7Noz6Pq(c;mcRUoCX$iBTLU z_8O#-wcn?1SGnJC_HTl_8P_N7e>xiRZ}y>S1t(N%M*hYqS?ZWCmE1tYOQB~X_D(MO z7CMPT!;{y8m^9yUTPk@%J8dWaij?Sf**-&>U%dHVxYp+Rk1sgx&@s?Mi3i@$7J4aMSrB~w|0)vQ}# zR?BrrKM%lke;6MSe(xjk4!dHZeJ=XoZsB=U+ah~dr(JaQNN{awb!_!vVeG;a(D{8T zT47+6Aad78tcmvF_m-hDs8=fzP6K?S+3#LoO{=Gh8!9@Z7Q)Z{@jH9R-8*!`eA;lq zu57zBf3HVpnoT$cfZm&$LLC;r^J=_ZlSfP=4Qka{1(T?a{0A_?PJ54W>I3nGD~Tk-g)b zh>UR;qsMV$DN<}St{-JosppG`KQ<+5E-rODW6el|bz=FVSt(C?V=kS+Y6@RBCuKif zmlCdp0A`=IvB_p)ti_h<#@FV}<(^{SXZfbZ(ObY+ds?<_xL#@#p8%I5aabtL<`J}} zTU_6buL9NkB%#%9V8v~~zWgaZIdETW}5Hho$4()Al&)*m$O+@q>uv#R+c>F@`quC zk>~f1To35a``|r&-F0xN2x}LpqW$zyVQy{mG*{mn$=|V@0Hjh}?!_b(yr=2G6PJ97 z|IX{;bkOaDe__TrKifN&Nnqkscz z1q*n=elq6ptUJ=PwUJ>NK<`*jfBx_l;8&kCwuX(uvv}@4zmU4PFg9QBe6rZMvrO)G zEa!Ht(_FJ^_gJgnG{9n0btGbMp%0*g)SKGXnyyxv@+{KaEYM)Mb%I)68e3i%TVBjp z4Igt+EzWLfCfXx3m8!PgIc6vSfNQ2XO}d;bgyS@Kz;nyq!c2t1sl$4#AZ=Z<3XAOy z<}%y()|}UP+YYFD#Blr-x&!JhnA6TCw4aUO1!}daw2W4_=#Pv>F7TgkyUvagpaDP# zwc6m+WJ5-4iUpw6bu-n;O*bdU{A+wAJ|^~d2DO5v5OuBnMU4IJszr0F{*G^*DFFY| zR#HbaJB(qetDS;-r`Mb|4Qf2P3|*{*8>vf~N&|qg`AnsGx!SuY8$XdurN#j|{<{zT z_PX0iWQMjI#g!Ms#E(vh?OC0Ky0?oYZ4F_ScXh z?0KYx)aeZ1nmPXW;7FS}U~Q8$^R5qZqDhR)4m*bQb^z(_b1J*&YDLy!g`3S>a4j%sEzxA1 zoB>&Ss+7Hp!k4UWq1RxHnu#*>4 zQBaB6exz)Dfr=Q{5J(zBV~;t$=!={m8=2oLd!sF|p z6$9X3DQ&5iMJn&Yj)I$KqW#GI;<}`*Is98^*49FwxwN6yQV^u0HQiM}Fl%E0aht8H`Vq=qUq(M$ z!#ttlwU}R=?4?s#eC4%hh6&=f&R!L`(kN~eXgwL%&0amcY>=>b-8IPs&;OVse@%1T z_dQ#4=8imDU!5CrueJP}D=)*!8-Z5BqwXu~keSV$>#ClT)P1mOoPx>11=T6)+eR%) zA>F8^k4moB)5_(-FX0E&y@ugT5WQMKg;TE7(Wv^B)kz_Z%a(eGcII^|usxV$bgIr4 z;1TBt7?BJ?&EN{2@tMWcc)tA|PV+Tp|3 zw#c4TE{1p%R-zdM=?meDCWuf4Ph2U)w7Si}_PL>Y1A(WdGmLlsEDZek@cY4m?7Z#X zJ75oK5fn^T?EP#!7sc(K&fc#gdhJ^EGgu*Wp$@!9n&mdzSXG*>e{yVTAtOk-c(sxb z#q@RGcdzy1J#w#o<~g#jZCkvW%8!Fgd@5&UyB8(C(}jX+_%DQXl?3HfxK-~{sd%r; zc4P}FH696t{Xrtqk0}O(`>ufogpbYf#Y9$!9u-99i2MZ9eJ*3qradJL<`X9_9d`Au zL%$7(Dh}`F$mK2{%O~53gkx4ph40GLOVtUPEMDXQR;LeCpV>LLA=55E{gGzUky)2o z?iCM%$wOwHOjCTIRpSo8;Wu%X9Ssn&=^{vFFSA{4S$^JXrnw#CSkGR;)AzcSDFsni zF_^iyG37;Kdq8(br&RC3KHfBd2QloR?>WJ-vSFycXy36(+n(zvrpTV!ErP1K8(6k# zvP-Jn6587fb!bRCk*-}C7-D8xHc26cI2CH!aSg`+z!OZo85`2Vw(Q+8fX0bqo{Sag z+9gZP6KvO;0l${;@DpTKshyg23Rx@nq&(?Wm)Q*))BlIIw*ZRk>E48skYEWA+&#Fv zLvWYDo!}PSJ-E9&48h$Uf(LhZw}HV1mv7$RyYKFQt9G~c`>MXGr_X)*^u1j*(=|P( zPv1U={f|~f$g+5D|BW=gitBKa9;>Owq+3uW`=~mvZ)(&c?QG+SvmUD+c4wDtlXc;= z+cYMNe3z{2X~KG&Ni$=c7xsFmtl4S8a@$dirkQo2fKhspY|(LoUK^0M)tgI}D52e$ zr@iEq^N6DtQHqfj z4ODQx#LN4!>p-(tU`3SPpRHhBH>9&hRQ?g9yGX)xmmyr%A=G+aj2EAu6@fI=oW{<{ ze|DJ_S#MC{VwjWr%rV=WBc#mApei?3B&3YNfFb@_d|E`lLCkXDS$}dB(jptX3Te`@ z10S7bA8ER6a@gT7w72c1m_RUYMv{N4->g= zy5B83kMCdAbhi0pyDK+nC)vGxKA?%WsIw`wZE-gXRFrZT5^() zn-whoWY3H?tDpYKnK3R=pKig4K5mx3OwXPfY*s%-0O6WY8*CZD(ZDrsXE}(f?ZLs1 zs`Xc9@YD;uWLHYAR5dNHtpL(T5-t6pM;e62g>1r;40K?}8KO1TH2s1!IQ8QTQsJAp z$Il%;Xau9Vqlk;|H$Knr7K0>#4%<~0{8d9A*M2n`S~Vb_){e8a_hnBLfd&LYC~hg) zUr$C9`lY#XiTgt@481=VZ>eU5#O^qIe=*|O#e1}_sB3${t^h+ocU@|qtZ8+S`KbPYwL2lycCRS`F?2)=?Pk|dKiId> zJ-`!*S6&ZjelV7IB@g1GL;ixIdV{P&R{}z$Z4Vf!Itmqaz{%*-!?ej+4yfF&nhWQK zA@7ALjlW0rjbho3pKD|Wm-30|l`+!Gl)BFZ_5yD%xk>pm1yPH)3nqlZBm3rVC|c;( z(sKfEEnHt27ye`v>hzKyt2eYS9($X&)YtLPsZqv>eNxWDpraWWp*2y8rnj?rxoRL4Iemd=*bSdxWoJWakrO#gFljM za%a5W{kmeWx_4)fp#`&f+jY&K&jI^(TlR_QF^q&@%W<>wZR)NQ^N0`gu_ z{zKB7>#vg@VTWsfoNT3V?MB=^rYc5=u@5QR=;p-Tc8S&^pX`Oqb{xif(cRJf2Knwk zTh-#oDuqIAdl*N}5dMXb;(?%lqq*Shs2>?5hV2^Ky3?=gU{uA;qLG!BxrT9)z+f?8 z)*$SX5H%fBdGv>~L^C0qx@$4|>%oehV(~ygLuYQ&;_R*GilAaH@rHt5-=&ByC|%ZG zD=qUi|9ToH@bm&*H#XCr4bP1FG2ofY5T|(!$xq(%lOMfDl@bJD&V}%EdL8^ zyw1*U%o_67+q0`OQJ!pVmqQCooRw7lF=k4{FeAD*^rC-WQc*g*gO&6tsJ9o#nH(Cr zzP$AI{z6PVF%)`z2^}N3A)!M;_aL`4-&nKTMZ& zYXLf!-p(dB9~MF!)Sa_13C+d9jllYfBYHaC(TIsUU_Ee$NeYaNUUBlVoVTY;p{5Ld zgy_rYNYOUwGakw=Du2Z07R%TlI~Md^_ctgWgXQp~1uZeSKk9#71H`!u38h4$?UC%|0}jghSKYli@7+ zf&gr_ZzlzU;jm?H>1Ey?NzxTa^=PLS@sMeRaHJUg$Zn*)7M_!A0z$$hfS;*-)C2=oJJMLNay>Yc_xp=9Q-)+i3279=%s6ygP6A2UtBn!HXM*hwX`4FKF zMiTE1#9r6v-UGe~)T7t;^m#w*@=W*$|* zH!qH^pQZdQDc(7wVT?w(%P8mYVmKsOKz>#PjkD!#d;8q32_tBJxMuw?jMX`r6*h~h z9pjwkfSq&SmW9!0^Z?Z(f`(fA|NKTVLi2@?hfsf5Q|QnwYY#7syyu1;G9roTyK8Xy z)D?>`VfUxMrlO3VepDBuYFIZ4!BEVM43rt=lUQrVdMiKJHN3=?zG}~R{wJGxV{E+z z?rukLEtV!N0`7tYI=XU0)rP*Tv0H#=*jPh}xpL2cPqE?5&GQFMkHt0JBqzVcwWYFry=KBf142)4YKhXRJgzp&8jpgAj&oC{Si`UbCQp$UWi1FS31GUn- zPl$Qn-(yh!`taYB!h3w0dwgkV7KIn}d$^j}XKl7S7c?C9SH|4%|Y7Ohe;e4zjsZ3T5A2-9&E zAnAN9Ak>-mw=sZ-)Dvxk;<_I5^T0{RvD931uei9_%wL8RJnsASgS}sQw2`k!B{-QS=$mG^ceI z5?JGZfw)@i}RE>YAnuu_+k`hHj85$z%{ZxbtsX7gYD&nW~XPNZp5kyY48fgh)+5&Sm zq~HkKL(=|IcvEUgvXPM+ElhD?aexv4Llxswx)9y9xf<4|WN}iLAakK##(I1c4)blK(qs9M~yhiu$ML zIB=p_3~@MEqB2?{poqXJ%NbWeNVMxa8`~?v3M35I_1(w%VIH@c7(q~`G35^;><+e?z zv!d|G)J6M`4Ln{`W~vbfRTU)!P|K-|AYv6cVWoMss!AbN@>a zk(xHBD!t?TYMhYmdk2fcDMt+L7?Dvmg{uj|DxvdbEknb7bU~qTLQ(@v zaUYr%-Q-#|LV^PgLNrrW0~Bkv%|BcY0Pfs6KA~%yTtBoGR$~?-KNZhJpDt7`Nv#Mb z*-KNJF8YDg!uvW5gOr_7W$*v5$~R(6gIh4hCh7FqcA{yj_+hdhkxwV6eOhtkmEzHR z`3|UF*3222Jq?&XTdx*Z3@)5LH7s2an!mQLF}HXzsm!f_D5fHP=G$L@cml>tM;N~Z zLL8g)2fty3dwdm>+$Rs1tWC6X@jf9 zlfwrLC4e#Vv=99=7oB3F?t<~x3s+OrbX7o=Ttq*k)m;7uhE}KA;$nACZzD^$=3-*V z4fg)Dd51$b7ZLqS)2^f@pD^d!FVM@t)wRi$p`qqOEKuwr(fP`H+hAn#i^`~mBr)A4 zDfwNvCxsxIZ>A=Wsp)YnP7fGzQ{>4WuUWRBi}gw(t6|Z(8s^9fxzCZyJ}Q+)muO;{ zhVDXE*&*wUyzKp2Owp1VJ8dj6Y@vMogrT)^^f zXiM`;zXMLt?&W+<8R&yIlEDxc^LwrzWR!-iz7e_G|LNZ?B-zw$+jcF`%YpcOD5<9I zIf=RY+4)P)r|s4&gAkF2Y7zfb0}Hj4`(;5v&Pkbu%i8LTTA%Rj^-MH9*Z!(F1x(mq zhK2{Vb#@oz`S*Ipm<4&)L4a}#R<7Sp#Q5s}WZ}BQP6n$DA6|aI`az1dE#487dWrbY z3Q@MN!{lFUjeSjjes$n433fxZG>uv={#=<~Z$-4d0jhRGfe!Qvy`}dS7IHpomkTwt zE<#1TToZG4KAbs8m%pQ^O!-S&tH%3g(_+mpJ5HH?yvGVSqiHIB1zq#qM^66hUs5v$ z)6leRYeV9v1YDCLA8##;o}t>~yPIBrZuroU+w-adEs zx#C<$5aKm$EJ``8B64&xu`B-obOAANXcwHEyy^6Y=uO=1>{E7%vL!6nr?P~vt}bq< zHZL4=tC8%ZHcu=WG&puALdr%!enGAqJYBQNcI!3hJGlhLeG)M4Hn;6NYk_eK=uB^S zKsg`qX(3i&#{8!z(X<$YJ{-3H*p+D-FN*m}FaKqoi9?)I-t~_OZv?fGi|=uLWAGi& zZ3meyWS%=~2SBagb!(8;0Z3qg6V@&6CX-JNRt&%Qs`SFz^GaHpq1vMz#I7|5O=dzLZ*?Nm+x?{b;285kD8<-o(<5zV{4gCp6 z)DLx9uTCm6zb#MlEKiaw ztr9G)!l2NMo+{!Dn}2U}@~i1aIzLXZ^~Nj9roj&|ihTt7V5658{F&X}|26t}GwuIY z=-U)%tRBs$N!XMV6r4#53h6)3H+-GVWa(U1pC9sVqn0aVDV|9}VmB3a69i0qpB)e0f;?Oj15b{DSl{iH=hHpQ(Ty( z+oqY^R5@|V@#-s$_%!{#s`Kop^raik+vesB13nhg!=0aEszC7yVeZ^%>V6+n-F7Ek z@kokZm9Kh}E{RT4if@6t(`7ZF%`>Q8F}X_xbhx~`r1Y%w5g&-+vC}t^A|@JD6YC|3 zXML%$$%xn(K0W>8wmXAAQ=iUlpTQjLu5AlMSk10<JXhbl$>nZmKDGdh+Fp2`G z{|5yq04Nes0DdR{FBE_s3eC$)B;HArieh<`k?0Nuoz}B8Wp$8{a&u<1PXmvKzg{H2 zX~UpCI)t%2GKQf%R)K{rH9d5ag7J2aVwxg+RHmE7P1;Dzy%4(7A8y^3(f=}y8%{Gl z1VPt1-lb0G8D=Y(O$aPd8C#&3j^E%`7An>mpPrufTu}yf&#A~yZgP0AUE0_goIH#Y zcAs)*gw6*ivpeGZbhPgYjTXq*Q2qZi5sa6WQrnLb{ArT9+@*1b5 zEk%nVux;(*Wp`)}r?O}J6s4&&TS&W7FrcgZU@zYb+H*j!E%v z*-D1b*?{iu)9Y2Eg?${$p9M6R6#0QJXM?EMEuuG_eL8kW&D!1Vud}}8koKbcX03(= zoo-C+wfq5223M$rr32jjF@#T~7)nNJ;BeJSTV z6&_C2dbOO7BLDI&W}1V0^g(^T)7v7+qvG31{mtT$vIXF8jBd|WyHbsl^PpBv{*;y+ zgEeBG03q+0sKsLkdrO;?kmJG)N5fWuMaks);vq=|Su4Kf=&ytZ(|nI2k4Cxyqrlx+ z6=s<6iOm7L8n*Rw?c!a9CQQG#+H6zkb`sa90_*uYJ>PK46TTaZkt&|upe7fkx)@wa zhiyKWK4g&B?&j{_f{NdVB$F$N-_CMr^jvR4SHqJ|9R3u(WyMTe~tm{1Ja2|{^Nz!eED2?H~?z#&#)OMkKo?gf%Z8l` zN~bxrSLL#Sr&>Fvr`tL^yUC--O`7V35v$51>-}$>L?y|LmCn|M_p;Z?+V0KwcVSD- zsXkuI|3z>;Dmjba>>oYdzaJDAE%?VXB+9ND+j(;@%u?R_#el?2Ep7jEf5p)F&8(Rx zs*q1&u5azV#wxmENPE;VA+FJs*TP>NacfQhy17K)!_n5>GHI?iH(rMK$5;tbI^?J> z-D%L$LCYtdg8Wi+2UrO)1BK}R*b8HfvvQO938HQq@MY%Jj5elu4aHA-AAFY3bvCb@ zlhtcg1J|J({>mF9FTE+@oRlMFgpHi=)iEqR3YP9as_gBjxK1}Ne0}tW7|mwsWOoP4~7dou3D?#hMHQ9 zotvm7UD-Alr<78(3-E~P;!Sr7Gp(1+DWo(~xbmIPp9MM;imC1R*@`YV0fb{0o&h@jiEof3N&MeKW;&Z;`n!(i} z&-Uq6K6Ee85)krqf9XrKC{GnslgnV;;99YO*Vx3Sh3QxbP}4d5>6|Cisy6zx%-)_R zF4~IaR%@&VX(Id{X>5XXcQCxUyvB`exW!;XBFe z@g$^`J5yiiatZRQBO1IH(uKRc>E?a|j=f!V;P3h|Zx-^so%2h=eR~p98x~!1vq+M@ zU0m7T;0Z9h|1~Mu=9c6&)WS9O;Bb!Q2zGxUNe7jO_N@!7Tyk3{$lp2?g-G4b`uPbE zR23WPNVfSVoxJrSIzE?_FOGbjwsFtNmn%sp0p)(Rq@@6sW}5)E{`=fgepA zYU7&fyxU;p+7RRp0z*u%^Htw7NXQ9wT^Bi;D7>c?$r~$<+K`&kh4+5u=^{Ld+Pn}#VUKNb7 zo-b}by;afUn?m=&>#y-82i+(58@v=UZ<4Ru=MK|7Z#sg&Mie}V->hPXkBIZs+nh#& zqnU%)8{exD#jCFN&|Au6lB4Lj-@Kg+;3cSk;v6gf#u4=p9&pytpL6aCIJ*w_S+4|6 zVggsDfh$`k;%<>)o~;(nK_#yGb!F{(s!z{v`R9CF<P-nWl2pS1OXW*}y zkA>7rm_A4Kf$-`-OHfczX+F@3Cl&n)`1OHys}C6w5lgA|T?9sajPu9ecMpE%=kjuL zBn`#gZ|CMz_4Vc>>jKx=;PhpIOSG7uA6VBBROygZ%fW?&%Yl5#gl@kP&!sS#2oG_K z@Xn>kwAIkv3dzo8K2?94krl3DHyPQYj=Xk^qeE#$j4Ow4m6^(br{_Y-JoPqwtDa0v zLTt)}Ez%*X*deeF*81TkeeU;J-n3a6W+8*ouz9*32`JL#ho2+a6VEi8Ym zT_(*n6)n|?t6N37F8XqW=$S(~CCZ*$v8qdv3L(9{3v}9Q$`yaLdUZgy27~<9t{8 zAc>7op`n$FS8sT)UnsjwwYT2%eyJ{7;A<@q%`1n&-;k>TZzQikyS>ciCvW`C3Ce3i zZ>Fa(@FCo?v+c*)$xqk;J~T=2%k=Tzl$Y+v2;__A`ySqmV7I# zp#Wx-Or(U@_iOzOE}^liO$Nr4jH*XI0^jS<;e}r>c}{Dxo8b?9+WF8Vt^1A9D04s! zh`UNwh0axL18i*xJVQeXYXXH?P!a8Z_BX&8m!jKHu+%k2Oz{qKKKh0z!x zF76m#QlJbF)P@yD&Kt2Y(cQxLm3wrh{yp`y)HmH&vfks^S@b&V)PIJpuD&Dfo_zY7 zIT7kE&?Q1aPL^Tl;P(v zx%lAL_~W|YU+bbO7=TwlJ({RVPlsj2rI+ROnI;k#Oi=(Df)u%^&3^^2w5DZlQ(p$o z9Pc^;9|~)7%p#y7NUw zjU}-Ev-LYa1NQKMQJgMWY8dvOW2;C9_2Q_!QOUC?5n3N64daHAOfnX_s<@iunCfAf zgi)7O1zzO9iHJ+-y|`ZfD@97V^&E#$ben=6m9Gj{34Xu!ylx}f=^WvSLaq3E`8lS} z{7YHEQW0-y)lQA4vddkIrv&7Re_li2RC?Y3>G}ht&U0B7Kt2c0q!z7O(81239u0{o z3Af77Q1vM_^GcqNwaRx(c~m>Qm{4z@dk>~{k-`PHPL8)rI@=;@xznxGg~IsJd9X+v~WxxVp!U2(Osz*XIaTNL6>|pKXzCZ&zU5 zKH7A^1%?K^xyOFY4p7h!&6AxP@S=BTJ=%8p;`zy^gDSv0&{uyk&u?neixmgs%T*hk zXu!69>{j%ae6<@hOWF|xiSkoohj}1jk3zLU4d2q405RzEt;Y-bJ3+he{gLh)d0`P^ zojuTqhbKtUCSt4|Eb&J12^&jN$5NKy@uSA+gN=KOQwwYG`cd{YU*{e0P7Dm$h}|IW z+F;(4^cF$5P_gj&FXcP)x7sO+p7oEkE@7*^;WQ@F?xn zpCEm#z}4ehR%y2>Leq%z-+aTpZS}s|Sks-5>$(P_Jh;Cyo^igy>Ie+Lz8(Y5i)y1)))$8<9@Ura9Rg z#AWU3nbrMu&*BX#z9X2&I8a4w(6{zr{=GWMqhRIRFM-TSlR?9VsLM=pD6{)p%xv_G z2Jo^W`}xE${~Le`X0U|Z8cau>-H)-ovN`#G4~AhA7KtD7>Hx0{P@T>TnG zMl;%=y(^ECXb3BBj`VWIk4!aCpI=4?B zY(MoVP{=sf9`4fU0B%vV+R|0%c4*o!M%}hH-mF{$z*MBA$T=deXJ++YBANBSulF{M zIDxS?<88aHW^*rk87f72u0#7Nd%RDIRrPi;)n9&cWP9t*j*;rKzOqx5xpx-E2=9syUxBdC5UtiRs4l-X8AcPvMl zIpszp2bMjM55iFX{7n=~Rk7};8kk+!I)8D$0dSQ27Ed#g`h7f_WsKS5d=a?jL}1%5 zd3Y-e7F<%n|1E^w{Tls%clWk>uciMi7)QQ=-O6@ETPJt)?7mWbV4QTZS+MY?^Li|K z(N8a!VLjG8mR+Q!>#3UaEbz-{cg$~`|Jo_WM1i4{3L*;fII-(a&u`9aO|(E<+GfvC zXk4oh(R)n5QWkg&UQkxip2S)2*REf(Im8aU64Rm({z3vHE!w$l9`EUBfR zUvaLeX*|{xUvlQtbkTGQ;rJ}JRgWe7RcyJ^9~o`gMmV1&c^wx6IiikJ*i0#F9XsiZ zOnsAZYu*PhZf+*-T!9beXN;lb#UR~9>6{V;1)H1v*meOZI{7GqyEF+`6| zk8bSoB9k1JFgq2{nPudI1f`1=(H?IQJlBh-%1UO-s2i*}XSxe>Y5cK%98T2*|CsNd zQm!dCZNT&SiR4`MrLtIPYS--tlPsSlQ*wdN(&(%&uN>wPJbPW->|Znm^59viGj?TB za^gIb4J^;nZ2h9|OsN3Wt}Lcv)vkbQAkdq`K>pYp>87z|cF{<|U^cr8%k?qi7@E-# z-qp4k;5}*ZwP1Q#m+vt0nsbd+1V%hJ- zsi@Z}Ue@7WryY=Qok$z%G8auA?IpjPS64-)H}t_@ldq6PpXj*Hn06bwgJxgR6rN}Q<^Y1ByLUK}m<=lRBbWzWa-w=24_tv=SoPr3L9jcJb>Oh1$edg<1 zRtzALBkwrgwZfM9$Kh6lkeHU>MWlRD>5B_^l?JQ|0Vbq4&{`!*0aC;TgLzrCR`lPf z%EF~QWaULRn&($rP{1W9_U3}B#0A+Z^Qvo!mR5M$kiv}M1>Zumf-z!Db`=JtDd|Qj z24TzYGE7zNN>yunMPC(srA3Lx@R71{tIy|qU@N+0jnz5MxfZ>%@0o6;dU0!&uDd5h zjc~_a^ZE@Yxht_(C?zn|4|%*{2`HtaNoC08eI+kpya62&xKD3tFu5|C?85N>YmmaS z9|zaCO=Y$WU|i7`eXUOu!AqOLHB7bOl4<%Y^5Jh?oO3TQXaIxqIiV&nfng-BhFTQ& zdpbu_ncr+TAVa@=QMqLC*hN*Wdhyi3C2-^Wv1h@C)BuF?g>Od(qW;%zc9o=F&n|RK zp%eX5&y&maM8FfpqOWm4DANhhFYMZ$faz6DM&<@ znc%)4=JyG_UrM>{88B%q4N*rLjwHl?*`~us1`m*`u+ocL8XniMkI*nwUc;69z=}ZfMjuxyJPSU=F|8GWW=ql4 z_Bi?pWh8zjxREtbr*A2-?kKTN8yewl_BJb#h6d6g!>K$N`lclie4zZS=$`Ze^!aOS z1T`kwxVRH7qjn3|GW~6`{gQ^v8m+CkC*7TE=0Fb`GMsGq>HwiCJwDuG;=2#$z;{b- zVL)UEE8z)dZGF>GuDmMx&Bo`sPu~azKbOt&+|a5BY!ezcI$(5AN@bAjvvw+B{sBmG&hLee0G&HX!aFutnO zDmF>d-+9JRH;+gomfVhN9^pz$Y7yxYXAq(!fZF`8`_Pe(fn~WTp}Lc$hw(-LCi{}& z-4ZS-B$2j(u0MtbMWO=RN|P$p?;v6X5Q*~Xuq;b~=Z8;{NloEgMzN#26!e#0hQ- z`9Pyl#+#%+vg~n+VX&CdSQ;W+qXZS=kI<*7zPg6H<_B4D{ZJ8U@M_>w&WRC}M-5fs zH&p7C?I+y%eZ1+WmBk3bMAnC~d z+rYLI-q&xR93n|d4X10UP3T>J2UGN-Niks##jsNM7)eI-#h8Q=1{1jce#fUToL

  2. YNJcs zLHg52yA|Ma<*-(DQKsI?!Lu3mSW$4aGmz_p;~t^>kk8xIZ1>S?jy_p|mbv*ntcWL&x0v&4x_t*hg=-*3E3y9}(uS zGiRsg{c={dnCt07`<-kB|4t-V+t+SRWn{r)c6Sdwd9PPMP6GB7yaS8&sTV;kq4oqd zPI?FSjIG9%vMgfbc+WanQ8DQ9-+~*wq zD#UcJ%KBC6`yj8O^fv*v^$v6cVkx$QG3V5_!I*O%NpRv0N~C`@9ig8lxFuYajgK-t z`JRu3v2nh#*(cH%>lV^#UPYK-s|T!+w?x1@r$X2ZwC5Yhc~k; zj&dFFIvJOEa7m8%m_=DyKQ3wc&NA{fzMm1Sdtn5ZkuP&Bc39_Uj+x&x$SN2pj?cjH z#k}vSbKm|MQ9XI^6ULs$%cj4o7DMR@rMNtrs(qU=_#FJbeIx78%8QLH^(P3-8f9x; z5t1e5^SNSyuWSE2G1|~u2e;cAe!SBx#%se%dXFt6Se0BcU1u7_H@2D)fkbklY<6R5 zUWIt`RX22^Q$HP=zWmN{_ttKy`CvPTj^J*rxVeBU*9;H%fHT+F~)Bfamz0^j9tLBa5aPIJKzM!q;g znU<7sK0+1|(Yx;)KMa_Cps3Tl;LHQNIb?-Z=29HcTw7n~r=v2ljpq!^I^_qlP;F?Z zqIAwZC-|h8wpY~E(zmk?_7eifn;ucUB)@I=xpIryT2{H5v;D0)5Qy4k>4!}-#5pC3 zOikEb$6E8ZESi;WxaFK3=rCI$yZz(|u`Y`43q54U>kN6~?~7u-`|)$#Z1LSXQ>GPV z`gCo^Zh=J6!w!>a71w7&wL#DG<>gv#F#D77&?Vb}t|{2@Mc}D2Z>=B+zqp>kV8~me z?T*N?%fZ3yoJ;++is6p7$}8~rEM0ZiM%Xt((baXg!`=wbp0J9#=6diJT1X>bBZU3Xc_K>1tW^{$>1FI=?GZhU%5L zpEROrykPjvd6zurnD@w#^DsuhrJgX$*vt3JMbIfP!bCRtom*{jM3W}LGpTPA*@oXv z<*WIllW%70>YAw_OJaC?J!28fRGUi_Y8s6h@x){LTbh$R&z1$@bz18k#!1BG&`j~% z1~=uv&lS)elEJtS#2K19%aXd!3fzlR7Pxuf@p%4dbv>I~a3R#5&(RH>Y~@irs%gZi zXGq4G9hk3nAlTQN@AeY)%AH(x>ZvYzO+4dy4B1%Na4cTRtU5rgDaf6EGFmiixzM<- zwKRMrS=gkHF3dL3GsyMyQmh%&~1%8S|coebv5+`x8+VS3?lb*FB;A9A+ce7 z>MZac>li8p9N2I*cR%b|kJOrGr6|W(!(j889$3NV#H3&-NfI3Yu~qcYe`Oaa46xFI zF?$j^rzy6BIbC*HIhPxuY1nnXx$AZi*fD89?J#$tH-8?Dsql#BvCTG|U||!&N%cAG zKYeL$PJDnRQ^b|jL*kJj;?l7*k1$HH|0zM`#lY=J|FkrIW~QW*K&4+j>W60Fkq)s1 z-Cyg}Rq(X#HlCp!&7fC9h}R>seh#7=c#u7*2kNCxG=aw1v0stB8SOcC-d12Yx}T0V z<@VxM@4D?qFKvcG$a}2O`3zk`HUK9RcdL6Jt}2}B)m^{2X>LuJ z0l@=tq@MJ?#}Y~3c)|Bwi(^!8!yRwTo4$6(F#@$t*n{TdvC+tvo67umPZCqrLSwP# zIKtvR(p-ZYiRy!o4YQ3;3LS-?o@15}b&##s8#rUrxyGapxk#R}iDu_I+yW>jpS^JE zZFZ+mStPG?)M6^BUn$OF12h~IT%Evw`{S2E?{b&k`!+1mKcI(?Vf|j_*mW91I)C=D z_#-kqGgfzK7UI$4ZE8NY-q_PRqMwrNE5cx9wvusv5OJR#DGamPa(@b)nBfF)-Zyb# zl2<3E{S;&;?w7RT<1+}dYT-DHIe4Fn!Hbnux$5!KjSm&Q+?NgQ2uR~ z$zY$l7mqLJ(7ZtJ_A`MS3h3mN&n)#}4WYklCAQn}>*7wmV#k7?)l;r_bar!!npPO5 zj@xT-Q=(RJZ{lmV;~1}rmlStSEmbn;iEpvZ-JuL-wZ37r9jn5)5??+7U{n82VD5Z$ z%+ZPQQ5JuOlCx^)O!a0WOPi_>4cCkbc!`}#&PbEea$6gM6_(IaFii)H2 z=ekV-UK|B<|w>A0A?nnWwJz5XEH9e2oZ zcVFjjFu?R`Z+T|}zJ|ZYGI91Go%?Rh)JLw%*ogul+K@B*{NZ_tBlfBGR5+Dw0&zBb zf=^HKFuSVxT46Dj!<@nLU3==fEE9LPGgFi|2eEr7p5&CI% zjG$?5eJgw5;ueP`a&_P73_nzNaDDyoa8nOako;icv83P0H)uTQK+b zGT58+3|l+M`TMs>3|LGW7ZT}+WP?FOCO+mu;YWqfVYU2Qz4y^mN@Qm5OtBMVCChL;^#s*MENE}beRx%3UHQZqe7Pp6}~YKhkG)fNiyyH`A& zcki@fZJ!;UdwNF4|SHjqRM5&nk5eNN94Qwq349{FhM zL44{EEo3cFb?LjXiw7p2`(kzFzW~M~HhHPK?12(L77GIQZPCh2j@JZ8`=F#|xAimT zsatexo$unkbQY<1119=o-=xfR z0B9Xu_kIF+m6>L~Cxx>|=#tP%Fh=NpD-&l7*QG3ztO{eto|(P^=0naYGc>`rJC3K7^16ndjp3n{&77AlkOj&%9XlJKVy_E=TUhvQxd6+! zr!~5PRbgWP-GXAKW}eQGSHJ6Nu{=So?+X9qqvdrHWcEP3GMAI72U%7?CS5Lzudm*~Ni2oPN&{5i+_bFPJh(q&`-fVt&(iovS``yWITWH{w{ z5C)mU%og_Dn1mj$8G6?B-LQuqWAn-hjT%1kAkRj^%nqs02>L7Lp?QE-$UJoEk81K#iBd8%`Z!OXTV&sJ9vBH71B z4d)|+<$OPiJU`xSQdTioHOzFfM8-Pu_d7TUC5RFMGVpYfw10yyf0Zp?zH2>+e1yjj zgKb%nVi$4G;YMM_!T)OX^Lxb0!lUwCCB*dn=|pz@Za%fuu8gUNdpdgJI_Jg{wak7{KAm;>CMv@L%n_**WDTBRffLMh_{Y7s#?YY|zA zp@UC+F|pdgzWNN1=WA19h+-5gSL%xXhT_01k69DLJ^57J zxXGjeYH_So3MEHrt%M4=Iac`5kvi)9EPA+yt2+KYy1yfF)nw&L0FMxUm}50lwJQ@2 zt!Ok;7Z1u2A=#YZ4h|EfBz2XAAUOuD&mPvJE5FaeBHK=eJ*9*s7eY;7mfumZCq)mZ z%I^)tb%^PU$mr8DiDzaGTVJ%lGE_@GFqm4;`DS(yHm;F^C3tN1Ugk6fNr-`zSBA?( z9D=lbQ=hI_5N_gPM-^1X1W9whTl0-p-7~E3B~ll+gJRG;{}NH>7lWh`u4o=GtUR;@ zk&@J6L5x9w}ttN(!73tot7&T|&xGK#{lf2e>_Zo-Di(RL76! zw-!8j+vQgrWu`uVM2K|XQ^+Nuqjpi`7dj?n1$Eesx*ZukRC!}lbW?2(%?~@WUMa+@ z#J$F6Ar4z!p-WA~{)16{4o4$oxPx@B*j776K3?_N#%=$d+;YhS{ zUGR*+dcE)6aADs1&PJaaTiK;miV^7PSgRY=u|@s2QI}D2m~IboPn&76paIDdeUEE& zJPvA@gp3ij!nqk&uR(`DF_gOR3a1Q-2%Rja1Q9@(3{);nlTi^bt&-6gD9xeGmr;?g z$jSDHTHVhu$2hox(=?(Od+6BIs)t0x37Th&^S{mK=OfI`yn0 zC9N6HzZ7M*Jm@=Ha&uFTlp{4=R>xy`(o-K>|768|IQWRmOcnG)L3NRpstoSnqb!L9 zdPBa%mLdv3`NIB!`0p=qQYKA|nI0g?gUQ9Wkz*of$qvaWnByO+7AQEFdrxpLr3%a> zIKOna)Ku|1tl*VHV5i!CXfhLAas_ZHEoSy)I=7l?OTC{3mY8b8_cVMBuq6FBE7HPp zA2@;H`jJm*bm9lq$oo?uUWyB;?FZwxJN2NW9r#G*k(w`25&r%(LBiki>dBW%B);K= zlU0$2!zYsA_>m9Co0Q?xU`PwgSba;zlb9j1ROM6VR!+kcj}VI>6Ch6zb|FiTmn$Qp z!J-Lqzm#&AQzldXpdl+EL-uJ6*}hkIka+wxM7D z(-5I;D|r~WswX=OU1l{z)zaU*gzjQ5&mFXLm$^->JQ)Z0kvt0p(LnFd{d;*MRq*_@mL2^ zsVdVvQ(2N_TdAX><&)VJ9n___8GOI~X#2N$+J?j_whNB+?(_-O?|XCM)uZqWi3!mR zifB=`ZD@Jy(XA@l*cHofw>iRiWZ&?b`tzuo$a9$i7p|9Y$ls~0Qj9gy=bJ=~M5s;hES;y%VVwe0xr#;fJA z>-C*R@lov2mzUa{h$Kr-Jmo)br~zQIXI(#G%$rnCN)xl=>Js3qwja{4-3?dCzLx+t z&Gn5UHTqASeWtn3sEjj_r1fHN5#_QF<)nH!CQ0vLePNeB2^#qg^PU`Pb-L*?0d#kH zJBE`NG_?4FggcD>Tz5rQhPhwwXhGPw1`QjE9Pu9K195C<%ARwW5} zF}TItX5NLygW?2ij3;RhZN`JRJkNLc6Ml+Y|6F3h&v#Xnf7PkZay1K9H9`R+IGn}7z-o4}jnt5*i}s=@arkpANYVQZZ9Goj>UUcDCO z=*Q3|xfcRvh?(l9!=?2y1Z4JGhjHWA`uI6)pKp>tL=DX8&70;EQ3+=i-#Q<;W!ev1 zX3C%+BJiX^Uu6*TgOuf<3{8ZZv|pA6O$0t47s2udjMZ}eG1g-j1Nodi9P)LZ$ zMYnnp;^a{!+gY`E>ZptC@KTa1TRPgNPb@UjmIQI?*n2rwM0Chl=LBcDoj?3PBwb@% zW#8AIiIXQcak6bUak6dOwr$&!ZQpF$w(C|m*VFI+yx3=X9emDUO`N0U` zFzBEB`H#hZr!JlC9`VWElo>Ox=Qod_axNdwHR+!A$>kZ$fzbZopaPQ1#q*DTM7{qy zu`M4YFf?8HW%dbqay`t@bb<^@y{Hk0|IB!2WsqhV>sM;ga`AsRHG9T;<<`r%Oo_A&iF1+R8&hFH!Z~MnCRNE%D#?|c zilwLdBo(QZs6o@-=6&4BKVlYK(F<;Fm#+N#8|YI0afcoo`109FgtOqwSN;hmQcZ+; z<{rVbV~RGNVA>1A1O0#^0`r`;7r6rsoJHa(qyXoSt8vr0<+;dx@%M59v&};_6D7Za z;_^4S|F)R-;Tq2|^X@55MD>BD^p+`in@S<;xA%!c@$m((4lEg?R0wbHJ_AURp_?!b z8j{V6P8eATkjq8N>_ASKdO*GlP(JxT!i3`g7JZPs`G)J^hjstWzVyRT?!BZGLkTVq zSX1ExO{?_iSo%aDIH(&L!Hr=zqS1-1>?Z6uoHrHo$67mZtsSGunaJXtYhh`$w2DB`Iy+$PMPF$e$+3Tf!EDUd zZu=Xn_@W~v)e(~oW((X}nZXAb)e&ZE*M`nayb?90w{dIzX|2*3unt&!(1g#CVp}7wH!4G9BbfU?f zFv<=vjnfP%2=m7Bqe%quR%mhwk>{ zdXiq~RW1!=ALPNj!(~wJq0a}aj&SEYa$g~rMvEM#VMI3xA2f_m<0%d+V-TUiP#id8 z6p;$1G$>CSN)vT+%^)rAqp5R~LiU7I9AGnuPy;UxEBYc-|MUOPkxB|Vau}^H`mreN zzeF^}|0Dlzr@>boQ2H|bm-;_8ji?z}aX{h!&v2VYl;WWqkp`yHpT0K+^rO&0!~f|4QP27{dOjbfNR~ZswMr96iuyh))M*4NvCsMlkB6aU4E!`-K1w_KYzYc>G_rA+Gr3AY zS)gnH`^c`Nz&ZaUzqG^?&xhjII4O_559a;)3bHFah}6LWJIseUi}>`4k5%-(Yj#?-;9GxmDQhoTOzyTO1Ak1uPJ6I~N9pLGD38c=QoS&$E$MJLv5`VNMSTv<#$ zK?L86`Ho5NIgQ`g-LXh#j?oJHS0{W!KM@-{$GhRKWj1Bd+q2o9yAOx;sn6Wm?yhgI zd%3ocW5GUoIdYxfa6dJ7e4(Zr5P7G^Pu!`VjeUNBqyy92&g72%Qhum9H2x8*-kHu)zNdQV)uFK+y|nzWVAmMN z4I%A`nueifei3nVVA61gfpm{Sff$x4Su|%(2*DHkvzQke&%ELOYXI&NX{1s@se0Fo67_F zVZ`0o2}yTI=|m}8{;Bc4T+8=BE?d!EwgoA<{oNNx@|0-XyH(2ng##)+kj@h`MWXwz z{ov}qt=tVKl`W3Ul_>hK9l-1kl{Knw<}2$RgqHUuWREtSNJaFdzwtKWs($);$#@HT zgDt(~zl6@nypJ9)mh|KvRLEAy_Rlr!NWsn|qUDpDE9uI8!l%fT(F<}rlTDXzt9Bz< zD#$AQ`zU&WZTzIaA(;*!e@4HsM$8iD;z|U2==8wfN_|NbyeH39{Zv7~MwaFMvr(vd`SL`9*1>u~5nHKHtpPq)97WzN(L~#^fT=A*>e%-^u%^>@pkB#=5?1zaz z12kk9XF#k0n>kl83}3+Nztc3zwCMBz_cZB@4n7-ip2m5v-irsEW!oT(45qX|%D~ua zS@m34N%JK=d=mr{!zDe$FH$wFl?x+jzNg1VhG=52r?=!W?}6$LpS^;*GXQonkjG!S z-R?up(P+H`$Psvapn0hlz0uwddZ~Ue{P%5hx#8TkW*fmdLn|$MG&okzK_8zC^XQAP zkzrE7G@QC*5De64)MdYA{IrG>fMfV3><3|2)5Wx8@0R?Xu*ao`LJw09NsoW$=gyBE z4m~gi7!K$(u!(Ob-yMSWe#Tnj+hJ=|qw04H;^D~ki`cIpS>SoUX0Xj;0rejhKZZQe?7+^Jm)3|{ zzpF(p(SxTHK6D^M{6)hRK==#s@7o;lIaIx!_gpnN7V&b~X1&WK@%ho7$G^?*H{ag; z?CxU5heo@21)WQ;k!9O_uRB>D$L09M2%G*aDO@? zCzFoT1Pssd2C%+CSKt-fq; z)8BDer**vRQNE1NF*vAidb!OR^RI9!n_h8Q-{7*7=AKn#wxd;u1>!Z>?1Z?~m*BU- zJmD@)>wA}%K)Mx+!SSpce#<#WhPIih^1{#~UQnG(@fW!ijD_%O7)Iz^AV#8@bIBDd zWZ{NNX`<#O-0|dH_r{m5TxGUv$-ew0vK8D3on~7D7IVIel(pdagQMyAhn?v3t$&9T zb#6CrhpFIJp*X{k zvzq$0T1WrbE65^Z0{WoRC6-lYs;}!%RP$}=Uu;_Q6QY^K9V8A8KQT;+KS(Ulv{>MD!I1HK+GzIT7|0yeDuqfYZI8aSTrLsO)u^h z&@sZc021~l-Nu*Yrvz3Sc5|sCY6rcC?0sPYFp?VrERPmscoH|RRDO8qxZf8 z+@{6IeAkSt(@0HS#<6kLkTHM`r`;Bm{Y~eLJ6P(R+S}9!T$>AR$!)U5sQOiB+dZAR z?nqOpA9)F4vc-6I;89x`0D&9&z)?y5p#5FuG8200qysT)(^V4VR7Hr}?^?h+(_J}5 z%H6xgAMW+)Tk8EEIBNpz`6#ud`gNtNe67Q9ytRr|^YCP2#VT_DddjE=qa7$&Y`X?> z)YipB@XEUba2#HddZ`v{F1>m;iO?a|4%X#^xY6CRIH3&h6bN_jLxGf|JW_RhR(GDo z9v|6k9tq8m7}2#tuayL{>p9#8qcKk@ec63%B+up^SLn4+8R6%Dq+(mPYrEz`OErtb zJY+jv_|!MwW8vI3_96MsV8C*3tZ=xDxekBbp0bqCJ_8Boe@##2Gq<)7&!*hvZ1!MM z&Zp$xWi+G^J-41Fm=XYcW6v7BPYR9#ij;B~v~O`!$j!V@63n+8txh6L))WY3GphTO zp+Q+%72CipD8MBroY%19ceh1mT3J|$Y2zKWX=V2W8*Y}GHmkCfY4d80vja-PUdKyW zj#WpYoH?xqmNDiGoD^DMW!#avu#QfJsmIk=LxzZCCJ*_+eIo1B_3;7cWW5MIeWPw2 zBJuUNoZ%PebF3TMbDf>_CGZ~C%Ke^-r9vRs=9sH!Nn_4U_VPwk+Cip_i(xg?F9#n)Xsv#%45p;cDi|wS%V(9v#ki#Nz4AyI3m83r)$6k8Jkhl z4)3fWu1WQT#>cK!+8LX@;%$m&!kNfcT3XVOd#|?7v(Y=)MNh9af7h*z{wBNb0;b!1 z2?elNhxVFunQ1BS(C5BT?emd&1vZ{G8}=lpH1s^Az}aO*HYfIsC)FA2tQ)0+(9f>c zWPB6MI|!^QE-UFf0voh%$jvlqQoTv>EY=%qf|lM#*D!Dz&6-jw+;oruAo(uhSr>4Q z!R8-XHkwdV^_!4W$v^D;2YTD)t*^}xQY--uRc39w6=xJF3%-5E3I#P1)an@CO&Tr6 ztIIR;=9_=iCDiw^DL0q%l4)JNC-EK>FziqrB9q`MlVRV?l@gzrx#1NUPf%x|KC%$y zsc>3n3B{9pY|6-(0$=Y=c~0oh;)*;tyNF3xM^Bx|fT=E4sTwDhB};y8%5$3)mXmtMD~02m27Ox zbjv9PyOUVy`EKUjgegXMH>%puFby!kG-hO-x5!9E@~U@e4>wwdM=B9#a>q9#&*78 zPWm$}CpG1b`@w#0tf!z@rkz~%Eb*e!w}o$U>h;mQd1e2=!#jj`)K@OiQ1*df>0q7t zz{$IrcimMd*L3=hU>J5J!Ef9Rc5Tx*-S5m{ z*p2A5T{d5--{4L_U8Gn&mtol2yy8G~N zc!ccqm?3|hY|a>3@W|}vm}Y zmv`8aS!x&$j-P^CGO<()YwBkVkfOxS2in=_h!t5Y>X&x@_)yBYEA#5dH=-Ma`?l>)x3XFWo=&`=yhyvN)$C@V=BQw$AsdN<6I1#$VJc5 z5Kxvq-+ZPgdTeZJ!@yne-HFWf`vfG%xRXxNzKc=JRp${6;*s-~T?!-|H|D9;dfP>1 zkUElRm0q~bnmQ`YX{Tiu8qM;h)6%N~!oVt;RP@w1bT%!31N?YFfp(W=1P-c6@tf|$SKv>0aaZ7Z!^>WGJPWMgVu* z@ChTtBM||^-zi7}OFM4c7q>vE#UILKA8bM+ZD?ivUeNHGy;?tUOA2l5HflH9P-fA4 z3*|!^ZrHI!vmf;UJzP1V*H4z684e?v!p&fLK8E z{-g@rBb&6|z`KxNs^}9746)EPI40Un0FC~vitlc{i|`F|| zOypMQnEf!dF%XhsFPpnY`lj9RlCF=Tedc|DkIP-DUA<3=)_m{xj)Tj--4EVf+{vkr z_j(%KbAGfbOc@XX3?@v;Yiy{b{!mujBb@0gIdlsQ=F4<2b$Dso83){M2D>slaro+ zE!@IZYlVu;Be9Q;aWE4b6mhy0Wyi25AleQsUTMCgb=4mfB8Cd__F(_f{=%zbh>MZM_$q#Hm zj-_16tEptwE{U10(DZz?uR+G@N>ICQ@-vKZ%Tm5cOEggYRLGl9x^nLM(Co~)`6GY+ z5I7w`{gaOdyK}cxWtA{uOW(bl!rgVGp`lyR}>)mFsFjjm9V4J;vgSVxK@r4>q&2;EOs^$z8CbE&?%X9uk-y8 z7T?7(!flG1z`jy*{(7Hg-u>FS)j)AevxhmUZ1~AFeb3Bfkn1&8vAixpgv$aW7>(}3Jxj*O}EW6xnf2-#(Hh`P= zya^QZSjOOtz_iRx8(V%&3QUZC^LLubU{fTJPn3Zd0h2fl^T3uS@JmxP+uc(6TO+o< z9~0wt^fWjPw)F*$Rg)~==vAgTUj86)DQX`&+1Op;M{Jp6 z+S!1ip<>3_f}x}WqIr)vr@CUp*@U6HV$9j6wzOi&*}k^1qSx8HwtzZ0CU?ZOJwsa+ zOwy?lw^Fov9#Z=b@UL2B`Js1+F8h3G2gADVyxA5oe$vjovSe&ug?JV(?UaSvDB6X7 zlUFf5TY-Bo>ZOwURcXYqa(FF`wXuYLC5?}JihU)Gv$5J#$d2k($!==Lj>ioBNJwz8 zww}rFhSfSU?1Fbfi^sFXx~0kJM#EI%gm+nm&+`Ra&|-7rv|P3|)Z3tK>d^wPbHcT~ zjzQ5cpLE^6QjvVbr~b*XX5i>q}xcFxO|X08Ov z!JS|q0_7kg8wy^p{xp@k>iVgGGw)GyC~sJ7Nt5LExfR9Pl`2Fz^tM%z?_?-{-6WS% z*Z3$(v8*=Rfknlj@<ud$9`!tAXk%^00$lwi+m4X0Jv47K%WuGQo+9WOg}Gs~W8Q+hX4?s$ ziO;0tY>Px@Zn?2j8@0UA;b+_W7!-qrx%nzI96f#|)Z^+YDTKN?;7!7?JlPgIuGO-} zi=1&G<0Kn0abs@R_N-$y+?%b29|6 zK-jE#F^;v@L0aed$DnOHI4&DyR3R*d6n`i5t7g@XV9>UZv_G%GY z-vRrI4JMfs3M8uT_Egoqlhc390W9UCl5b2yyUjobnxD2fi1gob%0@-fN$YT{S$> ziTHN)ZY#IPYE}vjRj+%;5K0a_xXRwUhv42ffY?z|cm`+~KP7(LiJ1h~PG}#27Z~vw z{pzcuN+Cw7Jy&B@A115C8Sw!9VM`xqD7zr5_n$N(Ajet71yKTjzgmOV4+uq~_r^&? zl&d__|CN&@Xa6hnDR_Yqj|~*Wj~);6Og0)|9cW5;;gH_=`E0SVZqHBF+j?i;GO|5L zJ5&`nZpWfaDDid;ZBLTJBfu6%Rv*KHisv4EGOGD6*()$A?&mMk>qLfU$Y+E$0iW@K zgwN5^^oIi>vK;p~eXN%#RN3oHBYXpmG&-{AQiI#NsGy(>GQ$V?! z>@EJj0#}kuvFfQRR65@w+o5KZ>T@&DdZ@QVk$L95NaYx&Xsd-X!aJPIL@-0nlZMoW*+!)@s_a-(kNb$d zJT_#1nUy2G51%z)VkaYG!awYtjiaBtFWSa?VRFU2@uxY3kF%G}L98{muEr6wEwVB? z^T@ie)1-d`wq?vFl92IywZ#y{5Z|a9^-gYL z|DuC&l~GqOcBu0waeX2qC=KtTD$RDtOEEvjo!lhWzjq^esy6B!ME!t*_e-X9s0}Gi1Di5)+;K$X%*BeI*{k9F%D#>9FI$ z$UV~A2o8Fkn#ihgw9s>{y_i%BU8V@bJ)K)W4m$j*aj!C1k7SgWClt^vKT61R+;d#* zOd)C*SPE}|YXJ(#^WyPGKVTRDJswl&1PNT@(&0+FSX)td|@>JoDF8N_{`U=1b%m>_&*B^p}bAJ!F3YRC}0zyM8xYy6NN>9c>{6NLc8LWNzi|PC8;SO z8sn7_(eG{^*7TGL<#t4o`-*7%1v3v!_^}_8V?`oLAwD33`!rAlo!fN3i+31Ef<9E( zGD-$SOcERM^-qxbpyvFGPr4ll#Nq@aO?>+~wtwI&FiON90EBpkcEE_a21~+yvt5ym zR|iTaNcupM#=c>U9gke+9VD(FAlY5Gj(ieM$0<`xGtr<5MWm@=y-M7E+|u{1qza7P zeB3&aWxGf5O!G|f$mk3l^H%7wSoM%|Y5rxsV-=OuF>#8D=?r*GSl*q_u3;h~PVguW zJteGRlo=AiPWw*w7hC@VK9QEe!zcR<{SJd!3NRMMRCr+d9ORq!rspK zv%}s1dAOF_r#5}f;kr1%cCTxk&y!V6BKpPaAd7mR6E4H|G>9y4DG^50K^nc7PV)=~ z>5QrLVkzcg_4zFGUZ?p+BaQC>@>(z?QklOf3c>J%nj@&N!6^A!r6?A`Q2FX+=uQFh zwLcJhiJyXN8E7tk4h41feW%#(liv-pli(oE!lZ*u4WjPrw9{@S-N3l|QL)D+PdO9; zV^E()W)i_^08k@Ii9nYtALOc&-vBF;UWg={rD_+h!3ts`x)4>3sYK0gWyWDObi7>r!p|baFzvcq_;9~Chg@0C?FD?$fF9@t zbWOz>LD$E%zP|D9LE@o4vYsx#Ko^rM4db`ZVaIjss(Q9Q-#r~kK}VSR>_|PXJ;P5s z+yc1?ZeJ)`2%sa4BipoY|7-BedFLmufr^#P^E!5R2F00Job<>E5g^wm)F+hrp5_w< z5NdnUb^f>s^$liy*HNLrNmmR@6X(7|Pyi+mR}S5}0+Quh#`mg5>9$@aBWetj4<5U0 z_0xp11r?L>T4h+rTnKAs<#mf7$R6oa*x<4$6?&R?_ZerY=w`Bc49&<|Amhn)FcEvWd8T!T+6Sf_X;nm%OOg2iTf~a_y78LzIx(BD!1?{gz)`IKar<<$u2s`z zNljQ_v(Q&fM_{!nmld}GmkBo=Hb2a~`1*j@YZpQBe6#p0kWtFi`j`){(z<%H7_OP} z3liywf53R1CRq7;O-#StBJ)+M)kKqFvv%_h4Z~UzO(oHLpwUY6nWk1l8(P}c`jG;H zsP2c%9%Uunvi{4Op?wUThB$d?5eK2X#EYU@SGA#FMAA#D9zwg!9^YIMyl_eq?SX`Q zu7JPN6D67?X|6!J(nq>Hr7{ew!exmZJ?jr?g}ag&q9z}(`%Zpv;ZR-`hQFeJl4w2& z_0mu3$isX*nX1XfW2PZm4v>vTKPhM4gTMEKSO`gi`QZleKvMc0ES(|p=EK-0K*k;& zPsAmpmAgTE&-hwN?Ed|C)G)EjBDHPe%Xi72vMbaBil8&u3&U=w9aIjIXkXaR)UIp) znDTZIfZ5SB><95&57K{Aqeg#Cp#HIdMY9wo zfe|P~gn98qFp5N=DTaMF#|N8~B%b;4H$D}KvN%o@|Ay$W|K{?Y+qCcJ-dy#gx23mb z(|P54N2d4V=3|yOXzMr&XL)3LDP<*hUB0tn=kF+s7LJ68%VO?y(dMA?v@+)Mh6r?o zWiGdp*8yEQy-iq2z)%8b-5S1bq+wHi;ZQc+ESH6xqWEt>jMISlTj$Ub=}{pZX+Gk1 zbCU4>YmkP53~R6hf1|>J-;73l_ecf8ptu@4#gC74S+$GYPHX$o~BXX#ZYC0S@S)(Ujk%j zJ)B0l1t*q#5xaQY$4CkdN1n8B+Y09>5mQeOdr3;(>QXN~)0n^<%q%&DK1FFxw;-Vb z2Tr;SD@4i;Tc{uW9?2T!EzU=yC4Bx*YZ^9n?uGbWOHIZ_C4|-r{BbG76V2ww;Dv$q(F5!`V;6Zd#vQuwZD5_VhT$z;K_Bm(M7mpabX5f-)ds&Uy5cbQsAaJh z&i?Wk_tM`snW55~-&KJu!)mW)yfl@Ws*^#jht4+Lc`+FxN^UG!G3VhoH+{^zvvG>= z6g-&tnk%?DYAe`Nw4E3(f>WFmmN3V(hsei@yU{oIc_=x9O%!G@9dVd-JaNv*bs{dU zQ;?o=)(M;e&jsy+)58`!+HH%JHC$(sm7hj|=O(qTEB%i67npP>ZaEK>+X3MHgS~%t zAvdn|JX%>ec(g*Wr}pz1A;dycp@&0MciZU8`RjFi!|P*)9lX@DVlJ46*2?f*qe9Q^ zqWG@0a}{L++kj^@Tcd_&c~j0z02K%CsKt?|jKA%*{ajwLUO%&R43I7(@Zm4Rml$ui zLXL4>Gu~$liPYyO7-V6S<6`=dqQri6=;3y zW2MvmeA>C4&TjW{vvN06a=WvAKz{%eZM~KETu8X@Z5VaHcZ+z|iJ9ct&HeYK=?+jG z=thrGIQ81Tf?pNcwc6?QN4J%Izf1M)Bl1zJ&RcZ@Kk_xgR9)=lpn9X=4Q>jyrh~dh zYd5-%S7iou3x(!~svT{_DP&|nH%E0KTwe#WY#mn*SC%81Zz~-V0c!o2>z~V03&p~2TVBGmqei-ud%U?knXioBg5~A?l zhWLLmZ~NFzdj*LtkZk!3oR*^=)HB@4J`rNuWUzVet$-gS`j7*5lWj<)6!u5X7G48Dj&60?eo z6E%rY6N$MYjXdzHWJWFtV@E8BVgE6TUH%nwSs)!hfALsQ6<=I1HUb&bP>>yuvA~cq zTu?rOzEKgb6&k(vm;0+jDzSW1DdAdIDdSqjiBqGjydq26i6Bc;DQSj^6De2JyQUK_ z7p!yC@HReP|8g95cF*n4GyF-Ihln*H?}B;3(b;soTOm)oE#Dx8LPtS!d0G}4Y(K4~ zjhRw23KlZ#!8iGwATnXhV21)pA&{B7Smn^v_d3>yr-9H{Oocr1Q_2^;uQpu^4fpo*%DkG>^Cc)#Gp3f-1*eo!9)PQP zn}}lQhgyJ~ZYBNv*E;_G;f2h*LZ|FH3!qRlXIXr`Z23U!5onWajZiAjUqwE)^iOw2 z^Pc*}xofk~qutZP{lzVTw=9Qcnqit^8fzLtHpV83b*|R4*xkhA=me&~okg|>m8grt zV}#d08Zdla$bRGck&}$Jp7>eg1??%t_cP7#0pV*m(hD|E{=1Na=4u9N1w6sF1@kx3_uNlp zFD!tNsXVFADqxg;jeZT&f4L%U3%2{&XWPyk9>^o$x>?d{uH+x7un!*y2MgIMeC558 zx~&f+1M3ASyYMP(ut;xV8jvl$fwqgB$F8dbW&f!>vV+2V)l=4PYD zBiG|lOmncaaYdZ9*zwW!?)K%@WpxbewDIHjRYYf-4+~fC3+)f^tNn%MxPOZCVqaV8 zOGED1V^j0=w!xM+{9J15&| z4Toi2DRgzDc)QMfn!9@tSeLT}X695*Vo1v_29S&{10Psu`KM&W=0n@)EdVhX3w?ED zo!5Ml%!0R7v41X(&QR}hV;DE5a%M{Gy-t|JGXrN$PBQQXV!^N~Y6s#Sa2u4a`49Oc z%{Y**b%RPY?|&Xn*#WoB9@Tiys%J3cQ>$JS&UD)V2?nc0HAQZ^dA2iAFD4O(%Fl-{ zY_ySE9SM8?qMTaJJMMX}GGBb&^K`^`kDhdk2nH#%Sq#NCj9*~p?9NQ@9gQLzFo*++ zN6>RNnI4_G(qFbE3<09R!+-1<^)_ujfvvkQxUqZq=XGu#ZXTX5?ob|3gsCNwN8*q0 z$6gOnpr|6Y*+j`zjo(a5`}b1A>nGAV>Q_v$k&bghDdG)1iWllTln+U5%kC-TZ~O3- zHR=9MwzvY z#(YrKvm+q-dtMQF1U9Ph$Klwl=weit;%*H{6%OH%YI|0IZFSEh^hZc>DHB?+(Yf32 z=`K2k#V%roe(%4`2|BC~#}0aI_8naf;Llg!FIcw4wo*NqKcM>ZCn5 zuiBzsM z*`+xSJbb#i8V8sI(sS+roJqXnWjzp$zq>wZs$wy{P$>edlp27iG9#D|v~vkwlHP}a zWI)rE0&Qlp zdS=MGDr2WhHi_diXlqaZ_?TxgpXo3vZa*KJGn%J13Pk$%WPOX|aD7wcuGvZZB4L~O zT6x^czsbMBANHnq9}9|okiB1cb$plkbo!*}9>2alws3c%y=i=oe24qnvCuNNzC(XU zzufns{Nel&{Xq*z{(#l)x3M_kmreT=AeYKT>GNHj3H`KXs)ilBsgxIYvMy2)wL# za(%08Gly%Lh3o4)f8oIPynDI}CWLF@!fss7(d)!v6zMnIG4ul-LbLii$vLM2b?rFG zxWpst`s4BV#3L66|9=MJa1flCgNw7m%lpDb!p5$Ni3WN=AU)YJtGQwFKWAYa?%5Q& z(gM`WCvG!#d1p)~zY{r3rS0$-U(T@(fn`Oy1F=-$N1#Sn_1~^L-2^%m?W+J8@ytsn z>sJRO#Z!+vw!>exUzj2E?w3MIQjaJ$-PAc&Wx)3(dNNJy*;?-0X~_#=aqXY{+!583 zPI$yMsO?wN$MRcx1yOVqi4+xZ*skQO4tr;=UR}|PlIp`&E~;YQb<&QT>ih=wDEu{Z z+jx8#zmC^AT|s4q#RJQJYKY?-d#uD5cZx605vOeS+r`L`VS)vsH!lX7BnLcX>k0)9 z^19kQx=Q5Mmf>t+tUb-@OOH52Th<4OYTnJW87AwbLze5r?*eRXI`z^&*NdJT<+U5* zudNoKan`Llt)QERqXO+)%#5 z%jLa zouiJNV24%GOHC0$yswg1FvSOB8bjxwT_rrjEi`4P1`I_1`uR9-qoco=F0MtIQ zhY8av!_WU5ZR9^z&$V3-liyn_3}cOtJNc+B42gN??M_N34IRamRDz{#w@IHZE}%4;>Pma+-vB zInH2Tj*tVxo5)2=#ghAEc}&FOC}^zbIdI_)If?**Q%*6)!qj0)t#XmL=iw*t$14&_ zluP_%3*u|294;uz;T{*I$o6BF`GqMbKjZ`NRf%WK?Pct=e)>2x{_uvb!izZ$Ko^}`v;2(R##TL_4ae!KIpD=rZvAfrK?MQuBbv~075#9>Z<@TGNZ zNE**L|M%|a*ik#}zDGj9X^ynBL4a9N=O|zmO|<1McfxTV6!mEtmD9w#{eJ8leH(jU zB_*wj1k_cEb{?xiFVcja)hmE6kSd@lu(w~fD&Q$76J`!GK|Mr$4hs<0F9;Tn3{OF> z`mOQR`os)%R;55foIAWc%p%MpJmx3sPgay{G7F;i-`lG|&Sh%hWzssIjslWW!JL`w z($ovh$j&(|l$W2Rs70{u!>rL}X(yI_rR#<=SVAoR6rm$@7bH@s*OF=CETMlBf#2lA zD5x&TXoxft6MFle352-+&5`**9lxdf6L>|$`_ytn}$to z0_uHHzWP&q##l~ZE(Z~O>h$3b%q#t|BDh;5an9tPMY!$Gj@34t9=_NzBs?QL&OCU$ z2Y7dt5X=f3E;nms&a-W@jUUy!3qUp-tb=KmRZTQ+G>1`CP%vl^WDG(Fv4S2z(qDamC0=D-T$8(obS(J|Y-`!qWY;qa zOX%6j)yd(>{$H1WTywbnxP`cexTv_Ch}_%c&n&}I!?>YGKR5(qN)6);DN8r%=IWXy z*LGGeR!pevtWvEpo2s997eL&ITxVhYRO>Lqzh*csCKojDmT}p$BId!)b}ytY1wZM0 z?f=Agx5oMDYMsP<4S!v&+C5B6kKM1akBNzL8wuxq^EGZY;ohq#mypN6m*SVKj7t}- zA1L>$AeY?75|?Tp+y_l)n*ez{#5cB?@pCe9&HXKP%FiyvUkR#Wmu6exoy_jXrL5r7hfN9{DX#s+D>Tjc z1&52P9_ufwP-{@}EZGO(*8SFncT=lFYh(&CMAUcH@;Y4BbQu+|HV*GQad_n^Pz#mx7lFD9VprhcxI-wE!aE`~=P{n({D=^VR$ zhkrADoe3?95l`!)2*8eU*%?KP84)TJ~K8Ke&6m+XyCNcumJuyer$~2&@ls> zQXB6fFA#;#ocBiXEa?R1?O=Gjq3E?L;Fdg?vE}G-a1&rMfiX~-U)Y~p419yOEQqWf zKXh3XU2qC_hQVdiBVoQ~26_+x2=Dv(Qicqk8L!a`vJI%r$A$sB1owXB4Q+&X^88Qc zoA6o}^c9GAoU`u4jZ%OlhqU!U3xn+w1WK$&f*s8{g>_U2&(5~rE0#2wnM_D_iTd^p zGL4d-FSslDpHGbY`Ci>`OJLgpAEZrTESE>V#2;M4RkH>@p-(O;IKWBgpkt*72t$-} ziqr|oAD@x4c7G&(NT=5(LP!lYaM`ie)_B0V=8}H!ithiqVeKjGNnKdw5lfNmq0%F* z#a$U&sUqmIOiKG>1~A**mK%lU$6rx2__B;lgMyF#Y;3}eQvQ`7T%|e6cJKTD5Ll!4 z(HX6v5;XrKUAwRAgyG$W0${gFOHlbA_NeHwMIZXL;mRKv4y?<9)$FYbwr$0L9V#)NwB&GWU zzu;gcFoy_tSv&J}2#auR^+Zf?R8WvM>r=yLArPKe02k_M>oJT93nD`{oKXB%*JQXu zKbw>DDuA+&70!aOuOFje$V4(4M?&0@xXQHK(PJTelz7t3rrB_iSj%0~ReF$|qCcZar=F z=TZE%4y5lWP#RP#aFq-OlWqPh@i%`&Ixp-f-NA^S9&Gu{kA)UYTJs=!fe%KDS=Jj% zH%P{}U#wLnrJzk{MTv1o_gK(ze& zwrTQ`%9yVM^`Y*PPM?Z?@3%Xvx_>@ZZjVK=kYWDY!RN-@=?OpP^=8R&;s`<0-} zkqs9|B>b<5bj$s(4Ipq z_Oi|^;-%+BeM2+CC`D^Ws^o`nt}4bokK$4;>C8ck6=XHkk_NuX7EsaX3Qfm2uFcf0 zMYLcfZ@ zRrjAJ6t=so*_GV*BTJZa*y%N>D0|f740DL3UNJ0bN-FWF?$E>3e0TJePw+Qizg&pd zhYNSX=B!XY=wHd%JM>_=jIOF~y1k&>9;u>!!9X=%cLI^uAXE8wj=ogGM+bQXJv3Zf z-Qi7ylV`?GOM*($+rNwa_3RTj;V+a&>|^I0Wk{OLUDKT}5Kp*KE$D?$Xia;HMg}M^ zU!|y(yZ9NR`WKHQTMn`cy{4s&uB^C2rM?w&HZu(0y}1KSkuQfBExsRfg_wt z>%uIT%O)J!rwwnG58ax3r9X+Py{ZvudZN4F2Tn>UlfH$;7ufjqbua<_%5dIpuyd%O zss-U$DlWS4YU7~ZI4?MQ#TY=UJICQzhX2RiSwF=Qyjvf4cXxLUy0`>_1ef6M1a}Ya z?j9V12VWc(cX!|5?sECwd*7=2H@v@W_4ds4>`ZmlOrJf^`9LW9p$J3YHV#{*4;E{W zc4+Qi?3DKqsUz4vfsb65>ob;|U=wCQ#XiJuZN`KHR-a~j@>cX~btAGRnEE3##l4@~ z1UGWk)Z<4H`V6Ne&}3n`{dFz`?(Z;u=(hkC&M*o>PP1D=^>K>OfaMbBd< zmO2{bw^wx&Qm*J1j)_->hEz+-$kC1o=xf&=M=vcvP|o1LKwjMXWYPF8zknPl=b-NJ z=J&cSIAO^3I7>??m&;Q!kSN?@6;4T-I$3&2k_u{F+^W&$x6C*Xov4XUrHQawiOZg? z0!Q;&U8ck(>ghGph~)Uds(pb28jB+glWQ7_GaBKL$9)!RbdbWS9ah zgG&o$i)>v%;8oLJM6i%n|(jgE%j!1g=Agy zi`cbBkGzpUUFya4$e1tYdp^npSXXgtp}!JjXHdq_<*K1Hp~iyD zCSDQpq4m(imUZMDt z&-NHKs9xYLVdmWLL#&0}{i11rP$-Z`P?+C3T^e17I|I{YsN!+si8|4k$+&s)3_ zf~o%1uAYfyN){P1T9XeB^s8=657GbK*%x1MU-?elKl;hzRX;Jo?S8kSYa4qf=!@sS zrZ%iLj)V)Ofyg_keC-8`w2TKQ<$0#d{6H;CdZt_aOm<^DnCpLc0FkaFK7J;m`9UzT zY&DcWyEhQuLmHP9zH=$(Yx$*b=F5*fDu3j$33RF?Q5X?+TSl!sqWRf{X&tn;r9`Iy zX|j#zsH{+=PRQ3ay&%RvV8$EB@iEHffqx54aB0ZK_6UzmA|JDe=@(%II{g`S@zlcN zz1Am3buo@~8&b_59yt!9iG}!y;*OL;WYD{=cHYo<1|huCeu;UNLAhJbTk5`Ls!mj; zZQH!+!#b_Myc(vF?4%4@pokM|YIiqdT*zHFVPMW>o` zTVgL%*=%=|e_IeUki5AhwMN*tq!dMdqEE;Ve}x8F_OFI}M)P~I_KhwhtdCvr@?Ax+ zJd)Y2hGIY9RXd&NY&|;q0!x|r@X6kB{0`f1`SY7u0f~1tp!2tw{Soi^OxYRiA-MG} z{FfphuOrF3OYZ$X=5hkx794H1DtJ*hEVn&U5s&0aI1pdKN4ZuSenhQAe!e<6#cO_y z4bp2jHuW2}1wB%($cY??rUCQnl6zT$?kvXJ5;AU$&u~oimII$QUv>_5vD)SQxkBpq&3g2O@o^7D z+Rms<)Vew3_pNBM_oS(f(z$KXow;^vm`6EZUA{LY)3$gY6B)RQsq#0u2E;c*yXRk* zu-MYU-iaThrcYX@i1`O|g0XQgHxT(Nietu&QdBQ>^p}JpW!Voz_}z8Pq|>{WP+ zv=M)R=so7*xAWg0{g;1{i6D>npS}{@SncT)gK2qykaAqlZIERd3q4oC!-(d*&i1@Y zf>lrI(jNb4KBL5ij-jVtDKA-XqRYd_e*}FAsxu0KC1z0wwqTz0meejn3)-ilAy&_F zg3jYbgJSFVdJ^Ji4}dSL8+HP|z~-kiV13;JhaAFtnv$Q2hWD+0_t%_&>z1OR`L;~7 zBLY$jg05>wwj3YkXb+SxlbDlP_9eL=1P?)WPgyD-i^@P|OB%T#$gD;s{%xq8w_I+Z zUGpV*l#HX&US`C2AA!$D7L93H-eDeMYx60O}^e;@(Q;&W|H z1Z!OA0B!vV$o_;_eDTbEatL414Nmr;J9N*1Cm(K=vNJPIYvg1n?xpYR(+0mrb)#qK zod)nCHr8R+Us2SWlp=qsXT;k+LF}QEI@{lcQ;`$~?Cy6gCw_PiP5huFN1RyV7!$SF zeAN0%))E8v=fE?>A|OvODj8n|nlQfa(ESpJt-~in zOjr_l3bpWi$dgT<@P<;wrq~7=qqU2AM8oh4GFQ$A7`_x75l^q7f{FKRzW#w?7r&+` zrg}6p!nzdRC+u=!{%%Ws8$e|Oy|`F_o-c9ac)6gKlbWLT zhVcau&MDFZX+Rs(Ztn-_cx;nJ zE^(KMV(z^m*kSHh4x~cMPt6Fn4wi9c6xB5c&*SIDrbi>gnZvw)It#LC+Lv8 zrhA0kR^zZ$>*XsrnrL0i_o^horO6EJpzT3z?LZ_GYs!`h#LvtdAmbK+Y6C@VK^umd zlj6B*i%@nAbe7xQflfj|i}9Suz%FQnYpu?+1%t?`a+dRiF#oNU{v^c`+OX z-~<|!^<&lrP~PW$B$wx#kgf#V+=#r$`$74l?bp=ZFnX#>>;ZZxd(;yfrv*@6YpRvv zF-=N1NP;FE5@*EJA@+1Vtw~&of{Gj{9AdTcK~=7$9Fz~JwUE(4MVh}M1JGi`$lydV z3B+JT(4S76S+_k6n4UiRH`B$mRP4^q|7`d%3HT{tN{WdMfM^O#0wW?Kf+GS!7~+X? znefn5zr>})q{1Rlf}_SMD7YA-f)$`7#4Io~&GRfJ#85^?s-D{~-e|i|^e;Ld0#0gL z&P}fF&hTfr#kv+j2fYX#g&dfoUaHcJSZE}NN@#F(PZSz5%9caY(8?jL_ z!9AjJq5M&Xk}jAS`xd?Z?2`#A1Jz(RtAeYYpteF&49{dkBD3tWTZue3dqcKSV+t*=#uiG9XOCVs>%x`&vs<$e4a?xJ@uuTK8! z#cj!Z4!UfTo=0f4DBVMx{3036TQGOl)v7hf&FQ zo8-43RV=R5Vwt>RIGf};U9f=JY(waJXrfFF!D9Qs?Sf5oxcuCno-HYv#)!%zQA1-y zwW-{S7}J29iFw2qJM5X8$k{|-<-bFfgzC&E0%Nb6^o6J?%DcH|#d;cO^!=f48^wR? z7RuWuLmxKr@SnIfcE}`!RV$(}i~_9xpoM5zjCg(U#D-sITP;3cZ=_pRpVAGU4(O*< zS>e9|aaKogtjuNw6yT~nwde4yD@k}WMr10`{$?WYi;W*_HHs1=^+mNr4K|Rrv`Hk)F9j7a;58xL## z!cl4Lg;*>}S7Q__EaFPW9+;$ME`{Mq4q(K-g?hEK#&q(+Wtb%xhK`3rT?j_a5Rvch z5a9>;2a1M}DgIcZh-}}ro+pJBWN*^vRh}Xo62dg-VvsO4a%{SoGXcL%+KGHsoA->@wmJju( zJ|-N$Kg>mwlT~MtODIQ>-9{sQ_$p;(@F#T300Pb zmpR>Swz{pSucra7`Ae)T$*q-9wHrcG__zYR-}JGqCN_B8VPc+tQqX9T+7vN2EbL?m zD^c2PQ=+Ao0A(OZ1W7QaWln!OKJWvUUpF2AC~#X<4B`#MN4X~4h6+(acx4Icg9D-~ zF@l;n2$rEoxO&NeW3aBxYy zHU!WSbky^iiN9K;N&D3S>ce0fSzI|xIW71cH3x|$R(Gz%zz@p9tJu`)1&U*sm|9YZ z@@IpX=)YJ@esWK0b1Mf(JJeG+d)BTi3D$qHL{^V9KUau(LF^EDpEk#k1_b`C{=eZA z0#M5fe~L8$p!7hv$90uD$SZ1js{10!04S~-F;Pf4_MuAX)ZTKhQU`UB<&gw0IHEL& zy`FC5E;iUHyWrPwhEEW%N1>)u6YSPCzvE_axX*WdV6$4#gZR`^f?S~gqnf(p#^APT znt(yIyVQ?O1(w}GvRgY?R5?zP=1EBlmg#5=&-f0X#2ELB&{{mAra!^lnD$;yj~thr zMw0UzknK=BDe!r4?`ZEBO$%_hH`5{h0#+Lp2qTHp++;k$>hMqc{%^3t@4;bm7&n1Gc}BQf{V2(%IlMrIHuE{c8Mm^z4Q7inK* zNeR*)Ee9HyPAq=o{_{(q$gf3At(Z3ISrufJBhSW>lNoss45cU{iQ~FfP(BO`%&&=< z96|!P+&qm4q!$Pbmr)rz?K)u&h~~{-zI0aOQXS+!*&hki!ai~jx2a`UK)PRc#VM-R z&Vt4$@`Ex6BOb4=7xXBc6GOZPE&}(=C%=`S#4--$a;icOVDUkl{JFBCDAID^CI~J6 zS?4bhaSzeSI`aS_Zx7;j#t}uTcGRWkdZ4(!jquW)$I*uN?4`(uWa9w;>Y3tVu-ksw zP6<{(4haGzus~Nw&lVN@2yuWKQQHo5EF(&h7TXG+y$B-QF2LPHX(t5-^}ubTK;3g% z_3EnNmWT$6K>0u=5JKlf&)yZ_2LTbP(R~qpZzCF2mgfG#KBCoOU*dnI3zq4A#MAX9 zy%k(;B=$thQ7)`uwb2OPr@Op>?ZUVu;=|G?mk|1YX5se82(KS5~Cay5A zJsUyTg%iZX;X(0`#6_;~V5D*lGEbsMp-0JrMpW=oA$*#U)!_e|dcL$AjsJJ*#s2%x z)cZx>MxpDAif|$K^VHU=p8e6_i`ebzW~bP=kXKJ6tceomP-3B?rwhrAie8ZL@hW4_ zRFF&0Lj#i4CfX$2bojI&RS@P56=^dDeH{LcLA<5}9m12`wkLH5(kqP-C54@ZS#}Qx z__n&Qbqzi+1NMu|R`5X(WceN4KDW5(`SM$!Pw#LB*XsojJbB}q3=M*rL)pnDml;*rY$2+Y2Tzz8ogRH@Su`-p&;<`Ca=3Qg$CLaBHthGxns5c+GmxFE%S z;tK9c>9=T~7Xo2b7n>bmN7st|HsP{ddF(9XVM{%UxhWIQu} zdt?3yR6!xsRrB5{u?+_XfGNi`sjH6>t5wIoT+s&IyMv4qmk-Y2=1(O4i)SG+^Q$$% z#%NXe7y8U)^vM2Akng2sR=Wv2|C!1&rWGV=dSF+e%k)EnI41^~m6`ijDI#}PEOGj4 zEVU)jceH!v>*p7GqJQOyPNR~_ST+kiXmaNL_1CM(Jfhv*d|c!{6gTzcMV!~-aVupu z35^r`2Gfe;T{X+bRwvfeiYmI$xAN0Ilq=}2IN(u+H^}D6AnX%s=p*fc^?#xencDO6 z5PxO^3TyoGP#Mll!CzG;QArVLd!M3^ zY}V1eqY4Gk&ktNsoHdLD`7;ZKC9mtmEz88$pbR07ig`v0QX!wQI3TZKG822adU_eo zV2Ou<98kT{bcN9~fdza;JcQoC)7^Odb1m!IvxGS%+tm=IP%~wxbDSX z8Uv>=Ly|69TX6lNRzne0M88AIJ23c(R_pdCl>+BLpk-R>L;Ys@@4BqlnL`K*3$FyA z6mAly!A8O?O9EmjkC4XM=|!u{WU6QdUm`CbQhAST)eR4BK}stnsBQ??xZpRB12Y>T z_}Ay z?uk)5HA3Z^35hw7KQBsJP z5*wp6kS6U_jFM-VPp9S^f!= zxGjCnKWFQQ{}aKWuN4~Jf{IszQi+;x<;{3Wm{LAaH2Bn9dnlv~@?IF$W1PD~ z?{b?l_eT*kizKG%B#%feo!ge3!P>S8%s*ZQgbdRC|4o<|D_V}l8)902u%iQOc{*3Yf0W3dS&5dPGj{yE~g>1otj z8P&V7AQB@S`A@RcG5CUV`>PQi&r**k0Fec$dT%U)Woe6CHhEhcv=wpNdrgI!Wdo`7)VO2l=*fd+OIghxinHw}lyg z9kGRBdm9~JjnvQ)Nxvs;=1LT&AKDw!agA*v`DLd_em!0qx`g2_WhPGVXTl*~DV44z zWq=uPZdZ>LU7yD$=u7w9l?M^3D#mNU4mP3E?@X^T!P)svMDpGXaVqiY1F>{y{oc+p zQaPTBSzCX;%cEfbmCjEsXME|_dpcdrkfZ4dH~vq@xbFentJKYxf<cIRoZtAn0i0hC zINefg3+ily2Szi{jM?bN%?7dQk9*9i!=B-Ue8|-*H?%L7frccn1R>XQw|`hJxt@gx zN}_|XUS%nCNbU6@0zej~-AmM8C)KM}IWlfp(^ zvhCC@L2AS9R?vs*GdAuC8oW`!UWkL4+J&AoH*LDvLy4r@Uo?r?;#KU!HRuN3Jl8nR zUuP;|_LF{|ymmta(p>m-A?Q;Jyp zw5htjFMF*xwud6$+>L z?I$~Kk*cmEY^9XOKkG{Ay9&bQS$9#fSZj!`Z=~hOcLjV_zZ`o>_f=KeqvdT;BX40A zey`34W~tdagT=jr;ASz7zv?rFK4&>*{$<=MM(u{ML$pIbMLLB&-73{^MnZ>-gHwW- zgkghWv!AP!6*53xM_7kjhh0Y(o-I{Ocko5ZFrLQyjEKeDhVB`)PZn~kvxPc=N?vvM ztBKx#S;kt%TP9paT}EE6^?T5?KNau6?1>MY3v>yJ4ytO})x4M4{<6)s{l5PP(6KBV zSQdyM_^&6sCqjH!$-hRi0UKrr0vRG2+;^_zWJG8LRYz5aR3})sXe*-(Frqe6+h*>$ z?=draSZZ%MJHCayhetcNc&zA|`8-E*Aa|fkAhHjb(-{vs9dZeZ52^*y;{iP1+~tDd ziQ)<8iI@R7emYa4*a86=(!+0rX~bysLgKfob#rH(;g!23&JGBUa(hB4Y)PXC3kb%^Q&)e_@ z@f%SZ3D?nFLK;2coXP1RYU64{Yr`)?JVH(D#UkhW?7l}*pLqG>nxS=Ah(bO0>;IJ6=I z2rnMTJRbu3GrD80>QbDV-UCbF1NJKdBC542U)8tsf1kt9-af0}<_qE#?|#hJ!=%2_ z$E6v_eQ>^;=4*Syehg8Qrm46BpIRMEP{tuP7BU`~rvH0kE2=Mn&gwxVbq3a+OJxfm)PAPQ?u ziO*@K;5X{AFL|Bl9Z@^y#=rKrCpBpO&e1+vw?y+U{?wdoaq2m1cG8PGcta?ZUNck`!QdMa6)Vjg&>9OY5r zWLxqYTsvUa9Cgd<=v4Z1)800DvLO-`zc^FgWVxzMuIgip)nIS?7JsWiDw~GAw;t3? zl?GL~%EYSvtwybCL3aXC8^0!6_dLoil!bXip59o$!0iU8Uf`8V8 zCn6^EwRPMRY$DhFPwgd^s;Qfkj>L1G$XPIgxvtY{7=yirUO8pt_nPlXL#u^Hyjh!r z;2e_L3p}h_fHJOInD&5G_T+9x>1}QrsBCI#FQe2wdD_y4x3uDoi0>Gfg}^E$y?|+d zVx#YkGtA?rK)!T*v&UchCVu^k-D@^o_~2XKJ?)3ZMPpqH+o>Pg{d>)CGWp};-@WAD z=IOLsVeuS7LIaprf|7C>Rzk7FRlxz?S#5otZKTvDO8a5u3fPSt8?+y9F zhiY}^R2iMU8fB{{w}p0ja&5k9VxGlz^Nk`WIv%hjCI;m5*I!Z*B6uDVLROt1_Uk$N zT{b6tMT|iy&MXG`=vf{)ng}=^o!=38P4c&RUDnN$?|#;?p5JcEnoa5m6ix=dbEYgt zJDHG_M8*#eH;Iqq_*hYgyUm+9QPHdZs&YXc-C5OJ!xGM<|6y0QJjc3bz1LJfDs-0P zt_fQfGS@5bDkzX!9iB_3gkDuNH}}sPuzTz7{UbFeAG*I8o?LeA1Yq>HB&W91Zvw2 zH>RA9#qTJN)X*!!`Ma6QMz3<2RA`+cHB>bAgDaCN2SU}&H8L+$HPmt0sw*oieOtU$ z0VC4tZW_54D!l5baax}|CE$NP+RC4j`}7o=wa(gFkIMy}5f`N0c9M>H?})a_476%p z7#Gy;_Gc?wjwj6LKcQnlL)7okkC1nNy|c;HFDgCSs)N}Pke4ZEfe<{5aS z#;VZg;q}C0)%N&R{CpLlvC@-e+bxxc2UOVYFSTi;X_zy_U9^<`efp&G3m`ZZYavkV~mxTqbZIFIWVYN+~; zDL1e_;=_xv)jfHt`bY6#Lcywl^AM;rR;%2;87}+RKPUS035rc|4 zPKlL@d`c0;((KBFo32m|<9?Ids_L@!MHBsjtE-?YSy{(iu1O9Kedzhm&j4En&A}?q z(WK^~NV_nevwxC*BoK|6eTdiB6sj9!NcSTMMf!w=0 z-xv*b8fV20MBLI*_1xp{SiB1l$e;Z_hJNOm^5oMnS>i7Lm~~}rt+CI3zq!UtsshV@Vm>*&-Nrfvfah; zfaY~061AeO!FtPE3=$t{^uc&O@tN$Y_W>lhMSbEIZ+8i3n0Wxj17*KFk*%Ei?0@sz z{~U@X!!hn>_A!f7qXQ-AG7?6#5bTU+GD-* z^uu^9&=Tz_PvF$&FybP!7$_U}LME`|v;X@dQ4J`o^mzbO{OK>*dn(nqw`ekf6QBK@ zi^LnCEZZYl8`x*R;UbY4DEsG`Ozr{TJn;Z>+oI<4jekA#*)P3F-13WGzXl{7_|U99 zd>x2SC7I$DzZS-B5}twyJ<6nb$rFH%*9(^A+D=r!W<0#$A?m2lUi>BhLugR_&)8iX zz+-#zmFZzSZ#{c!yTy|L{Yc$EUiR|kneCB){0Q4ON%_iJq~G$1B>xE6HyEBae(8lzgp>ur_T}|{fB;03j0;yO7^aqzJz;@JrF{3lui1a{MhSk zXyxhj^*+}fvZFvBy%*a)MKUH z5xOa{G_H=krAig_$3ly`WQ<7_GH=4gQzW4G#1l|<1-A(jy{6k7|KWL(@3nrKcEyrc z!s~D0zcha1nRovnvFN4la}K|;$tm*Tan{&0FRb4llYWC_FjDpCV=!Us$@+0=X^L{z z==FC|B>X6YkIM_V_zrt=TFts%*_`c9aAwYUuH5`CC%WBe3Y=2t>L$=Hw=5E0a%qnm zyhTGT^{_@jEivZNn>u;0g89^q7>E>2Z$Amgdtk}u2$9mO+sM2dY-=pVQbwNC(izuW zNRg6Ep6E}>gC|^M>B)#}q71ev0a(Cpoj8eRIA`)41}vd%N&(KbnF!Prq&7tX%NCks zEaS+nNNdV?R>g?_$>z#_nZsL^U$YWAE68`%e9Cm6VQvv)ghR6kL>0GD(qG-IM`XJo z`-lE;!uQXGzv&SE6@IP#{)_%9y)&ZwaIiC_d(XZz*W*&TGdbW;{}uV-5YUUHQ17wkbJ}$;;?wQdBVzSoL*9VCCVN_Z&-v2o*C%3~ z-=Mr^dD?g{@Y3nm3$!w9U|-WZt#WVoo0zPtUE@35I?cYna4+>6n`~%2i^$#km8-3z zsF|)jLUe7dqb5RaJF?mk=c~DxIyK_m0JNhZ9;JEew4)&&!{3i?i1d~Fk~(4LvLf*} zg?&uRjCn=(@65;QzW~zPZl#7sUk$o3wuUNSMfc>|vGay-g+zck`^t~|L`M+t*--Q; z?UWrJ6m)Gb@D)f6Kjv|OA)tLf_XGQ1WLGO(Gw=y2cg| zS4v6VHxrOnN}bzx6_E62vK;kt2I^7ZkFxKlJ14%%`?KPYIqtU{I(Cm<>~pp0{YhXx zV0!A(V_gC@U&FU4{YkfW4q0aiNXg!}Xp?qGUE6nWlYFP~7|lOmdx~CXeE@Yi=dZJ) zgF>#gyuOm9$?mIoDUhYp@5_0~=cQTh>v$>UrQ`2Qd&wE3Y3*xdvN?`!nU{9bHk$p7 z0p&16HSDtp{P3qc0!|*n1?r#0f2v?xMMcxAkwRKL9*_y#R$~Ne|-O>07ZkN8n#ET*RI!Y44(6yKb+fm#C9yc z_39t}@t9jzJh$+u>X_I#KmQrv?9ndT(Xnp0zHx5wQ0}u1_?PEC)qb=wcCPV|mAzx& z;n>>U#<#Jxq41EFeIT=*gArPtfZzl|6DSk|dxE9FW?*%&D_8++2i61of+fHvU{$a) zSRQP{0B~hZ!E7gM$9!gW<-YY{;C5wB!5u}DmJl#T`eBTDSV!vK7gUEL8(Q4oTStr* z+HZ_Ii5-Wyg&Bu>ggP906M7SVfr5{KkAjc1h08_)6w;&dRpuA*b!@e2)o%4{m1;Hf zH1SmRboP|@wDHva426(+oVy&qtiC+GwA>N!e2(ezJFQ2@Pz#+bSQf~ibh~|iM;0wx zdH}4aWY35=jIhr^R+n}=^PS6t-$p%rAw5KYgch9K^AgVO8TH3HS!AsKQC9De+uQDz z)_p+hipD$u`=Zj(AiW%fuQZLQi>MTXZJOFo=JWKa$1tA6C?rHK(w?>$BBP-y;ZX52 zKX$cpKbl^aGQ{gYEG|3d*J!=CMwNbA_-dc-ZgHn!x}d6OS9JJxfZrtE5Nt#kZer-d zuGNe^LPWOq9Ohf6-c`Yyk?K8egi0YR-*g z*EXU{OGhnvDqm%a+vlu){jsxpTjS2M^80H5%j@v{+@99!J61@NkOMPgU{Wvx<1Z}n z8SisB;SIzleV#vdYOBfb@P87M&!ST zFD|cXEq`<`Eqw?vSL;ZA9JfD-wpk2~{%z;b=Tw;jh&rXFwnWmGJHwl z!@(cLA0=<+R;N(sP-k$}D*p*S|DHGvD17`_*z^dLPPDUM`d1iUXldn~K=WxxM<;}( z7FWT9Rl$JPQKyMu2-Dzz*VGyej0jxeHI1wKBXE?1f$M@6(G$?F(0a1j@$mlfc77u| zWeHfUI4AUeo)@^wdr)6cU}nLI#YsbAmNpG-?vpXDs#9D3;8=}&bLu=;@Qh3Us44Hb zo^ncG+UE7D5taUo^_0Bs=Yb=CF~$K#I6XGJR=&2s9;SPapWMLl(1|{cmFwLcwT=^C zqk0wxFbf9YXXEmIK+g!k5KQ;0FMiV6*nUay*Z;OX|2vJ16#-2nj^z|$_Lc5@8eKI4 z{&(N^UDSTc{6|U1ae9C;bq-Cv0$j4342RA*0#_8_$bJIixRr%)=D32{HHgQ8i92_H zZsDeFoCR(s@9^n&I)W7m9LQry<(Jn3p+&0hD*G2>evrgI9$DYJiUE7kK=UmL=+B~cvY2J`Q+SRv6OW)s*CHNtg%Y(A?t(-fLqT}E->|b!+Z6f~ z`jBk1sk5ll>g{sg5U(ZSzsm}uZ-Z~4Zc_}>3_=ZNPiC_*qSp)HuztINP@OdZ8rgk# z8lnq_b>A%L8^ZxyvYE)I$&BXm{0uiKUVW6A@#FAl(I=Kar4}U?q2fk$M|68RbqZK8 z;>K1-SNjlkd~|&H8IjXN`x$k#bhP+cqm!h233b?YNODqX$C7rEh)UmnW=0?EZX>1l z+y&X{8M0wJIO*u&3HR{}p_7^BY@j-FOajAo1*k73%SU}c;ar?iuu;wyzrFLkAsa8| z-top|wB~Tr$r2u)#!I~|19>QCr`G$41Y(7NK!RxPS{co{4EZaoqod+nPgm0D*0CW- zl4;wVUc&xdKF>Yg5DJ8lZ$ehG>PBeeg&lTii3E!gycUYuYKt-UpsYqbJL<)xW)tGZ z#cI?~rg!~)HS`Hcuzs={nYm7WG1V=k@h943KWr;P`ZkwdP}NV=KmDk!h%MV+Qu;~D z13P}ApG(pT2ZmoFkX3zESEq5Ly`Z_E)ks25vKf(;i~X&s`Viov1goK93|0t1dixuU zm;1swKt)NXtzLIz_gzhv>inBaFIB&ReX#HhKC*09B+{P}Cv-3L(}5n6f`1o%%34 z6Z!ic>%v=mAo;(h{6vYv^AAaxqp~Rx6E3QlT=v zH4`4Z2;+PQ5rkwKnZ@L0PJ#$JxbzXDJL!YICSKi24)F(NOPQ_XI1nYed}}R{O8zlf zL$kULdrmcT#OAUTLFtj)5u)ea`buvSG-HZVk3|h#-w*mOK_W#$&la(VAAvRVB6uYR z(=8{A*?)n-K5*4BK6W1?wSz*Sx6v*m=K^ZL&Oi#iRX^ zL*&swg&Gk%sx1a-A)8+W#xyUO0l<-I=fqC`j>@o=|3iFq+=IdvLiSb zW^#^~BRZx46pQQB=Y+?!HpdFQ8mfwbiqcJj4?I)ek&LtL}2ji!*WlRUu~^H`p_3H@2i`8exk=QB2fvU}^E0rSnY$ z;-OJ?1a3>{nxZf#wvSAf6MO3e4Wz(;XHu}8F%e48hp}RoRd1!Z4^kr#)5#L?l7Dt09wGT&oH-!&p-%^4f!BojUj5CR(Go)86 zY+I1tP~N(vCKbJMqP|rkKEYlr2ecT?019I+24@IO&43QvtlWBwbl-kI4&*+}do1I4 zNe2n-7K?<5bvM%diNuMH)T0F^mQt1Jd8rojPHHyTJzvZ(#efDmNQpQ|i5n%!GDyidNU0Ge$rUAOgA>jl zCFvX`i4-L%JV=QeC7Fd2-o%LZ?>otC3IA_dCs5#S!eiHNS9wsdHT6mu;A(2om|$Cs z_@V)GIfR)fND~7k_v+lFko~tfo^!fh283w8!t1<=HWaflz%EGtQivo-ZJBSWj+|+S zu`>)E;aydx*NQtVhR}3y+4RCY7+~WV?YARkjw2(gat}ey@K8jq=wQZb!43biPf!j!Eo$;8))Dm9`twqIoC zW`?99k3TYBvCg&MPXI`TaJt~;_mm#qW{C!0a8hmsMD?jZelqZ08($2*8krL2#H*Uk_`4yXny+zpT(%hnH6*J@t2mEI`yz#M zON_K+*MTmRX|b7$aM6v6x39nfYrpBzPPIYmk1lr2x!YL}55X9vQDVb4NZamnuHY*9Exm+$x9wRK})rq`C z4Vo5m=DFkYDdkS4Oxco{&0A15Z6-EtST=2{Hf^Ev7SZJscxudSg=s(JSzBUs9onqW zGuh6Z0IPe?O~a^G@x-%aj$%IR5%;Z}XHM9wdt&N52pbEmh1%laukff>%tX8FPhr!5 zyT1dtrdLOq4AW&m3o*Ze@2_@OOw-%8pU`+Ys(Y%u@gksvty3rp4`v|(*jNb~Cz2qF z-9&+#2D=d1HS{YO4s$yEBy5KMc&?L!JK`xavuFPs63rOx$y2;9s^QoYC2;a6sufm` zQ>!o48M#e5qS@yY#w>E~;UMY}CihS1?RWKey?52Z$^^!FoE(Wi-x=m{J0&D{3Vy2dGv?s3A&D2Nw=%8coFk!!mi2wx zskv0)QQ^^eWO~J!z?r~h#bL#*!KuN0kAg7ht-2AeojAE{fAs-Iee@9D&~>)LSt~Y* zNN@x2G9`s#to<_I37WY%i`6)&If~WFI`X};x~-?H6_O{rY~&W@7BTJr5&hFGqTXQH zP`Lel@%tj7#rU(}ct8*KX#(|}tsa{j&x{+J!=0};$B)`NcIDoDmW=zn>Nj-k1|^TX z$M1Tfl%aE_&sJ%@P|VQzPoj>F>19%JQxC1r)`%^qjVu!nr|R(RS3t1Lu}UYI%<)Y5 z(HE>c50>W(ol8-ZGIqROD@Ux=Y?~(XW@}NRIsc}jEFqN%K*eEh`Qc7VvFlsPQ~j-6 zz%m-$Zl?pYiRRYF2Y4{xEI!{CzP3Ob5c*v?@jLRj3V@f5GOCTTqKz`Ejk3LsGO3NS zzKt@#M%m9s8QMl!(q;;7K2OH#(|P&&bbi2McyaEvpPesT&3{;tk9Axo_LfrU&-C=# zf}~lzxkW_9{1gRcC?hD1CWur{-#DMFQ9(Z@VqSjD~!_G0<@-znS~6-}OY-$-Wii*xR!E_VmS9StL(+|K*a= zmnnY|eHZ>_<@KvbIQf|JHvN^?tGd6SXmbAG)DH5a1TcdA@Y|F0E0#ZDcd+3|?cvgu z`KydScX#U6{B7$GZ((xHYTBMJ;$VKH-xQ`j|ytvnv!(U;l zR^X+K`wSxpxZjD=Nq3P@?8CA77`N#8hk7nD(ugY4ijJ(F301}MS8M5AG1VW_1$NKW zi|B{^=+N}+e5 zJM<$_k%>5l@8eiEWV5Q{upEp3=1#@+_8{u|g|m9C^5f5RIEdF=#C*LyFf9yF?0#A9 zviI~Mk82ssS{ikJ;v@axHFzvEO<$o>G~nK*`ydzZ@HF%xyA^_sR%Qhbw#0U z-WC%6Rg{#o(-#0GNE;3A3>O}Zd}^Ql?a0MSkva*lQ4kwO1haN1nQS?T7W0973atGJ z%Trt9Z$sjN&KLzGFvor&I1KQRqyPIA{2=l_{r{(r0shG~9L1bUEEC~79aKsr#Q#4{ zQ2G}9)3Yt547|$mY~z4cOM-2aex-%zpNUqFz8E3o;{xQclJt;GuK>xC{SU#WT)e*f)?G~y28xp zwxN*c(mIebXo+jxtZwRoja(5r4d8kL8-ale{Z+OWIX{MU$=@eNXt9 zfyeywfQIVSv1O*f39q7H`y7nrHsu-#Fdq%iAnV&V+;If z5+6D0jQTblTD@`TPy5B-$>kWONi+I{72il^7ZCMpmW ze;N%B%%r&af)$Ey&qn&P^3*#Qfmr(TmGtW`R`x|JiRV`8`05x04(c(p=9CtYK0A>7 zpQ!KHEy$(C2)qh7ylJAkwG}IIDvkUY(g)T$vB+%PtY#Ybix5YfFTJuhd__X%1kSMz zR%lpR88WfNmW3X6@tZA9v&X)lj2sX#kR(RN{J|!3Qy87pt_KO$O;ME3b8Rj?jY|<_ ze|Ia3E~(e_H1n_zlKGu;O`?qX%6PrZ?$Z~c{!TOZWRs1K_FR;M78$6uu3>_P zQyAypxAB$psp=>u9=Zg|lm_#Zon5M|0d6 zqGI!8{FR(%)?a>lQ~m0C+|A6qVTH?`n}ZW{ zK4fA)qI7w94^`_&3}87@t>5OSUQTcE@Eg46GGDhEDnufoD>RUMiY3!OBB&FB`*->V z4}6SRHwHY6g_k7p^47ya51JPz^1l_9E?aN;#X0S|Hms^`p2ds_IL<}!UnU0XNT?65 zsNVN1N3VeooRaUpC}=tTXqJ5QHq3#JPHU^N7^j1(Hp4+N2Un@isMb$nli-<07@q(y zeV5*2RSR$~rs!N!ZmxragY>nC@-rkehL`a_j+kz5?kq*tdhW@bOlyPwNF^*R+XpV2 z2fi`hSl1j-8ObN8<(9B&Zhjr9&h2FJ=tVP_`M5Mp&veFm;oRwbCqG?X%Wmk&5;tHP z5SI=QH9ef$RH&4_)cnYvP>orB(ASHV9s7*Y!`d4qpX%&c9wogV@yjdD<2)!@R8y_C zF$l44_C^pOBAm-k&5@z%!J;(QJzWbV;g6!SZ4-N4OJHZm;mmf?;j=+`H ztjb%8<4k7tN+numO*cMfnt0EC>*=6fEq&zgxQMF7)L6)kp|E36-7DKY9PoQq@}(w` z)y~*{CY>h@D2one@5-pk*sqIAMr`vOwz>*NVI|(KPW~&OR6c;M{l$9>KWYrQaWM66 z`BSBh4e|VtVfzw^vAGmibG9%UZ`)sw3aHIv4Vl=hMW zUk7G~Re$JhXlbaI0^iVly`d%E)L7|-EN^KnLpFI%eu}k5#g9)c5J;R%M;ymE`!gk7 zte*S95sNd6X?eB9zEp$vUvHmy%5m~V-NP4k&QwPij(rwM=1XSUx=@uc8m*oipq0eP zs>5(x?!<|D4CBz^vh28-vBWPW&-5xpooQv3QP?URUcT9$x6$7IJQ6%{Q~(5%+PcBJk}i=dlyjHyZMC#Wmf_j$l_?o}Sk=oEQkG zz?k&N1ufu$8#%)o2p@z8_st7ozbsp({S?}BnvK0gv$hF!3f;SGIVcvR^@rPz6+9#C z%lTuq3+2n9(Ymn$fq2NxkAvyq@9i%^_Tpk58)?nzcymV68@t>fc{+K04c6m^y788l zK*ZI&-{I$aeI^#32t|)QM|o%7Vz^hnWo6?Yl0YA>M-y*mGM4=FgY2ng-sTNy_Zst# zZArli9Arz|IgMz@d-B(kJA5M1zRj_)uk!P%>(5Bqpt~bO9Irh>q$fC7rmXDcf4*M} z2MvaNK9vr`VJ1Uk!&ZB4n+0&>p}1ImJhYawOrq5T8u*O!cVC@J(AGZB-gCb-sMQ%9 zM@tYoWx1)`dAF-0&HTpcF^6mPznswuAoPLHoB-z`+<7yRduTWG`y9=keFtp77=e&O zuzI=SXywDbG4z9lVXwbyV6e*Vt1`hRxl_0)H=O9OXn*OUm-KVvjkz{8$-?2PCE~2F zuDeZasi(Dj<+_(_rk*VuK3>M=Tk9q210~ar@?G0m)oz8rWa``;gBg$Zl5SLTY6yfz zu?qCgKywNVN#4GrEAq9TD!BziOk^8MP>5|cs*?UWqeAVqw0^I0?N;mxvEt739>l8v zs>HBG_u!R7@sA7}?)Z*;@A6%dLal+>!LZn~vR4l!-CX`M(03XyCD@Nq?(&n(|2V{> z89@FOwD{x{%0M>YGbiSNQCU7;wEw=QECV~AjcUJi`VCCdx85^62M3t2^Lbim!^8j3@$#;7)|{2ZmagrbR!jZ z7prp=YIZi_ZlV=W4)vg+ z7q7`IrMp{Rgx9UO^?5~ocvI-gn6)G7!)or&h8UjkQC-&SS51scUT~#1vb_ot)|MQo)Yq{Nz zj_;dbs%r{&jdO`l!$a2G1jtWBGc#Q%BSt*Gk+||}G|N#%#>Oa%*R$IPTx7Abi7n+3 z(4ddAWc3}As~z09oM!LuK3v>}!%t_VeLO$!j7zR;3PPUh{}?ut?s{*+?auzIkz)Q1 z#6jlKA5!61X7_|Kx5kdDhf}b~FCKg>H=N@_q!JP3tn3xB6R8K{ZV8TB4mz_NUJkpo zR{JT$_wY%x^pB?{JqE^QHZrp%581ym~p0un%<<`j=*Ss7tdp&#BDeu06KT zYT?#sD=ZXoCfV}eNRFm&-&rAgsAewHJjo4C)Mm+Cmh^$!fr}(-Q`OdLE^Gf{m^FEC zU0^6xvEntq;Owg?xGQeoy`Xf@ItP;>vzT*ela<4yzG=do;>us<&WBsRw!;sbTMwcQ zEM@f@hpcVhS~qGanlp-(bkr>;h<4JOekwe(xBtR8Ltt|2tH^c^z9nI@wAG)w_M}L? z$?e8XCnkB(h0K&dhXBuQyz17`WsJzp`C1a8ABJ5D+(J7zd0X!!9H1o(Uge5A<3Non zQ}gqw=_7e<7dwhMGd?nO586p!%lg;*(VtHe1yjss@)N@OGHt7-bO@4(p4k(c@T}Ee zW;N=f&ijbP!xPxREji|x#e%aEkz3s3DZ?2b?bLJZQ?(3>Q;tGYLP`dYHw=VHxDCR3 zep=0xg_(#83S1BC_f1!0q6ziC#NTnWf_?eZ@-6dMe~iE4>{}FCUuBk_3d>x?T`wDC z2y+i=x6+G$OE?j$`^F&iQc6}#rFln`u$=9uIMO^SwFP6w$FjF8&Gmw zofx>&6|XV=0iyQ3bq~BB(j=zs7-B52em%&=R34OxuOq{QJo)R zv)IaYIkai4`48Adrvo|DcKYAUxH^z#9{TzDIfv9IN3`;6c_Y@1%l3YRonO;!=z3|X zFL@~pbv2R^$8i>6a@cVRhjjEQz2Pp1b}2W5x{ns9pMU!cYqe=Mk3Ae6S;PsR4Qw8k z5=^k373M%)^d9QIm1;pk@5r_+@fpS9axjt)rxFy}MWsq!ENYbYm2^e!xqpo0yfkF! zp=9uL_?&Veb5Z7%xAx%7yBc@1QK>lW+Ki6};>XPV zlp77y#o6S>mHVTFavX$@IQxgH{_KwxhU-Sj7oezv;z+G8!nqOp6sTB9~ z-g=rjVjdQEfQWr+l()e2gk^#6Mi*$a8pl{sQOc(x?@ivNQBI8-4Z0rnF3KrVt#{=nZFh@#7DKCW0jK3g>~knYLI}#w zb0bHiWanx?u!?X*9Ue1(w}EGPVALk5pz{rK>$}C1QQF!4@b_{k&be~??=I)ss#r>P zJBU;wf2Bd3&(3C{;xl9Os>5g+3HYAF z0~rbaQLEMQm&6o1jmMtSS~5s!?y^OaLH}GEkDU8-R*QszF^P4kB^~p6Ag`02XVZ>X z=lUjR$*1Xc@eM7!GS1b+EIm~1!%RuVP1OU%h@(&2+|}BNt_%EIt&WU+oiG=RN?sOH z{22*me}Zyv&Uphg8@-TND{g0XdwV_7xLNnRWp>TayO|x9>nqXB8*Pb4cDKe`1TSp( z6b72><9TQBy1K_?_KAYoT2t6tA9_{iXC{^i92T|XSs!YOvxp1j;)XGYOe)V z*Y~MSLuw)+dDGj+2YwFXy{nZPaz@f}+A?Ma4En0F-WAmDj1g(4-dw@uLz#ms=p|#L zt5^1C!m_MiM zw=ZVvsCiyNHWPYJQHOggbZfDpO>TADb9~v`^lH;hhw&-}8b4vRE$6AVt(i~wwtmga zL_WRxWwXa)9;Cvg+i`98FBrLGyE>vOM`u9nBK!=;v?E_#b_2@F-frqf-& zyl(!m!v*WPMrzXIUj4FaA{obOOb|i8GqVzfq-(YX8>@dUl*^FZTH&=x3?QmINlYm9 z;7@dim=AHEHmFy{rk{{`ar_QzBrW$z_|xbZO>nR_4ri7+)b@*Gb;0 zyC%;~Gnjv(J^xfz{&8YH&4+xdk^GaD`P6%ifz)^FxY_H$*SRgF2vPhD2kfoMQdMWH z48K2~(94ifX-D(|R=TH)r4>CLh+MxA^2-|4 z00+5UQEm(1fC4z601k4yr`#WagWSd`%K0B|4y97q5M62O53aFF{liu{lO4kUmB3E)5iIFOVMFuCvf{kUKd zr2`D2bbvvW4lucYqud{*0}P^c{Mq+VZcFI^lgA7c<-hLdB!^2`NS%@AC30XeiRt{bvjeqNn?R$xh_Hi={i)-%0&7<Lib folder. + * - arm_cortexM7lfdp_math.lib (Cortex-M7, Little endian, Double Precision Floating Point Unit) + * - arm_cortexM7bfdp_math.lib (Cortex-M7, Big endian, Double Precision Floating Point Unit) + * - arm_cortexM7lfsp_math.lib (Cortex-M7, Little endian, Single Precision Floating Point Unit) + * - arm_cortexM7bfsp_math.lib (Cortex-M7, Big endian and Single Precision Floating Point Unit on) + * - arm_cortexM7l_math.lib (Cortex-M7, Little endian) + * - arm_cortexM7b_math.lib (Cortex-M7, Big endian) + * - arm_cortexM4lf_math.lib (Cortex-M4, Little endian, Floating Point Unit) + * - arm_cortexM4bf_math.lib (Cortex-M4, Big endian, Floating Point Unit) + * - arm_cortexM4l_math.lib (Cortex-M4, Little endian) + * - arm_cortexM4b_math.lib (Cortex-M4, Big endian) + * - arm_cortexM3l_math.lib (Cortex-M3, Little endian) + * - arm_cortexM3b_math.lib (Cortex-M3, Big endian) + * - arm_cortexM0l_math.lib (Cortex-M0 / Cortex-M0+, Little endian) + * - arm_cortexM0b_math.lib (Cortex-M0 / Cortex-M0+, Big endian) + * - arm_ARMv8MBLl_math.lib (Armv8-M Baseline, Little endian) + * - arm_ARMv8MMLl_math.lib (Armv8-M Mainline, Little endian) + * - arm_ARMv8MMLlfsp_math.lib (Armv8-M Mainline, Little endian, Single Precision Floating Point Unit) + * - arm_ARMv8MMLld_math.lib (Armv8-M Mainline, Little endian, DSP instructions) + * - arm_ARMv8MMLldfsp_math.lib (Armv8-M Mainline, Little endian, DSP instructions, Single Precision Floating Point Unit) + * + * The library functions are declared in the public file arm_math.h which is placed in the Include folder. + * Simply include this file and link the appropriate library in the application and begin calling the library functions. The Library supports single + * public header file arm_math.h for Cortex-M cores with little endian and big endian. Same header file will be used for floating point unit(FPU) variants. + * Define the appropriate preprocessor macro ARM_MATH_CM7 or ARM_MATH_CM4 or ARM_MATH_CM3 or + * ARM_MATH_CM0 or ARM_MATH_CM0PLUS depending on the target processor in the application. + * For Armv8-M cores define preprocessor macro ARM_MATH_ARMV8MBL or ARM_MATH_ARMV8MML. + * Set preprocessor macro __DSP_PRESENT if Armv8-M Mainline core supports DSP instructions. + * + * + * Examples + * -------- + * + * The library ships with a number of examples which demonstrate how to use the library functions. + * + * Toolchain Support + * ------------ + * + * The library has been developed and tested with MDK version 5.14.0.0 + * The library is being tested in GCC and IAR toolchains and updates on this activity will be made available shortly. + * + * Building the Library + * ------------ + * + * The library installer contains a project file to rebuild libraries on MDK toolchain in the CMSIS\\DSP_Lib\\Source\\ARM folder. + * - arm_cortexM_math.uvprojx + * + * + * The libraries can be built by opening the arm_cortexM_math.uvprojx project in MDK-ARM, selecting a specific target, and defining the optional preprocessor macros detailed above. + * + * Preprocessor Macros + * ------------ + * + * Each library project have different preprocessor macros. + * + * - UNALIGNED_SUPPORT_DISABLE: + * + * Define macro UNALIGNED_SUPPORT_DISABLE, If the silicon does not support unaligned memory access + * + * - ARM_MATH_BIG_ENDIAN: + * + * Define macro ARM_MATH_BIG_ENDIAN to build the library for big endian targets. By default library builds for little endian targets. + * + * - ARM_MATH_MATRIX_CHECK: + * + * Define macro ARM_MATH_MATRIX_CHECK for checking on the input and output sizes of matrices + * + * - ARM_MATH_ROUNDING: + * + * Define macro ARM_MATH_ROUNDING for rounding on support functions + * + * - ARM_MATH_CMx: + * + * Define macro ARM_MATH_CM4 for building the library on Cortex-M4 target, ARM_MATH_CM3 for building library on Cortex-M3 target + * and ARM_MATH_CM0 for building library on Cortex-M0 target, ARM_MATH_CM0PLUS for building library on Cortex-M0+ target, and + * ARM_MATH_CM7 for building the library on cortex-M7. + * + * - ARM_MATH_ARMV8MxL: + * + * Define macro ARM_MATH_ARMV8MBL for building the library on Armv8-M Baseline target, ARM_MATH_ARMV8MML for building library + * on Armv8-M Mainline target. + * + * - __FPU_PRESENT: + * + * Initialize macro __FPU_PRESENT = 1 when building on FPU supported Targets. Enable this macro for floating point libraries. + * + * - __DSP_PRESENT: + * + * Initialize macro __DSP_PRESENT = 1 when Armv8-M Mainline core supports DSP instructions. + * + *
    + * CMSIS-DSP in ARM::CMSIS Pack + * ----------------------------- + * + * The following files relevant to CMSIS-DSP are present in the ARM::CMSIS Pack directories: + * |File/Folder |Content | + * |------------------------------|------------------------------------------------------------------------| + * |\b CMSIS\\Documentation\\DSP | This documentation | + * |\b CMSIS\\DSP_Lib | Software license agreement (license.txt) | + * |\b CMSIS\\DSP_Lib\\Examples | Example projects demonstrating the usage of the library functions | + * |\b CMSIS\\DSP_Lib\\Source | Source files for rebuilding the library | + * + *
    + * Revision History of CMSIS-DSP + * ------------ + * Please refer to \ref ChangeLog_pg. + * + * Copyright Notice + * ------------ + * + * Copyright (C) 2010-2015 Arm Limited. All rights reserved. + */ + + +/** + * @defgroup groupMath Basic Math Functions + */ + +/** + * @defgroup groupFastMath Fast Math Functions + * This set of functions provides a fast approximation to sine, cosine, and square root. + * As compared to most of the other functions in the CMSIS math library, the fast math functions + * operate on individual values and not arrays. + * There are separate functions for Q15, Q31, and floating-point data. + * + */ + +/** + * @defgroup groupCmplxMath Complex Math Functions + * This set of functions operates on complex data vectors. + * The data in the complex arrays is stored in an interleaved fashion + * (real, imag, real, imag, ...). + * In the API functions, the number of samples in a complex array refers + * to the number of complex values; the array contains twice this number of + * real values. + */ + +/** + * @defgroup groupFilters Filtering Functions + */ + +/** + * @defgroup groupMatrix Matrix Functions + * + * This set of functions provides basic matrix math operations. + * The functions operate on matrix data structures. For example, + * the type + * definition for the floating-point matrix structure is shown + * below: + *
    + *     typedef struct
    + *     {
    + *       uint16_t numRows;     // number of rows of the matrix.
    + *       uint16_t numCols;     // number of columns of the matrix.
    + *       float32_t *pData;     // points to the data of the matrix.
    + *     } arm_matrix_instance_f32;
    + * 
    + * There are similar definitions for Q15 and Q31 data types. + * + * The structure specifies the size of the matrix and then points to + * an array of data. The array is of size numRows X numCols + * and the values are arranged in row order. That is, the + * matrix element (i, j) is stored at: + *
    + *     pData[i*numCols + j]
    + * 
    + * + * \par Init Functions + * There is an associated initialization function for each type of matrix + * data structure. + * The initialization function sets the values of the internal structure fields. + * Refer to the function arm_mat_init_f32(), arm_mat_init_q31() + * and arm_mat_init_q15() for floating-point, Q31 and Q15 types, respectively. + * + * \par + * Use of the initialization function is optional. However, if initialization function is used + * then the instance structure cannot be placed into a const data section. + * To place the instance structure in a const data + * section, manually initialize the data structure. For example: + *
    + * arm_matrix_instance_f32 S = {nRows, nColumns, pData};
    + * arm_matrix_instance_q31 S = {nRows, nColumns, pData};
    + * arm_matrix_instance_q15 S = {nRows, nColumns, pData};
    + * 
    + * where nRows specifies the number of rows, nColumns + * specifies the number of columns, and pData points to the + * data array. + * + * \par Size Checking + * By default all of the matrix functions perform size checking on the input and + * output matrices. For example, the matrix addition function verifies that the + * two input matrices and the output matrix all have the same number of rows and + * columns. If the size check fails the functions return: + *
    + *     ARM_MATH_SIZE_MISMATCH
    + * 
    + * Otherwise the functions return + *
    + *     ARM_MATH_SUCCESS
    + * 
    + * There is some overhead associated with this matrix size checking. + * The matrix size checking is enabled via the \#define + *
    + *     ARM_MATH_MATRIX_CHECK
    + * 
    + * within the library project settings. By default this macro is defined + * and size checking is enabled. By changing the project settings and + * undefining this macro size checking is eliminated and the functions + * run a bit faster. With size checking disabled the functions always + * return ARM_MATH_SUCCESS. + */ + +/** + * @defgroup groupTransforms Transform Functions + */ + +/** + * @defgroup groupController Controller Functions + */ + +/** + * @defgroup groupStats Statistics Functions + */ +/** + * @defgroup groupSupport Support Functions + */ + +/** + * @defgroup groupInterpolation Interpolation Functions + * These functions perform 1- and 2-dimensional interpolation of data. + * Linear interpolation is used for 1-dimensional data and + * bilinear interpolation is used for 2-dimensional data. + */ + +/** + * @defgroup groupExamples Examples + */ +#ifndef _ARM_MATH_H +#define _ARM_MATH_H + +/* Compiler specific diagnostic adjustment */ +#if defined ( __CC_ARM ) + +#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 ) + +#elif defined ( __GNUC__ ) +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wsign-conversion" +#pragma GCC diagnostic ignored "-Wconversion" +#pragma GCC diagnostic ignored "-Wunused-parameter" + +#elif defined ( __ICCARM__ ) + +#elif defined ( __TI_ARM__ ) + +#elif defined ( __CSMC__ ) + +#elif defined ( __TASKING__ ) + +#else + #error Unknown compiler +#endif + + +#define __CMSIS_GENERIC /* disable NVIC and Systick functions */ + +#if defined(ARM_MATH_CM7) + #include "core_cm7.h" + #define ARM_MATH_DSP +#elif defined (ARM_MATH_CM4) + #include "core_cm4.h" + #define ARM_MATH_DSP +#elif defined (ARM_MATH_CM3) + #include "core_cm3.h" +#elif defined (ARM_MATH_CM0) + #include "core_cm0.h" + #define ARM_MATH_CM0_FAMILY +#elif defined (ARM_MATH_CM0PLUS) + #include "core_cm0plus.h" + #define ARM_MATH_CM0_FAMILY +#elif defined (ARM_MATH_ARMV8MBL) + #include "core_armv8mbl.h" + #define ARM_MATH_CM0_FAMILY +#elif defined (ARM_MATH_ARMV8MML) + #include "core_armv8mml.h" + #if (defined (__DSP_PRESENT) && (__DSP_PRESENT == 1)) + #define ARM_MATH_DSP + #endif +#else + #error "Define according the used Cortex core ARM_MATH_CM7, ARM_MATH_CM4, ARM_MATH_CM3, ARM_MATH_CM0PLUS, ARM_MATH_CM0, ARM_MATH_ARMV8MBL, ARM_MATH_ARMV8MML" +#endif + +#undef __CMSIS_GENERIC /* enable NVIC and Systick functions */ +#include "string.h" +#include "math.h" +#ifdef __cplusplus +extern "C" +{ +#endif + + + /** + * @brief Macros required for reciprocal calculation in Normalized LMS + */ + +#define DELTA_Q31 (0x100) +#define DELTA_Q15 0x5 +#define INDEX_MASK 0x0000003F +#ifndef PI + #define PI 3.14159265358979f +#endif + + /** + * @brief Macros required for SINE and COSINE Fast math approximations + */ + +#define FAST_MATH_TABLE_SIZE 512 +#define FAST_MATH_Q31_SHIFT (32 - 10) +#define FAST_MATH_Q15_SHIFT (16 - 10) +#define CONTROLLER_Q31_SHIFT (32 - 9) +#define TABLE_SPACING_Q31 0x400000 +#define TABLE_SPACING_Q15 0x80 + + /** + * @brief Macros required for SINE and COSINE Controller functions + */ + /* 1.31(q31) Fixed value of 2/360 */ + /* -1 to +1 is divided into 360 values so total spacing is (2/360) */ +#define INPUT_SPACING 0xB60B61 + + /** + * @brief Macro for Unaligned Support + */ +#ifndef UNALIGNED_SUPPORT_DISABLE + #define ALIGN4 +#else + #if defined (__GNUC__) + #define ALIGN4 __attribute__((aligned(4))) + #else + #define ALIGN4 __align(4) + #endif +#endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */ + + /** + * @brief Error status returned by some functions in the library. + */ + + typedef enum + { + ARM_MATH_SUCCESS = 0, /**< No error */ + ARM_MATH_ARGUMENT_ERROR = -1, /**< One or more arguments are incorrect */ + ARM_MATH_LENGTH_ERROR = -2, /**< Length of data buffer is incorrect */ + ARM_MATH_SIZE_MISMATCH = -3, /**< Size of matrices is not compatible with the operation. */ + ARM_MATH_NANINF = -4, /**< Not-a-number (NaN) or infinity is generated */ + ARM_MATH_SINGULAR = -5, /**< Generated by matrix inversion if the input matrix is singular and cannot be inverted. */ + ARM_MATH_TEST_FAILURE = -6 /**< Test Failed */ + } arm_status; + + /** + * @brief 8-bit fractional data type in 1.7 format. + */ + typedef int8_t q7_t; + + /** + * @brief 16-bit fractional data type in 1.15 format. + */ + typedef int16_t q15_t; + + /** + * @brief 32-bit fractional data type in 1.31 format. + */ + typedef int32_t q31_t; + + /** + * @brief 64-bit fractional data type in 1.63 format. + */ + typedef int64_t q63_t; + + /** + * @brief 32-bit floating-point type definition. + */ + typedef float float32_t; + + /** + * @brief 64-bit floating-point type definition. + */ + typedef double float64_t; + + /** + * @brief definition to read/write two 16 bit values. + */ +#if defined ( __CC_ARM ) + #define __SIMD32_TYPE int32_t __packed + #define CMSIS_UNUSED __attribute__((unused)) + #define CMSIS_INLINE __attribute__((always_inline)) + +#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 ) + #define __SIMD32_TYPE int32_t + #define CMSIS_UNUSED __attribute__((unused)) + #define CMSIS_INLINE __attribute__((always_inline)) + +#elif defined ( __GNUC__ ) + #define __SIMD32_TYPE int32_t + #define CMSIS_UNUSED __attribute__((unused)) + #define CMSIS_INLINE __attribute__((always_inline)) + +#elif defined ( __ICCARM__ ) + #define __SIMD32_TYPE int32_t __packed + #define CMSIS_UNUSED + #define CMSIS_INLINE + +#elif defined ( __TI_ARM__ ) + #define __SIMD32_TYPE int32_t + #define CMSIS_UNUSED __attribute__((unused)) + #define CMSIS_INLINE + +#elif defined ( __CSMC__ ) + #define __SIMD32_TYPE int32_t + #define CMSIS_UNUSED + #define CMSIS_INLINE + +#elif defined ( __TASKING__ ) + #define __SIMD32_TYPE __unaligned int32_t + #define CMSIS_UNUSED + #define CMSIS_INLINE + +#else + #error Unknown compiler +#endif + +#define __SIMD32(addr) (*(__SIMD32_TYPE **) & (addr)) +#define __SIMD32_CONST(addr) ((__SIMD32_TYPE *)(addr)) +#define _SIMD32_OFFSET(addr) (*(__SIMD32_TYPE *) (addr)) +#define __SIMD64(addr) (*(int64_t **) & (addr)) + +#if !defined (ARM_MATH_DSP) + /** + * @brief definition to pack two 16 bit values. + */ +#define __PKHBT(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0x0000FFFF) | \ + (((int32_t)(ARG2) << ARG3) & (int32_t)0xFFFF0000) ) +#define __PKHTB(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0xFFFF0000) | \ + (((int32_t)(ARG2) >> ARG3) & (int32_t)0x0000FFFF) ) + +#endif /* !defined (ARM_MATH_DSP) */ + + /** + * @brief definition to pack four 8 bit values. + */ +#ifndef ARM_MATH_BIG_ENDIAN + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v0) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v1) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v2) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v3) << 24) & (int32_t)0xFF000000) ) +#else + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v3) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v2) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v1) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v0) << 24) & (int32_t)0xFF000000) ) + +#endif + + + /** + * @brief Clips Q63 to Q31 values. + */ + CMSIS_INLINE __STATIC_INLINE q31_t clip_q63_to_q31( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFFFFFF ^ ((q31_t) (x >> 63)))) : (q31_t) x; + } + + /** + * @brief Clips Q63 to Q15 values. + */ + CMSIS_INLINE __STATIC_INLINE q15_t clip_q63_to_q15( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFF ^ ((q15_t) (x >> 63)))) : (q15_t) (x >> 15); + } + + /** + * @brief Clips Q31 to Q7 values. + */ + CMSIS_INLINE __STATIC_INLINE q7_t clip_q31_to_q7( + q31_t x) + { + return ((q31_t) (x >> 24) != ((q31_t) x >> 23)) ? + ((0x7F ^ ((q7_t) (x >> 31)))) : (q7_t) x; + } + + /** + * @brief Clips Q31 to Q15 values. + */ + CMSIS_INLINE __STATIC_INLINE q15_t clip_q31_to_q15( + q31_t x) + { + return ((q31_t) (x >> 16) != ((q31_t) x >> 15)) ? + ((0x7FFF ^ ((q15_t) (x >> 31)))) : (q15_t) x; + } + + /** + * @brief Multiplies 32 X 64 and returns 32 bit result in 2.30 format. + */ + + CMSIS_INLINE __STATIC_INLINE q63_t mult32x64( + q63_t x, + q31_t y) + { + return ((((q63_t) (x & 0x00000000FFFFFFFF) * y) >> 32) + + (((q63_t) (x >> 32) * y))); + } + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q31 Data type. + */ + + CMSIS_INLINE __STATIC_INLINE uint32_t arm_recip_q31( + q31_t in, + q31_t * dst, + q31_t * pRecipTable) + { + q31_t out; + uint32_t tempVal; + uint32_t index, i; + uint32_t signBits; + + if (in > 0) + { + signBits = ((uint32_t) (__CLZ( in) - 1)); + } + else + { + signBits = ((uint32_t) (__CLZ(-in) - 1)); + } + + /* Convert input sample to 1.31 format */ + in = (in << signBits); + + /* calculation of index for initial approximated Val */ + index = (uint32_t)(in >> 24); + index = (index & INDEX_MASK); + + /* 1.31 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0U; i < 2U; i++) + { + tempVal = (uint32_t) (((q63_t) in * out) >> 31); + tempVal = 0x7FFFFFFFu - tempVal; + /* 1.31 with exp 1 */ + /* out = (q31_t) (((q63_t) out * tempVal) >> 30); */ + out = clip_q63_to_q31(((q63_t) out * tempVal) >> 30); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1U); + } + + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q15 Data type. + */ + CMSIS_INLINE __STATIC_INLINE uint32_t arm_recip_q15( + q15_t in, + q15_t * dst, + q15_t * pRecipTable) + { + q15_t out = 0; + uint32_t tempVal = 0; + uint32_t index = 0, i = 0; + uint32_t signBits = 0; + + if (in > 0) + { + signBits = ((uint32_t)(__CLZ( in) - 17)); + } + else + { + signBits = ((uint32_t)(__CLZ(-in) - 17)); + } + + /* Convert input sample to 1.15 format */ + in = (in << signBits); + + /* calculation of index for initial approximated Val */ + index = (uint32_t)(in >> 8); + index = (index & INDEX_MASK); + + /* 1.15 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0U; i < 2U; i++) + { + tempVal = (uint32_t) (((q31_t) in * out) >> 15); + tempVal = 0x7FFFu - tempVal; + /* 1.15 with exp 1 */ + out = (q15_t) (((q31_t) out * tempVal) >> 14); + /* out = clip_q31_to_q15(((q31_t) out * tempVal) >> 14); */ + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1); + } + + +/* + * @brief C custom defined intrinsic function for M3 and M0 processors + */ +#if !defined (ARM_MATH_DSP) + + /* + * @brief C custom defined QADD8 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QADD8( + uint32_t x, + uint32_t y) + { + q31_t r, s, t, u; + + r = __SSAT(((((q31_t)x << 24) >> 24) + (((q31_t)y << 24) >> 24)), 8) & (int32_t)0x000000FF; + s = __SSAT(((((q31_t)x << 16) >> 24) + (((q31_t)y << 16) >> 24)), 8) & (int32_t)0x000000FF; + t = __SSAT(((((q31_t)x << 8) >> 24) + (((q31_t)y << 8) >> 24)), 8) & (int32_t)0x000000FF; + u = __SSAT(((((q31_t)x ) >> 24) + (((q31_t)y ) >> 24)), 8) & (int32_t)0x000000FF; + + return ((uint32_t)((u << 24) | (t << 16) | (s << 8) | (r ))); + } + + + /* + * @brief C custom defined QSUB8 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QSUB8( + uint32_t x, + uint32_t y) + { + q31_t r, s, t, u; + + r = __SSAT(((((q31_t)x << 24) >> 24) - (((q31_t)y << 24) >> 24)), 8) & (int32_t)0x000000FF; + s = __SSAT(((((q31_t)x << 16) >> 24) - (((q31_t)y << 16) >> 24)), 8) & (int32_t)0x000000FF; + t = __SSAT(((((q31_t)x << 8) >> 24) - (((q31_t)y << 8) >> 24)), 8) & (int32_t)0x000000FF; + u = __SSAT(((((q31_t)x ) >> 24) - (((q31_t)y ) >> 24)), 8) & (int32_t)0x000000FF; + + return ((uint32_t)((u << 24) | (t << 16) | (s << 8) | (r ))); + } + + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QADD16( + uint32_t x, + uint32_t y) + { +/* q31_t r, s; without initialisation 'arm_offset_q15 test' fails but 'intrinsic' tests pass! for armCC */ + q31_t r = 0, s = 0; + + r = __SSAT(((((q31_t)x << 16) >> 16) + (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF; + s = __SSAT(((((q31_t)x ) >> 16) + (((q31_t)y ) >> 16)), 16) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined SHADD16 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SHADD16( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = (((((q31_t)x << 16) >> 16) + (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF; + s = (((((q31_t)x ) >> 16) + (((q31_t)y ) >> 16)) >> 1) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined QSUB16 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QSUB16( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = __SSAT(((((q31_t)x << 16) >> 16) - (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF; + s = __SSAT(((((q31_t)x ) >> 16) - (((q31_t)y ) >> 16)), 16) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined SHSUB16 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SHSUB16( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = (((((q31_t)x << 16) >> 16) - (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF; + s = (((((q31_t)x ) >> 16) - (((q31_t)y ) >> 16)) >> 1) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined QASX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QASX( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = __SSAT(((((q31_t)x << 16) >> 16) - (((q31_t)y ) >> 16)), 16) & (int32_t)0x0000FFFF; + s = __SSAT(((((q31_t)x ) >> 16) + (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined SHASX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SHASX( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = (((((q31_t)x << 16) >> 16) - (((q31_t)y ) >> 16)) >> 1) & (int32_t)0x0000FFFF; + s = (((((q31_t)x ) >> 16) + (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined QSAX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __QSAX( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = __SSAT(((((q31_t)x << 16) >> 16) + (((q31_t)y ) >> 16)), 16) & (int32_t)0x0000FFFF; + s = __SSAT(((((q31_t)x ) >> 16) - (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined SHSAX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SHSAX( + uint32_t x, + uint32_t y) + { + q31_t r, s; + + r = (((((q31_t)x << 16) >> 16) + (((q31_t)y ) >> 16)) >> 1) & (int32_t)0x0000FFFF; + s = (((((q31_t)x ) >> 16) - (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF; + + return ((uint32_t)((s << 16) | (r ))); + } + + + /* + * @brief C custom defined SMUSDX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMUSDX( + uint32_t x, + uint32_t y) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y ) >> 16)) - + ((((q31_t)x ) >> 16) * (((q31_t)y << 16) >> 16)) )); + } + + /* + * @brief C custom defined SMUADX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMUADX( + uint32_t x, + uint32_t y) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y ) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y << 16) >> 16)) )); + } + + + /* + * @brief C custom defined QADD for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE int32_t __QADD( + int32_t x, + int32_t y) + { + return ((int32_t)(clip_q63_to_q31((q63_t)x + (q31_t)y))); + } + + + /* + * @brief C custom defined QSUB for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE int32_t __QSUB( + int32_t x, + int32_t y) + { + return ((int32_t)(clip_q63_to_q31((q63_t)x - (q31_t)y))); + } + + + /* + * @brief C custom defined SMLAD for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMLAD( + uint32_t x, + uint32_t y, + uint32_t sum) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y ) >> 16)) + + ( ((q31_t)sum ) ) )); + } + + + /* + * @brief C custom defined SMLADX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMLADX( + uint32_t x, + uint32_t y, + uint32_t sum) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y ) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y << 16) >> 16)) + + ( ((q31_t)sum ) ) )); + } + + + /* + * @brief C custom defined SMLSDX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMLSDX( + uint32_t x, + uint32_t y, + uint32_t sum) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y ) >> 16)) - + ((((q31_t)x ) >> 16) * (((q31_t)y << 16) >> 16)) + + ( ((q31_t)sum ) ) )); + } + + + /* + * @brief C custom defined SMLALD for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint64_t __SMLALD( + uint32_t x, + uint32_t y, + uint64_t sum) + { +/* return (sum + ((q15_t) (x >> 16) * (q15_t) (y >> 16)) + ((q15_t) x * (q15_t) y)); */ + return ((uint64_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y ) >> 16)) + + ( ((q63_t)sum ) ) )); + } + + + /* + * @brief C custom defined SMLALDX for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint64_t __SMLALDX( + uint32_t x, + uint32_t y, + uint64_t sum) + { +/* return (sum + ((q15_t) (x >> 16) * (q15_t) y)) + ((q15_t) x * (q15_t) (y >> 16)); */ + return ((uint64_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y ) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y << 16) >> 16)) + + ( ((q63_t)sum ) ) )); + } + + + /* + * @brief C custom defined SMUAD for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMUAD( + uint32_t x, + uint32_t y) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) + + ((((q31_t)x ) >> 16) * (((q31_t)y ) >> 16)) )); + } + + + /* + * @brief C custom defined SMUSD for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SMUSD( + uint32_t x, + uint32_t y) + { + return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) - + ((((q31_t)x ) >> 16) * (((q31_t)y ) >> 16)) )); + } + + + /* + * @brief C custom defined SXTB16 for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE uint32_t __SXTB16( + uint32_t x) + { + return ((uint32_t)(((((q31_t)x << 24) >> 24) & (q31_t)0x0000FFFF) | + ((((q31_t)x << 8) >> 8) & (q31_t)0xFFFF0000) )); + } + + /* + * @brief C custom defined SMMLA for M3 and M0 processors + */ + CMSIS_INLINE __STATIC_INLINE int32_t __SMMLA( + int32_t x, + int32_t y, + int32_t sum) + { + return (sum + (int32_t) (((int64_t) x * y) >> 32)); + } + +#endif /* !defined (ARM_MATH_DSP) */ + + + /** + * @brief Instance structure for the Q7 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q7_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q7; + + /** + * @brief Instance structure for the Q15 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_f32; + + + /** + * @brief Processing function for the Q7 FIR filter. + * @param[in] S points to an instance of the Q7 FIR filter structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_q7( + const arm_fir_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q7 FIR filter. + * @param[in,out] S points to an instance of the Q7 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of samples that are processed. + */ + void arm_fir_init_q7( + arm_fir_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR filter. + * @param[in] S points to an instance of the Q15 FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the fast Q15 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q15 FIR filter structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_fast_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR filter. + * @param[in,out] S points to an instance of the Q15 FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. Must be even and greater than or equal to 4. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return The function returns ARM_MATH_SUCCESS if initialization was successful or ARM_MATH_ARGUMENT_ERROR if + * numTaps is not a supported value. + */ + arm_status arm_fir_init_q15( + arm_fir_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 FIR filter. + * @param[in] S points to an instance of the Q31 FIR filter structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the fast Q31 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q31 FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_fast_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR filter. + * @param[in,out] S points to an instance of the Q31 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + */ + void arm_fir_init_q31( + arm_fir_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point FIR filter. + * @param[in] S points to an instance of the floating-point FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_f32( + const arm_fir_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR filter. + * @param[in,out] S points to an instance of the floating-point FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + */ + void arm_fir_init_f32( + arm_fir_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 Biquad cascade filter. + */ + typedef struct + { + int8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q15_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q15_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + int8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + } arm_biquad_casd_df1_inst_q15; + + /** + * @brief Instance structure for the Q31 Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q31_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + } arm_biquad_casd_df1_inst_q31; + + /** + * @brief Instance structure for the floating-point Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_casd_df1_inst_f32; + + + /** + * @brief Processing function for the Q15 Biquad cascade filter. + * @param[in] S points to an instance of the Q15 Biquad cascade structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df1_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 Biquad cascade filter. + * @param[in,out] S points to an instance of the Q15 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + */ + void arm_biquad_cascade_df1_init_q15( + arm_biquad_casd_df1_inst_q15 * S, + uint8_t numStages, + q15_t * pCoeffs, + q15_t * pState, + int8_t postShift); + + + /** + * @brief Fast but less precise processing function for the Q15 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q15 Biquad cascade structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df1_fast_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 Biquad cascade filter + * @param[in] S points to an instance of the Q31 Biquad cascade structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df1_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Fast but less precise processing function for the Q31 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q31 Biquad cascade structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df1_fast_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 Biquad cascade filter. + * @param[in,out] S points to an instance of the Q31 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + */ + void arm_biquad_cascade_df1_init_q31( + arm_biquad_casd_df1_inst_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q31_t * pState, + int8_t postShift); + + + /** + * @brief Processing function for the floating-point Biquad cascade filter. + * @param[in] S points to an instance of the floating-point Biquad cascade structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df1_f32( + const arm_biquad_casd_df1_inst_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point Biquad cascade filter. + * @param[in,out] S points to an instance of the floating-point Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + */ + void arm_biquad_cascade_df1_init_f32( + arm_biquad_casd_df1_inst_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float32_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f32; + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float64_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f64; + + /** + * @brief Instance structure for the Q15 matrix structure. + */ + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q15_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_q15; + + /** + * @brief Instance structure for the Q31 matrix structure. + */ + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q31_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_q31; + + + /** + * @brief Floating-point matrix addition. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_add_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix addition. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_add_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + + /** + * @brief Q31 matrix addition. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_add_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point, complex, matrix multiplication. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_cmplx_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15, complex, matrix multiplication. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_cmplx_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pScratch); + + + /** + * @brief Q31, complex, matrix multiplication. + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_cmplx_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix transpose. + * @param[in] pSrc points to the input matrix + * @param[out] pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_trans_f32( + const arm_matrix_instance_f32 * pSrc, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix transpose. + * @param[in] pSrc points to the input matrix + * @param[out] pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_trans_q15( + const arm_matrix_instance_q15 * pSrc, + arm_matrix_instance_q15 * pDst); + + + /** + * @brief Q31 matrix transpose. + * @param[in] pSrc points to the input matrix + * @param[out] pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_trans_q31( + const arm_matrix_instance_q31 * pSrc, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix multiplication + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix multiplication + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @param[in] pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + + /** + * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @param[in] pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_mult_fast_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + + /** + * @brief Q31 matrix multiplication + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Q31 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_mult_fast_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix subtraction + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_sub_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix subtraction + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_sub_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + + /** + * @brief Q31 matrix subtraction + * @param[in] pSrcA points to the first input matrix structure + * @param[in] pSrcB points to the second input matrix structure + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_sub_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix scaling. + * @param[in] pSrc points to the input matrix + * @param[in] scale scale factor + * @param[out] pDst points to the output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_scale_f32( + const arm_matrix_instance_f32 * pSrc, + float32_t scale, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix scaling. + * @param[in] pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] pDst points to output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_scale_q15( + const arm_matrix_instance_q15 * pSrc, + q15_t scaleFract, + int32_t shift, + arm_matrix_instance_q15 * pDst); + + + /** + * @brief Q31 matrix scaling. + * @param[in] pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + arm_status arm_mat_scale_q31( + const arm_matrix_instance_q31 * pSrc, + q31_t scaleFract, + int32_t shift, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Q31 matrix initialization. + * @param[in,out] S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] pData points to the matrix data array. + */ + void arm_mat_init_q31( + arm_matrix_instance_q31 * S, + uint16_t nRows, + uint16_t nColumns, + q31_t * pData); + + + /** + * @brief Q15 matrix initialization. + * @param[in,out] S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] pData points to the matrix data array. + */ + void arm_mat_init_q15( + arm_matrix_instance_q15 * S, + uint16_t nRows, + uint16_t nColumns, + q15_t * pData); + + + /** + * @brief Floating-point matrix initialization. + * @param[in,out] S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] pData points to the matrix data array. + */ + void arm_mat_init_f32( + arm_matrix_instance_f32 * S, + uint16_t nRows, + uint16_t nColumns, + float32_t * pData); + + + + /** + * @brief Instance structure for the Q15 PID Control. + */ + typedef struct + { + q15_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ +#if !defined (ARM_MATH_DSP) + q15_t A1; + q15_t A2; +#else + q31_t A1; /**< The derived gain A1 = -Kp - 2Kd | Kd.*/ +#endif + q15_t state[3]; /**< The state array of length 3. */ + q15_t Kp; /**< The proportional gain. */ + q15_t Ki; /**< The integral gain. */ + q15_t Kd; /**< The derivative gain. */ + } arm_pid_instance_q15; + + /** + * @brief Instance structure for the Q31 PID Control. + */ + typedef struct + { + q31_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + q31_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + q31_t A2; /**< The derived gain, A2 = Kd . */ + q31_t state[3]; /**< The state array of length 3. */ + q31_t Kp; /**< The proportional gain. */ + q31_t Ki; /**< The integral gain. */ + q31_t Kd; /**< The derivative gain. */ + } arm_pid_instance_q31; + + /** + * @brief Instance structure for the floating-point PID Control. + */ + typedef struct + { + float32_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + float32_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + float32_t A2; /**< The derived gain, A2 = Kd . */ + float32_t state[3]; /**< The state array of length 3. */ + float32_t Kp; /**< The proportional gain. */ + float32_t Ki; /**< The integral gain. */ + float32_t Kd; /**< The derivative gain. */ + } arm_pid_instance_f32; + + + + /** + * @brief Initialization function for the floating-point PID Control. + * @param[in,out] S points to an instance of the PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + */ + void arm_pid_init_f32( + arm_pid_instance_f32 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the floating-point PID Control. + * @param[in,out] S is an instance of the floating-point PID Control structure + */ + void arm_pid_reset_f32( + arm_pid_instance_f32 * S); + + + /** + * @brief Initialization function for the Q31 PID Control. + * @param[in,out] S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + */ + void arm_pid_init_q31( + arm_pid_instance_q31 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the Q31 PID Control. + * @param[in,out] S points to an instance of the Q31 PID Control structure + */ + + void arm_pid_reset_q31( + arm_pid_instance_q31 * S); + + + /** + * @brief Initialization function for the Q15 PID Control. + * @param[in,out] S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + */ + void arm_pid_init_q15( + arm_pid_instance_q15 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the Q15 PID Control. + * @param[in,out] S points to an instance of the q15 PID Control structure + */ + void arm_pid_reset_q15( + arm_pid_instance_q15 * S); + + + /** + * @brief Instance structure for the floating-point Linear Interpolate function. + */ + typedef struct + { + uint32_t nValues; /**< nValues */ + float32_t x1; /**< x1 */ + float32_t xSpacing; /**< xSpacing */ + float32_t *pYData; /**< pointer to the table of Y values */ + } arm_linear_interp_instance_f32; + + /** + * @brief Instance structure for the floating-point bilinear interpolation function. + */ + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + float32_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_f32; + + /** + * @brief Instance structure for the Q31 bilinear interpolation function. + */ + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q31_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q31; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q15_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q15; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q7_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q7; + + + /** + * @brief Q7 vector multiplication. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_mult_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q15 vector multiplication. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_mult_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q31 vector multiplication. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_mult_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Floating-point vector multiplication. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_mult_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the Sin twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q15; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_q15( + arm_cfft_radix2_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_q15( + const arm_cfft_radix2_instance_q15 * S, + q15_t * pSrc); + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q15; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_q15( + arm_cfft_radix4_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_q15( + const arm_cfft_radix4_instance_q15 * S, + q15_t * pSrc); + + /** + * @brief Instance structure for the Radix-2 Q31 CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q31; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_q31( + arm_cfft_radix2_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_q31( + const arm_cfft_radix2_instance_q31 * S, + q31_t * pSrc); + + /** + * @brief Instance structure for the Q31 CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q31; + +/* Deprecated */ + void arm_cfft_radix4_q31( + const arm_cfft_radix4_instance_q31 * S, + q31_t * pSrc); + +/* Deprecated */ + arm_status arm_cfft_radix4_init_q31( + arm_cfft_radix4_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix2_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_f32( + arm_cfft_radix2_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_f32( + const arm_cfft_radix2_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix4_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_f32( + arm_cfft_radix4_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_f32( + const arm_cfft_radix4_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the fixed-point CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const q15_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_q15; + +void arm_cfft_q15( + const arm_cfft_instance_q15 * S, + q15_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the fixed-point CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_q31; + +void arm_cfft_q31( + const arm_cfft_instance_q31 * S, + q31_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_f32; + + void arm_cfft_f32( + const arm_cfft_instance_f32 * S, + float32_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the Q15 RFFT/RIFFT function. + */ + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q15_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q15_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + const arm_cfft_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q15; + + arm_status arm_rfft_init_q15( + arm_rfft_instance_q15 * S, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q15( + const arm_rfft_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst); + + /** + * @brief Instance structure for the Q31 RFFT/RIFFT function. + */ + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q31_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q31_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + const arm_cfft_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q31; + + arm_status arm_rfft_init_q31( + arm_rfft_instance_q31 * S, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q31( + const arm_rfft_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint16_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + float32_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + float32_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_f32; + + arm_status arm_rfft_init_f32( + arm_rfft_instance_f32 * S, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_f32( + const arm_rfft_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ +typedef struct + { + arm_cfft_instance_f32 Sint; /**< Internal CFFT structure. */ + uint16_t fftLenRFFT; /**< length of the real sequence */ + float32_t * pTwiddleRFFT; /**< Twiddle factors real stage */ + } arm_rfft_fast_instance_f32 ; + +arm_status arm_rfft_fast_init_f32 ( + arm_rfft_fast_instance_f32 * S, + uint16_t fftLen); + +void arm_rfft_fast_f32( + arm_rfft_fast_instance_f32 * S, + float32_t * p, float32_t * pOut, + uint8_t ifftFlag); + + /** + * @brief Instance structure for the floating-point DCT4/IDCT4 function. + */ + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + float32_t normalize; /**< normalizing factor. */ + float32_t *pTwiddle; /**< points to the twiddle factor table. */ + float32_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_f32 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_f32; + + + /** + * @brief Initialization function for the floating-point DCT4/IDCT4. + * @param[in,out] S points to an instance of floating-point DCT4/IDCT4 structure. + * @param[in] S_RFFT points to an instance of floating-point RFFT/RIFFT structure. + * @param[in] S_CFFT points to an instance of floating-point CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if fftLenReal is not a supported transform length. + */ + arm_status arm_dct4_init_f32( + arm_dct4_instance_f32 * S, + arm_rfft_instance_f32 * S_RFFT, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint16_t N, + uint16_t Nby2, + float32_t normalize); + + + /** + * @brief Processing function for the floating-point DCT4/IDCT4. + * @param[in] S points to an instance of the floating-point DCT4/IDCT4 structure. + * @param[in] pState points to state buffer. + * @param[in,out] pInlineBuffer points to the in-place input and output buffer. + */ + void arm_dct4_f32( + const arm_dct4_instance_f32 * S, + float32_t * pState, + float32_t * pInlineBuffer); + + + /** + * @brief Instance structure for the Q31 DCT4/IDCT4 function. + */ + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q31_t normalize; /**< normalizing factor. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + q31_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q31 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q31; + + + /** + * @brief Initialization function for the Q31 DCT4/IDCT4. + * @param[in,out] S points to an instance of Q31 DCT4/IDCT4 structure. + * @param[in] S_RFFT points to an instance of Q31 RFFT/RIFFT structure + * @param[in] S_CFFT points to an instance of Q31 CFFT/CIFFT structure + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + arm_status arm_dct4_init_q31( + arm_dct4_instance_q31 * S, + arm_rfft_instance_q31 * S_RFFT, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q31_t normalize); + + + /** + * @brief Processing function for the Q31 DCT4/IDCT4. + * @param[in] S points to an instance of the Q31 DCT4 structure. + * @param[in] pState points to state buffer. + * @param[in,out] pInlineBuffer points to the in-place input and output buffer. + */ + void arm_dct4_q31( + const arm_dct4_instance_q31 * S, + q31_t * pState, + q31_t * pInlineBuffer); + + + /** + * @brief Instance structure for the Q15 DCT4/IDCT4 function. + */ + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q15_t normalize; /**< normalizing factor. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + q15_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q15 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q15; + + + /** + * @brief Initialization function for the Q15 DCT4/IDCT4. + * @param[in,out] S points to an instance of Q15 DCT4/IDCT4 structure. + * @param[in] S_RFFT points to an instance of Q15 RFFT/RIFFT structure. + * @param[in] S_CFFT points to an instance of Q15 CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + arm_status arm_dct4_init_q15( + arm_dct4_instance_q15 * S, + arm_rfft_instance_q15 * S_RFFT, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q15_t normalize); + + + /** + * @brief Processing function for the Q15 DCT4/IDCT4. + * @param[in] S points to an instance of the Q15 DCT4 structure. + * @param[in] pState points to state buffer. + * @param[in,out] pInlineBuffer points to the in-place input and output buffer. + */ + void arm_dct4_q15( + const arm_dct4_instance_q15 * S, + q15_t * pState, + q15_t * pInlineBuffer); + + + /** + * @brief Floating-point vector addition. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_add_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q7 vector addition. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_add_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q15 vector addition. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_add_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q31 vector addition. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_add_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Floating-point vector subtraction. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_sub_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q7 vector subtraction. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_sub_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q15 vector subtraction. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_sub_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q31 vector subtraction. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in each vector + */ + void arm_sub_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Multiplies a floating-point vector by a scalar. + * @param[in] pSrc points to the input vector + * @param[in] scale scale factor to be applied + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_scale_f32( + float32_t * pSrc, + float32_t scale, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Multiplies a Q7 vector by a scalar. + * @param[in] pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_scale_q7( + q7_t * pSrc, + q7_t scaleFract, + int8_t shift, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Multiplies a Q15 vector by a scalar. + * @param[in] pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_scale_q15( + q15_t * pSrc, + q15_t scaleFract, + int8_t shift, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Multiplies a Q31 vector by a scalar. + * @param[in] pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_scale_q31( + q31_t * pSrc, + q31_t scaleFract, + int8_t shift, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q7 vector absolute value. + * @param[in] pSrc points to the input buffer + * @param[out] pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + */ + void arm_abs_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Floating-point vector absolute value. + * @param[in] pSrc points to the input buffer + * @param[out] pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + */ + void arm_abs_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q15 vector absolute value. + * @param[in] pSrc points to the input buffer + * @param[out] pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + */ + void arm_abs_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Q31 vector absolute value. + * @param[in] pSrc points to the input buffer + * @param[out] pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + */ + void arm_abs_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Dot product of floating-point vectors. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] result output result returned here + */ + void arm_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t blockSize, + float32_t * result); + + + /** + * @brief Dot product of Q7 vectors. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] result output result returned here + */ + void arm_dot_prod_q7( + q7_t * pSrcA, + q7_t * pSrcB, + uint32_t blockSize, + q31_t * result); + + + /** + * @brief Dot product of Q15 vectors. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] result output result returned here + */ + void arm_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + + /** + * @brief Dot product of Q31 vectors. + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] result output result returned here + */ + void arm_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + + /** + * @brief Shifts the elements of a Q7 vector a specified number of bits. + * @param[in] pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_shift_q7( + q7_t * pSrc, + int8_t shiftBits, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Shifts the elements of a Q15 vector a specified number of bits. + * @param[in] pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_shift_q15( + q15_t * pSrc, + int8_t shiftBits, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Shifts the elements of a Q31 vector a specified number of bits. + * @param[in] pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_shift_q31( + q31_t * pSrc, + int8_t shiftBits, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Adds a constant offset to a floating-point vector. + * @param[in] pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_offset_f32( + float32_t * pSrc, + float32_t offset, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Adds a constant offset to a Q7 vector. + * @param[in] pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_offset_q7( + q7_t * pSrc, + q7_t offset, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Adds a constant offset to a Q15 vector. + * @param[in] pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_offset_q15( + q15_t * pSrc, + q15_t offset, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Adds a constant offset to a Q31 vector. + * @param[in] pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_offset_q31( + q31_t * pSrc, + q31_t offset, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Negates the elements of a floating-point vector. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_negate_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Negates the elements of a Q7 vector. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_negate_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Negates the elements of a Q15 vector. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_negate_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Negates the elements of a Q31 vector. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] blockSize number of samples in the vector + */ + void arm_negate_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Copies the elements of a floating-point vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_copy_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Copies the elements of a Q7 vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_copy_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Copies the elements of a Q15 vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_copy_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Copies the elements of a Q31 vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_copy_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Fills a constant value into a floating-point vector. + * @param[in] value input value to be filled + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_fill_f32( + float32_t value, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Fills a constant value into a Q7 vector. + * @param[in] value input value to be filled + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_fill_q7( + q7_t value, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Fills a constant value into a Q15 vector. + * @param[in] value input value to be filled + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_fill_q15( + q15_t value, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Fills a constant value into a Q31 vector. + * @param[in] value input value to be filled + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_fill_q31( + q31_t value, + q31_t * pDst, + uint32_t blockSize); + + +/** + * @brief Convolution of floating-point sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + */ + void arm_conv_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Convolution of Q15 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + */ + void arm_conv_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Convolution of Q15 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + */ + void arm_conv_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + */ + void arm_conv_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + */ + void arm_conv_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Convolution of Q31 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + */ + void arm_conv_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + */ + void arm_conv_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + */ + void arm_conv_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length srcALen+srcBLen-1. + */ + void arm_conv_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Partial convolution of floating-point sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Partial convolution of Q15 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Partial convolution of Q31 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q7 sequences + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q7 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + arm_status arm_conv_partial_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Instance structure for the Q15 FIR decimator. + */ + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR decimator. + */ + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR decimator. + */ + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_f32; + + + /** + * @brief Processing function for the floating-point FIR decimator. + * @param[in] S points to an instance of the floating-point FIR decimator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_decimate_f32( + const arm_fir_decimate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR decimator. + * @param[in,out] S points to an instance of the floating-point FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + arm_status arm_fir_decimate_init_f32( + arm_fir_decimate_instance_f32 * S, + uint16_t numTaps, + uint8_t M, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR decimator. + * @param[in] S points to an instance of the Q15 FIR decimator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_decimate_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q15 FIR decimator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_decimate_fast_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR decimator. + * @param[in,out] S points to an instance of the Q15 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + arm_status arm_fir_decimate_init_q15( + arm_fir_decimate_instance_q15 * S, + uint16_t numTaps, + uint8_t M, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 FIR decimator. + * @param[in] S points to an instance of the Q31 FIR decimator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_decimate_q31( + const arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] S points to an instance of the Q31 FIR decimator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_decimate_fast_q31( + arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR decimator. + * @param[in,out] S points to an instance of the Q31 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + arm_status arm_fir_decimate_init_q31( + arm_fir_decimate_instance_q31 * S, + uint16_t numTaps, + uint8_t M, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 FIR interpolator. + */ + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q15_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR interpolator. + */ + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q31_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR interpolator. + */ + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + float32_t *pState; /**< points to the state variable array. The array is of length phaseLength+numTaps-1. */ + } arm_fir_interpolate_instance_f32; + + + /** + * @brief Processing function for the Q15 FIR interpolator. + * @param[in] S points to an instance of the Q15 FIR interpolator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_interpolate_q15( + const arm_fir_interpolate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR interpolator. + * @param[in,out] S points to an instance of the Q15 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficient buffer. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + arm_status arm_fir_interpolate_init_q15( + arm_fir_interpolate_instance_q15 * S, + uint8_t L, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 FIR interpolator. + * @param[in] S points to an instance of the Q15 FIR interpolator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_interpolate_q31( + const arm_fir_interpolate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR interpolator. + * @param[in,out] S points to an instance of the Q31 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficient buffer. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + arm_status arm_fir_interpolate_init_q31( + arm_fir_interpolate_instance_q31 * S, + uint8_t L, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point FIR interpolator. + * @param[in] S points to an instance of the floating-point FIR interpolator structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_interpolate_f32( + const arm_fir_interpolate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR interpolator. + * @param[in,out] S points to an instance of the floating-point FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] pCoeffs points to the filter coefficient buffer. + * @param[in] pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + arm_status arm_fir_interpolate_init_f32( + arm_fir_interpolate_instance_f32 * S, + uint8_t L, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the high precision Q31 Biquad cascade filter. + */ + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q63_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< additional shift, in bits, applied to each output sample. */ + } arm_biquad_cas_df1_32x64_ins_q31; + + + /** + * @param[in] S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cas_df1_32x64_q31( + const arm_biquad_cas_df1_32x64_ins_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @param[in,out] S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] postShift shift to be applied to the output. Varies according to the coefficients format + */ + void arm_biquad_cas_df1_32x64_init_q31( + arm_biquad_cas_df1_32x64_ins_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q63_t * pState, + uint8_t postShift); + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f32; + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_stereo_df2T_instance_f32; + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float64_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float64_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f64; + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] S points to an instance of the filter data structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df2T_f32( + const arm_biquad_cascade_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. 2 channels + * @param[in] S points to an instance of the filter data structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_stereo_df2T_f32( + const arm_biquad_cascade_stereo_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] S points to an instance of the filter data structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_biquad_cascade_df2T_f64( + const arm_biquad_cascade_df2T_instance_f64 * S, + float64_t * pSrc, + float64_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + */ + void arm_biquad_cascade_df2T_init_f32( + arm_biquad_cascade_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + */ + void arm_biquad_cascade_stereo_df2T_init_f32( + arm_biquad_cascade_stereo_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] pCoeffs points to the filter coefficients. + * @param[in] pState points to the state buffer. + */ + void arm_biquad_cascade_df2T_init_f64( + arm_biquad_cascade_df2T_instance_f64 * S, + uint8_t numStages, + float64_t * pCoeffs, + float64_t * pState); + + + /** + * @brief Instance structure for the Q15 FIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_f32; + + + /** + * @brief Initialization function for the Q15 FIR lattice filter. + * @param[in] S points to an instance of the Q15 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] pState points to the state buffer. The array is of length numStages. + */ + void arm_fir_lattice_init_q15( + arm_fir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pCoeffs, + q15_t * pState); + + + /** + * @brief Processing function for the Q15 FIR lattice filter. + * @param[in] S points to an instance of the Q15 FIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_fir_lattice_q15( + const arm_fir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR lattice filter. + * @param[in] S points to an instance of the Q31 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] pState points to the state buffer. The array is of length numStages. + */ + void arm_fir_lattice_init_q31( + arm_fir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pCoeffs, + q31_t * pState); + + + /** + * @brief Processing function for the Q31 FIR lattice filter. + * @param[in] S points to an instance of the Q31 FIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_fir_lattice_q31( + const arm_fir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + +/** + * @brief Initialization function for the floating-point FIR lattice filter. + * @param[in] S points to an instance of the floating-point FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] pState points to the state buffer. The array is of length numStages. + */ + void arm_fir_lattice_init_f32( + arm_fir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Processing function for the floating-point FIR lattice filter. + * @param[in] S points to an instance of the floating-point FIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] blockSize number of samples to process. + */ + void arm_fir_lattice_f32( + const arm_fir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q15_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q15_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q31_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q31_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + float32_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + float32_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_f32; + + + /** + * @brief Processing function for the floating-point IIR lattice filter. + * @param[in] S points to an instance of the floating-point IIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_iir_lattice_f32( + const arm_iir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point IIR lattice filter. + * @param[in] S points to an instance of the floating-point IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] pState points to the state buffer. The array is of length numStages+blockSize-1. + * @param[in] blockSize number of samples to process. + */ + void arm_iir_lattice_init_f32( + arm_iir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pkCoeffs, + float32_t * pvCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 IIR lattice filter. + * @param[in] S points to an instance of the Q31 IIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_iir_lattice_q31( + const arm_iir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 IIR lattice filter. + * @param[in] S points to an instance of the Q31 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] pState points to the state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process. + */ + void arm_iir_lattice_init_q31( + arm_iir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pkCoeffs, + q31_t * pvCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 IIR lattice filter. + * @param[in] S points to an instance of the Q15 IIR lattice structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + */ + void arm_iir_lattice_q15( + const arm_iir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + +/** + * @brief Initialization function for the Q15 IIR lattice filter. + * @param[in] S points to an instance of the fixed-point Q15 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] pkCoeffs points to reflection coefficient buffer. The array is of length numStages. + * @param[in] pvCoeffs points to ladder coefficient buffer. The array is of length numStages+1. + * @param[in] pState points to state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process per call. + */ + void arm_iir_lattice_init_q15( + arm_iir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pkCoeffs, + q15_t * pvCoeffs, + q15_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the floating-point LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that controls filter coefficient updates. */ + } arm_lms_instance_f32; + + + /** + * @brief Processing function for floating-point LMS filter. + * @param[in] S points to an instance of the floating-point LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_f32( + const arm_lms_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for floating-point LMS filter. + * @param[in] S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to the coefficient buffer. + * @param[in] pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_init_f32( + arm_lms_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + } arm_lms_instance_q15; + + + /** + * @brief Initialization function for the Q15 LMS filter. + * @param[in] S points to an instance of the Q15 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to the coefficient buffer. + * @param[in] pState points to the state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + */ + void arm_lms_init_q15( + arm_lms_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint32_t postShift); + + + /** + * @brief Processing function for Q15 LMS filter. + * @param[in] S points to an instance of the Q15 LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_q15( + const arm_lms_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + } arm_lms_instance_q31; + + + /** + * @brief Processing function for Q31 LMS filter. + * @param[in] S points to an instance of the Q15 LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_q31( + const arm_lms_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q31 LMS filter. + * @param[in] S points to an instance of the Q31 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to coefficient buffer. + * @param[in] pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + */ + void arm_lms_init_q31( + arm_lms_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint32_t postShift); + + + /** + * @brief Instance structure for the floating-point normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that control filter coefficient updates. */ + float32_t energy; /**< saves previous frame energy. */ + float32_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_f32; + + + /** + * @brief Processing function for floating-point normalized LMS filter. + * @param[in] S points to an instance of the floating-point normalized LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_norm_f32( + arm_lms_norm_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for floating-point normalized LMS filter. + * @param[in] S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to coefficient buffer. + * @param[in] pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_norm_init_f32( + arm_lms_norm_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q31_t *recipTable; /**< points to the reciprocal initial value table. */ + q31_t energy; /**< saves previous frame energy. */ + q31_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q31; + + + /** + * @brief Processing function for Q31 normalized LMS filter. + * @param[in] S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_norm_q31( + arm_lms_norm_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q31 normalized LMS filter. + * @param[in] S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to coefficient buffer. + * @param[in] pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + */ + void arm_lms_norm_init_q31( + arm_lms_norm_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint8_t postShift); + + + /** + * @brief Instance structure for the Q15 normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< Number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q15_t *recipTable; /**< Points to the reciprocal initial value table. */ + q15_t energy; /**< saves previous frame energy. */ + q15_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q15; + + + /** + * @brief Processing function for Q15 normalized LMS filter. + * @param[in] S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] pSrc points to the block of input data. + * @param[in] pRef points to the block of reference data. + * @param[out] pOut points to the block of output data. + * @param[out] pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + */ + void arm_lms_norm_q15( + arm_lms_norm_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q15 normalized LMS filter. + * @param[in] S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] pCoeffs points to coefficient buffer. + * @param[in] pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + */ + void arm_lms_norm_init_q15( + arm_lms_norm_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint8_t postShift); + + + /** + * @brief Correlation of floating-point sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + void arm_correlate_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Correlation of Q15 sequences + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + */ + void arm_correlate_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + + /** + * @brief Correlation of Q15 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + + void arm_correlate_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + + void arm_correlate_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + */ + void arm_correlate_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + + /** + * @brief Correlation of Q31 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + void arm_correlate_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Correlation of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + void arm_correlate_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + */ + void arm_correlate_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + */ + void arm_correlate_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Instance structure for the floating-point sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + float32_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_f32; + + /** + * @brief Instance structure for the Q31 sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q31_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q31; + + /** + * @brief Instance structure for the Q15 sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q15_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q15; + + /** + * @brief Instance structure for the Q7 sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q7_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q7; + + + /** + * @brief Processing function for the floating-point sparse FIR filter. + * @param[in] S points to an instance of the floating-point sparse FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_sparse_f32( + arm_fir_sparse_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + float32_t * pScratchIn, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point sparse FIR filter. + * @param[in,out] S points to an instance of the floating-point sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] pCoeffs points to the array of filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + */ + void arm_fir_sparse_init_f32( + arm_fir_sparse_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 sparse FIR filter. + * @param[in] S points to an instance of the Q31 sparse FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_sparse_q31( + arm_fir_sparse_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + q31_t * pScratchIn, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 sparse FIR filter. + * @param[in,out] S points to an instance of the Q31 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] pCoeffs points to the array of filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + */ + void arm_fir_sparse_init_q31( + arm_fir_sparse_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 sparse FIR filter. + * @param[in] S points to an instance of the Q15 sparse FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] pScratchIn points to a temporary buffer of size blockSize. + * @param[in] pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_sparse_q15( + arm_fir_sparse_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + q15_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 sparse FIR filter. + * @param[in,out] S points to an instance of the Q15 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] pCoeffs points to the array of filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + */ + void arm_fir_sparse_init_q15( + arm_fir_sparse_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q7 sparse FIR filter. + * @param[in] S points to an instance of the Q7 sparse FIR structure. + * @param[in] pSrc points to the block of input data. + * @param[out] pDst points to the block of output data + * @param[in] pScratchIn points to a temporary buffer of size blockSize. + * @param[in] pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + */ + void arm_fir_sparse_q7( + arm_fir_sparse_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + q7_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q7 sparse FIR filter. + * @param[in,out] S points to an instance of the Q7 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] pCoeffs points to the array of filter coefficients. + * @param[in] pState points to the state buffer. + * @param[in] pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + */ + void arm_fir_sparse_init_q7( + arm_fir_sparse_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /** + * @brief Floating-point sin_cos function. + * @param[in] theta input value in degrees + * @param[out] pSinVal points to the processed sine output. + * @param[out] pCosVal points to the processed cos output. + */ + void arm_sin_cos_f32( + float32_t theta, + float32_t * pSinVal, + float32_t * pCosVal); + + + /** + * @brief Q31 sin_cos function. + * @param[in] theta scaled input value in degrees + * @param[out] pSinVal points to the processed sine output. + * @param[out] pCosVal points to the processed cosine output. + */ + void arm_sin_cos_q31( + q31_t theta, + q31_t * pSinVal, + q31_t * pCosVal); + + + /** + * @brief Floating-point complex conjugate. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_conj_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex conjugate. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_conj_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q15 complex conjugate. + * @param[in] pSrc points to the input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_conj_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @brief Floating-point complex magnitude squared + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_squared_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q31 complex magnitude squared + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_squared_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q15 complex magnitude squared + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_squared_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup PID PID Motor Control + * + * A Proportional Integral Derivative (PID) controller is a generic feedback control + * loop mechanism widely used in industrial control systems. + * A PID controller is the most commonly used type of feedback controller. + * + * This set of functions implements (PID) controllers + * for Q15, Q31, and floating-point data types. The functions operate on a single sample + * of data and each call to the function returns a single processed value. + * S points to an instance of the PID control data structure. in + * is the input sample value. The functions return the output value. + * + * \par Algorithm: + *
    +   *    y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]
    +   *    A0 = Kp + Ki + Kd
    +   *    A1 = (-Kp ) - (2 * Kd )
    +   *    A2 = Kd  
    + * + * \par + * where \c Kp is proportional constant, \c Ki is Integral constant and \c Kd is Derivative constant + * + * \par + * \image html PID.gif "Proportional Integral Derivative Controller" + * + * \par + * The PID controller calculates an "error" value as the difference between + * the measured output and the reference input. + * The controller attempts to minimize the error by adjusting the process control inputs. + * The proportional value determines the reaction to the current error, + * the integral value determines the reaction based on the sum of recent errors, + * and the derivative value determines the reaction based on the rate at which the error has been changing. + * + * \par Instance Structure + * The Gains A0, A1, A2 and state variables for a PID controller are stored together in an instance data structure. + * A separate instance structure must be defined for each PID Controller. + * There are separate instance structure declarations for each of the 3 supported data types. + * + * \par Reset Functions + * There is also an associated reset function for each data type which clears the state array. + * + * \par Initialization Functions + * There is also an associated initialization function for each data type. + * The initialization function performs the following operations: + * - Initializes the Gains A0, A1, A2 from Kp,Ki, Kd gains. + * - Zeros out the values in the state buffer. + * + * \par + * Instance structure cannot be placed into a const data section and it is recommended to use the initialization function. + * + * \par Fixed-Point Behavior + * Care must be taken when using the fixed-point versions of the PID Controller functions. + * In particular, the overflow and saturation behavior of the accumulator used in each function must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup PID + * @{ + */ + + /** + * @brief Process function for the floating-point PID Control. + * @param[in,out] S is an instance of the floating-point PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + */ + CMSIS_INLINE __STATIC_INLINE float32_t arm_pid_f32( + arm_pid_instance_f32 * S, + float32_t in) + { + float32_t out; + + /* y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2] */ + out = (S->A0 * in) + + (S->A1 * S->state[0]) + (S->A2 * S->state[1]) + (S->state[2]); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q31 PID Control. + * @param[in,out] S points to an instance of the Q31 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 64-bit accumulator. + * The accumulator has a 2.62 format and maintains full precision of the intermediate multiplication results but provides only a single guard bit. + * Thus, if the accumulator result overflows it wraps around rather than clip. + * In order to avoid overflows completely the input signal must be scaled down by 2 bits as there are four additions. + * After all multiply-accumulates are performed, the 2.62 accumulator is truncated to 1.32 format and then saturated to 1.31 format. + */ + CMSIS_INLINE __STATIC_INLINE q31_t arm_pid_q31( + arm_pid_instance_q31 * S, + q31_t in) + { + q63_t acc; + q31_t out; + + /* acc = A0 * x[n] */ + acc = (q63_t) S->A0 * in; + + /* acc += A1 * x[n-1] */ + acc += (q63_t) S->A1 * S->state[0]; + + /* acc += A2 * x[n-2] */ + acc += (q63_t) S->A2 * S->state[1]; + + /* convert output to 1.31 format to add y[n-1] */ + out = (q31_t) (acc >> 31U); + + /* out += y[n-1] */ + out += S->state[2]; + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + } + + + /** + * @brief Process function for the Q15 PID Control. + * @param[in,out] S points to an instance of the Q15 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using a 64-bit internal accumulator. + * Both Gains and state variables are represented in 1.15 format and multiplications yield a 2.30 result. + * The 2.30 intermediate results are accumulated in a 64-bit accumulator in 34.30 format. + * There is no risk of internal overflow with this approach and the full precision of intermediate multiplications is preserved. + * After all additions have been performed, the accumulator is truncated to 34.15 format by discarding low 15 bits. + * Lastly, the accumulator is saturated to yield a result in 1.15 format. + */ + CMSIS_INLINE __STATIC_INLINE q15_t arm_pid_q15( + arm_pid_instance_q15 * S, + q15_t in) + { + q63_t acc; + q15_t out; + +#if defined (ARM_MATH_DSP) + __SIMD32_TYPE *vstate; + + /* Implementation of PID controller */ + + /* acc = A0 * x[n] */ + acc = (q31_t) __SMUAD((uint32_t)S->A0, (uint32_t)in); + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + vstate = __SIMD32_CONST(S->state); + acc = (q63_t)__SMLALD((uint32_t)S->A1, (uint32_t)*vstate, (uint64_t)acc); +#else + /* acc = A0 * x[n] */ + acc = ((q31_t) S->A0) * in; + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + acc += (q31_t) S->A1 * S->state[0]; + acc += (q31_t) S->A2 * S->state[1]; +#endif + + /* acc += y[n-1] */ + acc += (q31_t) S->state[2] << 15; + + /* saturate the output */ + out = (q15_t) (__SSAT((acc >> 15), 16)); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + } + + /** + * @} end of PID group + */ + + + /** + * @brief Floating-point matrix inverse. + * @param[in] src points to the instance of the input floating-point matrix structure. + * @param[out] dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + arm_status arm_mat_inverse_f32( + const arm_matrix_instance_f32 * src, + arm_matrix_instance_f32 * dst); + + + /** + * @brief Floating-point matrix inverse. + * @param[in] src points to the instance of the input floating-point matrix structure. + * @param[out] dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + arm_status arm_mat_inverse_f64( + const arm_matrix_instance_f64 * src, + arm_matrix_instance_f64 * dst); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup clarke Vector Clarke Transform + * Forward Clarke transform converts the instantaneous stator phases into a two-coordinate time invariant vector. + * Generally the Clarke transform uses three-phase currents Ia, Ib and Ic to calculate currents + * in the two-phase orthogonal stator axis Ialpha and Ibeta. + * When Ialpha is superposed with Ia as shown in the figure below + * \image html clarke.gif Stator current space vector and its components in (a,b). + * and Ia + Ib + Ic = 0, in this condition Ialpha and Ibeta + * can be calculated using only Ia and Ib. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeFormula.gif + * where Ia and Ib are the instantaneous stator phases and + * pIalpha and pIbeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup clarke + * @{ + */ + + /** + * + * @brief Floating-point Clarke transform + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] pIbeta points to output two-phase orthogonal vector axis beta + */ + CMSIS_INLINE __STATIC_INLINE void arm_clarke_f32( + float32_t Ia, + float32_t Ib, + float32_t * pIalpha, + float32_t * pIbeta) + { + /* Calculate pIalpha using the equation, pIalpha = Ia */ + *pIalpha = Ia; + + /* Calculate pIbeta using the equation, pIbeta = (1/sqrt(3)) * Ia + (2/sqrt(3)) * Ib */ + *pIbeta = ((float32_t) 0.57735026919 * Ia + (float32_t) 1.15470053838 * Ib); + } + + + /** + * @brief Clarke transform for Q31 version + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] pIbeta points to output two-phase orthogonal vector axis beta + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + CMSIS_INLINE __STATIC_INLINE void arm_clarke_q31( + q31_t Ia, + q31_t Ib, + q31_t * pIalpha, + q31_t * pIbeta) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIalpha from Ia by equation pIalpha = Ia */ + *pIalpha = Ia; + + /* Intermediate product is calculated by (1/(sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) Ia * 0x24F34E8B) >> 30); + + /* Intermediate product is calculated by (2/sqrt(3) * Ib) */ + product2 = (q31_t) (((q63_t) Ib * 0x49E69D16) >> 30); + + /* pIbeta is calculated by adding the intermediate products */ + *pIbeta = __QADD(product1, product2); + } + + /** + * @} end of clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q31 vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_q7_to_q31( + q7_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_clarke Vector Inverse Clarke Transform + * Inverse Clarke transform converts the two-coordinate time invariant vector into instantaneous stator phases. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeInvFormula.gif + * where pIa and pIb are the instantaneous stator phases and + * Ialpha and Ibeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_clarke + * @{ + */ + + /** + * @brief Floating-point Inverse Clarke transform + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] pIa points to output three-phase coordinate a + * @param[out] pIb points to output three-phase coordinate b + */ + CMSIS_INLINE __STATIC_INLINE void arm_inv_clarke_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pIa, + float32_t * pIb) + { + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Calculating pIb from Ialpha and Ibeta by equation pIb = -(1/2) * Ialpha + (sqrt(3)/2) * Ibeta */ + *pIb = -0.5f * Ialpha + 0.8660254039f * Ibeta; + } + + + /** + * @brief Inverse Clarke transform for Q31 version + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] pIa points to output three-phase coordinate a + * @param[out] pIb points to output three-phase coordinate b + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the subtraction, hence there is no risk of overflow. + */ + CMSIS_INLINE __STATIC_INLINE void arm_inv_clarke_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pIa, + q31_t * pIb) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Intermediate product is calculated by (1/(2*sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (0x40000000)) >> 31); + + /* Intermediate product is calculated by (1/sqrt(3) * pIb) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (0x6ED9EBA1)) >> 31); + + /* pIb is calculated by subtracting the products */ + *pIb = __QSUB(product2, product1); + } + + /** + * @} end of inv_clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q15 vector. + * @param[in] pSrc input pointer + * @param[out] pDst output pointer + * @param[in] blockSize number of samples to process + */ + void arm_q7_to_q15( + q7_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup park Vector Park Transform + * + * Forward Park transform converts the input two-coordinate vector to flux and torque components. + * The Park transform can be used to realize the transformation of the Ialpha and the Ibeta currents + * from the stationary to the moving reference frame and control the spatial relationship between + * the stator vector current and rotor flux vector. + * If we consider the d axis aligned with the rotor flux, the diagram below shows the + * current vector and the relationship from the two reference frames: + * \image html park.gif "Stator current space vector and its component in (a,b) and in the d,q rotating reference frame" + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkFormula.gif + * where Ialpha and Ibeta are the stator vector components, + * pId and pIq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup park + * @{ + */ + + /** + * @brief Floating-point Park transform + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] pId points to output rotor reference frame d + * @param[out] pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * + * The function implements the forward Park transform. + * + */ + CMSIS_INLINE __STATIC_INLINE void arm_park_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pId, + float32_t * pIq, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pId using the equation, pId = Ialpha * cosVal + Ibeta * sinVal */ + *pId = Ialpha * cosVal + Ibeta * sinVal; + + /* Calculate pIq using the equation, pIq = - Ialpha * sinVal + Ibeta * cosVal */ + *pIq = -Ialpha * sinVal + Ibeta * cosVal; + } + + + /** + * @brief Park transform for Q31 version + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] pId points to output rotor reference frame d + * @param[out] pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition and subtraction, hence there is no risk of overflow. + */ + CMSIS_INLINE __STATIC_INLINE void arm_park_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pId, + q31_t * pIq, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Ialpha * cosVal) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * sinVal) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Ialpha * sinVal) */ + product3 = (q31_t) (((q63_t) (Ialpha) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * cosVal) */ + product4 = (q31_t) (((q63_t) (Ibeta) * (cosVal)) >> 31); + + /* Calculate pId by adding the two intermediate products 1 and 2 */ + *pId = __QADD(product1, product2); + + /* Calculate pIq by subtracting the two intermediate products 3 from 4 */ + *pIq = __QSUB(product4, product3); + } + + /** + * @} end of park group + */ + + /** + * @brief Converts the elements of the Q7 vector to floating-point vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q7_to_float( + q7_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_park Vector Inverse Park transform + * Inverse Park transform converts the input flux and torque components to two-coordinate vector. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkInvFormula.gif + * where pIalpha and pIbeta are the stator vector components, + * Id and Iq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_park + * @{ + */ + + /** + * @brief Floating-point Inverse Park transform + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + */ + CMSIS_INLINE __STATIC_INLINE void arm_inv_park_f32( + float32_t Id, + float32_t Iq, + float32_t * pIalpha, + float32_t * pIbeta, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pIalpha using the equation, pIalpha = Id * cosVal - Iq * sinVal */ + *pIalpha = Id * cosVal - Iq * sinVal; + + /* Calculate pIbeta using the equation, pIbeta = Id * sinVal + Iq * cosVal */ + *pIbeta = Id * sinVal + Iq * cosVal; + } + + + /** + * @brief Inverse Park transform for Q31 version + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + CMSIS_INLINE __STATIC_INLINE void arm_inv_park_q31( + q31_t Id, + q31_t Iq, + q31_t * pIalpha, + q31_t * pIbeta, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Id * cosVal) */ + product1 = (q31_t) (((q63_t) (Id) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Iq * sinVal) */ + product2 = (q31_t) (((q63_t) (Iq) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Id * sinVal) */ + product3 = (q31_t) (((q63_t) (Id) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Iq * cosVal) */ + product4 = (q31_t) (((q63_t) (Iq) * (cosVal)) >> 31); + + /* Calculate pIalpha by using the two intermediate products 1 and 2 */ + *pIalpha = __QSUB(product1, product2); + + /* Calculate pIbeta by using the two intermediate products 3 and 4 */ + *pIbeta = __QADD(product4, product3); + } + + /** + * @} end of Inverse park group + */ + + + /** + * @brief Converts the elements of the Q31 vector to floating-point vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q31_to_float( + q31_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup LinearInterpolate Linear Interpolation + * + * Linear interpolation is a method of curve fitting using linear polynomials. + * Linear interpolation works by effectively drawing a straight line between two neighboring samples and returning the appropriate point along that line + * + * \par + * \image html LinearInterp.gif "Linear interpolation" + * + * \par + * A Linear Interpolate function calculates an output value(y), for the input(x) + * using linear interpolation of the input values x0, x1( nearest input values) and the output values y0 and y1(nearest output values) + * + * \par Algorithm: + *
    +   *       y = y0 + (x - x0) * ((y1 - y0)/(x1-x0))
    +   *       where x0, x1 are nearest values of input x
    +   *             y0, y1 are nearest values to output y
    +   * 
    + * + * \par + * This set of functions implements Linear interpolation process + * for Q7, Q15, Q31, and floating-point data types. The functions operate on a single + * sample of data and each call to the function returns a single processed value. + * S points to an instance of the Linear Interpolate function data structure. + * x is the input sample value. The functions returns the output value. + * + * \par + * if x is outside of the table boundary, Linear interpolation returns first value of the table + * if x is below input range and returns last value of table if x is above range. + */ + + /** + * @addtogroup LinearInterpolate + * @{ + */ + + /** + * @brief Process function for the floating-point Linear Interpolation Function. + * @param[in,out] S is an instance of the floating-point Linear Interpolation structure + * @param[in] x input sample to process + * @return y processed output sample. + * + */ + CMSIS_INLINE __STATIC_INLINE float32_t arm_linear_interp_f32( + arm_linear_interp_instance_f32 * S, + float32_t x) + { + float32_t y; + float32_t x0, x1; /* Nearest input values */ + float32_t y0, y1; /* Nearest output values */ + float32_t xSpacing = S->xSpacing; /* spacing between input values */ + int32_t i; /* Index variable */ + float32_t *pYData = S->pYData; /* pointer to output table */ + + /* Calculation of index */ + i = (int32_t) ((x - S->x1) / xSpacing); + + if (i < 0) + { + /* Iniatilize output for below specified range as least output value of table */ + y = pYData[0]; + } + else if ((uint32_t)i >= S->nValues) + { + /* Iniatilize output for above specified range as last output value of table */ + y = pYData[S->nValues - 1]; + } + else + { + /* Calculation of nearest input values */ + x0 = S->x1 + i * xSpacing; + x1 = S->x1 + (i + 1) * xSpacing; + + /* Read of nearest output values */ + y0 = pYData[i]; + y1 = pYData[i + 1]; + + /* Calculation of output */ + y = y0 + (x - x0) * ((y1 - y0) / (x1 - x0)); + + } + + /* returns output value */ + return (y); + } + + + /** + * + * @brief Process function for the Q31 Linear Interpolation Function. + * @param[in] pYData pointer to Q31 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + CMSIS_INLINE __STATIC_INLINE q31_t arm_linear_interp_q31( + q31_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q31_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & (q31_t)0xFFF00000) >> 20); + + if (index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if (index < 0) + { + return (pYData[0]); + } + else + { + /* 20 bits for the fractional part */ + /* shift left by 11 to keep fract in 1.31 format */ + fract = (x & 0x000FFFFF) << 11; + + /* Read two nearest output values from the index in 1.31(q31) format */ + y0 = pYData[index]; + y1 = pYData[index + 1]; + + /* Calculation of y0 * (1-fract) and y is in 2.30 format */ + y = ((q31_t) ((q63_t) y0 * (0x7FFFFFFF - fract) >> 32)); + + /* Calculation of y0 * (1-fract) + y1 *fract and y is in 2.30 format */ + y += ((q31_t) (((q63_t) y1 * fract) >> 32)); + + /* Convert y to 1.31 format */ + return (y << 1U); + } + } + + + /** + * + * @brief Process function for the Q15 Linear Interpolation Function. + * @param[in] pYData pointer to Q15 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + CMSIS_INLINE __STATIC_INLINE q15_t arm_linear_interp_q15( + q15_t * pYData, + q31_t x, + uint32_t nValues) + { + q63_t y; /* output */ + q15_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & (int32_t)0xFFF00000) >> 20); + + if (index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if (index < 0) + { + return (pYData[0]); + } + else + { + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y0 = pYData[index]; + y1 = pYData[index + 1]; + + /* Calculation of y0 * (1-fract) and y is in 13.35 format */ + y = ((q63_t) y0 * (0xFFFFF - fract)); + + /* Calculation of (y0 * (1-fract) + y1 * fract) and y is in 13.35 format */ + y += ((q63_t) y1 * (fract)); + + /* convert y to 1.15 format */ + return (q15_t) (y >> 20); + } + } + + + /** + * + * @brief Process function for the Q7 Linear Interpolation Function. + * @param[in] pYData pointer to Q7 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + */ + CMSIS_INLINE __STATIC_INLINE q7_t arm_linear_interp_q7( + q7_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q7_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + uint32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + if (x < 0) + { + return (pYData[0]); + } + index = (x >> 20) & 0xfff; + + if (index >= (nValues - 1)) + { + return (pYData[nValues - 1]); + } + else + { + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index and are in 1.7(q7) format */ + y0 = pYData[index]; + y1 = pYData[index + 1]; + + /* Calculation of y0 * (1-fract ) and y is in 13.27(q27) format */ + y = ((y0 * (0xFFFFF - fract))); + + /* Calculation of y1 * fract + y0 * (1-fract) and y is in 13.27(q27) format */ + y += (y1 * fract); + + /* convert y to 1.7(q7) format */ + return (q7_t) (y >> 20); + } + } + + /** + * @} end of LinearInterpolate group + */ + + /** + * @brief Fast approximation to the trigonometric sine function for floating-point data. + * @param[in] x input value in radians. + * @return sin(x). + */ + float32_t arm_sin_f32( + float32_t x); + + + /** + * @brief Fast approximation to the trigonometric sine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + q31_t arm_sin_q31( + q31_t x); + + + /** + * @brief Fast approximation to the trigonometric sine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + q15_t arm_sin_q15( + q15_t x); + + + /** + * @brief Fast approximation to the trigonometric cosine function for floating-point data. + * @param[in] x input value in radians. + * @return cos(x). + */ + float32_t arm_cos_f32( + float32_t x); + + + /** + * @brief Fast approximation to the trigonometric cosine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + q31_t arm_cos_q31( + q31_t x); + + + /** + * @brief Fast approximation to the trigonometric cosine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + q15_t arm_cos_q15( + q15_t x); + + + /** + * @ingroup groupFastMath + */ + + + /** + * @defgroup SQRT Square Root + * + * Computes the square root of a number. + * There are separate functions for Q15, Q31, and floating-point data types. + * The square root function is computed using the Newton-Raphson algorithm. + * This is an iterative algorithm of the form: + *
    +   *      x1 = x0 - f(x0)/f'(x0)
    +   * 
    + * where x1 is the current estimate, + * x0 is the previous estimate, and + * f'(x0) is the derivative of f() evaluated at x0. + * For the square root function, the algorithm reduces to: + *
    +   *     x0 = in/2                         [initial guess]
    +   *     x1 = 1/2 * ( x0 + in / x0)        [each iteration]
    +   * 
    + */ + + + /** + * @addtogroup SQRT + * @{ + */ + + /** + * @brief Floating-point square root function. + * @param[in] in input value. + * @param[out] pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + CMSIS_INLINE __STATIC_INLINE arm_status arm_sqrt_f32( + float32_t in, + float32_t * pOut) + { + if (in >= 0.0f) + { + +#if (__FPU_USED == 1) && defined ( __CC_ARM ) + *pOut = __sqrtf(in); +#elif (__FPU_USED == 1) && (defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)) + *pOut = __builtin_sqrtf(in); +#elif (__FPU_USED == 1) && defined(__GNUC__) + *pOut = __builtin_sqrtf(in); +#elif (__FPU_USED == 1) && defined ( __ICCARM__ ) && (__VER__ >= 6040000) + __ASM("VSQRT.F32 %0,%1" : "=t"(*pOut) : "t"(in)); +#else + *pOut = sqrtf(in); +#endif + + return (ARM_MATH_SUCCESS); + } + else + { + *pOut = 0.0f; + return (ARM_MATH_ARGUMENT_ERROR); + } + } + + + /** + * @brief Q31 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x00000000 to 0x7FFFFFFF. + * @param[out] pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q31( + q31_t in, + q31_t * pOut); + + + /** + * @brief Q15 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x0000 to 0x7FFF. + * @param[out] pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q15( + q15_t in, + q15_t * pOut); + + /** + * @} end of SQRT group + */ + + + /** + * @brief floating-point Circular write function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularWrite_f32( + int32_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const int32_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0U; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if (wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = (uint16_t)wOffset; + } + + + + /** + * @brief floating-point Circular Read function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularRead_f32( + int32_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + int32_t * dst, + int32_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0U; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if (dst == (int32_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if (rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Q15 Circular write function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularWrite_q15( + q15_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q15_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0U; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if (wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = (uint16_t)wOffset; + } + + + /** + * @brief Q15 Circular Read function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularRead_q15( + q15_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q15_t * dst, + q15_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if (dst == (q15_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update wOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if (rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Q7 Circular write function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularWrite_q7( + q7_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q7_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0U; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if (wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = (uint16_t)wOffset; + } + + + /** + * @brief Q7 Circular Read function. + */ + CMSIS_INLINE __STATIC_INLINE void arm_circularRead_q7( + q7_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q7_t * dst, + q7_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while (i > 0U) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if (dst == (q7_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if (rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Sum of the squares of the elements of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_power_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + + /** + * @brief Sum of the squares of the elements of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_power_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + + /** + * @brief Sum of the squares of the elements of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_power_q15( + q15_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + + /** + * @brief Sum of the squares of the elements of a Q7 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_power_q7( + q7_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + + /** + * @brief Mean value of a Q7 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_mean_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult); + + + /** + * @brief Mean value of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_mean_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + + /** + * @brief Mean value of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_mean_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + + /** + * @brief Mean value of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_mean_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + + /** + * @brief Variance of the elements of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_var_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + + /** + * @brief Variance of the elements of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_var_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + + /** + * @brief Variance of the elements of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_var_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + + /** + * @brief Root Mean Square of the elements of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_rms_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + + /** + * @brief Root Mean Square of the elements of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_rms_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + + /** + * @brief Root Mean Square of the elements of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_rms_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + + /** + * @brief Standard deviation of the elements of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_std_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + + /** + * @brief Standard deviation of the elements of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_std_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + + /** + * @brief Standard deviation of the elements of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output value. + */ + void arm_std_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + + /** + * @brief Floating-point complex magnitude + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q31 complex magnitude + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q15 complex magnitude + * @param[in] pSrc points to the complex input vector + * @param[out] pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + */ + void arm_cmplx_mag_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q15 complex dot product + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] realResult real part of the result returned here + * @param[out] imagResult imaginary part of the result returned here + */ + void arm_cmplx_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t numSamples, + q31_t * realResult, + q31_t * imagResult); + + + /** + * @brief Q31 complex dot product + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] realResult real part of the result returned here + * @param[out] imagResult imaginary part of the result returned here + */ + void arm_cmplx_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t numSamples, + q63_t * realResult, + q63_t * imagResult); + + + /** + * @brief Floating-point complex dot product + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] realResult real part of the result returned here + * @param[out] imagResult imaginary part of the result returned here + */ + void arm_cmplx_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t numSamples, + float32_t * realResult, + float32_t * imagResult); + + + /** + * @brief Q15 complex-by-real multiplication + * @param[in] pSrcCmplx points to the complex input vector + * @param[in] pSrcReal points to the real input vector + * @param[out] pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + */ + void arm_cmplx_mult_real_q15( + q15_t * pSrcCmplx, + q15_t * pSrcReal, + q15_t * pCmplxDst, + uint32_t numSamples); + + + /** + * @brief Q31 complex-by-real multiplication + * @param[in] pSrcCmplx points to the complex input vector + * @param[in] pSrcReal points to the real input vector + * @param[out] pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + */ + void arm_cmplx_mult_real_q31( + q31_t * pSrcCmplx, + q31_t * pSrcReal, + q31_t * pCmplxDst, + uint32_t numSamples); + + + /** + * @brief Floating-point complex-by-real multiplication + * @param[in] pSrcCmplx points to the complex input vector + * @param[in] pSrcReal points to the real input vector + * @param[out] pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + */ + void arm_cmplx_mult_real_f32( + float32_t * pSrcCmplx, + float32_t * pSrcReal, + float32_t * pCmplxDst, + uint32_t numSamples); + + + /** + * @brief Minimum value of a Q7 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] result is output pointer + * @param[in] index is the array index of the minimum value in the input buffer. + */ + void arm_min_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * result, + uint32_t * index); + + + /** + * @brief Minimum value of a Q15 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output pointer + * @param[in] pIndex is the array index of the minimum value in the input buffer. + */ + void arm_min_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + + + /** + * @brief Minimum value of a Q31 vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output pointer + * @param[out] pIndex is the array index of the minimum value in the input buffer. + */ + void arm_min_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + + + /** + * @brief Minimum value of a floating-point vector. + * @param[in] pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] pResult is output pointer + * @param[out] pIndex is the array index of the minimum value in the input buffer. + */ + void arm_min_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + + +/** + * @brief Maximum value of a Q7 vector. + * @param[in] pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] pResult maximum value returned here + * @param[out] pIndex index of maximum value returned here + */ + void arm_max_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult, + uint32_t * pIndex); + + +/** + * @brief Maximum value of a Q15 vector. + * @param[in] pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] pResult maximum value returned here + * @param[out] pIndex index of maximum value returned here + */ + void arm_max_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + + +/** + * @brief Maximum value of a Q31 vector. + * @param[in] pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] pResult maximum value returned here + * @param[out] pIndex index of maximum value returned here + */ + void arm_max_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + + +/** + * @brief Maximum value of a floating-point vector. + * @param[in] pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] pResult maximum value returned here + * @param[out] pIndex index of maximum value returned here + */ + void arm_max_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + + + /** + * @brief Q15 complex-by-complex multiplication + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_mult_cmplx_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @brief Q31 complex-by-complex multiplication + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_mult_cmplx_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t numSamples); + + + /** + * @brief Floating-point complex-by-complex multiplication + * @param[in] pSrcA points to the first input vector + * @param[in] pSrcB points to the second input vector + * @param[out] pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + */ + void arm_cmplx_mult_cmplx_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t numSamples); + + + /** + * @brief Converts the elements of the floating-point vector to Q31 vector. + * @param[in] pSrc points to the floating-point input vector + * @param[out] pDst points to the Q31 output vector + * @param[in] blockSize length of the input vector + */ + void arm_float_to_q31( + float32_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the floating-point vector to Q15 vector. + * @param[in] pSrc points to the floating-point input vector + * @param[out] pDst points to the Q15 output vector + * @param[in] blockSize length of the input vector + */ + void arm_float_to_q15( + float32_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the floating-point vector to Q7 vector. + * @param[in] pSrc points to the floating-point input vector + * @param[out] pDst points to the Q7 output vector + * @param[in] blockSize length of the input vector + */ + void arm_float_to_q7( + float32_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q31 vector to Q15 vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q31_to_q15( + q31_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q31 vector to Q7 vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q31_to_q7( + q31_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to floating-point vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q15_to_float( + q15_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q31 vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q15_to_q31( + q15_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q7 vector. + * @param[in] pSrc is input pointer + * @param[out] pDst is output pointer + * @param[in] blockSize is the number of samples to process + */ + void arm_q15_to_q7( + q15_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup BilinearInterpolate Bilinear Interpolation + * + * Bilinear interpolation is an extension of linear interpolation applied to a two dimensional grid. + * The underlying function f(x, y) is sampled on a regular grid and the interpolation process + * determines values between the grid points. + * Bilinear interpolation is equivalent to two step linear interpolation, first in the x-dimension and then in the y-dimension. + * Bilinear interpolation is often used in image processing to rescale images. + * The CMSIS DSP library provides bilinear interpolation functions for Q7, Q15, Q31, and floating-point data types. + * + * Algorithm + * \par + * The instance structure used by the bilinear interpolation functions describes a two dimensional data table. + * For floating-point, the instance structure is defined as: + *
    +   *   typedef struct
    +   *   {
    +   *     uint16_t numRows;
    +   *     uint16_t numCols;
    +   *     float32_t *pData;
    +   * } arm_bilinear_interp_instance_f32;
    +   * 
    + * + * \par + * where numRows specifies the number of rows in the table; + * numCols specifies the number of columns in the table; + * and pData points to an array of size numRows*numCols values. + * The data table pTable is organized in row order and the supplied data values fall on integer indexes. + * That is, table element (x,y) is located at pTable[x + y*numCols] where x and y are integers. + * + * \par + * Let (x, y) specify the desired interpolation point. Then define: + *
    +   *     XF = floor(x)
    +   *     YF = floor(y)
    +   * 
    + * \par + * The interpolated output point is computed as: + *
    +   *  f(x, y) = f(XF, YF) * (1-(x-XF)) * (1-(y-YF))
    +   *           + f(XF+1, YF) * (x-XF)*(1-(y-YF))
    +   *           + f(XF, YF+1) * (1-(x-XF))*(y-YF)
    +   *           + f(XF+1, YF+1) * (x-XF)*(y-YF)
    +   * 
    + * Note that the coordinates (x, y) contain integer and fractional components. + * The integer components specify which portion of the table to use while the + * fractional components control the interpolation processor. + * + * \par + * if (x,y) are outside of the table boundary, Bilinear interpolation returns zero output. + */ + + /** + * @addtogroup BilinearInterpolate + * @{ + */ + + + /** + * + * @brief Floating-point bilinear interpolation. + * @param[in,out] S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate. + * @param[in] Y interpolation coordinate. + * @return out interpolated value. + */ + CMSIS_INLINE __STATIC_INLINE float32_t arm_bilinear_interp_f32( + const arm_bilinear_interp_instance_f32 * S, + float32_t X, + float32_t Y) + { + float32_t out; + float32_t f00, f01, f10, f11; + float32_t *pData = S->pData; + int32_t xIndex, yIndex, index; + float32_t xdiff, ydiff; + float32_t b1, b2, b3, b4; + + xIndex = (int32_t) X; + yIndex = (int32_t) Y; + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if (xIndex < 0 || xIndex > (S->numRows - 1) || yIndex < 0 || yIndex > (S->numCols - 1)) + { + return (0); + } + + /* Calculation of index for two nearest points in X-direction */ + index = (xIndex - 1) + (yIndex - 1) * S->numCols; + + + /* Read two nearest points in X-direction */ + f00 = pData[index]; + f01 = pData[index + 1]; + + /* Calculation of index for two nearest points in Y-direction */ + index = (xIndex - 1) + (yIndex) * S->numCols; + + + /* Read two nearest points in Y-direction */ + f10 = pData[index]; + f11 = pData[index + 1]; + + /* Calculation of intermediate values */ + b1 = f00; + b2 = f01 - f00; + b3 = f10 - f00; + b4 = f00 - f01 - f10 + f11; + + /* Calculation of fractional part in X */ + xdiff = X - xIndex; + + /* Calculation of fractional part in Y */ + ydiff = Y - yIndex; + + /* Calculation of bi-linear interpolated output */ + out = b1 + b2 * xdiff + b3 * ydiff + b4 * xdiff * ydiff; + + /* return to application */ + return (out); + } + + + /** + * + * @brief Q31 bilinear interpolation. + * @param[in,out] S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + CMSIS_INLINE __STATIC_INLINE q31_t arm_bilinear_interp_q31( + arm_bilinear_interp_instance_q31 * S, + q31_t X, + q31_t Y) + { + q31_t out; /* Temporary output */ + q31_t acc = 0; /* output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q31_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q31_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & (q31_t)0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & (q31_t)0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* shift left xfract by 11 to keep 1.31 format */ + xfract = (X & 0x000FFFFF) << 11U; + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + (int32_t)nCols * (cI) ]; + x2 = pYData[(rI) + (int32_t)nCols * (cI) + 1]; + + /* 20 bits for the fractional part */ + /* shift left yfract by 11 to keep 1.31 format */ + yfract = (Y & 0x000FFFFF) << 11U; + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + (int32_t)nCols * (cI + 1) ]; + y2 = pYData[(rI) + (int32_t)nCols * (cI + 1) + 1]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 3.29(q29) format */ + out = ((q31_t) (((q63_t) x1 * (0x7FFFFFFF - xfract)) >> 32)); + acc = ((q31_t) (((q63_t) out * (0x7FFFFFFF - yfract)) >> 32)); + + /* x2 * (xfract) * (1-yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) x2 * (0x7FFFFFFF - yfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (xfract) >> 32)); + + /* y1 * (1 - xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y1 * (0x7FFFFFFF - xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* y2 * (xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y2 * (xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* Convert acc to 1.31(q31) format */ + return ((q31_t)(acc << 2)); + } + + + /** + * @brief Q15 bilinear interpolation. + * @param[in,out] S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + CMSIS_INLINE __STATIC_INLINE q15_t arm_bilinear_interp_q15( + arm_bilinear_interp_instance_q15 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q15_t x1, x2, y1, y2; /* Nearest output values */ + q31_t xfract, yfract; /* X, Y fractional parts */ + int32_t rI, cI; /* Row and column indices */ + q15_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & (q31_t)0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & (q31_t)0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) ]; + x2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) + 1]; + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) ]; + y2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) + 1]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 13.51 format */ + + /* x1 is in 1.15(q15), xfract in 12.20 format and out is in 13.35 format */ + /* convert 13.35 to 13.31 by right shifting and out is in 1.31 */ + out = (q31_t) (((q63_t) x1 * (0xFFFFF - xfract)) >> 4U); + acc = ((q63_t) out * (0xFFFFF - yfract)); + + /* x2 * (xfract) * (1-yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) x2 * (0xFFFFF - yfract)) >> 4U); + acc += ((q63_t) out * (xfract)); + + /* y1 * (1 - xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y1 * (0xFFFFF - xfract)) >> 4U); + acc += ((q63_t) out * (yfract)); + + /* y2 * (xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y2 * (xfract)) >> 4U); + acc += ((q63_t) out * (yfract)); + + /* acc is in 13.51 format and down shift acc by 36 times */ + /* Convert out to 1.15 format */ + return ((q15_t)(acc >> 36)); + } + + + /** + * @brief Q7 bilinear interpolation. + * @param[in,out] S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + CMSIS_INLINE __STATIC_INLINE q7_t arm_bilinear_interp_q7( + arm_bilinear_interp_instance_q7 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q7_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q7_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & (q31_t)0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & (q31_t)0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & (q31_t)0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) ]; + x2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) + 1]; + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & (q31_t)0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) ]; + y2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) + 1]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 16.47 format */ + out = ((x1 * (0xFFFFF - xfract))); + acc = (((q63_t) out * (0xFFFFF - yfract))); + + /* x2 * (xfract) * (1-yfract) in 2.22 and adding to acc */ + out = ((x2 * (0xFFFFF - yfract))); + acc += (((q63_t) out * (xfract))); + + /* y1 * (1 - xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y1 * (0xFFFFF - xfract))); + acc += (((q63_t) out * (yfract))); + + /* y2 * (xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y2 * (yfract))); + acc += (((q63_t) out * (xfract))); + + /* acc in 16.47 format and down shift by 40 to convert to 1.7 format */ + return ((q7_t)(acc >> 40)); + } + + /** + * @} end of BilinearInterpolate group + */ + + +/* SMMLAR */ +#define multAcc_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) + ((q63_t) x * y) + 0x80000000LL ) >> 32) + +/* SMMLSR */ +#define multSub_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) - ((q63_t) x * y) + 0x80000000LL ) >> 32) + +/* SMMULR */ +#define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y + 0x80000000LL ) >> 32) + +/* SMMLA */ +#define multAcc_32x32_keep32(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + +/* SMMLS */ +#define multSub_32x32_keep32(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +/* SMMUL */ +#define mult_32x32_keep32(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + + +#if defined ( __CC_ARM ) + /* Enter low optimization region - place directly above function definition */ + #if defined( ARM_MATH_CM4 ) || defined( ARM_MATH_CM7) + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("push") \ + _Pragma ("O1") + #else + #define LOW_OPTIMIZATION_ENTER + #endif + + /* Exit low optimization region - place directly after end of function definition */ + #if defined ( ARM_MATH_CM4 ) || defined ( ARM_MATH_CM7 ) + #define LOW_OPTIMIZATION_EXIT \ + _Pragma ("pop") + #else + #define LOW_OPTIMIZATION_EXIT + #endif + + /* Enter low optimization region - place directly above function definition */ + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + + /* Exit low optimization region - place directly after end of function definition */ + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined (__ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 ) + #define LOW_OPTIMIZATION_ENTER + #define LOW_OPTIMIZATION_EXIT + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined ( __GNUC__ ) + #define LOW_OPTIMIZATION_ENTER \ + __attribute__(( optimize("-O1") )) + #define LOW_OPTIMIZATION_EXIT + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined ( __ICCARM__ ) + /* Enter low optimization region - place directly above function definition */ + #if defined ( ARM_MATH_CM4 ) || defined ( ARM_MATH_CM7 ) + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + #else + #define LOW_OPTIMIZATION_ENTER + #endif + + /* Exit low optimization region - place directly after end of function definition */ + #define LOW_OPTIMIZATION_EXIT + + /* Enter low optimization region - place directly above function definition */ + #if defined ( ARM_MATH_CM4 ) || defined ( ARM_MATH_CM7 ) + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + #else + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #endif + + /* Exit low optimization region - place directly after end of function definition */ + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined ( __TI_ARM__ ) + #define LOW_OPTIMIZATION_ENTER + #define LOW_OPTIMIZATION_EXIT + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined ( __CSMC__ ) + #define LOW_OPTIMIZATION_ENTER + #define LOW_OPTIMIZATION_EXIT + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined ( __TASKING__ ) + #define LOW_OPTIMIZATION_ENTER + #define LOW_OPTIMIZATION_EXIT + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#endif + + +#ifdef __cplusplus +} +#endif + +/* Compiler specific diagnostic adjustment */ +#if defined ( __CC_ARM ) + +#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 ) + +#elif defined ( __GNUC__ ) +#pragma GCC diagnostic pop + +#elif defined ( __ICCARM__ ) + +#elif defined ( __TI_ARM__ ) + +#elif defined ( __CSMC__ ) + +#elif defined ( __TASKING__ ) + +#else + #error Unknown compiler +#endif + +#endif /* _ARM_MATH_H */ + +/** + * + * End of file. + */ diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h new file mode 100644 index 0000000..94212eb --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h @@ -0,0 +1,266 @@ +/**************************************************************************//** + * @file cmsis_compiler.h + * @brief CMSIS compiler generic header file + * @version V5.0.4 + * @date 10. January 2018 + ******************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef __CMSIS_COMPILER_H +#define __CMSIS_COMPILER_H + +#include + +/* + * Arm Compiler 4/5 + */ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + + +/* + * Arm Compiler 6 (armclang) + */ +#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armclang.h" + + +/* + * GNU Compiler + */ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + + +/* + * IAR Compiler + */ +#elif defined ( __ICCARM__ ) + #include + + +/* + * TI Arm Compiler + */ +#elif defined ( __TI_ARM__ ) + #include + + #ifndef __ASM + #define __ASM __asm + #endif + #ifndef __INLINE + #define __INLINE inline + #endif + #ifndef __STATIC_INLINE + #define __STATIC_INLINE static inline + #endif + #ifndef __STATIC_FORCEINLINE + #define __STATIC_FORCEINLINE __STATIC_INLINE + #endif + #ifndef __NO_RETURN + #define __NO_RETURN __attribute__((noreturn)) + #endif + #ifndef __USED + #define __USED __attribute__((used)) + #endif + #ifndef __WEAK + #define __WEAK __attribute__((weak)) + #endif + #ifndef __PACKED + #define __PACKED __attribute__((packed)) + #endif + #ifndef __PACKED_STRUCT + #define __PACKED_STRUCT struct __attribute__((packed)) + #endif + #ifndef __PACKED_UNION + #define __PACKED_UNION union __attribute__((packed)) + #endif + #ifndef __UNALIGNED_UINT32 /* deprecated */ + struct __attribute__((packed)) T_UINT32 { uint32_t v; }; + #define __UNALIGNED_UINT32(x) (((struct T_UINT32 *)(x))->v) + #endif + #ifndef __UNALIGNED_UINT16_WRITE + __PACKED_STRUCT T_UINT16_WRITE { uint16_t v; }; + #define __UNALIGNED_UINT16_WRITE(addr, val) (void)((((struct T_UINT16_WRITE *)(void*)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT16_READ + __PACKED_STRUCT T_UINT16_READ { uint16_t v; }; + #define __UNALIGNED_UINT16_READ(addr) (((const struct T_UINT16_READ *)(const void *)(addr))->v) + #endif + #ifndef __UNALIGNED_UINT32_WRITE + __PACKED_STRUCT T_UINT32_WRITE { uint32_t v; }; + #define __UNALIGNED_UINT32_WRITE(addr, val) (void)((((struct T_UINT32_WRITE *)(void *)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT32_READ + __PACKED_STRUCT T_UINT32_READ { uint32_t v; }; + #define __UNALIGNED_UINT32_READ(addr) (((const struct T_UINT32_READ *)(const void *)(addr))->v) + #endif + #ifndef __ALIGNED + #define __ALIGNED(x) __attribute__((aligned(x))) + #endif + #ifndef __RESTRICT + #warning No compiler specific solution for __RESTRICT. __RESTRICT is ignored. + #define __RESTRICT + #endif + + +/* + * TASKING Compiler + */ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + + #ifndef __ASM + #define __ASM __asm + #endif + #ifndef __INLINE + #define __INLINE inline + #endif + #ifndef __STATIC_INLINE + #define __STATIC_INLINE static inline + #endif + #ifndef __STATIC_FORCEINLINE + #define __STATIC_FORCEINLINE __STATIC_INLINE + #endif + #ifndef __NO_RETURN + #define __NO_RETURN __attribute__((noreturn)) + #endif + #ifndef __USED + #define __USED __attribute__((used)) + #endif + #ifndef __WEAK + #define __WEAK __attribute__((weak)) + #endif + #ifndef __PACKED + #define __PACKED __packed__ + #endif + #ifndef __PACKED_STRUCT + #define __PACKED_STRUCT struct __packed__ + #endif + #ifndef __PACKED_UNION + #define __PACKED_UNION union __packed__ + #endif + #ifndef __UNALIGNED_UINT32 /* deprecated */ + struct __packed__ T_UINT32 { uint32_t v; }; + #define __UNALIGNED_UINT32(x) (((struct T_UINT32 *)(x))->v) + #endif + #ifndef __UNALIGNED_UINT16_WRITE + __PACKED_STRUCT T_UINT16_WRITE { uint16_t v; }; + #define __UNALIGNED_UINT16_WRITE(addr, val) (void)((((struct T_UINT16_WRITE *)(void *)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT16_READ + __PACKED_STRUCT T_UINT16_READ { uint16_t v; }; + #define __UNALIGNED_UINT16_READ(addr) (((const struct T_UINT16_READ *)(const void *)(addr))->v) + #endif + #ifndef __UNALIGNED_UINT32_WRITE + __PACKED_STRUCT T_UINT32_WRITE { uint32_t v; }; + #define __UNALIGNED_UINT32_WRITE(addr, val) (void)((((struct T_UINT32_WRITE *)(void *)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT32_READ + __PACKED_STRUCT T_UINT32_READ { uint32_t v; }; + #define __UNALIGNED_UINT32_READ(addr) (((const struct T_UINT32_READ *)(const void *)(addr))->v) + #endif + #ifndef __ALIGNED + #define __ALIGNED(x) __align(x) + #endif + #ifndef __RESTRICT + #warning No compiler specific solution for __RESTRICT. __RESTRICT is ignored. + #define __RESTRICT + #endif + + +/* + * COSMIC Compiler + */ +#elif defined ( __CSMC__ ) + #include + + #ifndef __ASM + #define __ASM _asm + #endif + #ifndef __INLINE + #define __INLINE inline + #endif + #ifndef __STATIC_INLINE + #define __STATIC_INLINE static inline + #endif + #ifndef __STATIC_FORCEINLINE + #define __STATIC_FORCEINLINE __STATIC_INLINE + #endif + #ifndef __NO_RETURN + // NO RETURN is automatically detected hence no warning here + #define __NO_RETURN + #endif + #ifndef __USED + #warning No compiler specific solution for __USED. __USED is ignored. + #define __USED + #endif + #ifndef __WEAK + #define __WEAK __weak + #endif + #ifndef __PACKED + #define __PACKED @packed + #endif + #ifndef __PACKED_STRUCT + #define __PACKED_STRUCT @packed struct + #endif + #ifndef __PACKED_UNION + #define __PACKED_UNION @packed union + #endif + #ifndef __UNALIGNED_UINT32 /* deprecated */ + @packed struct T_UINT32 { uint32_t v; }; + #define __UNALIGNED_UINT32(x) (((struct T_UINT32 *)(x))->v) + #endif + #ifndef __UNALIGNED_UINT16_WRITE + __PACKED_STRUCT T_UINT16_WRITE { uint16_t v; }; + #define __UNALIGNED_UINT16_WRITE(addr, val) (void)((((struct T_UINT16_WRITE *)(void *)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT16_READ + __PACKED_STRUCT T_UINT16_READ { uint16_t v; }; + #define __UNALIGNED_UINT16_READ(addr) (((const struct T_UINT16_READ *)(const void *)(addr))->v) + #endif + #ifndef __UNALIGNED_UINT32_WRITE + __PACKED_STRUCT T_UINT32_WRITE { uint32_t v; }; + #define __UNALIGNED_UINT32_WRITE(addr, val) (void)((((struct T_UINT32_WRITE *)(void *)(addr))->v) = (val)) + #endif + #ifndef __UNALIGNED_UINT32_READ + __PACKED_STRUCT T_UINT32_READ { uint32_t v; }; + #define __UNALIGNED_UINT32_READ(addr) (((const struct T_UINT32_READ *)(const void *)(addr))->v) + #endif + #ifndef __ALIGNED + #warning No compiler specific solution for __ALIGNED. __ALIGNED is ignored. + #define __ALIGNED(x) + #endif + #ifndef __RESTRICT + #warning No compiler specific solution for __RESTRICT. __RESTRICT is ignored. + #define __RESTRICT + #endif + + +#else + #error Unknown compiler. +#endif + + +#endif /* __CMSIS_COMPILER_H */ + diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h new file mode 100644 index 0000000..2d9db15 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h @@ -0,0 +1,2085 @@ +/**************************************************************************//** + * @file cmsis_gcc.h + * @brief CMSIS compiler GCC header file + * @version V5.0.4 + * @date 09. April 2018 + ******************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef __CMSIS_GCC_H +#define __CMSIS_GCC_H + +/* ignore some GCC warnings */ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wsign-conversion" +#pragma GCC diagnostic ignored "-Wconversion" +#pragma GCC diagnostic ignored "-Wunused-parameter" + +/* Fallback for __has_builtin */ +#ifndef __has_builtin + #define __has_builtin(x) (0) +#endif + +/* CMSIS compiler specific defines */ +#ifndef __ASM + #define __ASM __asm +#endif +#ifndef __INLINE + #define __INLINE inline +#endif +#ifndef __STATIC_INLINE + #define __STATIC_INLINE static inline +#endif +#ifndef __STATIC_FORCEINLINE + #define __STATIC_FORCEINLINE __attribute__((always_inline)) static inline +#endif +#ifndef __NO_RETURN + #define __NO_RETURN __attribute__((__noreturn__)) +#endif +#ifndef __USED + #define __USED __attribute__((used)) +#endif +#ifndef __WEAK + #define __WEAK __attribute__((weak)) +#endif +#ifndef __PACKED + #define __PACKED __attribute__((packed, aligned(1))) +#endif +#ifndef __PACKED_STRUCT + #define __PACKED_STRUCT struct __attribute__((packed, aligned(1))) +#endif +#ifndef __PACKED_UNION + #define __PACKED_UNION union __attribute__((packed, aligned(1))) +#endif +#ifndef __UNALIGNED_UINT32 /* deprecated */ + #pragma GCC diagnostic push + #pragma GCC diagnostic ignored "-Wpacked" + #pragma GCC diagnostic ignored "-Wattributes" + struct __attribute__((packed)) T_UINT32 { uint32_t v; }; + #pragma GCC diagnostic pop + #define __UNALIGNED_UINT32(x) (((struct T_UINT32 *)(x))->v) +#endif +#ifndef __UNALIGNED_UINT16_WRITE + #pragma GCC diagnostic push + #pragma GCC diagnostic ignored "-Wpacked" + #pragma GCC diagnostic ignored "-Wattributes" + __PACKED_STRUCT T_UINT16_WRITE { uint16_t v; }; + #pragma GCC diagnostic pop + #define __UNALIGNED_UINT16_WRITE(addr, val) (void)((((struct T_UINT16_WRITE *)(void *)(addr))->v) = (val)) +#endif +#ifndef __UNALIGNED_UINT16_READ + #pragma GCC diagnostic push + #pragma GCC diagnostic ignored "-Wpacked" + #pragma GCC diagnostic ignored "-Wattributes" + __PACKED_STRUCT T_UINT16_READ { uint16_t v; }; + #pragma GCC diagnostic pop + #define __UNALIGNED_UINT16_READ(addr) (((const struct T_UINT16_READ *)(const void *)(addr))->v) +#endif +#ifndef __UNALIGNED_UINT32_WRITE + #pragma GCC diagnostic push + #pragma GCC diagnostic ignored "-Wpacked" + #pragma GCC diagnostic ignored "-Wattributes" + __PACKED_STRUCT T_UINT32_WRITE { uint32_t v; }; + #pragma GCC diagnostic pop + #define __UNALIGNED_UINT32_WRITE(addr, val) (void)((((struct T_UINT32_WRITE *)(void *)(addr))->v) = (val)) +#endif +#ifndef __UNALIGNED_UINT32_READ + #pragma GCC diagnostic push + #pragma GCC diagnostic ignored "-Wpacked" + #pragma GCC diagnostic ignored "-Wattributes" + __PACKED_STRUCT T_UINT32_READ { uint32_t v; }; + #pragma GCC diagnostic pop + #define __UNALIGNED_UINT32_READ(addr) (((const struct T_UINT32_READ *)(const void *)(addr))->v) +#endif +#ifndef __ALIGNED + #define __ALIGNED(x) __attribute__((aligned(x))) +#endif +#ifndef __RESTRICT + #define __RESTRICT __restrict +#endif + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +/** + \brief Enable IRQ Interrupts + \details Enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__STATIC_FORCEINLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** + \brief Disable IRQ Interrupts + \details Disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__STATIC_FORCEINLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** + \brief Get Control Register + \details Returns the content of the Control Register. + \return Control Register value + */ +__STATIC_FORCEINLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Control Register (non-secure) + \details Returns the content of the non-secure Control Register when in secure mode. + \return non-secure Control Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_CONTROL_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control_ns" : "=r" (result) ); + return(result); +} +#endif + + +/** + \brief Set Control Register + \details Writes the given value to the Control Register. + \param [in] control Control Register value to set + */ +__STATIC_FORCEINLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Control Register (non-secure) + \details Writes the given value to the non-secure Control Register when in secure state. + \param [in] control Control Register value to set + */ +__STATIC_FORCEINLINE void __TZ_set_CONTROL_NS(uint32_t control) +{ + __ASM volatile ("MSR control_ns, %0" : : "r" (control) : "memory"); +} +#endif + + +/** + \brief Get IPSR Register + \details Returns the content of the IPSR Register. + \return IPSR Register value + */ +__STATIC_FORCEINLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get APSR Register + \details Returns the content of the APSR Register. + \return APSR Register value + */ +__STATIC_FORCEINLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get xPSR Register + \details Returns the content of the xPSR Register. + \return xPSR Register value + */ +__STATIC_FORCEINLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get Process Stack Pointer + \details Returns the current value of the Process Stack Pointer (PSP). + \return PSP Register value + */ +__STATIC_FORCEINLINE uint32_t __get_PSP(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, psp" : "=r" (result) ); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Process Stack Pointer (non-secure) + \details Returns the current value of the non-secure Process Stack Pointer (PSP) when in secure state. + \return PSP Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_PSP_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, psp_ns" : "=r" (result) ); + return(result); +} +#endif + + +/** + \brief Set Process Stack Pointer + \details Assigns the given value to the Process Stack Pointer (PSP). + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0" : : "r" (topOfProcStack) : ); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Process Stack Pointer (non-secure) + \details Assigns the given value to the non-secure Process Stack Pointer (PSP) when in secure state. + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __TZ_set_PSP_NS(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp_ns, %0" : : "r" (topOfProcStack) : ); +} +#endif + + +/** + \brief Get Main Stack Pointer + \details Returns the current value of the Main Stack Pointer (MSP). + \return MSP Register value + */ +__STATIC_FORCEINLINE uint32_t __get_MSP(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, msp" : "=r" (result) ); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Main Stack Pointer (non-secure) + \details Returns the current value of the non-secure Main Stack Pointer (MSP) when in secure state. + \return MSP Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_MSP_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, msp_ns" : "=r" (result) ); + return(result); +} +#endif + + +/** + \brief Set Main Stack Pointer + \details Assigns the given value to the Main Stack Pointer (MSP). + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0" : : "r" (topOfMainStack) : ); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Main Stack Pointer (non-secure) + \details Assigns the given value to the non-secure Main Stack Pointer (MSP) when in secure state. + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __TZ_set_MSP_NS(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp_ns, %0" : : "r" (topOfMainStack) : ); +} +#endif + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Stack Pointer (non-secure) + \details Returns the current value of the non-secure Stack Pointer (SP) when in secure state. + \return SP Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_SP_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, sp_ns" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Stack Pointer (non-secure) + \details Assigns the given value to the non-secure Stack Pointer (SP) when in secure state. + \param [in] topOfStack Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __TZ_set_SP_NS(uint32_t topOfStack) +{ + __ASM volatile ("MSR sp_ns, %0" : : "r" (topOfStack) : ); +} +#endif + + +/** + \brief Get Priority Mask + \details Returns the current state of the priority mask bit from the Priority Mask Register. + \return Priority Mask value + */ +__STATIC_FORCEINLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) :: "memory"); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Priority Mask (non-secure) + \details Returns the current state of the non-secure priority mask bit from the Priority Mask Register when in secure state. + \return Priority Mask value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_PRIMASK_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask_ns" : "=r" (result) :: "memory"); + return(result); +} +#endif + + +/** + \brief Set Priority Mask + \details Assigns the given value to the Priority Mask Register. + \param [in] priMask Priority Mask + */ +__STATIC_FORCEINLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Priority Mask (non-secure) + \details Assigns the given value to the non-secure Priority Mask Register when in secure state. + \param [in] priMask Priority Mask + */ +__STATIC_FORCEINLINE void __TZ_set_PRIMASK_NS(uint32_t priMask) +{ + __ASM volatile ("MSR primask_ns, %0" : : "r" (priMask) : "memory"); +} +#endif + + +#if ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) +/** + \brief Enable FIQ + \details Enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__STATIC_FORCEINLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** + \brief Disable FIQ + \details Disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__STATIC_FORCEINLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** + \brief Get Base Priority + \details Returns the current value of the Base Priority register. + \return Base Priority register value + */ +__STATIC_FORCEINLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri" : "=r" (result) ); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Base Priority (non-secure) + \details Returns the current value of the non-secure Base Priority register when in secure state. + \return Base Priority register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_BASEPRI_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_ns" : "=r" (result) ); + return(result); +} +#endif + + +/** + \brief Set Base Priority + \details Assigns the given value to the Base Priority register. + \param [in] basePri Base Priority value to set + */ +__STATIC_FORCEINLINE void __set_BASEPRI(uint32_t basePri) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (basePri) : "memory"); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Base Priority (non-secure) + \details Assigns the given value to the non-secure Base Priority register when in secure state. + \param [in] basePri Base Priority value to set + */ +__STATIC_FORCEINLINE void __TZ_set_BASEPRI_NS(uint32_t basePri) +{ + __ASM volatile ("MSR basepri_ns, %0" : : "r" (basePri) : "memory"); +} +#endif + + +/** + \brief Set Base Priority with condition + \details Assigns the given value to the Base Priority register only if BASEPRI masking is disabled, + or the new value increases the BASEPRI priority level. + \param [in] basePri Base Priority value to set + */ +__STATIC_FORCEINLINE void __set_BASEPRI_MAX(uint32_t basePri) +{ + __ASM volatile ("MSR basepri_max, %0" : : "r" (basePri) : "memory"); +} + + +/** + \brief Get Fault Mask + \details Returns the current value of the Fault Mask register. + \return Fault Mask register value + */ +__STATIC_FORCEINLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Fault Mask (non-secure) + \details Returns the current value of the non-secure Fault Mask register when in secure state. + \return Fault Mask register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_FAULTMASK_NS(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask_ns" : "=r" (result) ); + return(result); +} +#endif + + +/** + \brief Set Fault Mask + \details Assigns the given value to the Fault Mask register. + \param [in] faultMask Fault Mask value to set + */ +__STATIC_FORCEINLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Fault Mask (non-secure) + \details Assigns the given value to the non-secure Fault Mask register when in secure state. + \param [in] faultMask Fault Mask value to set + */ +__STATIC_FORCEINLINE void __TZ_set_FAULTMASK_NS(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask_ns, %0" : : "r" (faultMask) : "memory"); +} +#endif + +#endif /* ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) */ + + +#if ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) + +/** + \brief Get Process Stack Pointer Limit + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence zero is returned always in non-secure + mode. + + \details Returns the current value of the Process Stack Pointer Limit (PSPLIM). + \return PSPLIM Register value + */ +__STATIC_FORCEINLINE uint32_t __get_PSPLIM(void) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \ + (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3))) + // without main extensions, the non-secure PSPLIM is RAZ/WI + return 0U; +#else + uint32_t result; + __ASM volatile ("MRS %0, psplim" : "=r" (result) ); + return result; +#endif +} + +#if (defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Process Stack Pointer Limit (non-secure) + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence zero is returned always. + + \details Returns the current value of the non-secure Process Stack Pointer Limit (PSPLIM) when in secure state. + \return PSPLIM Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_PSPLIM_NS(void) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))) + // without main extensions, the non-secure PSPLIM is RAZ/WI + return 0U; +#else + uint32_t result; + __ASM volatile ("MRS %0, psplim_ns" : "=r" (result) ); + return result; +#endif +} +#endif + + +/** + \brief Set Process Stack Pointer Limit + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence the write is silently ignored in non-secure + mode. + + \details Assigns the given value to the Process Stack Pointer Limit (PSPLIM). + \param [in] ProcStackPtrLimit Process Stack Pointer Limit value to set + */ +__STATIC_FORCEINLINE void __set_PSPLIM(uint32_t ProcStackPtrLimit) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \ + (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3))) + // without main extensions, the non-secure PSPLIM is RAZ/WI + (void)ProcStackPtrLimit; +#else + __ASM volatile ("MSR psplim, %0" : : "r" (ProcStackPtrLimit)); +#endif +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Process Stack Pointer (non-secure) + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence the write is silently ignored. + + \details Assigns the given value to the non-secure Process Stack Pointer Limit (PSPLIM) when in secure state. + \param [in] ProcStackPtrLimit Process Stack Pointer Limit value to set + */ +__STATIC_FORCEINLINE void __TZ_set_PSPLIM_NS(uint32_t ProcStackPtrLimit) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))) + // without main extensions, the non-secure PSPLIM is RAZ/WI + (void)ProcStackPtrLimit; +#else + __ASM volatile ("MSR psplim_ns, %0\n" : : "r" (ProcStackPtrLimit)); +#endif +} +#endif + + +/** + \brief Get Main Stack Pointer Limit + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence zero is returned always in non-secure + mode. + + \details Returns the current value of the Main Stack Pointer Limit (MSPLIM). + \return MSPLIM Register value + */ +__STATIC_FORCEINLINE uint32_t __get_MSPLIM(void) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \ + (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3))) + // without main extensions, the non-secure MSPLIM is RAZ/WI + return 0U; +#else + uint32_t result; + __ASM volatile ("MRS %0, msplim" : "=r" (result) ); + return result; +#endif +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Get Main Stack Pointer Limit (non-secure) + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence zero is returned always. + + \details Returns the current value of the non-secure Main Stack Pointer Limit(MSPLIM) when in secure state. + \return MSPLIM Register value + */ +__STATIC_FORCEINLINE uint32_t __TZ_get_MSPLIM_NS(void) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))) + // without main extensions, the non-secure MSPLIM is RAZ/WI + return 0U; +#else + uint32_t result; + __ASM volatile ("MRS %0, msplim_ns" : "=r" (result) ); + return result; +#endif +} +#endif + + +/** + \brief Set Main Stack Pointer Limit + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence the write is silently ignored in non-secure + mode. + + \details Assigns the given value to the Main Stack Pointer Limit (MSPLIM). + \param [in] MainStackPtrLimit Main Stack Pointer Limit value to set + */ +__STATIC_FORCEINLINE void __set_MSPLIM(uint32_t MainStackPtrLimit) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \ + (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3))) + // without main extensions, the non-secure MSPLIM is RAZ/WI + (void)MainStackPtrLimit; +#else + __ASM volatile ("MSR msplim, %0" : : "r" (MainStackPtrLimit)); +#endif +} + + +#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3)) +/** + \brief Set Main Stack Pointer Limit (non-secure) + Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure + Stack Pointer Limit register hence the write is silently ignored. + + \details Assigns the given value to the non-secure Main Stack Pointer Limit (MSPLIM) when in secure state. + \param [in] MainStackPtrLimit Main Stack Pointer value to set + */ +__STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit) +{ +#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))) + // without main extensions, the non-secure MSPLIM is RAZ/WI + (void)MainStackPtrLimit; +#else + __ASM volatile ("MSR msplim_ns, %0" : : "r" (MainStackPtrLimit)); +#endif +} +#endif + +#endif /* ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) */ + + +/** + \brief Get FPSCR + \details Returns the current value of the Floating Point Status/Control register. + \return Floating Point Status/Control register value + */ +__STATIC_FORCEINLINE uint32_t __get_FPSCR(void) +{ +#if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \ + (defined (__FPU_USED ) && (__FPU_USED == 1U)) ) +#if __has_builtin(__builtin_arm_get_fpscr) +// Re-enable using built-in when GCC has been fixed +// || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2) + /* see https://gcc.gnu.org/ml/gcc-patches/2017-04/msg00443.html */ + return __builtin_arm_get_fpscr(); +#else + uint32_t result; + + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + return(result); +#endif +#else + return(0U); +#endif +} + + +/** + \brief Set FPSCR + \details Assigns the given value to the Floating Point Status/Control register. + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_FORCEINLINE void __set_FPSCR(uint32_t fpscr) +{ +#if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \ + (defined (__FPU_USED ) && (__FPU_USED == 1U)) ) +#if __has_builtin(__builtin_arm_set_fpscr) +// Re-enable using built-in when GCC has been fixed +// || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2) + /* see https://gcc.gnu.org/ml/gcc-patches/2017-04/msg00443.html */ + __builtin_arm_set_fpscr(fpscr); +#else + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc", "memory"); +#endif +#else + (void)fpscr; +#endif +} + + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constraint "l" + * Otherwise, use general registers, specified by constraint "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_RW_REG(r) "+l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_RW_REG(r) "+r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** + \brief No Operation + \details No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP() __ASM volatile ("nop") + +/** + \brief Wait For Interrupt + \details Wait For Interrupt is a hint instruction that suspends execution until one of a number of events occurs. + */ +#define __WFI() __ASM volatile ("wfi") + + +/** + \brief Wait For Event + \details Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE() __ASM volatile ("wfe") + + +/** + \brief Send Event + \details Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV() __ASM volatile ("sev") + + +/** + \brief Instruction Synchronization Barrier + \details Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or memory, + after the instruction has been completed. + */ +__STATIC_FORCEINLINE void __ISB(void) +{ + __ASM volatile ("isb 0xF":::"memory"); +} + + +/** + \brief Data Synchronization Barrier + \details Acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__STATIC_FORCEINLINE void __DSB(void) +{ + __ASM volatile ("dsb 0xF":::"memory"); +} + + +/** + \brief Data Memory Barrier + \details Ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__STATIC_FORCEINLINE void __DMB(void) +{ + __ASM volatile ("dmb 0xF":::"memory"); +} + + +/** + \brief Reverse byte order (32 bit) + \details Reverses the byte order in unsigned integer value. For example, 0x12345678 becomes 0x78563412. + \param [in] value Value to reverse + \return Reversed value + */ +__STATIC_FORCEINLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return result; +#endif +} + + +/** + \brief Reverse byte order (16 bit) + \details Reverses the byte order within each halfword of a word. For example, 0x12345678 becomes 0x34127856. + \param [in] value Value to reverse + \return Reversed value + */ +__STATIC_FORCEINLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return result; +} + + +/** + \brief Reverse byte order (16 bit) + \details Reverses the byte order in a 16-bit value and returns the signed 16-bit result. For example, 0x0080 becomes 0x8000. + \param [in] value Value to reverse + \return Reversed value + */ +__STATIC_FORCEINLINE int16_t __REVSH(int16_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (int16_t)__builtin_bswap16(value); +#else + int16_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return result; +#endif +} + + +/** + \brief Rotate Right in unsigned value (32 bit) + \details Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + \param [in] op1 Value to rotate + \param [in] op2 Number of Bits to rotate + \return Rotated value + */ +__STATIC_FORCEINLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + op2 %= 32U; + if (op2 == 0U) + { + return op1; + } + return (op1 >> op2) | (op1 << (32U - op2)); +} + + +/** + \brief Breakpoint + \details Causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +/** + \brief Reverse bit order of value + \details Reverses the bit order of the given value. + \param [in] value Value to reverse + \return Reversed value + */ +__STATIC_FORCEINLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + +#if ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); +#else + uint32_t s = (4U /*sizeof(v)*/ * 8U) - 1U; /* extra shift needed at end */ + + result = value; /* r will be reversed bits of v; first get LSB of v */ + for (value >>= 1U; value != 0U; value >>= 1U) + { + result <<= 1U; + result |= value & 1U; + s--; + } + result <<= s; /* shift when v's highest bits are zero */ +#endif + return result; +} + + +/** + \brief Count leading zeros + \details Counts the number of leading zeros of a data value. + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ (uint8_t)__builtin_clz + + +#if ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) +/** + \brief LDR Exclusive (8 bit) + \details Executes a exclusive LDR instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__STATIC_FORCEINLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDR Exclusive (16 bit) + \details Executes a exclusive LDR instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__STATIC_FORCEINLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDR Exclusive (32 bit) + \details Executes a exclusive LDR instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__STATIC_FORCEINLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** + \brief STR Exclusive (8 bit) + \details Executes a exclusive STR instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief STR Exclusive (16 bit) + \details Executes a exclusive STR instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief STR Exclusive (32 bit) + \details Executes a exclusive STR instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** + \brief Remove the exclusive lock + \details Removes the exclusive lock which is created by LDREX. + */ +__STATIC_FORCEINLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + +#endif /* ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) */ + + +#if ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) +/** + \brief Signed Saturate + \details Saturates a signed value. + \param [in] ARG1 Value to be saturated + \param [in] ARG2 Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +__extension__ \ +({ \ + int32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** + \brief Unsigned Saturate + \details Saturates an unsigned value. + \param [in] ARG1 Value to be saturated + \param [in] ARG2 Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ + __extension__ \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** + \brief Rotate Right with Extend (32 bit) + \details Moves each bit of a bitstring right by one bit. + The carry input is shifted in at the left end of the bitstring. + \param [in] value Value to rotate + \return Rotated value + */ +__STATIC_FORCEINLINE uint32_t __RRX(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rrx %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** + \brief LDRT Unprivileged (8 bit) + \details Executes a Unprivileged LDRT instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__STATIC_FORCEINLINE uint8_t __LDRBT(volatile uint8_t *ptr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrbt %0, %1" : "=r" (result) : "Q" (*ptr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrbt %0, [%1]" : "=r" (result) : "r" (ptr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDRT Unprivileged (16 bit) + \details Executes a Unprivileged LDRT instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__STATIC_FORCEINLINE uint16_t __LDRHT(volatile uint16_t *ptr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrht %0, %1" : "=r" (result) : "Q" (*ptr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrht %0, [%1]" : "=r" (result) : "r" (ptr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDRT Unprivileged (32 bit) + \details Executes a Unprivileged LDRT instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__STATIC_FORCEINLINE uint32_t __LDRT(volatile uint32_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldrt %0, %1" : "=r" (result) : "Q" (*ptr) ); + return(result); +} + + +/** + \brief STRT Unprivileged (8 bit) + \details Executes a Unprivileged STRT instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STRBT(uint8_t value, volatile uint8_t *ptr) +{ + __ASM volatile ("strbt %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) ); +} + + +/** + \brief STRT Unprivileged (16 bit) + \details Executes a Unprivileged STRT instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STRHT(uint16_t value, volatile uint16_t *ptr) +{ + __ASM volatile ("strht %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) ); +} + + +/** + \brief STRT Unprivileged (32 bit) + \details Executes a Unprivileged STRT instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STRT(uint32_t value, volatile uint32_t *ptr) +{ + __ASM volatile ("strt %1, %0" : "=Q" (*ptr) : "r" (value) ); +} + +#else /* ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) */ + +/** + \brief Signed Saturate + \details Saturates a signed value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +__STATIC_FORCEINLINE int32_t __SSAT(int32_t val, uint32_t sat) +{ + if ((sat >= 1U) && (sat <= 32U)) + { + const int32_t max = (int32_t)((1U << (sat - 1U)) - 1U); + const int32_t min = -1 - max ; + if (val > max) + { + return max; + } + else if (val < min) + { + return min; + } + } + return val; +} + +/** + \brief Unsigned Saturate + \details Saturates an unsigned value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +__STATIC_FORCEINLINE uint32_t __USAT(int32_t val, uint32_t sat) +{ + if (sat <= 31U) + { + const uint32_t max = ((1U << sat) - 1U); + if (val > (int32_t)max) + { + return max; + } + else if (val < 0) + { + return 0U; + } + } + return (uint32_t)val; +} + +#endif /* ((defined (__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ + (defined (__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ + (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) ) */ + + +#if ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) +/** + \brief Load-Acquire (8 bit) + \details Executes a LDAB instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__STATIC_FORCEINLINE uint8_t __LDAB(volatile uint8_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldab %0, %1" : "=r" (result) : "Q" (*ptr) ); + return ((uint8_t) result); +} + + +/** + \brief Load-Acquire (16 bit) + \details Executes a LDAH instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__STATIC_FORCEINLINE uint16_t __LDAH(volatile uint16_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldah %0, %1" : "=r" (result) : "Q" (*ptr) ); + return ((uint16_t) result); +} + + +/** + \brief Load-Acquire (32 bit) + \details Executes a LDA instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__STATIC_FORCEINLINE uint32_t __LDA(volatile uint32_t *ptr) +{ + uint32_t result; + + __ASM volatile ("lda %0, %1" : "=r" (result) : "Q" (*ptr) ); + return(result); +} + + +/** + \brief Store-Release (8 bit) + \details Executes a STLB instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STLB(uint8_t value, volatile uint8_t *ptr) +{ + __ASM volatile ("stlb %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) ); +} + + +/** + \brief Store-Release (16 bit) + \details Executes a STLH instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STLH(uint16_t value, volatile uint16_t *ptr) +{ + __ASM volatile ("stlh %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) ); +} + + +/** + \brief Store-Release (32 bit) + \details Executes a STL instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__STATIC_FORCEINLINE void __STL(uint32_t value, volatile uint32_t *ptr) +{ + __ASM volatile ("stl %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) ); +} + + +/** + \brief Load-Acquire Exclusive (8 bit) + \details Executes a LDAB exclusive instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__STATIC_FORCEINLINE uint8_t __LDAEXB(volatile uint8_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldaexb %0, %1" : "=r" (result) : "Q" (*ptr) ); + return ((uint8_t) result); +} + + +/** + \brief Load-Acquire Exclusive (16 bit) + \details Executes a LDAH exclusive instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__STATIC_FORCEINLINE uint16_t __LDAEXH(volatile uint16_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldaexh %0, %1" : "=r" (result) : "Q" (*ptr) ); + return ((uint16_t) result); +} + + +/** + \brief Load-Acquire Exclusive (32 bit) + \details Executes a LDA exclusive instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__STATIC_FORCEINLINE uint32_t __LDAEX(volatile uint32_t *ptr) +{ + uint32_t result; + + __ASM volatile ("ldaex %0, %1" : "=r" (result) : "Q" (*ptr) ); + return(result); +} + + +/** + \brief Store-Release Exclusive (8 bit) + \details Executes a STLB exclusive instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STLEXB(uint8_t value, volatile uint8_t *ptr) +{ + uint32_t result; + + __ASM volatile ("stlexb %0, %2, %1" : "=&r" (result), "=Q" (*ptr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief Store-Release Exclusive (16 bit) + \details Executes a STLH exclusive instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STLEXH(uint16_t value, volatile uint16_t *ptr) +{ + uint32_t result; + + __ASM volatile ("stlexh %0, %2, %1" : "=&r" (result), "=Q" (*ptr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief Store-Release Exclusive (32 bit) + \details Executes a STL exclusive instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__STATIC_FORCEINLINE uint32_t __STLEX(uint32_t value, volatile uint32_t *ptr) +{ + uint32_t result; + + __ASM volatile ("stlex %0, %2, %1" : "=&r" (result), "=Q" (*ptr) : "r" ((uint32_t)value) ); + return(result); +} + +#endif /* ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \ + (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1)) ) */ + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if (defined (__ARM_FEATURE_DSP) && (__ARM_FEATURE_DSP == 1)) + +__STATIC_FORCEINLINE uint32_t __SADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__STATIC_FORCEINLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __USUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__STATIC_FORCEINLINE uint32_t __SADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __USUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __QSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __USAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __USAD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SSAT16(ARG1,ARG2) \ +({ \ + int32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +#define __USAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +__STATIC_FORCEINLINE uint32_t __UXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMUAD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__STATIC_FORCEINLINE uint64_t __SMLALD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__STATIC_FORCEINLINE uint64_t __SMLALDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__STATIC_FORCEINLINE uint32_t __SMUSD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__STATIC_FORCEINLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__STATIC_FORCEINLINE uint64_t __SMLSLD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__STATIC_FORCEINLINE uint64_t __SMLSLDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__STATIC_FORCEINLINE uint32_t __SEL (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE int32_t __QADD( int32_t op1, int32_t op2) +{ + int32_t result; + + __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__STATIC_FORCEINLINE int32_t __QSUB( int32_t op1, int32_t op2) +{ + int32_t result; + + __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +#if 0 +#define __PKHBT(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +#define __PKHTB(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + if (ARG3 == 0) \ + __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2) ); \ + else \ + __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) +#endif + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + +__STATIC_FORCEINLINE int32_t __SMMLA (int32_t op1, int32_t op2, int32_t op3) +{ + int32_t result; + + __ASM volatile ("smmla %0, %1, %2, %3" : "=r" (result): "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#endif /* (__ARM_FEATURE_DSP == 1) */ +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#pragma GCC diagnostic pop + +#endif /* __CMSIS_GCC_H */ diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_version.h b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_version.h new file mode 100644 index 0000000..3b6bae4 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/cmsis_version.h @@ -0,0 +1,39 @@ +/**************************************************************************//** + * @file cmsis_version.h + * @brief CMSIS Core(M) Version definitions + * @version V5.4.0 + * @date 19. April 2017 + ******************************************************************************/ +/* + * Copyright (c) 2009-2017 ARM Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined (__clang__) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CMSIS_VERSION_H +#define __CMSIS_VERSION_H + +/* CMSIS Version definitions */ +#define __CM_CMSIS_VERSION_MAIN ( 5U) /*!< [31:16] CMSIS Core(M) main version */ +#define __CM_CMSIS_VERSION_SUB ( 1U) /*!< [15:0] CMSIS Core(M) sub version */ +#define __CM_CMSIS_VERSION ((__CM_CMSIS_VERSION_MAIN << 16U) | \ + __CM_CMSIS_VERSION_SUB ) /*!< CMSIS Core(M) version number */ +#endif diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h new file mode 100644 index 0000000..424011a --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h @@ -0,0 +1,1083 @@ +/**************************************************************************//** + * @file core_cm0plus.h + * @brief CMSIS Cortex-M0+ Core Peripheral Access Layer Header File + * @version V5.0.6 + * @date 28. May 2018 + ******************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined (__clang__) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CM0PLUS_H_GENERIC +#define __CORE_CM0PLUS_H_GENERIC + +#include + +#ifdef __cplusplus + extern "C" { +#endif + +/** + \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
    + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
    + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
    + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** + \ingroup Cortex-M0+ + @{ + */ + +#include "cmsis_version.h" + +/* CMSIS CM0+ definitions */ +#define __CM0PLUS_CMSIS_VERSION_MAIN (__CM_CMSIS_VERSION_MAIN) /*!< \deprecated [31:16] CMSIS HAL main version */ +#define __CM0PLUS_CMSIS_VERSION_SUB (__CM_CMSIS_VERSION_SUB) /*!< \deprecated [15:0] CMSIS HAL sub version */ +#define __CM0PLUS_CMSIS_VERSION ((__CM0PLUS_CMSIS_VERSION_MAIN << 16U) | \ + __CM0PLUS_CMSIS_VERSION_SUB ) /*!< \deprecated CMSIS HAL version number */ + +#define __CORTEX_M (0U) /*!< Cortex-M Core */ + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0U + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #if defined __ARM_PCS_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TI_ARM__ ) + #if defined __TI_VFP_SUPPORT__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) + #if ( __CSMC__ & 0x400U) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#endif + +#include "cmsis_compiler.h" /* CMSIS compiler specific defines */ + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM0PLUS_H_DEPENDANT +#define __CORE_CM0PLUS_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM0PLUS_REV + #define __CM0PLUS_REV 0x0000U + #warning "__CM0PLUS_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0U + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __VTOR_PRESENT + #define __VTOR_PRESENT 0U + #warning "__VTOR_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 2U + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0U + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/* following defines should be used for structure members */ +#define __IM volatile const /*! Defines 'read only' structure member permissions */ +#define __OM volatile /*! Defines 'write only' structure member permissions */ +#define __IOM volatile /*! Defines 'read / write' structure member permissions */ + +/*@} end of group Cortex-M0+ */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core MPU Register + ******************************************************************************/ +/** + \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** + \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { + uint32_t _reserved0:28; /*!< bit: 0..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + +/* APSR Register Definitions */ +#define APSR_N_Pos 31U /*!< APSR: N Position */ +#define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */ + +#define APSR_Z_Pos 30U /*!< APSR: Z Position */ +#define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */ + +#define APSR_C_Pos 29U /*!< APSR: C Position */ +#define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */ + +#define APSR_V_Pos 28U /*!< APSR: V Position */ +#define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */ + + +/** + \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + +/* IPSR Register Definitions */ +#define IPSR_ISR_Pos 0U /*!< IPSR: ISR Position */ +#define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */ + + +/** + \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t _reserved1:3; /*!< bit: 25..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + +/* xPSR Register Definitions */ +#define xPSR_N_Pos 31U /*!< xPSR: N Position */ +#define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */ + +#define xPSR_Z_Pos 30U /*!< xPSR: Z Position */ +#define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */ + +#define xPSR_C_Pos 29U /*!< xPSR: C Position */ +#define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */ + +#define xPSR_V_Pos 28U /*!< xPSR: V Position */ +#define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */ + +#define xPSR_T_Pos 24U /*!< xPSR: T Position */ +#define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */ + +#define xPSR_ISR_Pos 0U /*!< xPSR: ISR Position */ +#define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */ + + +/** + \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t _reserved1:30; /*!< bit: 2..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/* CONTROL Register Definitions */ +#define CONTROL_SPSEL_Pos 1U /*!< CONTROL: SPSEL Position */ +#define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */ + +#define CONTROL_nPRIV_Pos 0U /*!< CONTROL: nPRIV Position */ +#define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */ + +/*@} end of group CMSIS_CORE */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** + \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IOM uint32_t ISER[1U]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[31U]; + __IOM uint32_t ICER[1U]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[31U]; + __IOM uint32_t ISPR[1U]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[31U]; + __IOM uint32_t ICPR[1U]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[31U]; + uint32_t RESERVED4[64U]; + __IOM uint32_t IP[8U]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register */ +} NVIC_Type; + +/*@} end of group CMSIS_NVIC */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** + \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __IM uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IOM uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ +#if defined (__VTOR_PRESENT) && (__VTOR_PRESENT == 1U) + __IOM uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ +#else + uint32_t RESERVED0; +#endif + __IOM uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IOM uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IOM uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + uint32_t RESERVED1; + __IOM uint32_t SHP[2U]; /*!< Offset: 0x01C (R/W) System Handlers Priority Registers. [0] is RESERVED */ + __IOM uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24U /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20U /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16U /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4U /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0U /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31U /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28U /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27U /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26U /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25U /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23U /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22U /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12U /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0U /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */ + +#if defined (__VTOR_PRESENT) && (__VTOR_PRESENT == 1U) +/* SCB Interrupt Control State Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 8U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0xFFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16U /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16U /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15U /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2U /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1U /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4U /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2U /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1U /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9U /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3U /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_SVCALLPENDED_Pos 15U /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** + \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IOM uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IOM uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __IM uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16U /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2U /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1U /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0U /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0U /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0U /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31U /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30U /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0U /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + +#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** + \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __IM uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IOM uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IOM uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IOM uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IOM uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ +} MPU_Type; + +#define MPU_TYPE_RALIASES 1U + +/* MPU Type Register Definitions */ +#define MPU_TYPE_IREGION_Pos 16U /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8U /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0U /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register Definitions */ +#define MPU_CTRL_PRIVDEFENA_Pos 2U /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1U /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0U /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register Definitions */ +#define MPU_RNR_REGION_Pos 0U /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register Definitions */ +#define MPU_RBAR_ADDR_Pos 8U /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0xFFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4U /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0U /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register Definitions */ +#define MPU_RASR_ATTRS_Pos 16U /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28U /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24U /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19U /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18U /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17U /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16U /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8U /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1U /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0U /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Cortex-M0+ Core Debug Registers (DCB registers, SHCSR, and DFSR) are only accessible over DAP and not via processor. + Therefore they are not covered by the Cortex-M0+ header file. + @{ + */ +/*@} end of group CMSIS_CoreDebug */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_bitfield Core register bit field macros + \brief Macros for use with bit field definitions (xxx_Pos, xxx_Msk). + @{ + */ + +/** + \brief Mask and shift a bit field value for use in a register bit range. + \param[in] field Name of the register bit field. + \param[in] value Value of the bit field. This parameter is interpreted as an uint32_t type. + \return Masked and shifted value. +*/ +#define _VAL2FLD(field, value) (((uint32_t)(value) << field ## _Pos) & field ## _Msk) + +/** + \brief Mask and shift a register value to extract a bit filed value. + \param[in] field Name of the register bit field. + \param[in] value Value of register. This parameter is interpreted as an uint32_t type. + \return Masked and shifted bit field value. +*/ +#define _FLD2VAL(field, value) (((uint32_t)(value) & field ## _Msk) >> field ## _Pos) + +/*@} end of group CMSIS_core_bitfield */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Core Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ + +#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Register Access Functions + ******************************************************************************/ +/** + \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +#ifdef CMSIS_NVIC_VIRTUAL + #ifndef CMSIS_NVIC_VIRTUAL_HEADER_FILE + #define CMSIS_NVIC_VIRTUAL_HEADER_FILE "cmsis_nvic_virtual.h" + #endif + #include CMSIS_NVIC_VIRTUAL_HEADER_FILE +#else + #define NVIC_SetPriorityGrouping __NVIC_SetPriorityGrouping + #define NVIC_GetPriorityGrouping __NVIC_GetPriorityGrouping + #define NVIC_EnableIRQ __NVIC_EnableIRQ + #define NVIC_GetEnableIRQ __NVIC_GetEnableIRQ + #define NVIC_DisableIRQ __NVIC_DisableIRQ + #define NVIC_GetPendingIRQ __NVIC_GetPendingIRQ + #define NVIC_SetPendingIRQ __NVIC_SetPendingIRQ + #define NVIC_ClearPendingIRQ __NVIC_ClearPendingIRQ +/*#define NVIC_GetActive __NVIC_GetActive not available for Cortex-M0+ */ + #define NVIC_SetPriority __NVIC_SetPriority + #define NVIC_GetPriority __NVIC_GetPriority + #define NVIC_SystemReset __NVIC_SystemReset +#endif /* CMSIS_NVIC_VIRTUAL */ + +#ifdef CMSIS_VECTAB_VIRTUAL + #ifndef CMSIS_VECTAB_VIRTUAL_HEADER_FILE + #define CMSIS_VECTAB_VIRTUAL_HEADER_FILE "cmsis_vectab_virtual.h" + #endif + #include CMSIS_VECTAB_VIRTUAL_HEADER_FILE +#else + #define NVIC_SetVector __NVIC_SetVector + #define NVIC_GetVector __NVIC_GetVector +#endif /* (CMSIS_VECTAB_VIRTUAL) */ + +#define NVIC_USER_IRQ_OFFSET 16 + + +/* The following EXC_RETURN values are saved the LR on exception entry */ +#define EXC_RETURN_HANDLER (0xFFFFFFF1UL) /* return to Handler mode, uses MSP after return */ +#define EXC_RETURN_THREAD_MSP (0xFFFFFFF9UL) /* return to Thread mode, uses MSP after return */ +#define EXC_RETURN_THREAD_PSP (0xFFFFFFFDUL) /* return to Thread mode, uses PSP after return */ + + +/* Interrupt Priorities are WORD accessible only under Armv6-M */ +/* The following MACROS handle generation of the register offset and byte masks */ +#define _BIT_SHIFT(IRQn) ( ((((uint32_t)(int32_t)(IRQn)) ) & 0x03UL) * 8UL) +#define _SHP_IDX(IRQn) ( (((((uint32_t)(int32_t)(IRQn)) & 0x0FUL)-8UL) >> 2UL) ) +#define _IP_IDX(IRQn) ( (((uint32_t)(int32_t)(IRQn)) >> 2UL) ) + +#define __NVIC_SetPriorityGrouping(X) (void)(X) +#define __NVIC_GetPriorityGrouping() (0U) + +/** + \brief Enable Interrupt + \details Enables a device specific interrupt in the NVIC interrupt controller. + \param [in] IRQn Device specific interrupt number. + \note IRQn must not be negative. + */ +__STATIC_INLINE void __NVIC_EnableIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + NVIC->ISER[0U] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)); + } +} + + +/** + \brief Get Interrupt Enable status + \details Returns a device specific interrupt enable status from the NVIC interrupt controller. + \param [in] IRQn Device specific interrupt number. + \return 0 Interrupt is not enabled. + \return 1 Interrupt is enabled. + \note IRQn must not be negative. + */ +__STATIC_INLINE uint32_t __NVIC_GetEnableIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + return((uint32_t)(((NVIC->ISER[0U] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); + } + else + { + return(0U); + } +} + + +/** + \brief Disable Interrupt + \details Disables a device specific interrupt in the NVIC interrupt controller. + \param [in] IRQn Device specific interrupt number. + \note IRQn must not be negative. + */ +__STATIC_INLINE void __NVIC_DisableIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + NVIC->ICER[0U] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)); + __DSB(); + __ISB(); + } +} + + +/** + \brief Get Pending Interrupt + \details Reads the NVIC pending register and returns the pending bit for the specified device specific interrupt. + \param [in] IRQn Device specific interrupt number. + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + \note IRQn must not be negative. + */ +__STATIC_INLINE uint32_t __NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + return((uint32_t)(((NVIC->ISPR[0U] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); + } + else + { + return(0U); + } +} + + +/** + \brief Set Pending Interrupt + \details Sets the pending bit of a device specific interrupt in the NVIC pending register. + \param [in] IRQn Device specific interrupt number. + \note IRQn must not be negative. + */ +__STATIC_INLINE void __NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + NVIC->ISPR[0U] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)); + } +} + + +/** + \brief Clear Pending Interrupt + \details Clears the pending bit of a device specific interrupt in the NVIC pending register. + \param [in] IRQn Device specific interrupt number. + \note IRQn must not be negative. + */ +__STATIC_INLINE void __NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + if ((int32_t)(IRQn) >= 0) + { + NVIC->ICPR[0U] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)); + } +} + + +/** + \brief Set Interrupt Priority + \details Sets the priority of a device specific interrupt or a processor exception. + The interrupt number can be positive to specify a device specific interrupt, + or negative to specify a processor exception. + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + \note The priority cannot be set for every processor exception. + */ +__STATIC_INLINE void __NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if ((int32_t)(IRQn) >= 0) + { + NVIC->IP[_IP_IDX(IRQn)] = ((uint32_t)(NVIC->IP[_IP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } + else + { + SCB->SHP[_SHP_IDX(IRQn)] = ((uint32_t)(SCB->SHP[_SHP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } +} + + +/** + \brief Get Interrupt Priority + \details Reads the priority of a device specific interrupt or a processor exception. + The interrupt number can be positive to specify a device specific interrupt, + or negative to specify a processor exception. + \param [in] IRQn Interrupt number. + \return Interrupt Priority. + Value is aligned automatically to the implemented priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t __NVIC_GetPriority(IRQn_Type IRQn) +{ + + if ((int32_t)(IRQn) >= 0) + { + return((uint32_t)(((NVIC->IP[ _IP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } + else + { + return((uint32_t)(((SCB->SHP[_SHP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } +} + + +/** + \brief Encode Priority + \details Encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + return ( + ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) | + ((SubPriority & (uint32_t)((1UL << (SubPriorityBits )) - 1UL))) + ); +} + + +/** + \brief Decode Priority + \details Decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* const pPreemptPriority, uint32_t* const pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL); + *pSubPriority = (Priority ) & (uint32_t)((1UL << (SubPriorityBits )) - 1UL); +} + + +/** + \brief Set Interrupt Vector + \details Sets an interrupt vector in SRAM based interrupt vector table. + The interrupt number can be positive to specify a device specific interrupt, + or negative to specify a processor exception. + VTOR must been relocated to SRAM before. + If VTOR is not present address 0 must be mapped to SRAM. + \param [in] IRQn Interrupt number + \param [in] vector Address of interrupt handler function + */ +__STATIC_INLINE void __NVIC_SetVector(IRQn_Type IRQn, uint32_t vector) +{ +#if defined (__VTOR_PRESENT) && (__VTOR_PRESENT == 1U) + uint32_t *vectors = (uint32_t *)SCB->VTOR; +#else + uint32_t *vectors = (uint32_t *)0x0U; +#endif + vectors[(int32_t)IRQn + NVIC_USER_IRQ_OFFSET] = vector; +} + + +/** + \brief Get Interrupt Vector + \details Reads an interrupt vector from interrupt vector table. + The interrupt number can be positive to specify a device specific interrupt, + or negative to specify a processor exception. + \param [in] IRQn Interrupt number. + \return Address of interrupt handler function + */ +__STATIC_INLINE uint32_t __NVIC_GetVector(IRQn_Type IRQn) +{ +#if defined (__VTOR_PRESENT) && (__VTOR_PRESENT == 1U) + uint32_t *vectors = (uint32_t *)SCB->VTOR; +#else + uint32_t *vectors = (uint32_t *)0x0U; +#endif + return vectors[(int32_t)IRQn + NVIC_USER_IRQ_OFFSET]; + +} + + +/** + \brief System Reset + \details Initiates a system reset request to reset the MCU. + */ +__NO_RETURN __STATIC_INLINE void __NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + SCB_AIRCR_SYSRESETREQ_Msk); + __DSB(); /* Ensure completion of memory access */ + + for(;;) /* wait until reset */ + { + __NOP(); + } +} + +/*@} end of CMSIS_Core_NVICFunctions */ + +/* ########################## MPU functions #################################### */ + +#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U) + +#include "mpu_armv7.h" + +#endif + +/* ########################## FPU functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_FpuFunctions FPU Functions + \brief Function that provides FPU type. + @{ + */ + +/** + \brief get FPU type + \details returns the FPU type + \returns + - \b 0: No FPU + - \b 1: Single precision FPU + - \b 2: Double + Single precision FPU + */ +__STATIC_INLINE uint32_t SCB_GetFPUType(void) +{ + return 0U; /* No FPU */ +} + + +/*@} end of CMSIS_Core_FpuFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if defined (__Vendor_SysTickConfig) && (__Vendor_SysTickConfig == 0U) + +/** + \brief System Tick Configuration + \details Initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + \param [in] ticks Number of ticks between two interrupts. + \return 0 Function succeeded. + \return 1 Function failed. + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) + { + return (1UL); /* Reload value impossible */ + } + + SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0UL; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0UL); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/mpu_armv7.h b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/mpu_armv7.h new file mode 100644 index 0000000..0142203 --- /dev/null +++ b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Include/mpu_armv7.h @@ -0,0 +1,270 @@ +/****************************************************************************** + * @file mpu_armv7.h + * @brief CMSIS MPU API for Armv7-M MPU + * @version V5.0.4 + * @date 10. January 2018 + ******************************************************************************/ +/* + * Copyright (c) 2017-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined (__clang__) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef ARM_MPU_ARMV7_H +#define ARM_MPU_ARMV7_H + +#define ARM_MPU_REGION_SIZE_32B ((uint8_t)0x04U) ///!< MPU Region Size 32 Bytes +#define ARM_MPU_REGION_SIZE_64B ((uint8_t)0x05U) ///!< MPU Region Size 64 Bytes +#define ARM_MPU_REGION_SIZE_128B ((uint8_t)0x06U) ///!< MPU Region Size 128 Bytes +#define ARM_MPU_REGION_SIZE_256B ((uint8_t)0x07U) ///!< MPU Region Size 256 Bytes +#define ARM_MPU_REGION_SIZE_512B ((uint8_t)0x08U) ///!< MPU Region Size 512 Bytes +#define ARM_MPU_REGION_SIZE_1KB ((uint8_t)0x09U) ///!< MPU Region Size 1 KByte +#define ARM_MPU_REGION_SIZE_2KB ((uint8_t)0x0AU) ///!< MPU Region Size 2 KBytes +#define ARM_MPU_REGION_SIZE_4KB ((uint8_t)0x0BU) ///!< MPU Region Size 4 KBytes +#define ARM_MPU_REGION_SIZE_8KB ((uint8_t)0x0CU) ///!< MPU Region Size 8 KBytes +#define ARM_MPU_REGION_SIZE_16KB ((uint8_t)0x0DU) ///!< MPU Region Size 16 KBytes +#define ARM_MPU_REGION_SIZE_32KB ((uint8_t)0x0EU) ///!< MPU Region Size 32 KBytes +#define ARM_MPU_REGION_SIZE_64KB ((uint8_t)0x0FU) ///!< MPU Region Size 64 KBytes +#define ARM_MPU_REGION_SIZE_128KB ((uint8_t)0x10U) ///!< MPU Region Size 128 KBytes +#define ARM_MPU_REGION_SIZE_256KB ((uint8_t)0x11U) ///!< MPU Region Size 256 KBytes +#define ARM_MPU_REGION_SIZE_512KB ((uint8_t)0x12U) ///!< MPU Region Size 512 KBytes +#define ARM_MPU_REGION_SIZE_1MB ((uint8_t)0x13U) ///!< MPU Region Size 1 MByte +#define ARM_MPU_REGION_SIZE_2MB ((uint8_t)0x14U) ///!< MPU Region Size 2 MBytes +#define ARM_MPU_REGION_SIZE_4MB ((uint8_t)0x15U) ///!< MPU Region Size 4 MBytes +#define ARM_MPU_REGION_SIZE_8MB ((uint8_t)0x16U) ///!< MPU Region Size 8 MBytes +#define ARM_MPU_REGION_SIZE_16MB ((uint8_t)0x17U) ///!< MPU Region Size 16 MBytes +#define ARM_MPU_REGION_SIZE_32MB ((uint8_t)0x18U) ///!< MPU Region Size 32 MBytes +#define ARM_MPU_REGION_SIZE_64MB ((uint8_t)0x19U) ///!< MPU Region Size 64 MBytes +#define ARM_MPU_REGION_SIZE_128MB ((uint8_t)0x1AU) ///!< MPU Region Size 128 MBytes +#define ARM_MPU_REGION_SIZE_256MB ((uint8_t)0x1BU) ///!< MPU Region Size 256 MBytes +#define ARM_MPU_REGION_SIZE_512MB ((uint8_t)0x1CU) ///!< MPU Region Size 512 MBytes +#define ARM_MPU_REGION_SIZE_1GB ((uint8_t)0x1DU) ///!< MPU Region Size 1 GByte +#define ARM_MPU_REGION_SIZE_2GB ((uint8_t)0x1EU) ///!< MPU Region Size 2 GBytes +#define ARM_MPU_REGION_SIZE_4GB ((uint8_t)0x1FU) ///!< MPU Region Size 4 GBytes + +#define ARM_MPU_AP_NONE 0U ///!< MPU Access Permission no access +#define ARM_MPU_AP_PRIV 1U ///!< MPU Access Permission privileged access only +#define ARM_MPU_AP_URO 2U ///!< MPU Access Permission unprivileged access read-only +#define ARM_MPU_AP_FULL 3U ///!< MPU Access Permission full access +#define ARM_MPU_AP_PRO 5U ///!< MPU Access Permission privileged access read-only +#define ARM_MPU_AP_RO 6U ///!< MPU Access Permission read-only access + +/** MPU Region Base Address Register Value +* +* \param Region The region to be configured, number 0 to 15. +* \param BaseAddress The base address for the region. +*/ +#define ARM_MPU_RBAR(Region, BaseAddress) \ + (((BaseAddress) & MPU_RBAR_ADDR_Msk) | \ + ((Region) & MPU_RBAR_REGION_Msk) | \ + (MPU_RBAR_VALID_Msk)) + +/** +* MPU Memory Access Attributes +* +* \param TypeExtField Type extension field, allows you to configure memory access type, for example strongly ordered, peripheral. +* \param IsShareable Region is shareable between multiple bus masters. +* \param IsCacheable Region is cacheable, i.e. its value may be kept in cache. +* \param IsBufferable Region is bufferable, i.e. using write-back caching. Cacheable but non-bufferable regions use write-through policy. +*/ +#define ARM_MPU_ACCESS_(TypeExtField, IsShareable, IsCacheable, IsBufferable) \ + ((((TypeExtField ) << MPU_RASR_TEX_Pos) & MPU_RASR_TEX_Msk) | \ + (((IsShareable ) << MPU_RASR_S_Pos) & MPU_RASR_S_Msk) | \ + (((IsCacheable ) << MPU_RASR_C_Pos) & MPU_RASR_C_Msk) | \ + (((IsBufferable ) << MPU_RASR_B_Pos) & MPU_RASR_B_Msk)) + +/** +* MPU Region Attribute and Size Register Value +* +* \param DisableExec Instruction access disable bit, 1= disable instruction fetches. +* \param AccessPermission Data access permissions, allows you to configure read/write access for User and Privileged mode. +* \param AccessAttributes Memory access attribution, see \ref ARM_MPU_ACCESS_. +* \param SubRegionDisable Sub-region disable field. +* \param Size Region size of the region to be configured, for example 4K, 8K. +*/ +#define ARM_MPU_RASR_EX(DisableExec, AccessPermission, AccessAttributes, SubRegionDisable, Size) \ + ((((DisableExec ) << MPU_RASR_XN_Pos) & MPU_RASR_XN_Msk) | \ + (((AccessPermission) << MPU_RASR_AP_Pos) & MPU_RASR_AP_Msk) | \ + (((AccessAttributes) ) & (MPU_RASR_TEX_Msk | MPU_RASR_S_Msk | MPU_RASR_C_Msk | MPU_RASR_B_Msk))) + +/** +* MPU Region Attribute and Size Register Value +* +* \param DisableExec Instruction access disable bit, 1= disable instruction fetches. +* \param AccessPermission Data access permissions, allows you to configure read/write access for User and Privileged mode. +* \param TypeExtField Type extension field, allows you to configure memory access type, for example strongly ordered, peripheral. +* \param IsShareable Region is shareable between multiple bus masters. +* \param IsCacheable Region is cacheable, i.e. its value may be kept in cache. +* \param IsBufferable Region is bufferable, i.e. using write-back caching. Cacheable but non-bufferable regions use write-through policy. +* \param SubRegionDisable Sub-region disable field. +* \param Size Region size of the region to be configured, for example 4K, 8K. +*/ +#define ARM_MPU_RASR(DisableExec, AccessPermission, TypeExtField, IsShareable, IsCacheable, IsBufferable, SubRegionDisable, Size) \ + ARM_MPU_RASR_EX(DisableExec, AccessPermission, ARM_MPU_ACCESS_(TypeExtField, IsShareable, IsCacheable, IsBufferable), SubRegionDisable, Size) + +/** +* MPU Memory Access Attribute for strongly ordered memory. +* - TEX: 000b +* - Shareable +* - Non-cacheable +* - Non-bufferable +*/ +#define ARM_MPU_ACCESS_ORDERED ARM_MPU_ACCESS_(0U, 1U, 0U, 0U) + +/** +* MPU Memory Access Attribute for device memory. +* - TEX: 000b (if non-shareable) or 010b (if shareable) +* - Shareable or non-shareable +* - Non-cacheable +* - Bufferable (if shareable) or non-bufferable (if non-shareable) +* +* \param IsShareable Configures the device memory as shareable or non-shareable. +*/ +#define ARM_MPU_ACCESS_DEVICE(IsShareable) ((IsShareable) ? ARM_MPU_ACCESS_(0U, 1U, 0U, 1U) : ARM_MPU_ACCESS_(2U, 0U, 0U, 0U)) + +/** +* MPU Memory Access Attribute for normal memory. +* - TEX: 1BBb (reflecting outer cacheability rules) +* - Shareable or non-shareable +* - Cacheable or non-cacheable (reflecting inner cacheability rules) +* - Bufferable or non-bufferable (reflecting inner cacheability rules) +* +* \param OuterCp Configures the outer cache policy. +* \param InnerCp Configures the inner cache policy. +* \param IsShareable Configures the memory as shareable or non-shareable. +*/ +#define ARM_MPU_ACCESS_NORMAL(OuterCp, InnerCp, IsShareable) ARM_MPU_ACCESS_((4U | (OuterCp)), IsShareable, ((InnerCp) & 2U), ((InnerCp) & 1U)) + +/** +* MPU Memory Access Attribute non-cacheable policy. +*/ +#define ARM_MPU_CACHEP_NOCACHE 0U + +/** +* MPU Memory Access Attribute write-back, write and read allocate policy. +*/ +#define ARM_MPU_CACHEP_WB_WRA 1U + +/** +* MPU Memory Access Attribute write-through, no write allocate policy. +*/ +#define ARM_MPU_CACHEP_WT_NWA 2U + +/** +* MPU Memory Access Attribute write-back, no write allocate policy. +*/ +#define ARM_MPU_CACHEP_WB_NWA 3U + + +/** +* Struct for a single MPU Region +*/ +typedef struct { + uint32_t RBAR; //!< The region base address register value (RBAR) + uint32_t RASR; //!< The region attribute and size register value (RASR) \ref MPU_RASR +} ARM_MPU_Region_t; + +/** Enable the MPU. +* \param MPU_Control Default access permissions for unconfigured regions. +*/ +__STATIC_INLINE void ARM_MPU_Enable(uint32_t MPU_Control) +{ + __DSB(); + __ISB(); + MPU->CTRL = MPU_Control | MPU_CTRL_ENABLE_Msk; +#ifdef SCB_SHCSR_MEMFAULTENA_Msk + SCB->SHCSR |= SCB_SHCSR_MEMFAULTENA_Msk; +#endif +} + +/** Disable the MPU. +*/ +__STATIC_INLINE void ARM_MPU_Disable(void) +{ + __DSB(); + __ISB(); +#ifdef SCB_SHCSR_MEMFAULTENA_Msk + SCB->SHCSR &= ~SCB_SHCSR_MEMFAULTENA_Msk; +#endif + MPU->CTRL &= ~MPU_CTRL_ENABLE_Msk; +} + +/** Clear and disable the given MPU region. +* \param rnr Region number to be cleared. +*/ +__STATIC_INLINE void ARM_MPU_ClrRegion(uint32_t rnr) +{ + MPU->RNR = rnr; + MPU->RASR = 0U; +} + +/** Configure an MPU region. +* \param rbar Value for RBAR register. +* \param rsar Value for RSAR register. +*/ +__STATIC_INLINE void ARM_MPU_SetRegion(uint32_t rbar, uint32_t rasr) +{ + MPU->RBAR = rbar; + MPU->RASR = rasr; +} + +/** Configure the given MPU region. +* \param rnr Region number to be configured. +* \param rbar Value for RBAR register. +* \param rsar Value for RSAR register. +*/ +__STATIC_INLINE void ARM_MPU_SetRegionEx(uint32_t rnr, uint32_t rbar, uint32_t rasr) +{ + MPU->RNR = rnr; + MPU->RBAR = rbar; + MPU->RASR = rasr; +} + +/** Memcopy with strictly ordered memory access, e.g. for register targets. +* \param dst Destination data is copied to. +* \param src Source data is copied from. +* \param len Amount of data words to be copied. +*/ +__STATIC_INLINE void orderedCpy(volatile uint32_t* dst, const uint32_t* __RESTRICT src, uint32_t len) +{ + uint32_t i; + for (i = 0U; i < len; ++i) + { + dst[i] = src[i]; + } +} + +/** Load the given number of MPU regions from a table. +* \param table Pointer to the MPU configuration table. +* \param cnt Amount of regions to be configured. +*/ +__STATIC_INLINE void ARM_MPU_Load(ARM_MPU_Region_t const* table, uint32_t cnt) +{ + const uint32_t rowWordSize = sizeof(ARM_MPU_Region_t)/4U; + while (cnt > MPU_TYPE_RALIASES) { + orderedCpy(&(MPU->RBAR), &(table->RBAR), MPU_TYPE_RALIASES*rowWordSize); + table += MPU_TYPE_RALIASES; + cnt -= MPU_TYPE_RALIASES; + } + orderedCpy(&(MPU->RBAR), &(table->RBAR), cnt*rowWordSize); +} + +#endif diff --git a/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Lib/GCC/libarm_cortexM0l_math.a b/D21_ADC_with_DMA/src/ASF/thirdparty/CMSIS/Lib/GCC/libarm_cortexM0l_math.a new file mode 100644 index 0000000000000000000000000000000000000000..c91de9d8c2561020cb4be1fa167af98b009f5948 GIT binary patch literal 2768324 zcmd?SdwgU?nKxd2Nz%DYrYF~)Ts!wpW|DO7om*G92;nA?86m*HbY>hJm1sndP>BpE-y z&*%NT|GfR7Ij5?gdQMfHs;8dsx1P>a^o<F?ivp+!BM;>POZ`qlBkS<0^&OSP}E)WXYIYTe5$)wjY@FLkoi>t|W&k=-oy z&No=<$4;}<&pBA?*DqwL&s4M2f2Z_H9!vdWjHP7`v$WC`me#Y#(ysQfwEZ(I?UpQ- zc1MJzP4%&~hd#{GzJ6cg`|2`FQ(uSk4d!URF!8;04|CvqdXYI64#dCdJ9e}9cmE!i zu7B@)k)?m-WtRT!*ID{tI`Mt+A(n6T zviuf1%fI1umVb|r<^TQDEdT3SEdSX9EdQr3v;5y3W(DahtiZjS6?6t!!KEQqaBztg zy#E1K@X*VwV4-rT@H#l{f>eq~c{(a()Rb+4npvx$A9K@~LW8@}CdkCknIl9hE|$jWy6 zSlRdhE4%A7EBnMeEBiu>mHo?Itn4S%tn9A=R-QY<%IlU{dG8yn{A&6Jhgtb4FDw5r z<$rdGm47dfxeH!rZf_rRU%r#MkL_XZ_f0VOr#{TwUk)+%k1u2ud2UwG{3NTm_;pq> zI>aj8V`mjF%(BXhW?1EoE>;;@WtAT|%qkxkVwGQenN>dfI;&h>W>sxdtm>=ntm-Kj ztKRkitBwq@>U*bH^+OI;{lX%vrgc(d*~4mbUt~2k)vV^q*ICW!r&-MdbFAiDtE}dS z53!mRFRS@WjMX~(SZ&M8toDL6R(nl|)dm+>?d_*oExoJS2i>gppC(xC!ZfRWHEU0?n_km_!O&qevs8IFR{AcUd}wW z!_1R6!#vf`Gf(%+%yUH+^8~!iGqK7%cR$HI(=q0meS>)(Utpf+mYC;d%KtAn^Sr&p z>a*@+^(B3*eus#QFd#`hT2`vpGtH1_Ye^(Xm`&U^cHqF_(F=}^9^88zb5qX9b-A*~>vCm(*X5$O>oPGD*JWZJ zuFIr;uFKPUdH&eMRin3Fa`eDxSnJxsvGC{tt;Mv4HYwI$)+WXJJKLmKy}C_G)%x0` zR4ubjO11a7N#Qv=tgStBP2H53^Ed1NW*y(8$D4F@lRj?Txs7|Jb?2(l{bM2dTHi%; zlwPMHgJ!UCN}#85S{pOb&2^dR>6 zF2=NJv6~E%aiYtqA@?|H=H7{8a?r7J>d7u+;labl$ZwU!w9!JE`esZjX|2#8-IXM) zrReR-sxp<3m3Kz*M24!7$j}~laP08mcuTZ|E-6b4Qn1Z<=>B7&lkqBALRHZcS`~S( zSGjfMc)W(ZR~2xt%ZyJPh|9=(C4+li=J=8ExQx74GPu`eLdR|!O*~Ddl$=UwEz}Zv zwIgFk7nkB%7RWkRnY6RHO8<5fn&i6`J*uYh|cPq(@_?UppuiT>(o zy*xB_VDItK@zII6QbUg3-?)si*4WXp z*=o=k?-_>HSg_$ZsOp`%ScUqj%Y=4X^$fqj1tJSy!-WH#aTN8>R~w zRqA=hce7ER-cF;OJ`&@h!!*z36wt*~))=O)p0|;JELY>Dm8(RO0;7O7IBMd`DoMkY z$kBUYXq}!Vh4h5+NqXLa{S(^8iMg#MR2yU>sSSplbuvl%^n{!^?VHU{V}btS^bt(F zxJ2vLYtu(d8WO`};@XrzlC}-c|Xfu#LJ6x$9(=Jw_AaW15s_*tRqwWEj-Q8DMPX z$bp1Uff_PxsDQLCzi;f86C(%q?jIT7KXPDn?>Jfh(PMiL9Bkd4*m1D#&LOvnDuWI+ zMNB%RfIfXTEK|d<|Jc!6_l8D}PmIy_CCBzyC@}=Gbc5XE$43v3OpI<+K`)YOG#@1C z8SB*R4>wm>CzvFV^cXe%hYh}*yf@?@Jw^kqPa70!8D@zjQxF$RvIEJv`U{HZ8Z*{u zTc=277^~>_V`Inn(hyIK?N6+vq&(GfV_wqZ))#D6W1~mvc?YQ(14vP%ECfZWv4@o7UOzx$Bx6*S4WR#SxHlDoj^-`Fg7YuL$g6q(lpw%{BP9XwAn3c+AG_+f&Qd1*r;3$VWNmUh!#Sc zVMTc*dk}`gq@Eev$K#{4)yL;T!mZTu^+{yP*L!Htqup+xNENKtq!pM{jU3uLPJYsH zBDqbvj3vp+3?=Ket=AHt<65Vvc5rXWz1tUt3_gWnzSQy!t0A})DJxAUv zaT0-c)@%;cNeT2AJkzUj_BuWh82EHlY`UF4V!;9DJC$foeOLQwKJJB{{ zwz)6s%g#}6lNK1V4SmqtnxLr+Ej45&o|nipXf$w6iH;gF6V(%$hU$s_wQoF9l$}sv zl-_)#+T+f!-p6(IHfez&JBg9#Y}Yj*+pxnLhRj@&Xtk-t^pH5?V|+xM^jV*2s;>4A zqnz4C%vt7&`Ua6Cr|%Q%GfmZXlWi2#@{&8Q8Eq^w(^we_HmZ?WOi6FToUNfCV*{|0dtn2V#3EW5k{b|lAm!7(ajYSE2L{m%sG=LDMQSW-pmb)6XQf% z$;SMVUSA4GCsz?ViGm~mv}vhE`y^@^p0}=!xh%e0$X0A5Zq}~1$s`-6cMQ`kk(ZcZ z@jSErFcoZ8!|?9nHB5OMX*SPF)HTl-BOzqyMN)R6S;lPh6W5oWqn@Gl#(IWqkOK*h zNq^DC+=O~#Zv5pMGR;k0SG1ujt=6XcHg2maS7&()LyL#Uvy&gJXK&Qr;8#Qu$`QNC2j#jNJN;bO~My(0vM?fy=tw}MHI-PbfPAnaC8}s6{ zj%kn*`N@xu=WjGTrowa8G&MI~bE9^f3O7+}(3s$j481aBCNvl_<3pOrGdIRuvXP#o zW*97UQcH~aMkU64LwgL_>zlN$a1({*hHa=x%S>q4Xdg6Bw{vSYa%6qd!3>cM`SqoJ6ez<)hb%_rTEWL|&q1A}?-d z;#sQc&DzhN;=D@ycTug$zl&<|dOJHi-R_o-)>e&h31pmk$K@AUEEcAJEX>0aDaH;I z%aW9KdGWA|*ah?(A6=TUWgFdF4QZ`#p9NAyCvhH!7_ zKkA=Lei7w{@r9<-O|3W#oi>Sy_(@C|g)|AiE#dayRz*0LXbJ8+XD8~kwuIrFvPx&y_vf9(W2OW-- zI;0$F7g5S?Pa!W1WuK>%Ek-r19Xp`$QOI`olr0n)> zQltIL)b5mBc>3*@yGT5x4b{(B?#KNn(DHjMkJEjs)Ao;!R%&uu1~MOVe3UY+Rg9ET z^7FFDT4hhGq72&?9Q`C|t#YLOJ4(N(gtM5#?xTYA1?L-{4NhxB zU4pZ$2kGA4evKy4qe~ptCC;#{F;Z;rIH^hO(Iq~jOZ=5(6+oi%Gn&Mmy2RJ$W((T! zR}0JfpHvd*ifSS=y2y)h5gW@Yq=en~swVQ3F7k)CNE*x9hFREc$Beh6|6CU-qO|s) ztt=~yw)E6#BI$IIJ?@W-(4cffr1w%yWG6)My7tnI9JWH1RSSv!!#&t&-Hnkw-$hw8CV$dJn&KiUEbB%*=z?BNq?9hQ!|#fVWU{Q! zV4_@jqb4$-i%i5tXizYV?C+o-vf)AdA%fNPNxIR8?FqWKU-UUm;%;4HUYDR@TZ6>K z&u9{}y2MMm1P$9)@sz<|XcFJmCEnI0XxQG3p}!=Z`b6z`LzgJ0bbQ#zJTm*GJ2jDP zy2z%UuZ#SZhV5Bsx$H_!q(v7Qjf>E*{SG3RAJ;^7>mrkJ5gNAJ(Aq0Lp^4n4i+njQ zLc{h7-p@PdHIe%v0?YHSbR&l?mu3By?(GL&(j?C662I0ZXxLy=?4xgM5Zy2wdg zM36`kX7w2696cwfi#(uy`FTy`tGdX~bP=(Y zWtE}1hkvb!d|wx_(=?S`rm^pY#K~=xLrr;8m#EbxXz2flLAkw6lPI7|)^W7$)H}4C z_%L0k*Ez!CQfTedK47IOnY}<`lhS6$&i)T7P3bb`+(VNprPr8aBQ+`9nDZEFU0}>vrrxJq zY|NR(vo15{R6^!TV@@fxEaly~)HK!1>{}pntuY6?Qpyd+obON%Q$~zA-$Q#wjXCf% zQpSuqKS95a7;_Fn<`!d)ADT}XbKZjH+p`TV{2FBLH0ErLWmsvsS z=1iJ;?eRzOtUkg3TVx5n`fOu~m6S=3p88B5ddG%OAH5hW>%{*pZ)I;q2nV*-1G~c(Q&sY?CY%GbLi*^_r$SdhsWJ?VC)_}vTyXjfzbnd+M9gtR&PuD zEp2-!zwzj?qoa+ZBm2hoj2+#7_{4$HJx50N2gi<%vj4McSyNN9_TMGibC7YtF`Qz{ z-%*W|6LDbl;0O-na20ln?iS~nR^?SyIUX_jCUDFvZ{r>dw(W8eZf{D6g)cuj8h(*=H63p#a zkEY-R;}74=KZM)t=XZPeo}iXe>4dque4bII>9=(HP{g}Jh#fy_Ry{N~)6~8Vej%v; zZZc`q)ceEm7~2y6;U&Fi8ZaiK8ImOM0uLWMddMyRhqqy@AOE@J1`jh&2<;86`{kkj zd(gOk*#_!Y@{>&cRzL7Oaddp_(9zKY?)|}$ylmC|M%`1oj4KNdv$wL zIIQw7+%>fK^09q;b{#u$eE;a4i!ZoyDxCl`fqBN7Qoxp+Tr$4aQNuR_-MWR z`tz>3qFFZ+jqQ7Vjr-^a2>XL>`oYxL_}HJ-}hfeept2{9pZam_p z^hEH)k$vvQLkDgfIexIQ)!leyo4fJg(PNDiwlcPVqH*N#*a*dd9HPvFCywr)AZ?A~ zqw+dVc?U)&M&fDh9UM4zf z=NMGH>G84KN3qiRj(f-&D-wtzI9j#pbqTlUKja#AsurKS~?B_^hVk zN$Nu))OV9MX*S7RD2)&!L*3){z*O&+zT3fL$K7O@-S1HTn|tW^vHhdtpBL*`uLq8{|On?e(D)5j>|@;3+W z+%HiZlyf~tlfXj!8%;S!chvil>vK~rYO;{!*;GX9^Eun1ntQIJJV}Y1>m{l_ zopX4Vnsd*W#4hnS`mMjE1Ec#+9HPDA;4xau@_uCBK5_!JaZ@?u8Xg*@6|N-@12C$4 zG6t+^KOwtO@}Qf@b~Vv2;I-43?l02`k~Ko#1zNnJ1HMrss0)2(Bv+p3}APB@x0yiHR?n8M*4;* z->5HO(1$V5_1$LD2mKrA!(bcrg$(-8E?wWJP5KUOqVE$XeG!8`Fc-SMCr$b$Hqke4 z(l=$$hjUV0-!k1B$L}smZ`9wHDc{)N8G}9?tLpmfq|B)AzD@K&$f$45pbyX2_2In3 zsPCao^i`Vl#SHp#4Ek^&Wz_feP4r!8(zj^PmuJv-*rf02P4op#`j!p)3Jm%_YSQ=O zCi*^P(zj~RhjU_m{BR0K|Haqa>znBNib)@%V_Ce13+Y=#UtQl1O#0s3MBfW0eNKbE z5`#XRWYB-{_F?{PH2;2M(&sklD>LZZPKL#(FK-il`6OqYe_n$=w?SX8NniCQ`npW| z1`PTt4f<|0>1*Fa-*qN^Lk4}-27M8eKFr&V#_zOAU%;Rbo~izRA2R8~5%EU)W=#4* z27MlbzVDdy9oR%4PF0QXBVy3kV9@tVlfH>f^x+8BsBg-k4||K=-)!30NJV`9-L;9n z43abIn=$BXGU#hD>AP034ELmZ0!eqUAkC`*1`Cw;#& zyhuvQ&reMHSQo{&kdh0jw1>XBzW*}m`w^W$d`VLw|F7%&t4Z#?wCTbw>mOYXhdYMm zuu!k&*WkH`TG5}IO*eWS=(wNs4Hz=?+ae{0IfTzkTNwKy91`_`9KJOsx%U(>c8e~g zWFUvrdTL@wFZwOXwde^YhvYW;l3e^#Rmhm1>gpf8eYn!njDL2M@tL9ay@PVjr!T6& z-e63O`rf2PcAf65QkkxgZj(O7d^`KiUyX$}Q!QIkOYl776OpDrO>9&kTbIbNk@uWj zZfGEh*~mjpE;qagS)O4kho_hL&{?dh9G+X^V`JH-a(H5ikBvq$`S}>x#K*>ROy%&D z5+56#mnGMS=acx@Sb?dWCWql;qZyxE-?#!WK1HT-cp8b1jg^?n;W;EeHdbaThbNHu z*qGZ??oJfaosCtR$}3If)u!@lQ+cha96M>^W25-S6D2-2Mt@#Ak)%7_DrlKD)@mxpPLcT7Si7klmOt^au})Jt8DYc6MiG$7 z&xd7Bd~DLP8qhm0uVf$5k}B1sBUlrCY27Q;t-UOW3o!sGN0B~*&fGK^aDSfXg{Y6vyF;n_`rZgfQ z^$*(jJ5xHtu%)BC*px;boBl!h#iq1&PG>s0sXmZ6zDt}->1SK!!=vPbk%YV?FE=3m zH#=X^4&uz(&Bua@CD%?-%)-PHZ}33%cRlq3EhC?wB&`Twu)7=NukVf~zpgt89(f}z z#0zhbZSIby!`vM$*VG*&4Q$k`^4Gl`mA|e#D&O24U5)AO=vqwOQQ4;Ms9aNbbQSAQ z@nrp6m(<&Th^D!_zi(b&*c+uuph*75ZVr($p-rtyC>t3*oLpb*gqotGd6f||i zC!5}cvWV-(%A`*%WV0BujgQ3;uY0a;>dlp9eo}1}Zeh$*C*T@Zw%(xe1=br?dap_c zRC+|E_o?)Ll|G=-qbhw+r4OleP^HII`X-gWS)~uF^bwQ%QI*!8e@vxAN}fmtpkV89 zm8OFfnbzAkq0%Q*`K>BVjyPNkYgnaEs`TwDt+(%#N}pEccc}E8Dt*ROKVp)9k4nE+ z$-hsf?^5aatF%7ecdPUVRQaSz>(9GKrK$aJ4Xgxlfa0wLWx$!>3XFv7c3l08xm6lx zYRCub!?jyoNkpbEQ`aGNrKuqE>D9_By()R7p_bQ(x>6TpdP-eCqpq{+`iQ!ILtW3R z>(lD`th)YCU0+q#->YkiMbn?Bu2t%KiMrmXu1D1Mw7TL<1O5Dhx;~+<-&NNisq2cm z+Q_$ue7(9}rmjcS^`q+gsJi}8UDwnVSOE3?>Kahj_p0kd>iTVU{ZDnxqKSxl&FXrU zx}H$iPpRuS)%C~f`e$`@laGn|7pUt&b(KF*qx^?e8onyzR@F6!CJ@r?>Uxd3-mb2n zRo9rhzNW4zX(%uRb)8Yyr_}Z5>bj<`8MILG{6bv!(Als`H{p6cV{Ixu zfa`U{>8bQpxL(WHH7b1o*I~xSRQgt2uVL(zN>Ac?HDiCL()Z)~ZpI!|>4$OM&Dhse zI*RKq#-38?MO?39?1w7-GhE-r*e_K2OBn(>2V+8|pU3q=#=fu8ui$zCW3Q?7Dz4`%d(%tpZf%MGUKcm<-|SMh_H$nC z|N3usTiaT?wSUdmmzSmRP{H z!j`S8Y}v9#zXo<#oK}~`Y4cd7tX|8M#b=qa4O)h*!!2-YU9g6&3$|&i$2M=B zwJlkLwl(XD&1ajog>6gJ;RwwVnty*i!@sljlHRBPa)#ft_1WI;KcC^(K3CAU?X5F> zD*KYYOV`fu%ieXW@8@rx;oo@nlYOtPp5bG^e5CJ!H_q_6jA#2&esPAMYWP`S{i|pA zH!pds?~#|z@aFc6{^=i_;X9uy=>OSsXZZ8)s_OsfQ)hVEa&!ORKYoV)@JL_()~}J= zTZ8?tec=qxo_u$|>wz;on76P0Yg1>qZ{}$Km+v~mCw83bcZARIj32+R|2sF))&0T# z|J-wifBnEz|B-i|;VEDGWdCk{hX3)62l_wTe1?D6`?>y0%Fpn}$G_A+oPCBzzVS%^ zvv1$YUt0U;{?GpMPX1KSll?FL?>l+->2LKPdHPP?d3K?HZ0=6}*q^`G|LkY(j;xzxpmn;1r zeDE~?@G~|3xjRquw_ox2du}+*?Q1*yp5D{^ADzwq+g+#mi=I}0%kNI{>46Ua72iL_ z-?+x-|JR34@fVKv`XBwkDeiy2-~aZ=Dc<#&^ZZ}#J;lE{ccDL;cZz@OnT!2h|8+aR z@}*1t1<%~h1OI)6|Jny`=fAPM%WoaOom=vE`<<8E&OcgrjsLE)+xe%uuk+vdKPP$7 zWjFXg`<;_KcTd27!DmkL_Z{Bvf9KJY{NU+>{@B1t{`iN+{L?un`I-9<`~Us5Fn@IR znE%w*!~9*}IPSmut}wsusT2OSU19$G3t|8FE5rPuAD{9&e{&mu_2+l`AB*0`V`~xr zGasVs9q;w`4By5>*1P@{fOH(qDYnt$c0U2mPPA z;#Qvai4Xa2FSwP*3jfak?N?6l%KJa!KlOzZd}qm&|5Ha!@SlA4WB$_i6TGAR}*$!3q8s_ow|o$(-PK&)o0-(f7vrBjpeH`Nzh2 z`0qdK&)zl8e_HaO|KhxH{vY?x_-N*TZIiL4mm3y4$ zeDn+c2fu#{FVCFyU-Qvh_|tcP$$$Ihw{VN~%YH}tE&RpP5Bsgpgm~W{zT!U^3Gtp= z9`SeehWK;8ob!+W?il~|{zv`Aj~wHlfBB#M-rzC*#_oUi&$*BBr=I_Y|L>QM@`elN z{c9gR%FCYkrayYoQ9jf1g#Wj1AK{OD@k#&3A3ee^EsgsB;m{F&>|Wt7Ejhx=(*DJt zws@Go`_#AmH@@#M&;RvP{_4)de9yjT{KG3Z^ZFlt+yB<5Z|0{jUhrpKb~E4g&1d}| ze(NUw)rRN%r@nj>|IlZi_y6d+oA@s?zvusA`c3@1r(W>C{@56A_|>BSW20j{{knhi ze>G=}A6occi$Z3pZ?sx`)3P+{ATBp{{#PWh=23+kNitFAL5afm;9g3 zKg9oK*USFrqX+rP=uiB&96HFq*|92l$D$pZg!a@&Lc#{$KdV-`vk1WB=)YczQp7&%s~%uj|{-pLp(9{!>5Q z$M<{x%kO;eK7R7P|MnME@8fU%;n)7@XGZuhultSvg9k_W1!sTj|843BPb+`Z|H2mn zyd&~Ef5k-s{@n8K{r~y;UcNA}=C6C-UfwwKNB@uAd-)&!@+W^v^hREH!`uEZTz4aX z;_*NGqrbm_KU(-#{}uP%z*Bn|-_>{nfBhGXfB!ps`1mI*{5vCi_}9+2^7gl`=MVnc z%8Ty1p7%ds<0p4s&+i$u^P#7&<9EGj=QGz|$9K-8@SA>nEr0K2sr)OG*Ydl6pUQ74 zyOwwULmK~we;VfZUgqGt28a1)e&^t4UcQFE^TBjp8@h&nWiW#e+OFY`{U(F|$0x4l zH{YMh|5A4~fA;(={_$_Vn=k${i$8SfyZL+W-NIXbyqmw&oy~i1-pzl!oXwy5(=LA3 z2e$I>+_Q`Su+hmcaqZ&&`UARt;VORf$!+}GZCCNRvK;=c*t_`sPv!9bE8fK$g1Nlw zM?-vXRvv%kz!2}A%j2`ZzLGz-E1#F&ekK3W@AG+~{Yw7erwjPh-@TKk^%e4a3f{?c zUMb|(2e06{g1`` z%y%y3S?8DV4_tmJFMqX!=Y0PX{{1thyyD%L@XE3>{!dGTJm<+W{@v>b`GeP%^U+r> z=Fk1UoWDPCG5`3--Mryv7x6zfSMc5YFXC@KSHW$szk`4JP$lm^@DBb1YZd?9YZvk_ zeYT2s?Z1#0`Kmel*#*4j->Uh$0vGV-Zm!|=ubj^_Qfm1<*PqW{`)n-_EuF`&?yTdl z?mmx?e6Nnbv^c<14tn^W%Ln**fA;W0-{Cy}zIy)ld7MWY8hG)Oe*WHA1AnH&&x^0# z!Tp>r;FAAHLVbA3EK` zKR?pUAO3AO|M~wk^Yeq<{E7E_`RGr4yt1%`7hL7zrL!&k-QVuw|I63Pt9rWlvkR^K z%vU=3?Za*Su)C8#`HMFGi+elxM{jNC|6}ivU)&=PWsrd^>Yy%kKo@jEH=coK;hA_g z+JLs8O=uh1h_<55Xgm6VzMxO&8~TX8qR;3%#sFi1F~Qhij4)OhGmIU^5Mzlk#n@tu zG1eG!j6L1~-UZ$X-VNRn-WA>%-W}c{-X-2C-YwoS-ZkDi-aY03<^tvf<_6{n<_hKv z<__i%<`U)<<`(7{<{IW4<{suC<|5`K<|gJS<|^ha<}T(i<}&6q<~HUy<~rs)=04T{ z)&kZ9)&|xH)(X}P)(+MX))Lkf))v+n)*99v)*jX%)*{v<)+W{{)+*MlT)VV}v6iu> zv9__svDUHXvG!pDU<+UqU>jf~U@KrVU^`$#U`t?AU|V2gU~6D=V0&PLV2farV4Gm0 zV5?xWV7p+$V9Q|BVB28hVC!J>VEbSLVGCgsVH;s1VJl%XVLM?%VM}3CVOwEiVQXP? zVS8bNVT)mtVVhy2VXI-YVY^|&Vas9DVcTKjVe4V@Vf(QMU@yR)fV}~G1ojH-8Q43p zhhQ(ko`Ssvdkpp(>^azbum@o;!k&b^340XwD(qRt zVlTv=h`kYeB=$<|nb#-5D58GAJLYV6tAyRnC3 zFUOvay&Zcz_Im93*!$rFz!!i|0N(&U0(=Gd4DcP`L%^4SPXXToJ_dXZ_#E&(;Df*y zflmV81U?FU75FUhUEssOmw`_M-v&Mod>!~a@O|I|!54y01m6fg5_~22Oz@rHL&2AV zPX*r!J{Ei}_+0S4;Df;zgHHzE3_cosHTZ1s-QdH)mxE6S-wr+=d_DMl@crNe!WV>3 z2;UGsB78;ojPM=dL&BGYPYK@=J|=uk_?+-P;e)~#g-;6K6h10^Rrsv%U8N68zASuN z__pwI;p@WZh3^X=7``xkV)(}Jk>M-DXNK<#9~!(Y4Ido7IDB&W z=J3(stHWo9?+zaxzC3(-`1bJe;p@Zahwl#z04xAZ0Bit^0IUGa0PFw^0W1Mb0c-(` z0jvSc0qg+`0xSYd0&D_|0;~ee0_*||11tkf18f6~1FQqg1MC9~1S|wh1Z)J11gr$i z1ndM11uO+j1#AV31*`?k1?&Y31}p|l25bh52CN3m2J8k52P_9n2W$t72doFo2kZw7 z2rLLp2y6(92&@Rq2jt3T!GdDq&S%R$y0PSYTOT zT3}ljVO(HcU|wKfU|?WjU}9inU}RurU}j)vU}#`zU}|7%U~FJ*U~XVVGV18hKZ~&Bk0%==o3L`P7~#(H?5wlV~5c_bl2=?LUk5Q-98)Kh(do=pXg>Ec#3RKa2j;c$~#} z(D3y8V`=Ix87Vn4N*IB$T zdVgo}{^)(4#rvf9dlv7P-uGF&Z+ib{@&0K(oW*>g`EeHWgXYUw%omzJXEA?hKApvU zqWN_e^NZ%&SX+B3WpJ{$aF~4cPM={@N z{zozYX+1=-9%y|;u|8 zu|8?NMzLOL{YJ5VX+1}=o@sqYvA$`&N3q^%{YSC>$sR;u56C`5VIRm|L}4$;eneqE z$eu)DPsqMRVPDAJL}72p{zPGa$R0&ukH|hnVV}reMPaYVennxw$eu-E&&a+-Vc*E! zMPcvA{zYN`$R0*v56M18VIK+mM5XJsL`$ZJ{ z1??YE>>sqBM6sXH{u0IhLi zpV9sn#r{V7T@?Er?SE11f3zP)u^-a@7{&fb`(+gSCGDS4?4PusMzNpL{u;&pO8adT z`z`IiQS868A4jnt)BYUA{!III6#F&p-%;$}w4X<@pVR&x#r{tFeH8mW?f+5i|Ktxu z;SZ315QTq0{z4S~0{IV7_z&byMBz`6e-VX$LH zUKIWw`F~OPf8-BF;SZ937=?dG{$dpVBKeO|_>bgIM&VDAe;I{;N&aRO{wDdKQTU(a zk4E8-l7AY7e@gzUfWJ!qtAPJX{;YsMOa85Ze@p(ZfWJ%ruYmtc{;+^QO#ZQee@y{@B-ln0S03IQHB7jc_uL$53!Y=~&h4733o*{fAfNu!z2;d#UKLYrN@Q?r=B77u(j|eXb z;3dLO0{DsWlmMP0d?kRd2yY4CEy7;{_>1tE03IWJCV{;6=iZ0{D^eqyU~Id?|o032zGEO~Ri7_>=Ic z03IcLDu7Q3uL|H*!mk4OmGGl32zJFZNlFI_?z&!03IiNE`ZMouM6OH!tVn3o$$N>o+o@SfbR+K z3*ddi{{kEU-3xF4R3^XykgNa)Ky?K;0MaGE0g!G14uGB|zyZ*+1vmg|ivR~eZ4=-C zsI3AV0JU9!1E9VLZ~)Xd0S6y-vu}T8VdmqfW}6E1E8@I-~eds1ULX1O92jm z##Vp>ps^O<0BGz5H~@MV0vrIn8vzc0-jx6cK<`d~1E6;)zyZ*^72p8qT?=pk^zH>X z0GbN|901J?0Sdb4P#!pt&T#0npqM-~ec@32*>3_XIcqnu`J)0L@JS4uIyW z00%&GSAYYcxh%i|(A*Z_0BEiYZ~!#-1vmg&3j!PftqlPVfYyot2S95_fCHelB)|dC z+7jRZXsrow0JQc5H~?CU0vrIXO#u#o)~b*kfNPfk2S96CfCHelEx-ZLS{L8|XzdGd z0AvdUH~_K@0vrI@3IPs)Y=-~`K(<7H10dTXzyXl05#RvG_6TqQWQzni0J2R2901uW zA^FPRUMs)>kS!D70LZopZ~$cM1ULY)eF7W+*+KyhfNY}x2SBz`fCC`gDZl}cEfwGZ z$hHb_0AyIHxu9h$X65K0LXU}-~h;%6W{>Iw-ew1 z$k!9#0Lb?f-~h-M6yN~JHx%Fi$X68L0LXU~-~h;%6yN~Jw-n$2$k!C$0Lb?g-~h-M z72p8KHx=Lj$X6BM0LXV0-~h;%6*$i#-&TMFAYWI210dg5fCC_3Sbzf{-&lYHAYWO4 z10dg7fCC_3T7Ux}-&%kJAYWU610dg9fCC_3Tz~^0-&}wLAYWa810dgBfCC_3UVsB2 z-(G+NAYWgA10dgDfCC^bAix0-HW1(d2rCG10E8U`H~_*D0vrHg3jq#*u!aB!K-fco z10XCSzyT085#Rs_s|auagk1zU0Kzf?8~|Y(0S}odh@l!cqbp0AVWu4uG(h00%(WOMn9)EGEDK5H=Iw00^rIZ~%ne1ULY~asnIx zVLJg1fUuqb2SC_QfCC^bD8K;_HWc6h2rCM30E8U{H~_+u0vrHgO92jmu%-Y9K-g1& z10XCazyT0872p5}s|uV~6LuBg00_$pZ~%mD1vmi0x&j;kVP63bfUvLt2SC_ZfCC_` zEWiN}b{60O2ulla0EDdtH~_-h0vrHgZvhT~u($vRK-gS>10bv}zyT0;7vKO0%L{M- zgzW`50K)nL8~|Z|0R}*&f&EebgpvpLM}1&_=mYkLeqet*57-~i2lhvMfc??FCslia z{n374fAj~~AN>RNM}L9+(SKlnj0dnk#s}CR;|1)G@dNh9cmn%le1ZKj-oXACe_(&S z4`6@1A7FpHFJOPXKVW~n&$H_N0{i2A1N-Cs1N&n>0Q+Np0Q+OU0Q+P90Q+M;0sCWq z0sCXV0sCYAomKM@*dOx~*dOy1*dOy3*dOy5*dOy7*dOy9*dOyB*dOZw*dOZy*dOZ! z*dOZ$*dOZ&*dOZ)*dOZ+*dOZ;*dOZ=*dOZ?*dOZ^*dOZ`*dOZ|*dOZ~*dOa1*dOa3 z*dO))*dO)**dO)+*dO)-*dO);*dO)<*dO)=*dO)>*dO)?*dO)@*dO)^*dO)_*dO)` z*dO){*dO)|*dO)}*dO)~*dO*0*dO*1*dO*2*dO*3*dO*4*dO*5*dO*6*dO*7*dO*8 z*dO*9*dO*A*dO*B*dO*C*dO*D*dO*E*dO}=us`+(V1Miv!2Z}jfc>$b0Q+Nq0rtm! z1MH9e2iPC`5wJh@Ct!c<|AB*dP8Nus{4mV1M|F!2a+bf&Jl60{g?i z1onr&3G5I56WAaAD6l{L)2Q-Sf&JmX0{g?C1@?!33+xYn7uX;EFR(xSVPJpw$H4yZ zmx2A^KLh*2p9c1ae+}#pe;e2z{x`5c{BdA^_~*d>@YjL;;lBg>!=DHChkp<34}Txn zAO1hEKkxvsKkxytKkx#uKkx&vKkx*wKkx;xKkx>yKkx^zKkx{!Kkx~#Kky2$Kky5% zKky8&KkyB(KkyE)KkyH*KkyK+KkyN-KkyQ;KkyTKkyc?Kkyf@Kkyi^ zKkyl_Kkyo`Kkyr{Kkyu|Kkyx}Kky!~Kky&0Kky*1Kky;2Kky>3Kky^4Kky{5Kky~6 zKkz27Kkz58Kkz89KkzBAKkzEBKkzHCKkzKDKkzNEKkzQFKkzTGKkzWHKkzZIKkzcJ zKkzfKKkziLKkzlMKkzoNKkzrOKkzuPKkzxQKkz!RKkz%SKkz)TKkz-UKkz=Vzx*mb zK$by9@ddIjbSOSS>c%q^-yoljHYh$qwhe7me1&W~`k?p>**El2@g1`77z4$J$g#l~ zDZWIG9mY`cDROKv#)@x|V~=;B_!#+a@QxH;Bi|j~q2hDoyTv_vBi~npgavv<0vU ziXW7=0yabOhtih7rYL?<+8Wp##Xm}01e>JzNolKKvlM?RZ5eEu;y0zOgUwU?r?iEz ziHaYUwh}f|@u$H4;8Ue-g^g8wtF*na!HSQSwiz~B@wL)+!-gw9SK4;ic*XZh+mAg! z@xgL$z#gIaV!3x<4^e!w+*`26D85`{uZmU|cWFzjX6)3CQ;k5hcN z-21QxVlTv=sQ7WYS7Ogp{JGpqv8O72UGBBma~1zC_hRhHil3KzHTG=9-^;xmd%EKH zU=`_D)3p<`Gxdl z;M1t{4e9H^=TYY$(iehHq|QgAuLPe-ou5cw3OAiONcxiSDb@Ls^flpgs`Dr5i^3;W z=Tp*Gh0hA#Rr;{nd6x8T;p3|FF6sNi2Uh1{(l>^Wtj^1%?+hOrzBGJlb-pHjZTQ^k z{7w4e@X6Krob=VC3~XSLb`u*N4xq&i^D9047l9gAywMGpO@Ji6ww3)cK;s z8o(Ut{83^NU=npcDX|JLi#orQSO%Czoo`C41I(k&KP46dCQ|345-R~Ssq<5brGTl_ z`6{qK&RZq+0tQp(u@ajBqp9;+iQRzV)OoJNcEEV*yjNmBU_f;q4D2s4qB<{@*bx{~ zohM6d35==EnJY8aIU~F~XF0nT-xH^xQ*c=#Lo!3k34h*l(^Ch+i##iV4!2S_%04g3paswz= z@dA=NKz(ot-~d#7f#e#%0f2kJ^T9=c15oh^lB)m*pyC%Km+>>=091T~-~d$oh2&zu0jT&4$<=@ZQ1Kg*%K-DlZE zS8xC-9!qkw-~d#-mgH{10jPK`*mueCs(3HS{elBf@nDi01_z+x#UytO4nW0|VSkYv zGwnB$a|ZW}{YS;4Np2b(fQna>+%-4=70)KQZEyf8-c54f-~d!SoaDyA0jPL6$(@4( zQ1Nt&4U9_@p_WG2M3_y`6Raw4gg#~_Iq&u-~d!SpgbD@ z2cY5w<=Fu^02NOt&lbP|sCYwp_5cn*#UskI32*=^UQwQ1fCEtRjPh&)902({^2|fU zKgzQZZ~!`Jc|McfU_z1r#P#E zzlyUfZ~!WvRi15u15oj<^6U#7fQpBeXJg<1RJ^P_I|B!x;%VjC8aMzIZ!6E<=7(iU*cwgWv#Eys$hw1P7qviRIZMH~_;Gob3=TlWm&>zeZ~!X)9C%coNvrsD;8l5Mt>V|^SvEKT72ht;y1@ac_;=u8 zc_yynD9~^*+@0Vx& z-~d$ozl;R{2Y}cB@B@ey00)5B0q_TiB>)G2*aGkih&2EQfY<}@4~Rto2Y}cF@Dqqt z00)5B1@IS$WdH|&*aq+$h;;x5fY=A{ABcqj2Y}cJ@FR$o00%(WU&c@%mI53AVk^L} zAl3pL0Aeq|zaSO^8~|c7z|SC70~`QiH^AQ@mIE9BVmrX^Al3sM0AfGD{~#6w8~|cN zzz-o-1RMZie;GqU*q?&~Kx_#(0K}SrZ$j(|H~_?=fR93K3OE47s(`OT>dkKzyTl@ z2Yei2bHD*0RtJ2Y`u&cKZ~%n;Iru`v4uJze zED`ub#1?@AK&%n?M#LV013)Yi_(;SifdfFSlH@BXb_pB+VSf%j6R}O;01)d0z7w%e z-~bQ{1wIt9QQ!a&D+Rt3u~Xmx$d<~ODzdE{{3_X64h{gZSKwa}ivnc5i14`0I_4>k7+OA-~bR?Ci!LU z_hvHo4E!@<(ZB&9HVynVV%5L_Aa)J>HDcMo0npyY!2uxF4IBVs-@tz(77iQ$V&lL8 z5ccQb%Mm*V4gj%q;L{OX2Mz$ScHr9)dj}4Hus;VMkJvnL0EGQH_`h(!2uu^ z5$6$zO#}ykSVf#yAa)TP0Ad+&o`Kj#Z~%yP#CZo|AHe}2782(nh>ZjXfLKYKmmqc$ z8~|b|ah`(MN^k&(wZwS~`Cc*x6S0`!01%rA4gj&5IIltMCO81Za^gG(v7O)m5bKHa z9>jiv10d`#V?q%d3Jw6VqBt)?>?k+@#FFAX39+T%01#`6^CrZef&)M-D$b)2n+gtq zd{vI~D#WgW1CX(-!~u|R%W=MiSXXcWh<(NR7h++-0U$OO=VOSK1qXoGS)8AdFU`RL zAhs490AYWQ^ESlZf&)M-F3#f+n+pyAvAQ^~L+ma%0L1d*JP)zG-~bToi}OD6{W&-Q z!~)|y5V67F00=8^oEIW?7#sj%iE*BY*kW)1h&9G}BVv!i0U#C`=aGm_1_wacpX0m| zvCH592>WxKXCk&48~|Z|j`L2$K7#{5EHutT5gQE-0I||IFGcJ$H~_>_<2)6y)!+aK zYsr{v#9rh4m9Q8G2SC`L<9wE|8V3h}*lnEOB9mqoBqCmlm5Pe z*G+%k#Y6wHv6udAgpdBo?*aNVF!ZM#s_D;5BP-VJU+aRx@N_`NJ005wNf=K?`dPSy*Y< z!ulf?Mt=)|RZUq~?zDxq%~)9GtcC5Ev#{cM3+s(pSlWVx)h$|B;gW@QEnC>u6$@)# zwXpIv3*!!J#O<_3oGxp`>$XN59&5zowMJY%Ys5ETjo1gRk?J99ByZRnX%AQbI+v}H z>=kRIY1JAjTeC*|4qK$cX^U)g*&;1&TO{3Mi`08?Ng1?7YKCl){9#+9 zBVdbc3ECo!AzP$0Y>V_y+9Fj`wn*-@Ez&k)i)7B)B0J`6k>Yt;rD6eZc9m4|v`70f)yv;PKiATt54NZ_qwaJ!Buq z8@3O$2kZk`LHoeYkbR&eY#->Gv=3BH*#~l_?E|ed_JNF9`#{5-eV}OGKF|}h52P;G z2Wl7X0|iU=fzD<7K=z7#plQ`UP_||t@Hi2JBakw%C73eM7)lu^4W|tBPo@l1O{EOvPNxjC&7=%u&ZZ3Pm`fQb zo=+L*jin5vEu;+8Ev5_n3>>C{;3OlmA+ zHZ|5Tml`XYPmT2~q{eC&Q)2~7sj<%G)L8aPYOHBBHCDEk8uL5SVinG`*fv*Mti_!c zOZTM3>b+^P?Y^{F_h4GAW+*L|Kb#ip2&Bcf1k+-Tp|n_OI4#ycnHH;>N{i)Ar^VW4 z(qfsjX|Wx1X|dw@v{>&#TC8p{EmpXc7VBD0i)~#=i#4yN#md*xV%*_yyPXcV)8%k` zJr1|W>u|e#4!3X6;jSKXxbub`?)HGgofUMrcZMA9lCZu@*BIow6_4tLLj!(F@Ra2G5&+?~q~clL_I-L&d(m#sP6en+~y!kO;g=1OF&l*y1O)-?(UyVcUMiNyK|@0-EA}J?#$VA z_l~)Ackz6>yLTboUALI-E?i1?cP*#8x2~kSn^)7_~!8#!N{#W2SF1W2SN{VzW2Sa7W2Rs!W2SRCVrfoKJX2)FS zO!0i?Oz%SGOx73iM581uCbq0y)!Jf!5iqK*L;CplCiT(6f*g zs9nqo6f9*0I+wEo*(+Irrq!%K*;-b>@7NNkaBc}~b8QK}9Vfd)ed7UUvDimwkiT%hf~K%X!1u z%k9DJ<(;AI<&tpra^Gb3a^+O^a?W)2a_emNa>HEqa?yPDa?e8ca_wUFa=}vea_36+ za?@(|a@kt;vfr_Fxx%@1d7EqNa*Jo{a=mx!@^;_W++7dt;@ypTbFwmwl3E#Ze1>1+Pd7evURz6b?b8Z z+SX<6a5~*Cr_<|kIz3*e)8%tIeS=PC^^nt>~!``I-QkMPG`=v z)7d)fbT-U6okjCbXU~GuS-a?T7A!fPohwdf)2h>1w&ryD9ow80u5Hd1&o*bhcbjv& zZ=17waGSGcXqz*Cc$>2$xXsxZ+U6_`Z*%rfZgW;mZFA;MZ*#WIZgcLK+vY5u-{$OH z*ygNT+~zD?+UD$9+2(9s-R3M`+vensoGG^}XUglzneupZrd+<9Dc@kuRP|8KRNipT zRC_RIYG){CswA8<)i;?lRXLqA)jFFq)i9ScRWzS7)w7T@RlAroRj`yZ)wz;0)wG&3 zRkoHh<#*&xRk(7eT0FT^_1@g6?Y`Wp?!nxtnxWjO{NdcGj$rOoV<>m3G@LutKbbpK zHJv-vHk&)OV=i~9cs_TkcOiGGZZUVNa4C1HYbAH8c{O*cd@Xm1JMxCyuDl_yCvV8( z%^Pz0@`ikac|+C1c|+~NyrG?;yrGhC-ca9U-caRq-cajo-cZ9_-cZqe-cZj%-capg z-cZ3(-caXC-cZwO-cZ?E-jLssKUCq$A8PUB57m3~hqn9jhq?#zhiZoNhdP4!Lye*Q zq0(^vQ2%89P}Ow)P}^+&(2lwMq2l@cq27i3p}NKVp~9v7p{|wuq2|^6q4Kr-A?_$x zbh`=`y`F+akGEjaF(*=vIvjvL{a|Me< z^974N3k8d{O9hLaD+P;9s|AZ?YXysbN8w_Ht8lT!Q@B{~EnM90D_razEL^M^E?n#g z7A`i13KvVmg^T@@g^N|wg^O*og^N4p3Kxs#3m1DA3K#2^3KzRp3KyGK3m41R3KzM< z<#oGUUa!aH_4r&~-=ND|J?!$f2VLHsA(yu#?DF^mcFC>~`;tx$WNK`R(4`h3($DrS0CXmF?c<)$QK$we4Q+D4KJ-isrnYqB)PR zXwElSG*>-bG}j(1n%fyFnkxwx&Gk(d%~ehp&9%-J%{9yy&Gjr4&DAay&2_F6%{8qS z&6TYc&G{Y0a}}=QxfW0HT)nS&u6wX}u4cG+t|M4H*BC0ED-9RV^-mVhRZSPqwapgK z?U*l~>s=_Gt6M6b>sl$EYhEp$D_<*~ZmE2=Yo&a(d98evyW9@1$L;X=+z#KM+fhC2cC-iGj-6q*qi@pfsGN2? zT4&vkhIzN6XTj~LU2;1*SKN-KHMhg>s&KS;DjfB`3P<-~g`;M;!qE||a5RQ19Q~6O zj;iSjN84#3ad_$nuTgO!uj!< z;mXOr>B`C0*~-a=`O3+jh04j=rOL_9mCDJcwaQ7qt7@{vQ#D!dtD5W{teUJDuA1x! zR!ugBt0w!Wt0voKt0s5MS55XVR87_`RZVuSR82OoRZVhN^`O^NJ?QaO5Bi3y2it?y zgFD03gMHK0gRQgGgAMc5gFOq?gSAW5gPkkYgH3DIgML@dV2h__u-;cQ*gae`*b%H5 zYz)^7_D|Ogw$0WI?wGF`>|LlCtXrxX>{_WAY+kDw)qA>!>pdO8dQW4x-qSx_?`fN@_w1Oj_w+8+d%9NYJAi*Ltl_wbI{ zj^K{j#_*2W{^=dFZSy;3dzW_1cCGA~ZC=|k%UwHzUf<53Z+K_0J-jp6H@!31I=?g6 zv$QkVxw13Zw6-(ocQpoEe2u~G;l^M`xG~s2-56|}Zw&S>H3qv@8iUPijX~~eTJidt zR(!)vEA8Q?mA>hwmDc&Dm7b-hmCm)M6~C)_rN!60(mmX~(h+W6>7Q<1X`63e>0N4G z=~`=E;Xbd+H|%w_hrO=8X|Jnw-s|dF^13?Lye_}5#nnCB;_3*uxcaACTy66$uHK~< zSJzsLi~CxqeZ#HO?cvtxzWLVao~735&b8KQzprh&d$?`7BiuIKKi@XpyVN$_wbnMx zeeJ`(aQkrIeEV?EQu}b{TKlly*D>53?ilW$?-=e~>KN`?>lo&~&Lv;CbE$8>bE#*o zbII@PTIvpWE%ncLE%mN-E%C6=*EjF;^{n}P{&2Uif4j&_d0xW zk35t?2C}Gwy3p~|#c97l`q3Wy3n<^7``SF@58i#p=TZOqL-&3HI-VMe-UA)6nG^4Y z4yqo34y2(2<D3Vco-Y<5|`kke`jZaF~=Zd zgF}pYh8YV6h*t_ZDBbc3%kl|VXZz3J3e4x z8G{yf>5zpr3|rVu0ShY%TG(|V3+oA6*zFMuOP#c^i>55BcG|)Y&RAH%tc6`YXJMW5 z7ItgQ!m<}E?464i*0f|{N0%+EY{kNET(vO&nuXozu(I=!yS!G` z;!#)-i8oCt_B%Wx>j>ShTXn|BtCN0drfe?!G6pX?D%7*_B<{l}r{TnUR%UnQY3g zY!hWyc4b%Aae)^17N{w3>$a2{DB$ich1LaP`BGY3py01SuiHW~TWjbAT%gqD!pEoc z<{bIE&%^Uv?!B3@wY=|n&;S4box-fp_2R71NNHB+RC!itvN9|5l&cMG;cG*4h1$?L zu{P8#)rJnrwV`pPHgrd;4YlaCp(fGQEDAYwPu`a?(brD0Zi^xh{#L?;^oL(2vjk<_r)LZKI`p6ZlJ~C+6 zM~*x7kqvHr(AP7R2i#7Zdf7zGQvmNUA=vHeDaNpRJFy=jtPS^YxMC zh5E>iVtr(^R3Dix*GJS!edHO}5ZS~xL}m*OkuzdLq*H2$?3WuNE0u=GEv+Haq&Gx% z8V!*}W<%t%)esr58zRS?hRAxiA@VTV5ZM-Mh|G^SL@p#6BE89m$l+8&WKFsuaxdEu zY0EW4_T(EP%L)yV>&1r1NU0%ms@xEntTaTPa*dHKd}Cy;&=@%hdrF*2?+ zM(${hkrur%vdd_UEHN7+SFOg#klh$L;WS1zx{Z;?(ZiyfJbq(HQAZHb#!7 z8YAn{jgbf0#z;r5F|se;7+FzhjNB|XM#f5wk<;bINVw7%nc+B1<2g+fIL#6{jh8sh zkU33OIL*;HO*c4AGC9q*I8CrQ&2%_TaXHP6a@y7yr_GCV+W7>h^&~m%P>R!5r#bCz zmeX2uoVGj9X-f;7cCE;1!zE5TS?08f3a35cnzYS)lQu_a($0!aT9?$M9gv%}RZ5d~ zTWivq^(Jk~Xwnv&P1+T!NgK4AwBt^bw!v-E9z~n9?Xf0pLA*)3m}t`al1mHfim-CT(xNNn2iM(ry%+w9!(NHeGJg)Jl`~jBD05@y*(7p;bOb+AY0V+i5gwi_B*2vem2&*v;B8r&(L?Hfs-~&DyqDvo=58tX)VnYrV;4?Qp7D zTa#|q?q!>`wp_EeC*Q0sD>Q4@i_O|dsaZQ!Zq_C%&DvA0Mccx+Xmf=Y?VQ-6bxSSU zLAga6S6Z|?dW*KpXwjCKE!tJ9MH{kPv=dH?w$W|T9!FcW9kCW|VZ24VlxWfVlP%iO zRExGQ-J(6nwrCx>7Hwa?MO#s5(QX!7w6Ri)cDmf6g)1%E4A+{~_|~i_v}P@_HOot_ zSwn8k%1Ue2(Oa{+(VCUa)~s!{W(B)7YdWo2#cj>H(bnwNSZj7(yfu41(VFc^wq_5d zTC=Out=YS|*6i+lYj$a&HG8etnjJ2+W>1z|vlErp>=UjnyP0px&Jo(OXT`Q`m(-R$ zAh%^#DQ(%?dRunNXv;1(+p<@zw(OwYmObvYWjDBO*+B!#EJF>fsj_eY%BYV~A$PU>Z*%MAjcB9*oeH`t`?ud0{7sflX zml7S>{$xk?XsRQ-F5QuRkn70q%Xef~6gsjuiyhgqQb+c5xg#5{bYy2ZUex@ShTqc= zEr}NmnHOb+7ag4!b%PfrlNW7^7X_OaO@|j1mlxeAFK&(T;=DL7o=@;%Pm&i8rFe05 zniucpcyV{07nc@z@mi4=hfBP8vdoJU6<&P8b&8w$PH~RVDV`NO#V)B+JRo<9tCUXh zw%#dD8J*%{vs1icb&7*_r+D1y6gRk?;-hG%xINY>E{J!E7ZaUgU$Rp?lIj%KraQ&^ zxlVC!zEfOY=oD`hJH^pbr#M~i6xB+n_>AikH}PHKY@tg$BXx=Ul`>=F;By2LfJ|^m-Qu{?E#A?)#a%|XxWw!h zuUg&WklihwaJt2fZnyY2+AZ#gb&Ct*-QuN0x7eTT7LTU7#dYa!@jA&` z6OW?3iS4o8#DaKl;$osV(Uq~6n`x3K-zQh@+FR@?lORQA-61Vie#7?6xvB>O8T(r3p(_a&AU`V!ZReTk7$U*c4`FELr^OFZTJ z6I=NH#9X02aZc(_9F+SL<4S+xj^3ZxW%MVOnEi>XR)1p1?oXU>`V$-7{>0;Ge_}_h zKd~_0pSYClPaIA4C)TC=6AyCziGBJ0#EL?H;%2cwF;?nNoG$k#!j=BS3@2C`FIax> z#j+&9GGxJ$6~S_J!O{)El1#y}ZNV}f!BSkoa-)K^H6~c|;(~QPDOiV6g0(s=Sa)-R zwL33ZOACT^tteQ-CBZsb7OaViU_Idmtj+v@HAfh*&PoH;0eQe$r3_fN^#N*9z_SN?XdxCL43fvm>jThA0Zot}`AF!4e2CN&! z0c*50U`>|?EVVLVJ>v$gP5huWTNt#?NQ2gXdC*#^3|hDJL2IWmXe}}at;_bHb<7#G z*1Ln&!|0&3EjDP)j}KZGl7rUa)S$H{J!sv_4O)BhgVwUbpmn`CXpNKxtyASeYqBzE zJ>`b1E&Px*R~WL+Nki5_dB_@9hO9gKkhRMgvX+=b)>V7RI^hgi8{Hx6adgPq5gW1= z#)qs+$sy}#YRFob94E)#>5l z-P~|-cYe6Iv@l$}RvIpzEDskaD#OJm+(>aVKT@0{j1ek{NsScOrbmkRb0fvQ`H|xC!btH( zailm}8YxbfM~Z4?r1*>*EpFmRi?fB%;u&eQxL+PEu2e>gxAf8CPGhvV$Q&(RwnvM{ zoYCTXceMC0I$GQo8!gU{j}|W^M~jD3qs2Ap(c-<_XmL+|w79G=TD)EwEuJcm7AGsC z#i!g@aSK0IoGXkK&q-s&gYsB$Tp26g(Z`CrjIrVpbF6sP9xI-3#)=!=vEt+CSaC;e zthg{fR=kuPD;`aa71yQ5iVt#Q#eMm);)=pp@n&hPc)C1R3|GdAGrrWM2_kPvB5%kd zFDoMN=pwHhA}^UDZ`&eoIwG&QBJV~;errtR=fy?-d{X2Or9^&pTIBEMM1FT(el|Zxn9ZM+X7dN++59SHHh){6%}*J#`NigJ{)#=DKkm%t zH@LI;N732*_SkHGL3}oUF*%z*lA6u0P0!}<=VtSJ^RxNoh1vX#(rkXZJeyZ5v-xM- z9Db89hd(3D;rGjP_?5~W{+2$6-)YR@7nyVT%k~`pm@|i8@6O>LM(6O`VsrTU@j3j3 z+v{6TpxKd#K> z@91;+UB+B~i8+_QYR~0QICJ@p?p*$HbS}RmHkV%*pUYoL&gGA$=JM;(bNL6kx%|HT zTz*AiE`PH$mp@&e%ZDp-`5A6rOcUnCENNcMkmto@WnRqD=f!kmUQ9CQ#cX?C%yj0( z6n9?Cjn0d0jn9jnPtJ=SO3jO{PS1p8SH? zvciJc_0odasq%u@WMx6@DYr1TMOYX+CoPN}lo!Uvm4&f8`oh>QV_|HGxiEIsUKl&! zER1b*7seh(7shtP7sf6n7sigJ7RJ`47sejs7RL7F7sgf;7RGLt7RFAO7skSsg|Qh< zGBiOlEJ-p9Su*^doZ;w_p_`In+mc~AlA*Yg;YKB6Yg{tUCne)hN-|cbCF5>RGIr-B zV`)J$u9YO?WLYvMDw6SpTV!k&78z%yMaBVnk+DiyWZc#l8B@k0W3jo&xMD9djysEt z4elc2QFM{9J-*1em|SEWNi8zgrWYCabBm0<`9;R^!Xo2FX^}BqUSz11MaDC3v9U>5 zY@Cr68~f$O#!6+eaZ6up>@*h}m+i&IF=w%{-d$`wj4n2|#TOeFl8cSQsl~>c^kU;) zZn3c^zt~t-SZrJ`EjCV-7aNn6#l}-^iLpgkVw{tf7zgDg#<;S?xT7yIc9~0ztM(G( zgtNrh=q@oHN0%5o;!BK6$tA|o)DmM|dWrEMx5U_&Ut+8%EHQ4DmKdkYON?-3i7~@1 z&1=Hayd^Eo8}ib;-#^Sd`qI2^F3sEa(!A*`%`5KGyc=Db-x^< zznfc{-(6UmzgAkBKUrRypQtR&KjD_;Hw(-1XQgHN1M;%`DrH&zw!SPsWiHEKv6tnK zJInGL+-3Pk(PjDV@n!jo$z}N?sb%@K>1Fx*xn=pi`DOX#g=P60rDgf)^0K^IS(bms zEzfTfmgmn%%k%r?<@uG$^877*d48w4Jb&3N6<3zss4Q=d%kuf8EFVhA^6IoK-_6PL?t&~| zE6MW7vMf(jWcdlVQr;}Al+Q{lkQ|3zfioH@k?yQtIxGUvH(UtP{ z_)7U=a;1DEwNhT2UMb(tt(5l`R?0U@E9L3(N?EO}l%H{{{{(tK?JVRq|wI zmHd<&m$wMx@;PZ-KB$b#cl2?2mpLw9wa4WX&bYkM9hVWs8DwO?7Ax}~p8?KIb>F57EU$DFmP_3ql#!|2-7w)ooAh2+}Q z;q=ur778v@UhJye<{4tV_*silYgNV@Zl* zD2n6gildu~W7~>jx{BjQ6=!Q)an2_d=TKU4?&cI{cR_Kkl@#Y>S#c&Rit~h9?`#&< zJ7=Z!&H-h;b6a2UOquJQEB1QlxU=5b;I4NbMb|sq^* zTJKDk*E?!uz4MIQ;A|2$IA^2{&VFTsb4%ag>@+txm+cMCF?WOWFuK9n7T@4pNN#Wr zr#CqFavPjIg$>U2(gx>Ld4n@q+2B0oHac5`jm|k~qjON%=-kmaI=jq`&Q*J(bHd%| zJdSR3cEmS2my#Quqv?&#gWN`EUtyzjv$WAUUG}}Gm5t5}H&NDviLxb4lnrH~?C29^ z-JB@f_C(oqC(3SgqP#UeQ9hrXC?85sl<(#y%DW2_hRa9O;qrrA zxV*0rF5fJL%cm>h@{FKymZWipqH&I{ak{B-wykldt8s2r{%?tD_?4y85jZcgKN z7c}l#N#jmdH0}wviQ6n};?7E&xC6>2?zX;(n=&_XSL{vPad#89ZqlN?&UUfdkUMm>!r=ysmf;Vsj!7RCvD*lDqFZa`W9}NxrMuGZ{be3Te!#3 zE!>Xy7Vc7V3wJcVg?o_O!tE<;;ck|;aHlIb4T>5y(4FFLxhZ{5VM@PVn$k~Iru3)6F8!ReOFyXW((jnN^sDwR{e-(q ze;nVXUrO%MkEVC&4|2QoeT7~6&C)LYbY+)5Bkayu((at0?9Msn?woD!&YAA+oEzVr zJD=R0JCxp?yIa_uyH?trJ6YMCdm`+~ot5_F4k&wax6M7dEB2n;ad%JdQG8GCVscOJ zNP181er`{0Z(&dFMrluOy0RztOxT+{Bkj%YSN7&^nR|1W?Y+5U?%v$P_}<)wA1UJdKBL;T}ZX_Y3=_8>RiybY;KvOgJE&Q4UDA%mdP8 z`+#)JJs>@dACN924@ig82c&z21Jd=<0qIobfb>*2D4kOdN_WhI(pCGQbizF-J&qrg zE+r32N7DzT2Ze*u&C)^XbmgElBOFRv%AurV9!lEwp`_^^O1kkw$@A$$$-9L^$!n!U z$&-~s$tS|$ujbs&XXxR5+SEryNb*F^?v%+DDTo z+@s0I@uSI0>7&U9g`>%vrK8Eym7~cS!L%*Kv>ns5ZP&EjxM`nHoA%v;XqcaPbR;>YZZ>0|c&!ZG_s>6krTIc7f-j@xIHAlkKBt_p@0cg-tL_Q=ar}gRDSg6zP&i@VES<1V zS5DY7!pV}QoGdx!$&&4!EV=QMrSs{NrMrcbrE8Uwr69%>Qbj3YYdK5oZ zx|lvyx?ea|x=}h+ny#EGJrkx&XO!vEEpxhb*_|#uj8B&?q^C>w3e%9>vcH7t?2i`-L;YjmjC}nQ~URWu6r-yJv-m@w38(^jYCv;jD1I za#nb%oD=St=Y*^7IpJ~qoNy_9PIyo_C)})@6K0h2amPF#x83t`H+?>Sw{Sjwt#Us8 zM7a>ZZC;39aWBLl#V^D!rZ2?r7cRtaR4&AyDHr3n%!~2M?#1}S^u_qS!o~RY%EkCo z2Pc`1I?y%c|(z7&5@xD>xxxfGvKY}0XV(@opv-GXgitJvlf<+6F(ylh@^FPo3j zm(BZy%jS*BW%HSG#k}QSF(0O{nD+`-%dH!D}o8Rc5R zajzBJ^tHm>%C*81<$B?^d%f@|eZ6qMaJ_J&a=q|Oxly>~-Y7gw-zeOx+$cO%ZWivi zHw%x`HwzCcHw!baqqu2Dxm$6RC(145wtGu?l)k0huiR3exwn;v>D$V^%5CMTdq;Vk zzN0*-+)-xSyJ@#_H~qxDmwuGKm%d-Qmwx8nPd}{OPd{}Zq#suvq-QFw`^0_dKB_!) zpH&{YPb-hznab0OKbaN&ia>?|a_o@h0Hz~kT7Yc{*&fLELdFSLr;zmuL*@%}k73OJ z0SA};Gt7g9F&D-DEc^`2$*`XdzXNjg3$hRjedWQDjIJEYe* zp{PHbG3U=@%!d*oz9tzmYEq$?KbJ9IQw#|;rI1-u4#oX>jD;Gh#;TEP68;>B|vrrcnOdt0j4BmOMo#6SrcGR z!n_1vP(l_3n3RxB0Y)WcRe)Iu*%e?|LY8F`GA+Qigp3RF62ic~gbWPw5+EA`jLhIU zLtX-8X@IE-*&1MMLe>VDn~=SkgbWVy5+Iucj84evuz4LczXN#*knI7+CuDtq`3cz{ zV1Pmv2zUvQ4N@T^T$V-4M74Q-uTLp|&$XWq& z6|z^rV1+D}3YjeAB|uh7h0GT65+KV3OjpQusgUtPUIJvl)PH(0F9DdakPQPyEM&!i z84KAlV8}w240s7JF9CQ7kTp{wa|Y~L$e4aKKA|Y@7-iIa*hcmjGEhVCq7)4j8+TwNoK;hr9&H;sGxKvU$KufUF+y z5+J(=3}49d0n-<}s5s08DGhwyKbEMP34AUscG!A};~5vA|0R_7UVIK$aGm+K{aU z#x`Vafw>LYTVQZQ78jV@kj+&gql>%*$nFBe8?wB>OMq-I@Dd>F3%mr#{sIFWvcSLu zhiq^dGQz+Lhs-eY5+F+qOmWB-haqDOtZ|%|5QYpgu*e~kjJyQMDg(0|vdh3Qhb%KN z%^}+ijC0631M?iR&%i*3EOZz$(a1{(_D|#`K$aSq>S5$1gn_k=^Ado;4q0qqvO_i- z810bN24*{Cx5JR(29`Tyx{;RvS#MyzL-reZ36KQ`UIJvpfe{Z`@i1h@k(U5ja$w3s zwj3DqkTnP9JY>(qkU<9)J!I06mjGFHVAex+9T@hIWe27`WZQw409kin-b3~s82Dl2 zB|tVFcnLw>0PK9o&?7Gavh~2&hpas?_aS=^41UPshar;>Y<|e-BQF86`@lF9FyEFv9>?1~AhA*ak4;AdI{OU?0E?1YjY+Oaz#h5XN~4tY(70 zhku5=1oq#;pFv&%`&sZak(a=J7yM4-C9ux|p9y&h?6bmWMqUE@F7Ta@mjG-C_MMTJ zz^(;c6XYeZYX#Q~c?s-V!Zk%+0=w35&5@VD?giWvz?KXBnPpPW&yacwE!3NQJ*IFM-waP}3tX0p=wDFCjSpA}@iR4d9G` zyaaZ3fHMU064==S&KSr`U}q0FgCH*fSZ?f$g1iKFc7Zbt@)FqD2F^IhOJHXoI0GRs zft`)ujD)-dc6Nd@6!H>)MF+eDn3n*&1elk=&S1z(fO!e*jE1}fc6Ng^9P$#_*$&Ql z$V*^71~_{oFJTgS3GA$h^Agw@5_t*iYzb#f%y5Ac?s++3}<5GC9tzHoSBiAz|PV*FM*x0k(U6hLUsm6UIIIt!xt;i9^z*ZPlNax#M{8< zDd2J7^TuW+;=BaL?;xHB@jZz5LHrNmfe;^rcp=0OA)W~FMTj>-{1M`j5TAs2CB!cw zo(b_yh<8H#6XKx|ABFl`#7`lf3h`BN{RsFg#A6{o3-MZTJqma(#CIXy3!MFd|3W+% z;=>RxhWIhWlOetg@n(oWLp&Pd(-5zQ_%+0{A-)aqZis(FJRIWVP+yPuImFW;z7Fwr zh`&QT9^&&5uZQ?O#PcD(5Al9*KMQz3#0Mf?5b=ZH><>I4+%E&(5b=kIM?`!g;uR6U zhhWK2>>%w|8Lp(3ydlB!8_+P{WBR&}M!iXP6JTc;n!Py^pV^~iI zJTl^w!Py^pWyCKdo*CBb0q+d!d5?H##7Cq4HSyDkr{=TO;k*#=)`-7GJT~I95wDH- zZNzgUz8mr0i2p`BIO4+*FOK+e#FHbw9P#FeKSw+|;?ohYj`(%Nvm?G8@$QI!M?5^@ z<5Az9_<6+BBfcK-_K3enJU-&{5wDN3leXT z_=ChFBt9YW3W;AxJVW9e67P`shr~m~^M1ff#D0KBJVopmc-TJ(_>072#C}7-Ys7v; zz;ncYMZkN+eg@1-fF6KHd`RL&Vm~C{Nn*dmBi{r9Q1n2>H z#Q!B8F!sA)UIO$00)8;|$35Z;WB)wh4`Y8l;1gs2J>VB(e?H(FWB)$jA7g(%;3JbB zN&IBg2Rz~{qka(Zmx;$rd}h=i0)8{<69L~D^^1W2jQU2vherJ);76lA67Z!_KMDBL zsILTkYSdp~UIOD+qdpVxtx>-T_}8fK1bl4LVTqrO`cS~vM*S$@Z==5C5uY3NCy)5u z#PdeI%0vAs;D4jO<)Pjc@WN3KW3v)*UIOT40dE}jw17uWd~(#^0)9E_a{=ER^*fLF z=fp!NK04~_0Y4q}!GN!h`eDFdM}0Biv!nh9^Aey3;1SQA`0l7*2K;x_H$BulJ=8y8 zUIO$0Jk&=6zC7xu9_pz9j~?~bfLD)tY{0Wey*A+8qn;b^@QII4x;yH@0Z$+G;()i0 zdUC+yN4+`V^`jo`p*|h({fYOFdbUUN0BAk{>fgcq0GcO&dU-H!0QGc_<`K|*0@UAw z`2{r30QLG{-T}=&Kz%=$kHCdOJRb^iLMSANKEFWnnFX@XDNuYif#&lNb)SW3_#8yj zXCPWW|Iqf?hmOxZbbaPw#P=seL!r)CDAW`Wg$5F#P<=8K>P>}0ZRt>GBpV9V=0c(F zd??gX2!)1$9{jW0uxz&e8_0Sz3cVOY3uHY3=STZ8SPd>x|9Pn&Pvxfy6AWJ~>P4P0iBU(zCRY z>@2M|H%sfz&(c~7v$Ub&EUmFLOY1Ms(mE=$v@xzW%k#BaPN>ZaVr{lgs?GMuwb@ps zHao1>X1k2qY_nOL9kgn*4R&p|&#BF}yS3TTXl=GLR-0{#*JcM2wb}Y)ZMHX6n{7+i zW=C?h+3tL8wxv*;9V*sl8%wp>{&H=$qf(n4cqBmoj8)K6T9PY6l1xWeWKm1PmD(E6P>a8L{q#zF_5fJ^rq?)ZRz^NNUlE7ov%-{6zUU0#ri~J zsXozPu1|DS>JwvJgT?a=7AG`Vg4AI3$PHGj(qIki4OW-YU^SZ!)}Y;B^*IezyW3!m zMjNcoScBCRZ?FcE4OVZe!D>r4SR=Uxt2^IdwGo7l`#aO|f>jDK;8yigm`DVgt#h zSZ}H+)|PIHjpUkQ-T9_iOQ9(?RBDR#mz!c8m8RGj*KGJ4Lqm|7jUKt#XjPhxVZGVt zGMkM-yV>Y-nvHh1*%*yB8=diHV<6dV^ro7Pwsf;Gl4~})^UX#}q1hNJH5>iqW}~Ch zY>aU&d0uGA3sOtIM{dctDlPe8y(Qmew&VxxmVBSnl5clg@}tp~d}q8RKagz6_oiC% zZRwW$NUkN{U1-SL~Xp9pz!Yqugb7ln3pOa-Z8#9*uUCJL4VYfn-OyH{DSl z$#s;w3mxU5Qb)PJ(or50cutUbu1DdyVV&o?Or9IGd9KgpxzQ-kb;fyaAjxyRX`UO& z@mzO-=Y~o=*I(heF`+XmNS)Chr87FLcSgI+&ZwV@kM_Bp(b0HkbRgLo?M-(^M{=Ff z?m}mDsMHzluXIMogf3l>y7V5UOCL77^g+8z?{mBK(Ri0WknGZX(_Q*Vu1oJObm>E- zF1^3frH={SIYH{q^(fuBVY53oXm{uO-0s|HygN6L?9TP3yK^Ij?%YtRJJ(<7&W#B@ zlF#ImhRq&n(C(4?+#YE(-Xjepd!*iUk2F%~k%me=Qh%jK8WVbxg3_BDHhYtPRy^6~ z_9jQ;y~%-eZ*rv2n;a_jCi^SB$uXhN_W61Cu-Ru1x_$O&yw4s;_t_(bK6|LtXZKh7 z>@lIgBq;r*VY9z9==PUJvyd;dQ_ zpM3E3jotsBuW#1(R!pq_8Dl9kjUXhSop|Mct3E$v{Yw*n{FAERZ}|B8Cn`Uz`u=|B z(I+N8^!=*;?}NYn<%#rn7{i(Q0GEC2`x8sPRrLeLUaOr9{TpLGGc6KlCfM>bD>_oG$+;Gb>UH`(^bRUhH* z&!3ol`+KW?!n4QiN%!TdukbtHzB~EJm#Y54Yw5`3^=DO|;X8l+E0d3JRsDunHotZ9 zwR2V9;eY(fJ0`uuRsUi0XMbz*$J?tu#4ofbCf~ZQ>PM6hd~ov33#-1wCGYy<$#3;l z{fQrc^~00DUq2K06u&y~*OQbR)4hM?yobZH`A*A?%jVox%%@}|KhKF^|O;R zA7cz~rfua${&8~I2N@fjXV^#m-Z{&YI`N@W7Op^m`Zh6BjHTu05S{-O2 zpPXB-e)x-wdCs)F`c0gw{dLtJ`J+=Us{Ed+Px99uwX47UhN@rkb1!$QlNYPL$q#?H zM?JQ)>Ytqae7{;)QuR?@{m!8JiMFbrQmGqNYkyGnReoS}w)&@EU@Uj0QT~ZCPksJp zRiEYl0}Iuazh3oQzV+&2mAk>1@J#DG^QL9$*4Td>cjlhN5<9fG*tbV z#^=_m2ftbMWnTN{dR6qQ{>2$!qF&?^gYqjSIG@|FO5~+uXBpyQ)i7 z|E6Hj2C z-%pw9SI4V<&nuriq1H83eV@rMPOESKDr5CC|L4hXol)QT=T#r*ul)GD`js~^wm;LX z=hWHiZy&AtLRWNORlP-3f9N00zM)RN&NL$C6Mg53Tk6KovDpDk6E98NRp0UMs&Djz zJ0GYAu2ub`pFR9YeXz0WBRz8Fsan@u^^?w78&Ut~)vB*_>f^tp?*Bm5Uphy7quPDD z>NEYrPrXThAd`W%HX0sKT zXW+(>x2Qv_s=m~p72c};#ZTER2IetXb>i36zxZU;r^*tHVr7m1t z^|vmxen-9WJvL*4c_+lLy;~hivDp*MQ;|OP9(Dcgs_*st|N47sX1wZu{p7Lts(<`r zHp7B>Gsa(ipIZ0PsvkCd==ar6->v#$zn%Yp`s4AcKX(3}52;vzQ)GsZr`e%DL{E6ECA8ZB(^Oo%V$e*fz_r9v1mS3JyzkaIf ztG)W6KUXgaRe!B1{)Kw{AFDpwe~N!ZP5)ZeZ~O23U#f51TJ_z&Df(CH$9~3Ug)k4y zhkub)Pkp57!@Y6;W9s#*RX^@uzV>nTKWA5cxxaSyx%%Z-tNz^od^Mvkdu!FF`{mtP z^@p3PeqH^QSJZhwVKYsbZ+GjOzfu48532s%T=G-umrk-7D9qdQk3)Z_8XeaHKkwPM zeOlf4O4Zlvs>cktXzTfZu&F9qv ztE&Frm&Wtzt3@`$g?W?y@O}SB{oLECeqg=xi|VS0sxSEb%$L;0@32`g%;WU)*S@Uo zepl5e+4x#SL#nz{fqj_%WT#R^H8mP&%dgl(W*Y;-*cku1^bK_i)5a$KmCjE zsPFx|sxMg{_)qm+SE~Nx+0R~6f7W&`@F_>W@jdm`RMoG%d;1U61qazoALdp2H^r*H>Tmpa zeYkI7)nDEI-iGkIpR*ZH%)40H)fj&F!K&Z-=1&^K{oi3Tp_te4y$LSd@{DP(%mXdPM9-V*-M=h!SN=CS<1_gliVPFH=}ufE(G z{^v5AUBx_^f1$R8m%gFu+gAR)E&O`d;lRIr;jQiA9q(r|u$Z@VG`%_@NKKBQZ#R#H_x^U(m%b@E7G5|~^`~##CWhbi zdsUzMKYm>dUz%O@t2aEG9sd0L*i1L(TYq(6PWa<8&;GXb; zc~w9A_rE(g{DJqgnQ_buz4Vvoh5u+y)!$w>Hb1Pqx9W3$ke(mjJy!L*ziI!1aOvGu z-}~b4E(o^_R{ihx8y1HD>SZ>=j(M}SK`H!NSJe-n`g1A#2XCwT;?w$~aJi}Kk3agg zMd9q5sy_L*-Sd6&zhJZTm?!*1%aZUf+^TQhODqZB_#vCM$Nckuy=H0n($%Vue&=VF zhF|+8o6W~O=QpR9g@1OU>Z@P$!)4+47dHm}diR%?hhLeh`s_~#E5i3)VYC03r~Qk6 zxFY;l>#Dx{f18lQzx*dv|NWEC%i*ujSs(cD|K#+_@MnLs>c_uTUK!rfTJ`0BIn>IO`m0y04yXV5g-0K>`!76S9e#b+njr58e-HnR zeEjg=!k-~OKm08Cndk#xzYBgR`TOCsz-L0=0Q;=)naS@D-vz!C`V822h3`!Mf4CNK zP0*LXt`%G}$^*c)glmdE26nCCno~Xi?giWvoU6$072Gq*3&6dEdrG+hxYuycDL(+u z0z4Dwt6~2B0Q7m+hETsJhPNHfM*$=Y4mxpXC0n- z${)bH0Ph6)LfE?k?+oP;;9Y`u3VkH(U4wUy@(J)R!aIq+6ZWpcJ4<;5c$eXwMxP3M z*WsO~`~s{6uqIHB0oDpwGbqmhYYD6=lxu*s2G$(($FQ{s)}+t8mp1z8|($!tWBQd^6MnP!mv&18N1R8PM;=Y6++*DAxhC2Gkrl50lj* zP?J#318NnhSH{?7Svo< zUijNsEe16ihyFQM3qnmuITEN9p=LzC9jhgwrled6 z)S6IpqCYPTwJ6l2lrw=^6>3(>n?NlKH7)x5Sgi{+FXd057KWM_eSxf2hMJl3C{Rm7 zO^rT6R%=7eP5Bh4#i1rg-yy5jSpz*(t9B zXL&f&Q*H;&`f%n)|1RqVKu>^jJkTqEo&oxOSuX*43Y6=CUIX+T&>zft5zv#MoDcLW zpl5-8V%E!mo(AQ9pw|IC5A+|iUI_F=CY!(bestE$gPtDcmY~-MJwM`DKraw_f|O%|ULo`h(eKWB ziO^G|Tod#fq34MHc-D)Ao+Ra*pjQb!OZ3yTUMBQ3Dfa}uPUv}}|DN?ip(jc?DCm_! z&y?~|&`X7$D&?Y}*9tvX^!Kw~Ec9e4Ck4G)=-FZ(fc0{rr%Smh==DO+7xM$G7YsdN z%27eD7<$H-H(s5 z1-)?SiBk>>dgahFr#u$)(xIo0b8K0!9eVDR&w^e&^yD#j!Fu)3v!}ck^zxynPq{7V z^+V4e^BYVH08M~$T%Z+zW`KDQrX_%;K)Ei^8bEWvdf5Nm7&_pN)23iSdCX@#QEd?|c%7uZ}0-6ivTbLFDnhfQ{K&t`G2JftCoGBIcl&)(Dy-=A)Pv z37RD3+(4@Y%@XreOv?mKlX7pMb%N%J^MaWc3YsY8;6N({%@p%mOiKk#6?0rnYX!}f z@^PTWf+mY|g_%|hnl0t!K+6S97jt4v>jll1@^hdCgC-128OYIrRt%ak<>^3622B}r zXiRGc&6)Cbphbfwjkz_ZRfA@Yc{ZkHgQiWnJJ7m8^QQbAXyKrVQw|Tba?s2%FUPcW z(9|iH2U|m? zKKywfr(7Rs|Nig$1m*ki^8|C2LHqYV?$tpT}U%HE93-^ZK0fhWI=ObFo4D_n-G5e~9nHbIKut_V2$h&nb_H z@6&U9zn+8k@4s))DW3@1zyCf4bGq?;eNK5re4n3FZV})2VBR;b2f-Y0(Ek1P;yL9R zaXkst!(C9M{tf ztl)uFNFqk6`+P}YF2J_`{KMm&2 zgZA(5w;9T3;(i>=sR!-f->);2*Tnrim}?K(zrWvSD8GsIK!$RhSTAHK&k5SUUr%Hx z*NOE;hVq@D{rmMukn_ZPB|~{ntY%k1=NJ0De>%|P^NwJ>HP_7iT zf4|<$P`(uF(G2BGv0lwk-W2QE4CPKi`}gbJ4CPO;9?nn>6|{f9Ud~V+73=8?-h}jR2K z`{#`eyq}@`F7^X5l;g#IL5A|Y zp#A&(gbd|+vELBndqMm6`w5bgM~3php#A&(kPPL3v0sv* zJTPegem^BcxnS(KWGEku{g@2pghBiF`!zvc82dRH$_<0|@ArE$lpn@^P=<2E*e}XZ zo*4T{8Ojxd_V4$bGL$dIepH5X#-RQC{i+P*jj^AVq1-X{yE2qN#(r3kLk8{N@0Vq; zf0n_1S_b=T8SJ-ZD4&e|xD4f#v0s;=yfXIlGL&1!eqV<2%h(UhP>vbVyoHc0we!n`%TVp@lque!U|9-#Qqx?1Y!-E_)X#ak{+@m}; z_R~GeWrOzb_uGSfHumE^?9Y3k{rmm;Ag_)6e2;S5*zfl!zm0l;M>%fP3p~nmgZA(1 z2_EITLHqahh9KV!+P|+yc$D)-y~3lsH)#L9p5amM8}$y4^53BS`+A5+IdIfVJj#Qk zp5jq19Q78D^5LNU`+AH=IdRl$Jj#oM_V4RC9_7YS@9`)r4%)x3hk2AkN4?CWJUVFqzMkfx zzUG1U@9S+I<CdX#qu?cdikJ<7eK-sw^P9khR65A`Sqk9w&`d3ezNeLdBq zTs-Qn9_8af`}g(OYEJ%zUh7d_9<+a7&-Exbk9x01`FYfXJ<8FeUhGkx9<+a7PY!bR zs5g6*uLtel*P}hk*`r?VQQjW3e_zk`D0h!~w@3MV(Efcr+@l;m>g68g@j?6d^>mMN z`KY&hl+Oq4-`C?k%ITwC?@?YK^?Z+V`>6K^`F->Qc$DKuzd(@ZM?Zl_xqkE;1o?jS zBLq2r^ecFj_eVd2N4bCWI|TWE^h0>W0YJY*zym-(g-2Wf^jidc0Q6&c#0fyZM!*X| zKS#g~K);7a`~dWWc*GGvzevCnKtG8`Tmkf(1bhMXqjRKaEFR0`%Jid;;|2c*H3{zfQm_KtGR1+yeCb1pET@19`+TK);Yj zJOlI-dBin9zfr(90PWvjlLF2G`jtH59iX2n;2xmg$s_&&`k?|20{W#q;vt})D&QiZ z-^wFC0{XE6P6GP1JmMvwpDW-dpx?_QeggWzJmiXc=oj;dr+|JkkGKlxHw*X*=tuL2 zvw(gzk9Z5{XA8Is=y&sozkq(YfWv@(IgfY@=%)*~4CuG>h|hq2ynxeyem#$P4d~|! zxDDv{^N8Poen5{n4(J#3i06QQ!hq|5enXG=4(LY=I1lJo^oaL>enyYD59oLFi2s0o z$bbWZeo2pb5a_22xDe>K^oS3Ee$0RqfqqSocoFF547d^K_wxEJVm4)_=7hxUkrfqvlfqs9F_#K!B@QCAqc>#}j9+)Qx zxE`1{@QCk$d4z!Tfq4awcpsQ&@QC|?c?Xa9ADD*-I3Spp@Q4S3d5VAwf_V#%_#l|a z2sj~_*YJoJf_aXB8-jTckN6>&2MIVLm>2PgCxUsBfGdJ|6OZ^Jm`4dXBbZn5h&O_H zmVi5gc^8lPBbbK?I3(#8c^Qv*B$%fOxFndj@rX}?d7OY#f_WW}cqN$U3AiPg_wk5d zf_Wg1I3}1E@`z`Gd7^-8f_Wp4_$HV~3OFa2SMrE=f_bKZdxCi5EESQ(`h{u9?x`4}qc{`8z zESSd&I4zjh^N81ic|MQ0EtvNU=7(S&FyOdgUeF_+3+4#}t_$W3J>t7y9x>p&U|!K9 z-V5d#1MUmv9X;Z|U>-8yz+hf7;K5*?(jzVm<}E$q!+`ehYcc^R2J@O8@nSH~8E|7T z?-}r8Fb^7VWH2up@MJJg8gOMWZ|V_W2J@%^X9n}C0dEHLtR8V^Fz*`hXD|=z5r+oz zvQ-|uzLp4=m@4(81Rz7FQmJ>u+O zUOnLLV4mG0?hfYNJ>u_R9zNjkU|!xM9uH{$zNYRGmk0Cq0iOr+_#Sb3Ft6_suLtw| z0k;S9{vPT7K3VeXl5d#&%jBabKR5Zx$=^;sd-D5J?ttb!(HsPtr$BQVXf71ZiJ*BCG`9lJ zPr)1wn#VzNJ!sAp%^9J2B{cU0>#s1)VWD|0SQiBIWoS+f&AXwwIW*sj=J?P&Aet+L z?{_fg2%q<0?h^4I{O6E)G5mkeJP|&Vnt2oaKhHb@z$k`i!2kJ7GxvWV)2{vB&opHJ z^Dr&f|9nhS^*=AuHvRg7X^j5&VOpR6{g~$Fe_y73`QM*uQ2zB`T9AKzm?q<2FQ$$7 z*NtCjrsi`6Xt`^IVq|NUcoxc@$~z0`j{*`DU#E4H`z@9&E>e(wxhYyJ0| zt$F_Y&ek6P{rA^^PzeA3C-C3Hy@&rE?mhf@xcBh$p5xDldk?=4?mhf|xcBgR;NHXM zgL@C37w$cLez^DWec;~1_k(*6-xuyZe1Ew2a6RDO!}WoC57!IsJzPJy_i#NkczxmC z!}W%H57!^=J=_nt_i%sU-oyQZdk^;y?mgU3xc6{>;oigjhI`NchtC81cktif^TPft z{0w}a*w2RFfzKQJ-S8Rkd4zip&nNq=@R{-XW#0w96F%SUyTW(I=bv2*xF-01uxka^ z4BsDiE#aEt`^By`TyuQ?*u8*zg6}80S8&hp{e5vS{d!81h>v_=c#q~bue^VbG_lH22!2Ki8X>flDbSvC{0v!+cClB|lKwriE zEYO>AzYFwt|5}9MeIP#q?w5f-1NYOwuY&t+;NQXhIPf#US`F_j?%#pW4EOivxZi)` z7c-&IFJ}He^z)hDsQKy4iCI6HnO9q$`CjdJX8yA7>oYU;g_#`nb(`|&HPQv*_mH!-7|BrO_>>LpFQ)<_NJLX>L@?E&42mX`p%C% ztLggPXSuGKXK(91{p@g0_}NhJ$g^+s{`6_G@1LGt?@v7)7hZZ=5zan+KCu4j8wNX` z?il*!la}GXdh&(gUw!h9k>gK}j4paIFjjf|m9f8l{CnbWJU%n~)Z@8x7Cip;oF6>; z;M~7_bZOqxN7DSwk4p3FA0-#$9$s4b(!&MP)WdH}O%LC{=+o|*#cy)QmT2x*mi+WV zeCbCX9A0+qLFe+h4?eg2pYOkU#oO+0k~iIdUHFo7KoB#LP{4KwJEx%>nwMSdi zSLbb;y7~{>zH;T>_Ul)Mcl`YF-|TqH<;(iO<&K>nv_GC^@WS! z-A6BeefL){{L-Ft7iRA*o%i-$J3qbe2j^bjckA458b3TczW>(Q&+q@QGnWtCJTvRy zYu4`^w5{<&-#q=9L(`|N!>>;N_hDoDr6Zp{HF8uvl{)&7lbg*&C%rmW)2CiKV)%dh)oJVSwExq-d3yYi?f>-G ztXT(d`oHbJ&V1hg&Exxjc=k7qTmGl{!MW*u*ZfacIzM~wIsems^}^TvPafX=g^Tam zwcYn;C}rz-)cvhBJhLy96viAqG2ghEoJq-2bc26M=qc{t~J&M^;}Lm3-n%#f4@sU#^= zDv?rAqDi9+sekYL|6bp9d9SxOob%lG-fOMRUhCVm4{oXAn3Nh1+?qAqUX2f@%3Qcj zYq-^mzI|}R(#rebwhQ3afBH>A1#DZN0M*dr-vX5(xXul##X^SI6^UWRHM34RBaDY^0xRA~xSYa>+cSjdv)vQWiV zP|Yz=)mNap2SRn1&WCE>1XXVb)gKF8Pzl}81zj=0=!XtjDy#|JVhvqGLHFc97hQvH zdJ0|jm03EU7rIOWx=jtb&H%d44!Y0}x-km6G6TA^5W2Jmy7dlpZ5woNH+1nE=;mSQ z>aWn!Jn_x?#W?_o_Ismj(1ZdV{Mf5 z*d6N7?;7gFXd%_|D}&Xfnk=h%+sQCm1P1U5u9Zl?gs) z$aC#riyp4v%7S?eSQ)xoPI73FZy15VC_5EJl9vJxyC2yzO_$p z6OWHfQ^hf#d;5;nH%@r-H|Ba<-QDJ0+|cheb|=hB_l~4j#_ijlL$|Cw)o)FD#Mh^L z^xa(Vp?LFwdqka``|}&)ZVERN-6F0_x%FPFbyd2i=NfzU#nFLU_oEuMQ!eRO;$6O8 zUgEOn@_FaN8YO4WnmQ-jOFNxzRkt5mR&8;FdGV!VUzNS1R@IQh=}I>T&dL#c=L&E8 zmh$g*isjyR=@-7)PL+AuI+T5~X(@HK*;qPYomX<$dQQo+!+yoahx?0~4jC0~JychC z>EN0|*@IcAYN*y$LyOCj2?dCJEUY#sb-sMyXkcjYP8Q4YN;4 z7_L0UGAKIvMt}WDP5rBxxqGxTC-qu0EcNzhT-rUDK7Y4Qy2~z(^akCO6JolOC;WES zq_yhkrb+4arUviuO6}Orow{m!PD-%$#+0^g?a30`T#|jXIFlPS^OHn0wsj3%$P331Cr%HaD!A66) z^bM1-eaa=V(#lq`9!hg#YZPzBOs@Bi*|uIfCQ9K&bj!NbXu)-RqW8&jMQ5(9k9x5t zBx?B@wJ2M;(a5v1HIeUD`$bBxR*iI$nTRNou8SC2#g16LYIlT_lt9FJ$)50cE6;>W zu5=H#l~51QTfr0lVtH5ClH~`%@zB~)?Ip!%@)mJ*Ddj5k1aN0 zD=(I1yNhtKix%~>`h{Aw$=WE7Bul zTWIMqJ^IjZOZvp9Gu`qlkmmdqOKbehp?!Z`q|3fH(Qm)?(PH05X|u2MS;t4@Sogl@ zu>O9wW2t_oS>B)WStTEDvEF{@XNiB{Vp|N$vQvhP*e&n<*gWrZ*xG~l*o=2y*|l%Q z!hR0y3R^$mALiCy5LW!AD{SyJPq^f3^>CY4?%}z8XTo2+>AbyZs`2p4CK(J{yfZ*rgVg`!po#^^^K2$tPUVPLKCQmvp8^e|hvG zTKSQ5Okjt1%+2w#ZzfpX6;CW~2u&Qj^CD6A&eo)i+xbaDw>Xp4Z@DDL z*S9D4-Q1X>crzy@qK-TD`32GYe~4;pz5ge7!sfPwN9u&5=G(n*ygGc|r+Jr1zR`TEz8UI3+JQE$-Ba zx*RyYjVXOM+u<}_;8Z!2hi>J=DQ`{Eg%cmU6AGsY)1AP=&jp8U-Rmp)y~*JOfqg z4%Mm-Rm&4T___Ctgsyak?zDt1)q`%` z0$r;J-75oKybQW|5p?x@=x$!d|TGbpa(QQcH%k1qFCzBX#d zSplB(eRrtb13f$!?Q5u0GtSJuq*6%jPCCkaqb-BFFtEE=FIEyU6dUO2*I;<;9xTu?&scvBizCc8u>2>y~_?H!)V_vqcwc z&u5G%=`O8m8wfqho+~CLb0xGozFq9)o|w>gMycXPTdYEtJuqMPWl}aYWPHi;_0eM? zqAy-7Pwa0D5%bDh5i=JwV70A8&a6Wrjprm+8af^RZR<5Ew)q=0POhJ`_NU(=y&A>#hyuSR zGY_Rbb^HA8^h+!2Jm&O^`rfA;cK@QU5j%auqa15r^CW|f6Q*;0dqg=^IzHC;2(7rL zB7MZ#XJ@XbYDWW)Pi3l<+Ig;uW2t|;)xy{AJErt9e$y_PnjXn(sdui;^}h1uyE^CW zZQioW3O3(+*ze_a&0OPeV3^m_+dNxN3P^etDAjI>NWJa3cCPc*Dh_MUE4xKB7g$ev zWPEDW%q~v%5dZF@wR>Q_$0%%yY!zw5asZfwErrKx{i!{W$>8E^C-Prh`#YsWH3ske6&;g&Fzju~<|H&ky}zu(PaVD@37M5PgX z1IJ0DmWAH-hyP?4m(Bccm)4?e;xgfF=kcV?Wb2G?wjK*y_PQ?gv=xcqFkP?q$!0_@ z%e2kS*@nTPY<9eQz*=w41G8%W!`A&;Hv3{ipB?Tz_iNvwnZ}2UH^iEU<}@7|{~~Uo zvUlqt^Ug|(S1T?ZG<&IS*)m7=VEnu%mZpL^2QnNS_P1{oIIy7a$Np16Y%Bc0f5-oZ ze}|ugpNn6EUyI)ZzZZT_{NDH)_*(dy_}cg$@V(%B!uN*n5#KAmXMFGY4EQYgO!#c% z8R1#+neo~24Dc-QOz>>*jPR`R%<$~+4Dl@SOz~{-jPb1T%<=5;4)8AUPVjEj`6PX&hhTC2Cx>eCa^ZJMzB_}X0Ud!hOm~frm(iK#<13~=CJm# z2C){gCb2fLMzL10X0dj$hOw5hrm?oM#VPGY~rvLl8?4QxIDaV-RZ)a}awFgAj`llMtH_qY$eQvkE`BM~bRGZ8xxLlH|6QxRJcV-af+a}j$HgAt1nlM$N{qYFO_hWlbdJNy6 z)*JTZ#zfBWy2U-&!|8JxfH`5u^R{KV%yz1H^!KEJzE*>^k-u`O@E;rW~j z6#Itf^`zVEE1qAi_3;rrPsRE7zTo+;8UFhj&pUZU^)sHok(Bo*ybr^el8<;l!7JW= z!27!XQTzkm-{dEYVZ2WX>69V7Uy+k7@A1AHba~$6{qt|o9>jWhZq0ay^&!w&`xfiv z1pGt->qp;b{Q%aJXr)^}*4LYj#c#0Q!np@uWBt98lzff#IFM-b3hUEGKerF-RsFz= zmsr0hH$`7!J+lJ#zrgw~iq7oCdO!2y*>kLay=x-Ru^)o_EPAj%`0O&fv0t9;?0JU$ zQ`%_dl1}W`++SZFVgJ6# zP=18{oO2#Cuv0F9P``S`a@f87>bI zPl`P+K0thtc{kaNc=PF%PBY@qHQS{7h)2e5y-kQuZZlil$e{x&L?jRmowd&qMe0=;f<2K^uuFFHW5I>!T)Ndi4_VdTr zBfdHo_T5Ch&3dDF6Y*E!W<(w0@q?|;Zy-JkIV#*hysl7+xQ_U}y}0)p;4b&q3KiZ;Ei+qr^B>f8VgJ99u%g7fkANE{E{;(S;tU*3;PUWmYe)0Na zdkOi5Z^f-@0@%p?H&#qJzx0Oem@XHf&Jc(vy&YJlbox6hyk2(wc=gBsw>--^?y0sO;OpGFO! z|NV46YJg+{66jL+uPy=){3FV;%Nc)(SiyGkezj?W+0n)h=a!~J>{^id>4WRrn zAsaP-SF1oaY5=YCsadE2di+JQPy@JYWuHO~u$FV>DbxTv8j4P$26)X}e-bsoYPGAG zr~$Tr)y_l>u&<~!12q8Cc7FzHfLCIJ>8JtHdVSJS1JvYlq@xBn;FNL#H9(e%!zUw$m{A&MGf%wfmbSO0M3Wpsi*>i{@{es3 zPy<|npE95Z2zgv`95ulH3FG6a0oH4b#iIu3Ihh}i8bD#8UOZ|5uCkwTr~wr0^5akg zgsK|Ep$53Nb}|+66^$C;SxbEsYJg86AyKFS4x6b(p$2$tJ{pM{;7eFd zBx(S|7k-hb0a9#KBT)nF6`Y7b4Ind87l9h!+ypxUHNe3QyCYBoyvP=aKn+m2p(h+Q zfZd-n;iv)pf4YaG2JjMB4@V8q9>5ch8bFYK+?4fVy7}8#TbKd_Oj7fY}^IY}5eWCuP~F0W6)k*r)*(x%IP9 z1I#SC#X=3>wltrG8ld z8a2S2q9z(OK*iFFG-?2^<{TO|09_SJqXyuA5=f&4*skbIqXy7#wxm%57-Z|wr~zC` zw$P{nZvRlEQ3D(cl%Y`rq^T{VQ3GggSVW@+h<2S%qXuB~@zSUP)*a`fQ3DhNaL}j$ zhI3}nr~%-AH2wqsj2Zy{9yI`dK578`dei{;{ZIqo_eTwYuZJ1{UmrC9z8};8`2J7> z;QK`lfbSnQ06q_D0DL~w0QkJ90r2@z1K@d}2Eg+{4S?r`8UW7^H2|I`YJg2}zC;7S zd7}ov^G6MU_kkJ!?*}yi-WO^Byg$?cc%P^N@P1JP;C-V8!23rHfc1bH0P6!a0M-j? z0IVO>09a3`0kFPM17N+O2Eh754S@BC8UX7PH2~HtY5=TX)Bsq|r~$CPQ3GJTqXxkG zM-71efEob%12q8l3u*xDAJhQYPpAQ~zfc2Uzo7=e{zDCb{fHU>`x7+)_A6=t>|fLX z*w3f|u)k3QV85dV!2U-KfOvo!0Pz7e0OAE|0K^Z}0Ej230T5qM10dd@20;8l4S;xr z8UXPLH2~rjY5>G9)BuQQr~we)Py-;|p$0(wLk)mFrC zUr_@f-l7IT{6!6bc#IkV@fkG$;x%dj#BbC9i07yQ5Z_S)Al{<}K>SAyfP8=&0Qmtm z0P+QD0OSwU0LUk(0gzu%10dg^20;En4S;-v8UXnTH30GzY5?Rf)Bwn5r~#1QPy-;} zp$0(yLk)m@h#CO-5j6nvC29cVPt*X&r>FstUr_@f-=YRU{zVOde2f|Z`583;@^vw2 z0OW7f0LbU40g&HO10dg{20;GD5BzuhZ}@lkIrzEwHTbpoJ@9+s_r&jwuYs?HuZgdX z?*ZQnz9)Qd_#W}S;(NyTj?aM4g3pA{MxGI#6`vWO9nS#I0?!1`2G0o33eODB4$lzJ z63-OR7S9;Z8qXZh9`69}0`COx2JZ;(3hxZ>4(|}}67Ll67VjAE8t)wM9%}$=0c!$l z18W3p1#1Rt2Wtpx32O>#3u_E(4Qmc-4{H!>5o;1_6KfP}6>Ao27i$=68EYDA8*3bE z9cvzIAA10M0eb>_1A7E}1$zd22YU#63402A3wsQE4SNoI4|@=M5qlDQ6MGbU6?+zY z7ke0c8G9Og8+#mk9eW;oA29&205Jiv0Wkux0x<)z12F`#1Th7%1u+J(1~CV*2Qdh- z2r&t<2{8(>3NZ_@3o#6_3^5I{4KWU}4lxh04>1t25HS(45it_65-}686EPIA6fqUC z6)_gE7BLsG7cm&I7%>^K88I5M8ZjHO8!;TQ95EfS9WfrU9x)%WA2|TI0677<0XYJ> z0yzV@133h_1UUt{1vv(}1~~`02RR722sHq56LJ)C6>=7G7jhVK8FCtO8*&_S9daIW zA95gaA#x&eBXT5iC2}TmCvqrqDRL@uD{?GyEpje$FLE$)F>*3;GjcR?HF7p`H*z>~ zIdVF3J90d7J#s$&1ORt%a1RD|QE(pwcQSA<0(TQ|I|zSAkH06!-&N!9i}821_i_rkxGQ$^zkR*`eLnsjji2+s?>D{A^}pA<`TrXZO7Ij8@R1H5xb-kO<1ZyY z^Dku$TM#KT|4?_~V|3;uwHh`f4seW9$2rERdXC@JH?j})lQN(6gNmN@ow`PLqrOnf zIX_b-oFAz$*s8e9`JNi$d`F3L4N&^9X+d$lqN=!FQUhGiDPh>Y(BRZq>GeS_LEyO#2V&5bj&tEeZl%PDT&5=srWIgaw4rLuYR zs5ag#Y6fh0D9=fy9Ooob8FS*OX0nIHrsU@`DeJjGR5EOWG|cs)e$92IWcZw@{jePp z$9IUTO&CZ=r_C-j)(&Fi)PM=E+c1WQS`hB|Kl4(w#q_ z3W9Bu()qKfm-DBX^8`kjTCjm~OkkLKPGEr9CGdhd8@5t53AQs`1@AL+1aC9j$<9|b zQ)xjd(_z6mW;)sbI>8)Ykic9c6v;dU8!ZV!0nA%Mp3Lt;PE1MIa@n`gf*G~Yh*`T( zm-&J0h^aCSgx4`CVQFS1*%w>L6k5c`)LAr(=?@z+MT@>MdKL{a=7_vvXu#HtyGSb| zPo#m-A##nu0h=_+iwhYJi*p$1i_;iQWWS7N$Sv__99ZJUh=+}vx+Rv3ktK$V6{6c2 zCa{IW7FA$Wi%K!xiY{R+g3TP=rJRhwrQ@N+OTUCZC;MpKp&DYXq3&XLLUYM(T50GE z@%&Il@w8Ao*y>3YXN2Ar_YVCfek61iZ2FilGYpMdrX5Ma)x4Oz|= zT1Ix+K8EnGconjBMQ4ZyYzgJ9xEj*2qAX;FL|%v@Y!2BqUp)flV_n@CPlMZp z24%<;2Q|r@3>qc-biqO9tB(dnuC@xQA-i?zLBg_fK|5rZ1o^^N)LGf_z{j#ffgEz( zfl9C`Wh-|%Fj?+=;4Qfmfg@!9&L_}tja?vPjY;4IvWvGaaPC_1K=rkJfiAFRmAQ5} z;QrcY0l(Ka21vu^)js(%0papV0oC%%fPS*CXBD8iPB*|~-Nt|%vb!e`FuCrpzpTPX ze@ocfic+}eU!zd%|5hR2UkEn2wyh8G_ge4lpS#}7zm@Fwt@D>tT+irY!HrR$k&)sf_!8Uw!#D^!loA zX!3Q24YKqNxxRNd#Q1*S;On~_w#p1P>iLFj+~`}hafNRe*%=)5QB-;DW3BSgC!XvN z=KH)?iS=2i>gS^k8)=@ZyM3}%m3N#@w#Cc$Guu;HeqKH%-9-r{{yy~g_<*+Y!OIEezbXk_tfSIFFDwbGuL?N6{b<`RiTmP)k}62UA>gIn0nc4+3Xd+1@{)`c)i{7 z-BV!eb59M}taIL4?3ubX$+LcIfagcD&$!cbyQaLShvq`hQ?P;8sM+uFO|#iUT&u!k z7i{JEXfZtUwCp_|Xz6*3lAT8p5B+UZ?g85d+|Q8x$4d9{ZRze(+M(`7u+bN+z018o zTi(4xTgZKa>_zsu8E?Pq7P7tAt$^%E`npYS-|x0+ho+k`Yy$@G;B_n5@x`@$$79zC zvOAgWYN*3<4b(Z}dY0@_D!BgE5ptE-Id*gpY!dqIynQrpXTj0toiRs$ko`)NqdRps z9`({)a`Y70wS439S@*8XqFqHUTCj!avdhyYb(g8jja@1(gJcJD%2{pqYiHZt4bHJ- zA2Y`JHT&p)_d;MN%l1JoTT=!o%HuOIQhY*W9}YVr+a(m zI*si4d_)AcA2s!>jyUV59!b*oJ5oz_IX4~QH4r_bXz<5zKWs^|4C)<=4e}iy8L%D4 z$&ROv<1RyKM=wJj$4s*C+3fJ%u*6}$QJjMcY*-#PGH{46l6NRGn&^?**Hf8OA2|^~Q?!?~DcQ`CvO!*`(9X%H*OQ%Ou6Fi0q1**!?n5v=iGaXr~QZ zoGyDiY!mlZ+Scq%w0%K#NDXaQo66f7n)2KFk$uvKHn&YnY~Gv3*zm&!sIr-^jis5i z4bzO%<{WH=J}|puJz|z`ExeDiR)2Wa5a?imEvb)NCaLjVxfY|<92ee>o)nR|of$06_2g>#n&H2GBD<~~@R?=s718jOxc90B-$`u$z=1JKZrF^Kg;&`FujT=-noRa# zmsxhfyBRY!i}sp zHG~acPq?K-xUEXKwGOg}s|`0PwpS5u)dV(yz2TNq;I=Qqt#^|BTs{*)s0Kx-3KOUf zZ>W+asFq5ons&0c`vfYf3@R!DDhqdbRiM)58N{1ys2oRC_8^eHGdN7195EWCS|m9&`ro0{cOy=tIXy z?a3p1!JW`a#n4eK=q%h3R)9|9)^mfdiy`~MvCxUO(2;7pr^xQ`Aav>t=-5=~T-+np zf=*tv>n?Ql8?sY;3Od~jI)10F2`E~3K!F%Qg91Q>+ho^x47b$X@d9Tu4E^=7m&UJ z5I+o%ANQl>G$y>JfDs-6GvKatATWhKFvjxDkz{ZB9x%yCU=%lC7Tlp0QWpfakpb4( z3hc8FSjZLFhz+ciNp`DCfvK3lSSD)U$e#6YV6q3mXxYGQxO1%yOt(-q7FaKz>|e(N z6Iuf!Dyoc07WG%t4?;zYd~p5g5ok?9ZdGkM?r}-fg;NQW#$A5O%;?HkIZ{e zZKGrlJrI=KE>LufrIpD}dMzluXi$8Xt31el`fpHzO`r%fKpEn$x+*9|9;wHm8sCt; zb$?KjI-n?pC6|D+LK;-&22h*YpgN7nKKl<)qIW=%rhqcV-F8J#sxu_YK($^ad+r{f zWVeE%f>l5bYlA8_B)jmdmK_1L>3mStrDR7w7nHU;C~gh0Zn7``9F%x5DDps1=D0h*Xz3DA>r$ZF704dF2`Kp$qK2UA zEy+%OJShDGp!nsM&}6^92_}JbmXqtT4;c&p_T02YrrHiM`Q@5if=Go zOfdXm($Im4Luk=LaI1*J6e11N$U2xxRLO4s2bff9VPc7b$p!cHB^NruG~)?VO#s>1 zKLnG`8leQ3dQOo2{dAaw9AF|+T2M`P`P*Sq%7KZ=6(%R#>z^(70;Z_}n5u@!j=vU6 zTJr>^m@i@S!hQcBn8b8pA`_m!lA{fvWah?879dLm?#}#vP2KTj5%2_ZRWw$ zd6xJI)L;_j<}HV*w2F8OJYiDZ0u$@p+4aO{PzaN(A565`JP(QIpbsY9GMIRSVe&=) zfiU-Tn1)}%RQ!r~5%ghF7Ug;eQ}cV`O9+EW+5{%*<(yxLN8uVw+R-p^o6q`5{0iS- z60e7e{5VYJ=v`RNF$vT9|4;4cW3Yxv9;f-q|4sKe<>R!EQ$POvq80WVvf#r7KG5sH z2_Kz*|5Ewzfxd^$fB#Ut@bPSFl6WAFO^s7pQ)AS;so%s8QT*p874YW=rSs=I@kYF! z{6dvaex^bvKN6pW$mDzK-NZYpdSZZhCJZNDQDPG>sSo4NiGLzuyo)j&e@sb?cMvZ{ z-Pl7acI-Z7Io3#g6+eI1Q+Iyfppt&q5|722-&NH3XgSq1T0;C5_M>Mh#nC+K->)p< zy*TwNm2&=-NU8jaBR-7IpKL1sCzJB{8ALo8bAEbJ-9KEZ^FN%3KSTS+AxhwfCDr%c zlz26Qzwe@Uecw(k`o4wuHZFcsqUdk(l;JlS;^Fx4btzT*RhWwWI-mGCR(_pDeH)o# z){l%b(c5ugWSA*CGQj-(s@Z@qDy?u4ZO^E@irWK1ci?+@DV{ zpL|MSp7|6>ydavN0+{@tJee;(IuTz;;71Fl?nfi0@JC(Z5xMw5l}UeC$29sNP5dIC zh8Hrg4f8Q$hG!A)i1hF`#;>6vM#Io6;v=ycYGo)4H87^$Un8E9toMZsm-jggwfAYn zU-ER2W}F-JXZQ@d5wD5Bpe5tgJ3~hKyY0kxqW?~TvGko3WBBb7;z5ae%gHc*J07~~ z?UzvWqcjY3ho%g)hT0C?A>NdK{iUI8{rRCe{b|IfvbmoTI;Y<|^!b}3#Iq9k#xPX( zjdtjwH!8%xQuA6oH2k$-sOf7i;$`{%>SM_5SFb{nUv&~+i^8j`A^-ZyLfZQBh{wgf zFFa&RUqA?7-%;XsDSc@a67o_zWY5bD#QXB$#gdR~FXo2CyqF3`AB^maH^F1QkAv^` zHWE*ab8k_wTJNdg*}ZYZA5-|;BRJr>b+GPpW8#&0-=iFSrAI0_sz;dkW@LIsgT}hw z2i@=P4nhx&bNAICweI2|-tLpcPgDFXI4J1Z(ICBNR>WKLp-VmJdY4>KT-Orfvsv3U z9ys-MD6sWucOZIhJf2<-)O>nAP~hna;=ieS;uFYzVi&mgi3#!I{CvDFu<@~YVESV| z;>%HeJRC6l@w0%Q&PL+V3F$l&px>DkAl}I&ew|y7tOAlB=?2(6+DN=RoR0(ox;p;) z7j}H~M<0(~$36e09o7Dy+VhF0C$T-m-?rV^U#Z=U_Xp#3bYFR@3KtCRS^=*9E>znbg$rrsr zn;+)-@;{96ef_|f_=LhA==oYa*yt($YI~yhd(+_iVWR+!H-aZnuj)wQeVQ zF1#H;{7g4)?et8(CGY8YYa#JA&AZj_(O=)}aizY3_?&F&86F$!?LBzw^@!)G`lg6S z^vx;vLpKN9(f`DKv(mk{F5SJdE|hqotm<~TE7ZxmbJYnEUsT17KDY22cipUR6cdjW z=M7)C=hyeURb1C3ekrT#yl(5Se{toy{@4}0Q&rcpU8AnCTn}D5LVQ%SuPM0pT@`Y@ zbam_~da7)$-ae{wwcse<)fnQhx>{>;G_iK$QODXPN6~Awp!SW+@RhqR^;e3B@5=3p zr_1&$rY=jas1OfU)8#4W+{>?>{Vq2+qaSPKg%wyI3tHnxnP_{p}GJ+xU|R$}wL zG=_M~3QKiuSf$c72TD1K&rG27j`fFKxr>Jnp)akjsNhg$QP?4$A{*jSlPg+!h`VU~;G4p(gXmYw zEKEM=Tj+7nxNr~guJIId9~?M8aG?JDtpn&|3pgKi!1TQN0fqC*#M3rZ@X6|KL9^BA zf&wf2eT7xQVJnq_%~nDMBE;*~a;{^4@wu}7k>{d`?@jZZ)_$>bV*AI>j#;7y?$X%` z%jC206M(Z$#1AKXc9|vj*>Q`vXP#Q1H!kl?yhZ34Ckv}H+QcWf=*+nJ&(lxLJ5N`b zqh~JRw4=G(X)SZT)1t&b$9=kE-@E+MeGT~$#7jr#tM9YU7v8ref5Z%Zb(4AL%zE;e zX0>^i#AD~5$8WYT@4e}UyxXSexBH&!XWE%-Xj+xKnt1QLa$oE<%B|U}kej#{eRyAT zwD-2cK7lO+ua-) zYQ#I(oIbd#Ed9o=r1aEX=p(d9*V?r?ebKIE>7RAcQ#g3ylc{|Z-$a7-P&QEC)J3G>T>!9!OWZGFBW?G<*eVQThAg)cD*ddtKzGF1C zU1XVIG?&|M_lUUcJwBirxtAAoEoxSBGs7q6hEa*Xm_S`XkSSw&_>T^e>8~oY}^a60mJ3S)X_rYm!H`vXdWZv6J(((AQ|3yh}?ZSzJpz`I{zs z96u(V((Fv~(7c+oo%kK2lRj?sOsd~%o|L*3y^pJsG`8|532gn9_;w5WAnzu|Zz)T( z*^-v1OgxbeiM<;7i4__f62mmmAIX&{r|~&qYI9e@qs{1*EKCU8oRpxyIVfQ{@lEO_ z+*4OhIH@j{;6^-@Uyci@cOM_zbo=<#P3WggJ8r#+aa?JW({XO%tyDi=swQ=usW$Jp z3GrF>$NyGsiGQGaIX+tzJ(scZ@DqUeg{s!^?^V!$xgkDYWqG`{3U9n3@nXJ;>)QAr zu4rRTT*yZBWyZxV-{>FreS>Y>-3{o`REu-oAQh*+VSXGR@oNsoRw{SKvXyVfni212 zdhD1IJNAK+M{Kqd`Z#ySYAGql3Mws+9Z*D1=U7an;@cQY#YZu6#NSyO)4Dz*CU<>! zj2H2GTE_^j*N=ItuqmcS0eznfV=NVB#>gs+L{F|m59ovFoOQL)9_tFCHHjaT72PlI z8C@-ZC^}poy`k#S((eSa7%(#pE<@RgO}X2j2WBK(I$bod>Kknj`<^tRfBD@vG#&yd&|-mwCGuJYj?D^`SW zU9m8npLkv;!pfF^2@6@?AErnAugzh@%j&{vmsN#DEkiHt$*@(+62g9ov%~I+qc7Gq z%ud`oOi|n{YzFbjZVAg3QwnnzlL^xxep$h==S#W6ikD8Z1DB$Ac96YjX)pV&Xa~Dm z6n(Te*e0S?>=mMg?2#qtsZC|aFNt9vSVFPoh`-jA-L%+_oxa$T?LfS?I&6-`8te`c zC3c<&`fgXSHAF<%b42E`dlsPw_Ycc|(GQl+qK_;g;>Yb_RSG|1QNs6G2E?0N%lfde zl2yB~h!wREeY%+}$%RR*??Tb6TSDmB4PqS<@@B0OI?5Vffd1VBtn>wDEQba9EG6RQ z-O6egRAuD|u4lOlqOVtyHCs@O)g`cqbxr_1zPv0g0S?wYfj{)i`RMokN(asVKoUUbGBH`Mj(q-JTbTBvik5|#c z+$-q;t`&3@7kZJ!XnihGT9j)sJ;aH=kx0WApLrB0bM@1kPZb`gE6@_eOTB@v8&joY$JA*{;;Yu8fBxQ1-}$XeCxLVG&~HO}&2JNW zd~_e(1a8g~qX%jGQ5#xu)Pep74$qcfZuF^NUbOQsUs{Fuuo-mcPZpj3Gm`cIC#c5H zM0(E8G`jmoCVd{1NHdGBG!QsF_!FzB&d4e)){cLdVh*$X?{v#@qfx> zy#SYM$;TpA;Kxdq?#Eh|F!6}rXI=d8h(&+sVHpv>_(#^K;UBDP!+%&Y;E=T#p2wCR z7GeJyTET7r*KEp=65D1-gRL;6!=3^sZOeO0cGi14w#$20wi@x5Q|zaMG3;}Lscau` z+-eUNvIPdK*stE*V3&gnm+`KHt^clG_#WbY9}NHS;%E4^7aS2W;5y#_Vp)Xji?tDBz3LJ7 z!HJyNYZ2kx>lmTd>l-nf_~Vl!3ZLgk1U#>Z&?R2^mWcN~y%AS>K14);i`k-wH&Ui& zapYLHOyqrVHfMJ4jCAfck5ubE63I*a^bwK8&rU=JJu8URBi{PP$PZmlBd>Q2M#h0F z`d}A#)Y>kQsHvwiQLW&V&V9N&%H!$&D9xuwqXdZmK0d1INlp~|NqN*>;>CXy_49Fm zRO90xQR(2ac6z)hTJ`bj=-H3eqkF)4UDA0dI;7JxTECMOEl&LU1<|)2)kY^jdJt_# zy!#{3oR4P4bagC@DFoMcV8^Byy$=1Dr5)BWpTNm|vpqZ}u{|Tkw!Jh)iTL{;#XN0$ z8&lXe783-HZ@sqVv0`n?v0qwu#@2%iJh{~)*1nY;yRkJrmWN~j+>9-4>5OHx48|IP zTU?@Le%z0TQgMwB)#5V1LGJRReMo+Qb^PLHzj*iwKztoI(v$9Aj<>(x62I|&fBbBcD=_bPd6U#}R+IX1 zGmQ`*QpfINa+S#S&5*l@pFM>LqLp!OZ+%R11P8wQ zE%D@ew^Wk*>y4AIfGa<~o}Fw{pPjt1z9yNMWF8D9SKs7FiM}b8a){&~7^QIEbV=#0 z3rnd4M}I`!l@zPG&J=~ZPbpj^7eOMm;>PCG@Ehi-RwN@KE|v4f`PApvZ>3g18bHML z(NwGJf@$louTA43*$MV(Ro9qlQP)nU9V9sl9ci<#eM;-Q%5&lpBniY`-Fm|2s?`aV ztNthWNWMb(iL12_P9)Y2o^T{t3(L|M)NW26zG9JH4=DucSCZ1*u9T&3ztWt(gk&&q zWHen~o{@W5Bg2p6G5BY!yquabUQ?OT0SN|$H6JpVHM29#YgT2-lbi;d%-&0k%<4;7 znX!;`V0)=6bJL}tnF5yxCo`(uPI^|yp425-4);!uUVL}5?c%Ic=OHD5 zc~R?>`9n<`*ag&UPYp1SM^_)tq8aw4g@*Y&O7FX@d`daCm)eH#>rzv<=I*lZP}s~U$TEdIzvmjd`>~RK@PLrJ;#D% zMU>~vDR0XexbP*X4pJP_FDT@CT`6UzfQtSK=C3JoxBt_(vG~@@DyvsK$;XbWE z@+b68zbke-U0qP#OcB%|W(8Mz|v zv)o0pXWu{?MO~rCS@;RSS>M7-XN^gA#rRpCLa}oL=QYpOLuy6V`N(qt=Znvoo^Ls) zKr$_a3Wf?)3howI6`Y1`L$-{V4C=2g~`>b5iTS(Bj4ev4!-fbwnYb%nI!3|Hc2A;?mo(wZH zPQjDb!xO(N)+6~D3UCr;a3aBQGMJ^&2q*QS#2QX*3(3_u04K?U6D@?3#f**brT%c* z`{2|!ki3mpxQQybk!NL9knoWNx8w!4WdygTKyoGLlQ^=+_F2|wgKF_ zJjv(yQT_$0p$)2{9I7J$s>Bnj#R#fqJ<07@1C?Y76%`DXg&7{rP-$N)_d(UEkUS43 zsKi95$SYOXAb}(ss+0lMY7JGZMRGpQLnXICMUP&*2T3JGQ01{u?QT%@h9v)EsCvOk z0qBTL&>5Ho5(}MD4IR^a$%f>D$U`TYLq{>8voIs113GQICKbBQpX7!3K_})yM>bvN zAlV^Hpi{R)$GSo1Vva~Xbn@_(&Cu1$NT!G*bb2Cm{MFiW*hozQ6z~T$umV)rO0q^S z0aEl`{RF7d0VymsfFdUWO_+cx_9TOZ3y@|#AdVFv59X0n01`dFejZRMj%1Tq0aAqn zVpZIbAUP#mfMg1QXjXu1m|0Q@NY`8E0;p$1@=Fc@5=H|eR^J?gM3{C!$r?b*Y(Pym z$u+qGNZMbo0;noZGEN);X_EnQZ``^HX)^hM!qI@no`A~cB>SWRkb2}cf6`JwZp=aP z0VK}@L~ps1Mlw;P0O^eZ@k0UmF(2h=16LvkFv2=u2Fyx{0;Z@2#&~@f*C5G8ovPBbOY<$2KFfd7D@v)VgM^Sk!%$+U@8_cR(aDrlCv@!m~103nmsTZ zX0Fr$(|x?}2drmJ@>hI-3G;yw+nRYv7Khz zdjwm_H$erIf*QyGRS-_HUOu&3gIdrB)v$@=z7&Fz=<1jm!}(|gl7U`;%6I^3qZU+0 z0m*|A2PL8piX;S-31-9ebgF||Sq-XX5y^>32PM-8ist9zN02mB4=Se|)J_hlo_LZU zBLGTB6BLmLC?m|0X$7S;^;85@6F13~i325d9Te4vu11nEqX$YW2ozWGvj~zm!wX7G z4HTI(D6>pZX!k*>jdd>u)y7M5Xre<>(Y+zNdv`(&&QH3joDe_&k~9)651Xs0NDA z8I&Pr)!YZAIM%xsRO2#|TN49H@){`04=)BGS*Zt9=0i}Mb)Y&cNuCWKDA6sTNZmo1 zVzx~iDAj*`rl4APlAN1lP_nl{(SComkYwJNg3=8K#ar{bAJUt;Km|908mYB>Q^GaJ;iKd5L|P}A0+s?A77jx8wd6j0m^12QBpXB8-Mb5P__pv*BlXZY

    I+Gxjt?mPbD;R24pJmvM-3(c7nlgLU^2k0ovHUaFfC}n z)SyIicN$=l_%$Q~Q^h=(F1Xk+Flk(aiR07oM@Xychbg27rjbW5mE0%UJi;)k=)%Mj z2$Kuu^t||(3DZmxOf}IYv*!#u^kIAzOv5W-Dqcadh^k>yem5ZsQ}beyOB4!|bU94a zuO|gbMv)Fo+5s?e7yp?{@`~ocB(4P$`7xNxF}vv56empU|39^3j!`~L@;J?N{%^X+ zDIceOocjOEHA2kr=Fk@}uKMFF@r*n_AdH+!grjs)+X8)xs)8%J6 zi2bEzJ+~%V3Fwzhef@{}Ykha-{8fLb^fRL~+xAUTZ{DsZnF{Eu6bT)tyqu47oc120 z&K1{lc$)sETt9r9&QI#@{Yj-CHJ|0f{y_~FN6#`9{!Ud5U7OBTdh_oKML8_zOtSb) z`JXo7jL7;(HM|TXSq|vu)EatEZ800-oc-+`budAcYti5URoSFZG9S?QIs3#b>Xm90 z*C)f5RI$eZ*WzE#sn~PEBpU+#p?hE#!;e3hd$0Fn%2TV1`|SM=%Jx{_bZ(QR2JCJ; z*5)y_yieVn>BrM<(@5zk6i(+k6|2-!wa4zyq84{eVRcsF3{&1 z-;hfEUFkTd;#?wCusLIn^szXqWN-6yUev-)HdP)XKR3>SN#z~4o@+G_M78B4lgteC z%}y0~Q4ec>&3!WLO2stD@NtSdQBE!U`Ton7^3yy-Id<3aUEW|x`Sp(QnJqGN zWnOR8A{im*6BgC+K%R-)F(RARM)D zYoig9s;XUhAXAsQT<^nl&XydfDzn7fK=@VmI%ebyN_g2yX{KO&CCN@f|Frh^g-nr? zLW^X#@G-+gbr$9M&SFxT{v=}seb(B=-xz$cJ&U}~4>68U%@Ns<^ol{dYmh7!^kcJR z!R4WyC&J&;z_?!6A+o{m8e=Ul2gz(f-}Zi=LdNhdhs9P;a~Lur>5C^N(->JUP1E^a zGEp>R)-1UtHCg_Q;oS$83}?GB2IJ#N1`PVZ^&Kr4+&m*om}?CgOSi5NJ^Xq*L&n>L zWW}IgTyCBMgYRLrsA#klqwCvS(VJhEFoG5?BAGJiD__m#WUM!UpHG*MhiZ)hIiGF~HBE9Cd*^;9v^FVsI#*1lwKP;Ce1`Zp>-x=2L0@u1&q)`8}Evbeee#|T=Yx)I=D?(-&c{$8}z+j-fbAlmlL(@yS8@d zPS@IHoU$sRcQy=9=aXH$E*|Q1Rd2bevtTIg8?yY-Os>#+xw7fpGOx*xA@R}tE7A_Y z9^d+{D{_lELr!#hkgOf_+aKk<8j>};V}+u4S;*Y%84~Zr^Fkcu6iFrz`tp|zhKF2I zO_3>-Dq(1UD22X5PC7D5( z8{pwz6f9DZA!Ri0RIpNOlhm%%xZu+xzo+xnVjVn!4@+9E>aMg7&e;&XYUc;z;00Q> z)46MjQp&+BoyF1~tE7V6G3QBFrlgyfPY8z}qc--tB$Ry^lOHp7Xnd z2AWh!rV-{EoD;hm^vyj(MmVZCs8h8`rlId-P|D=!bbj0GeZfKTUgoRK{Eh})m55wD zk8KrH&{DJdzg#yPd-b5x^Mz&qs>%hODcm9ZaePUT&L&@yrG$A34Lak2mb)LzR<#cW z);4j-P44Ut%+XXLnM;_vP&9Qpuy<*)oWPdzfvf0Sa(yl*0=r+1Oy|Q@$N2&^2PwKteojwx;?)H0@CCs*Ubz1>)-NGRw3ZSNB_DrmL!V` z^C)(v-}ApX0X`qt^EsdOR-tn&-(PK`5Xr2<+=}%JLi|Pcdad`Lr;fTH$Pi{GqQf=bzX zSN$5rwMbSL=4HGrPxj*o&sKWS$nf(leV~;2z{QW};n;Le-eAr)zf<4Ml-=Cq{h}w> z%CA>1@e7}*RQ@kNFW2>}Z)`u`hLLZ*zEKae+J^7SuqCK+9r)6u#Zc8d1i-LTXm z#`EtQEcm;awa5%i+7VaMb5Y zk)q1khSxru*IBC++C20*SQm&Ddq3Z6Hem=o_v`K~-=7^|e z?)C{E%2o}lRrXmg_du1mN!&-v{`YjAUw8SpWB%7o)K*9L9!q%6RJ&!=cx>cr>2%KD zi{i{<$7XSFI-0Lmn@IndhGhmtDD5SWR4xm7=(Z&!D9=Y zgh<92=A2N*1KuY-x~WT>w|HCRpH$bn)P{Xmcaq0`JzpQ=2D!C%m+6PZvoIlIe!IE-QG8J&lG_w}!hXdA8KmZ>_!+;F%r$aXP;+OnIm0;+fku z*P61K}=v&6MBzgBppQoBf&9OlWSnlL;r zTjgm{L-rmPS089Odgysf@{dmEAS&pJc&t0AzisKMDfi0ufNg>=2HZveoSDu?l$Eb^ zk5(DqW~PzuPVbP?&QuR|*V$!6GVCzNhRM0hT~x6^Tc$(aec7T8?TJ_+_a|Q`rt=in zwe`7aL>X_-Fu&{eMmuD?dRwvEvY!RhIg6TczHU0IliQ0s_Pa?oui9~lOVf>MY)rEA zFhA$A2CrMHX2A|S%`dK+h3z|zDn52K;+&YyWy~7LcBOL-bz0A|TvK}kb&i@IasA4F zb~>+df>v;K-TPbT*IyymPzQ;fZf;{o>sVujXt#n(FSQTN$9WY*l+)fT7XV#hZ)ofEl5Zpv9DQ*F1b?Q3VH zR@>dfQ4P+0qp{QZk-yHxILobmxqDBRn{%B4w_YU6$obtG1(H#SIYl?cg`N9mvh?mg z{_S+Sw^;8^&~v9Z#huf6lUGjUIn_x@?YTUc?PSodzvq^>gOjGGAIUt#+#`;8vQ8@T z_x5bxG1p0+cVy4$qo0p>1dEVtM9fEOh^jhrd6Tn#5;OHkRaBCGyrbWdm(8`)xs@w9 zHyx>z<27i!E_!6oZbgG)`#+9<59}vdi@S;qy^_2y$X$USdpqi zG9EGKX{C&TgY&TnBmQ^t4yEm7MiHs=9L}zIGM$&X{!Y7ne$Gl`ckN31lg+!0^{SKX z6^6Y?W+diDjU6$uUp}ke_(`#%z1!3~WBZqa_F5nLNVX*AOI=*lX=iuZ$|OYWqTM+c zmI*5r|FcYNmCWIPLDeADnf4@o<>xtA`k{KfJ#-w`amcmG-V8f66VNc zRgfyE6#*;K+z5zqB#jAMe!8Rl&*r=SqZSRi;qk`1e%RYvKXa3Fw;pKhbJykZuWlRJ_PKMg=kui_hgiIW&8Hq7`9hC-^fNp;$E)(sOGeiI^X0+gPh^dJ z^5XvtzU=+)?wnqD`tS2Z`v*4MY1(=1kk-PPcdnf}cF1!(0(ZWC$-=+S8NKIF*`4F= z+drgw@aYl%J$ZV_)?Eii{5-x~KRcB3!?gOvBg$&V`u$tRk5Csc@V9<_^N5`XUjF-B z(k*A1M?7EM?H_yL(c!s+TtmBh#D;GjwC7vu9wspcg!(O=Y>S62uw_(_-Z%689n{vJxU-Nf-kDuXZ`5n$Y z;~X?zi`V3}c@N%;_mt&*gcmtOaYr+OS5f6>BEXVP!2@Q`VL>X02Is&Sv9$IQ9a2 zg1x~WVXv@fzSYnBoBDRPzVvU%S=fx6>#3Zpvj1sHFEN94ZZXU5rOcUG0 zII&L56Z@R6M=l^IkQ>Mm;^2OU{+&-I9yR$>e5oG`X6b zEziLvmy^@U?c{iJJvm>Vk4r6}CQuuw5!4E5hCDZyT0%{swoqfJHPjq=o-VbBnnZ1) zMp3J%S@N7+Y8f?++D46|)=~51`McCYY9h6f8cD6BX3BGUsio9ZYAZFCT1(B9=k-#H zsmau4YBaT)nk~=qrIu6EsqNHwYCSbyp6?45026=>zzARkFoQhz7c2p$09$}Dz#3o< zc^)uW1WW=p0i%Fbz$}~*$hneW888jl28;vN0rSZ7gTX>zBCrt{39JNWlIIG8rNC5R zD=-#V3(O_Y8wQJk$-rh{G_V?&O`byxmIKp)?Z9|oJusgA zSQ1PLwgh8>HNl+nJY%pZm=tUZMg^;aS>-v$U|BFN*cOZn)&=v*^N+#8U}CT_7#XY# zW|rq7gQdaLU~4coSR2eO&r1f2gUP|>V05rLm|dQu43-DegYCiiV0|z@*q`%7=>_Ns z=nd!*=oRQ055}N{>pf zO3zB~%DJ%gvh=j{w)D94y7au9JxLEtFHBENZ%mI&uT0M@&!wi9rl+R2rpKn&rstOD zRnv>plhd2iqtmO?v&(a=>E-F^>Fw$9>GkRP<@wfd0dN9v18@Xz1#kxP+-tZ5I0d)` zI0m=|I0tziHe3Xp1l$B11zZK3g*+!4E(1;jZUc@3t^>|Po}UdD0w)4D0!IQ@0%s!6 z)rL!fQ-NE7V}WadbCKt5!^ObKz|FwXz}3Ln$aA>ia^Q5}cHns6dfu}+4;&9_|{<-_U2?ZffI^~3qg^WD(` z&;-y1&kkRDVizTDd*&)rJ|{#t)j7_wW7Jo^ZwCd(PYtP(P+_X(QM@$0JK~*U9?>^UbJ2` zU$kG&=SK@h6Gj_GBStGmGnR7$(2~)V(U#Ge(VEem7{siUoR|M7W-5BC0# z&$p~7_kVm|IX~gP<hG+VoWoEN@BcgNIe4+_ch>ij;m1$2-kcAqum8!} zr`Zo-ZQyD4$GXSQI?aBOa~poTxV@YGbj_dhyV+km68>)Xo1EuRGv(L+vLF2ecKw(A z*>+y|zwB4erDXq(J$TW7+0SonJ$;J(9a{YMDfYXZ|KJ}m<`nTTsp`^G#79ZNU%wGA zaxO&L7ps0Fo`&tI`;GV-^kT2yh&Rr|B>rxj_3^L7W8mM-zY?F9jvoIj@yfZG#P1b1 zX8uY%PwJEWh4_wK{@gFb`_rlU606R?_80QO{2sPn$PW$Y96m|D;2ci!NB#Mao+O{l zyV!S<{1Uso_$2v8&ZF4i+wwE{X!PLV&*Y~O_xAspd?n{pob&kcF7jF0`nE3e+g0sz zy2y8Oe#K{}&h8=~-d^(aPvpnzduuq$QDag8K5{MW269z2Ur5>d)=R8@{I=1^eIlJ@u($ zThaH_D>-Ll&=XsZQ_rUS6+BLT`*Fg66W>t}ANzX8chtw=*m>VkFXdd0 zUmrQ|JL>5K!*89`*TyBgJE^yFUPquk&`CYM-ruv6`uxeM|9wlnmUBFc)z#lp&y$_^ zeM^16dqwrP)O$JK3EzMhg-i(kAS!2ypd<#z4{1v?9J~o zkATmn=X~}Rc#U&!!Ee8eZukm3_xiFMzXIR&eXsZ{@SdDc61ibp2Y9e?!K@DO;WhgQ zc7PW-N0+%J6DFVh58EcvsFpv7FfS1$ek(#?&vs#|zvye*s>Wb5R=ZKK?m) z+G^YJIr!T8=Dg3r+j3sYl*=#p96a9rYxg1WxoKzo5O`hAQRy63cL+TH!DZEl!1p6< z)J|{`RZG?vLqr<@}k61+kClhaaq7{1N@}qPs_bM87QO(me37@gw@_%L@-A z=&!H6b7O*jTh6Pw)zmLRKR)zsangtA&)3f!YBMu@#6>Z3%!qMAHX-r zc{u-@I_3lTi0~a(egHqweTC%%_zF2E=boHR@55(IHcfjUeq*X>!29qWa(>Pu?qA-6 z4{5mat@q$Z<_%i;9(;+Mt8?~3K?m}_kHZhm-r63A zFOqY6rk0F}!zU&7Um1sA($-kx@J(`_&%EnjdK*6K;d7hbhM%(K-SIYjm7MeQ_#dbD z!e>oUKG+Mt)hnlYFMOAr|8xB{H|&KEd#0{*FZ|dKkrQvhm&v(6_ZKdG3qGyou6y5t zU+a4Hs<+_Vb{`S~z_`q{tuH6kkcwqJ z4Zc>+LHg+4SEKN`1FAw%_}#D5?~KCt%K1o>-!VtwgB#yC)DAzqFW=N zwZkWW^Ne! zb~&$U*^Aa4@bNQOAATKv{@P_*Ux%-kbDVB|F7P^h{_q3rG$hl9Y>+Nmm36B__hRie^aeQ(s&4bR*U%%z_Pg#i z^og~uA?HNBJNE7E=oueewr)H6##tGUY)9{q^P~2EdiHkokZTjCx1ox1cYjt$%I{dXt=Ib^kMix1dKYdG#M#(5H4J%v;c_dcO7wdRBe?qF2zj z4z!Pb1-*;;4a~nXO>?}09`@OfU9IS2UyggH6}?Q(#aj9Jqpj#^gQ^C$qOXnk@}gGs zHaRcruF>LYC85WS?X~@7^tnXwqLa(*55Dl(keAR4<=m~}d6_SvC)WIO^hNYV+x_h?qBqKUT=8l3FQP~8 zaQ1r3j3@=*@DT*zec1x1dLlnH6b4pT6Pa2`%W=%mrc2*wPgj zwxDNQbIdL1+qsLs+KApQ=Z_tGGqMpqJT`vfM)dLPE~wpzUe25m=8_G5+p-Zo{p1Tr zpF>~2d5!iQdb^xg*1u))bLjDg-2-}Bzi4e0rU+V*Tf-@nK4 z^ak{PIp1u!Vb}&d05>n}wE+*n)jbL}-~o_x&n}(*{(3wB*Lt5{j|bpB+nn`y0OUNh zyhG}GJOGjXuJw2TZasYZSv&x8PTBzH)@ShmY`k^xvv>f!i^e~T2SCnGySeWL&*A}i z`+4)TcmP)QIQ9%406AA}=)azN1`oi1?;m>x55Ox=4SNO;fSkAX!R5uz-~m`%@axlf z06t9W|mq0XT2rE9>w8FcSt3K*q58 zb$9^geL7$r9suXvJ=fs@kn`eZyzt{%JOHEb{%|cGfVxXwT8jrj&XN1|#Di<`0L(gi z>smYjw|;lYT08*EmcawC=IkHW-~qU3@P}*g09c-UX$>9#X3pRN*mlc9Yw!RpX&+j{A8v9)Oe1k5}UX_#(MwH68#tr>+( zNneErp!fA(KZyt6@R_|&;sKCz@FuL9|0EuOhnmJci3gxhL;ol70Cc40Ghp+ZUhg&)fZbMcmS?B za(pEo06Ayx(dn%#@c?YQd+AC%0GY!lt;7Q$=kFCa^jV1q;J`Z1N<09&_8V5>0g!X~ zE}i(!3OoSqhc>Uk12A`Bcm*B+X7%6!_;$jO6?gzRzD;{gbktXYf)VBAYH7UKbs^9;x4)h@;ZFzsNk#drW( zwz?PN0g!VJYu9}r#sl!l=8wa803Lh)^)Ma)Isfn$H5A4JFm=g8VLSj|o*Ep+10d%j z-u}zEVLSl$EyxMu0oZfJZ;S8%$a#qa-`KMV55N)XlaK-&0OWi~|5H~i z!~-y*k7pqs0Ppu13-JKRxsfkV|8fByfNRIRy8sWsn?tuPzyl!XNiKS9!2&!0$66*W zzyomEx1$!|0bs@y9)P!=tX_Zzz?R!%0Um%+%kmcB0g&@2J)eFv9}mFNr614715i3T zIv)>!oJ%?ON^$yz@Bm!dd&Yb`0B7Geem))mIj?fewj1W-0cbZ|K413$jP}gO10d&E zCWmy-!vpZ+569-=0qC>hqj|aqAT{4|j(gKQJOK0lSUC?5z`h@5&BFu0%qu(qmsswa zhX>%6p0~`y1MvENSIxr%Am?GuD=M6a2jJ&9Y4h*^Y|Z>Bga<&*$-M2RcSCppE;Y7= z@BmB}&ko}Okn=P9Jlhn)1F&o3Lm@l>uSM?+;Q^3yHUB)PcL)!_pnq3}@Bo}Io-M`$ zAm?q~`2H_*@c^v8`^a280FUH-G#3wmoWuFnnwRF{0q{1ior?$HmWd1J;sKEJInP>g z|6Du(KkXVi7Z1P?!%cJX0LZzW#@ElEiwB^8RmogD0DX3t=i&j7^E?a9-^{@SV2JOZ zg9qTLHE+$q10d&o{yc5N96SJ<<}aUv2f*{f>^XP<)K>eZjXX62ob3|V+ zdU-Y;fXV^Qv+)2tvU>4sJOIoV!vpZzxQVmz0JQ#m&ulyZi{}lQjR!!^9W6ZBdo~_` z9lONIXu<<<`*Y>9@c=N33=hDF=M1y)0DQ9R*I7KDbp6S1X5j&lb4ttRzc&jH!0eOl zv+w}?{9x-WJOFZj>A|(jXW;=Da(ieN9)Pb(r_RCyz)Ukd0L~+US$F_GJTh<=9)RVi zZkUA!K+ZcIHRO_6cmN()Uoi_0z#?PeEIa^m4(dPt{Cy@KfXyp^oQVhE*6WYV!~?)= zG&}%j4!koH4?s?P`%F9lH*J4qCLRDeH}!gPdJ!G~OVJZE@c^6|He)6p069-}TEzo1 z@c`sD-!&5tK=QIXX5s;mb5@;8`p(1yuyW*OGw}f2UUU9TJOFb3>cW5K&%^_ecbj=8 z9)RSuGc)i2Fp~`rz%}{b%)kTi_2fe{@Br-pIWYqd0JGZg06gv4IRg(s(wEM6H3JX8$@BWmzymP-fH)macmU))*!Lc)n1Kgi(a@3^cmSeBTaY!vipUb@Bplu9%{k^Am`BbK6^?N9)SLfA8x_}@QpZq4iA8w zPuntZcoQCgPi%KI;QATHAJODSnw=swZU_tDeARYiYU-!({ksuy`i;9;8@c}T@7f!KcmPhFxla6EU+ds^yg@tw za^CNyPxTJs0oc6ppFunTpYOgRhzCH<0Uq(so$R{j8JOG_D%|Sc>axU?u zFBpS(0J=U)58?qB=SdIZ0g&^GS07Ca;sLmIOj-~RK>H~rhzCH0 z&PnF;-~r(C;Q`?D;sKEJler&w0JuMR0JvXx0OVX{?k64q?k^qy?l&F)Id7TuzyrYg z-~nL0@Bqj;%&aFK0M-`|0PBqhK+b1oKg<^%0QLtS0QL(W06Djr{e%aA{e=gB{e}lX z&U0oz;sIcP;sId4;sId);sIbk;{jlQ;{jm5;{lNKpNR)N0K^9#0OAD?fSe0WJmCQ# zzVHALZ+HOYylCPP4*>Cr2Y`6R10d%}6VG@6h;KXq#5*1UIbWK5fCqs5fCqqlfd@d& zohF~)0U*EN0U+Pt0U-b20U#gY0U$r&0U%%D0g!X5$!B-~$ZvQ6$ai=E}qK+fN$9^wI@KH>qOUg80ebGfOfcmSxccmSxkcmU+QZt5`}0O~Uy0O~a! z06E8-dX5Kx`i=*HdXEP{&i4in-~j+1-~j+H-~o_xzrhoD0KgY`0Kgk~0Kgx30Kg-7 z0Kg}B0KhAF0KhMJ0KhYN0KhkR0KhwV0Ob5|@DLsV@DUyW@Dd&XIaeG!g$Dq9g$Dq< zg$F>+8wZc!0RW%j0RXSz0g!XZ!E<;3z;}26z9bQz>jzU zz>|0Yz?XOcz?*mg{XtDINgu>T2Nu0Keh^0MFt90N>&P0Po@fkn_*M!*~F| z$9Mq1%Xk3fTy*d>9suw)9suw*9suw+9suw-9suw;9suw<9soH<9XyW*0DO-J0KAU} z0Q`>!fPMfE0Q~_T0Qvlk9sv49JOFZTJpCjd0QyTj0Q8%90O&vQ z0ML)(0iZv{13u zd;uN+Irsir!6)b*0KqTd0f2A70|5Vk2LL_-4*>iG9su|XJOJ<)cmUut@BqMX-~oW| zzykpPfd>FS1P=iG2p$0V5L_ z@Hcn>;B)W*!0+GzfbYQr0RMvr06qv00Q?Xh0Qe$20Psh60N|7G0KhNd0f2A90|5Vo z2LL`w_W%ff3J(B$6&?WiD?9-3S$F{8x9|YKci{nm|H1I}9_%l2J z@M(Ae;Mec~z_;N6fPcdS03U}30DcY+0DK)D0QfsR0PuNu0O0rV0KoU*0f7I*0{|b0 z2LOH$4*+~29su}5JOJ>CcmUuR@c_U#;sJnv!~*~yi3b3F5)S};B_06yOFRJZnRo!; zH}L?#cj5tn|HK0TABqP6eiRP?d?_9P_)|Op@TqtJ;8*bgz_;Q7fPcjU03VA70Dcw^ z0DLVT0Qg%x0PwkZ0N{7=0KoU+0f7I-0{|b42LOH;4*+~I9su}bJOJ>?cmUv+@c@_v z-;4(U{uvJdd^8>a_-Q-<@YP=l4*>i%9su}kJOJ?9cmUwL@c_Vo;{kvV#{&RAjt2m~ z91j5eIUWG`bUXm?>v#a*+wlOvzvBUbkH-T5KaU3hz8((%{5>83_cF z9su+VJOJn$cmU8l@BpBH-~m7n!2^Ikf(HP-1P=iE2_69S6g&XvD|i6VTkrs&zu*Bt zkHG_gK7$7Uy#@~e`VAfc^c*|@=sS1-(0lLzp#R_jKo7zLfIfr=0KEtg0QwOg0Q4k0 z0O(720MMK80H8nN0YHz!1Asn-2LQba4*>cV9su+#JOJohcmUA5@BpBH;Q>Go!vlam zh6ez>3=aVM86E)iG&}(4Yj^2LQbi z4*>cl9su-AJOJpMcmU8l@c^KI;sHPp#RGsoiU$C_6b}IUDINgyR6GFat9Ss=Tk!y( zzv2NvkHrIkK8ptcy%rAu`Yj#+^jtgu=(~6T(0lO!p#S0lKo7c#9su-gJOJq1cmUA5@c^KI;{iYq z#{+;qjt2m}91j5cIUWG?bUXm)>v#ar+wlOPzvBTwkH-UmK92_gy&ew$`aK>1^n5%3 z==*p8(EITKp#SqPU-Nf-kDuXZ`5k_j*U(=}ye6;Bd+=VoC-2QQa4lRD*Tyw+tz0wL z&S&7W@R|5*d`3PipPA3jJ>Xt&Pq;VSBkmRVjC;pD<#t^dxbs2-eC{1m)KM6E%q3DjXlTS zV-K$;sqqax}S`oK5Z~hm*_6>Ew2DJh`5nPwuA% zPz$ID)COtC|>=Jhh&hPwm$|00Iku3BU$m1h4{_0qg*V084-=z!qQ(um+d|>;VP=i-1YM zCSVk>3YZ1#0)_$0fN8)sU>vXxm=?ZNn9eK0@RpB{i- zfS!QffF6Nffu4chfgXZhf}Vojf*yljgPw!lgC2xlgr0=ngdT-ng`S1pg&u}phMtDr zh8~Arhn|PthaQMth@Obvh#rYviJpnxi5`kxik^zz3J(Ci7Cjfe7d;rg7(E%i89f@k z8a*4m8$BGo96cSq9X%es9z7quA3Y$wAUz?yAw43!B0VF$BRwR&Bt0d)B|Rp+COs#; zCp{>=C_O2?DLpE^Dm^Q`D?Kc|EIlo~Ej=#1E3FFi25Fg-E7F+DQ9GCecBGd(oD zG(9!FH9a=HHa$1JH$6DLI6XPNIUWFdb$WLE`}FYi^7Qod_VoDl`tUC>z?Hz6@caZE3S0`D3fu}D3tS7F3)~AF3|tJH4BQMH4O|VJ z4bN}D;lSm<>A>y4@xb-K`SAP)91vU(oDkd)91&a*oDt8Dz#+jU!70Hl!7;%#!8!5# z2^?6dV;?6`U2%ufSo!Wx;8|ZNYKDb-{V@{0kfyTo{}f+!!1gTp64h&(FZ2 z!KJ~e!L7lu!L`A;@%#-O99$fn9NZin9b6rp9nbH;;lbs>>A~&6@xk@M`N93c0m22s z3BnD+5yBP18S?xP93osIoFd#J93xyKoFm*L93)(%&PfVx5{?qC63&w6m*6nrGT}7g zHsLtoI^jHd{s|5gE)-4_ZWN9bt`yD`?i3CcE)`A{ZWWFdt`*Le=da*k;bP%r;b!4z z;cDS*;cnq@;d0@0;dbG8;d)48EzSl8Lk=5 zndi^opy8t7q~WIFsNt&Nta*M74jV2TP8)6;jvKBU&YS1o;K1R+;l$y_;mG02;mqOA z;n3mI;nd;Q;n?BY;oRZg;o#xo;pE}w;ppM&;p};S4-OwLA5I@`AC4ccAI_iW|Ih%? z0?-7|2G9u53eXIAeh>`-EdfmdZ2^q|tpUw}=MT{!&?3+z&?e9*&??X@czzKL11$qh z18oD11FZwigXbU7K+rFXiI2J zXiaENXisQRx)vogDYPjxDzqvzE1q9P!$QkK(?Z)q<3j5~^WyneG%&OU>G%~a@ zG&7!`MMFbNLsLUrLt{g0LvurWLxV$$Lz6?BL!(2hL$l-gT{JwjJTyJDJv2VFJ~Tg` z|3w2t3q%t{8$=^SD?~Ho`C&9fv_v#Tv_&*Vv_>>XoYesYC`ExXAv}iPGv}rVIv}!bKo?l19M$1OiM%zZ?M(alN=J|ItaI|nVakOzX zazyCB4-%)6k1sjxnCZ-REp^bmXzS zP6U1)b#nAiW4^ol@I8rp+sAIKTX^62`)_`r$GFqu-hFW8_`4oDr~YXDx`zk-+d5(U zguq18BhNi@?WBW~#!Wsmd3HnQl=)N4k4}5^r$_Icx_9b@kIj4R*kiq?&7XE)TKV+A z^tICuOwVkrZXDe>w{c_RuEv9n#~V*I{@M7K`1h3f`k?r`jpBQw#m{6m9uPktm|i~p zfcX91(~gPPy6~~RQ}3MmlX!jeqw}X^PMO_sX7aen2Pa)S>A6Qtj|3)epJ1IZ=-=xe zK3acH{ap{O9RKcvr^odeck=_|?_YS|#=7>giF*&<^WEJ)jX62`=TRpD$L{)cWc<#p zBi0U|G3@T4*ZIqboEr4*9jgc4cl#B$of#18-*l_@mNWgf-gLjued7oJntJ_t*L`@+ zL~n`O)^|vsUwS`&)w$I>diB5Z%ge`K_D9v+p85ZH@?y_L>n}LJvgN$Wb2pyT7CF<7nH8*KXNv z+7^4Yaf|mAQ|tRLt$gvG&Am2dzHp?aedC(vrf(Rt{+4H}pSj>^S99T0dFyi5=C3JP zefFxVC$EbPT{&^ZlI7c$eZJJPwAYgR7C#;SVv&2%=qENk-o3Ej!sZ2M<`0`6op=7c zWg$~&>fBRvCe8VM_RQJ&v!9*Sd)9%OlV`eS?wv7d#)UJEG&MJkX}YlKR4^V~7n~9t z8mtMPA1n@L1`R<){F^DhK41LZQ1QKW;%80;FBCuD+;pVrLh<{1XSl>`9hljB*0Zzn z#q0k*d(xa!bEeKUg_ecRpBJ4sZ2p<~%?tW1>|VI(@zGDX7k#nl>F|Avdo8gn{e0=R zWlNS%TrqUzb&;wk&t6rsI)6>>+PrmzPq~^ec)I$TTb>=We)@(r&$Vwn(vtZ?uTA%C zUisqtFPU1suQYCny=vNa%k~wo9c{aO$Lu#g+j&`Zq4r(Ox9j=cId4tad+6;O-r4f* zS?@3Tz_@Qp;-`~h9SK`|2O| z{y3=X*v}JxG5xmu)cM_Qr~94x{EzW};{D;D3UQ7IXOVE831^~kt_o+faJ~y?#2njI zmdjZ+`^0%S582{&&fWQ!$Pk?W!x=*72@fJ?8F3yGeoD?|;%uiz;SC)r{H1fmIaQo> zHCvq3&Y4@B+r`;moFB#+WSnEhS!hGVd1{3fJ9^>i=4?2;<(yIX++}Tj+iogRIdAWt z@(-`U^Uv9VoIm*O3D=GHh-V-;OEEI;Out{ndA^(rxuH|*&-s)*-@-YWT^Ft%c(Zs` zhciEa|Is~!vqvN1>{QM_y(53^@Q#YDBOY7(_MP*^^I$wvR#kl>pejF);`zAnWj~GK zIlWKLd~r`j)4qG#^V-KYt$VI+T#tqKt&co-|CE#c9td2}W85ECoE}%0^X`LdpI>CtuRcTNo-d21@?RUN*0-eX%nJo*@C zKY8z(KW)*y2c{ig>YmP76n_j_JAJP>lW5Q4jK)(#svDW{!5JZ2du?o7F=$ug6XLv^ z*n8hK&N%B-BWJfT1BUzsK+MI=mjQ%j@$#ydUq&`*R&!57))@ah+T* z*Uk0wIruz$EdWqny^)|+)_{n-cX2lfT~gMGq&Vc)QS*hlOq_7(e!ea3!c z-?9JLhwMl8CHs?o%6?_vvVYmf>}U2h`v2iBsa0xFvpxW8#^(CccSt;+?oB{=pGok!J;-nI$mMXo0I< z6WHvGz<19Gj0n!$FR&_j7tB0B;BK({*#iIX5j_Nb$O_TRl!<<5w&Vf*ZO?@JnIAQNeS; zl^qp)8=T#Hg7<3`+~LE5e}uz?2mL^BsqnG7o+o%=xaC&`KRr%x+)D&c4%ZG}{|~|W zqZ@1%+5`Fp`Ue^YI>aoYC7=gP5S%`|Jly&nf**(D{!H-Hmk6#Iz8KE8QSi2KuOozp z3J2OOcucs+6M|2K6NJ};n}c8bhv3NIx!|hco8XMz5WLT&g1do#fkQc0@E~v*@DXqd z0|YNHQ1td2M1TH;=&|XO>2>X*U!~`yZ!8qOAN?CW7=6@(q8BoXex|qRN$4x+4IUQw z9*hpozF%Nv@Gh8jy1<>!3G67AU;jUU=I{AFKgZAWd;C7H!|U<7ygu*4`|-ZKKi9$a za9vy<*U9yA-CRGPgU`d~;`8x2_0KClH=m#T!2RI9aDTW@+%N7M_mBIi^Q7Xwa(}tc z+;8qX_n&oOJy;jkhjn7TSU1*>b!0tRSJszxX1!T=)?e4L#C~93us_%*>=*V8`-gqR ze(EFk75j^Q#(rbpvH#eI>__$``;&djer4aXf7!?EXZAJwn|;oHXWz5`i38$+xF9}= z6XJ!qA%2J>;)%E-zKAp8jkqKJh(qF$xFkM_Q{t7lC4Pxx;+eQ6zKL_dET%CWWQ4Qzb)aRRD8`bUatmt#yiAJ?G^PN5yeATGFe$$ygkxv@ci+30I zU9`JVwRH6CoA*ki+VhOBuVYQ4T30x_@1|Le>coqt_I>;QMm4iye%}ZCH>#%RSM*)m zvr%1G^=w~%ZlfC9{&L^7$ET~id++S~;GXGful9J~cUDhVU+=rWZ)^Q@^&dOG>U++0 z)744UKlbfWFkPLn<@dg?bWBqX%hPM#-!x5~voE7&;>2m{YgSv$=HAoPncm`>34cDO z9-ic?c}IIp-M;DUn%3!$sec@EG4&Z+&zehro2o{R9$)1u$r}RPf-g-1Zw(^pQ7IT z*u6Co`xLct)wr6eTN~8dw*R}Pdtih5{=SA9+s~8LufCaH^VWjNYHZq!nvx!q)eq;) zuCeTxq%M6TRI~EdNvdV}f||XDA5qU<^+e6e2Om+tSi86;>-UN3rOnG~&Ym?= z`_*@+?yFgR&wXmfu#ao{Xm#o}z4q6%6xXQ_*pfBL2ga(w&d+MzkKU_JiGEQN%DYz` zu%x3VYveuZ?_-YE41M8l^^wcJshRNW81*&fyP9Er#;9++_kE3R#%OiQvL9DLPU;|IR;ZqNnaux0L)%*6tam&id7;-hXnaI^$-udVl#)wb#=Yb)e6$uKvxco>xCa zebJYxe!YCK`oO9zb?NJa)Wq>@_0mIksGnVxtM2}NpgL=Ao_dpGp!&flHg)gix2vt? zcD3)Vx2YG_6{s)YU8`QzTBv#+9iSdORiuU=@2^_=l&FTMZdGHA4t2^)x2VdlQnmYy zo7KIBGPUvTeroo$F4g|gP3lLDZuQlqPqptXSMND|qx#+{k9y&e8`RT1&r;Km{Y!mv z?AhvXN3U0(Uwe+K9Jx+C_v0Sw1&6OyGfn5I^~r10!M)B`KTCL3)4i4I*tb=+XxRm7 z+0GhuM(jfM^h4Sy?W8r>fx*Zp{{?dx4L0ePxacmtJF7USEYoHDm2qL)MZtWo=nw)|xeE?b!qD1@;7cgFV7tVb8F4 z*hB0k_LRQ2#2#a>vFF%(>_PS-dy>7$9%ZkxXW6^#VfHe6n!U{)XRov8+55x*u|P}^ z8^j2)Ld+05#1OGWOc7he7_mmo5qrcSu}Dl3o5U!wO3V_w#4xc;OcUG0II&L56Z_-< zasfGk+(3>XSCBKv9pn&l2|0z_LXIKVkaNgAjvjv`l)v&dcKFmf3=joe0# zBiE7h$bIBMav?d9+(?cjSCTWyo#aq*DLIwgN{%Jhl5@$u z)<^4^%d(KWxd7vM_GTdAEN9Bu|J~h53ygO>=&_r zqU;~BpQ7w1vA?41FR|aE>^HIhqU=AhAEWF?u|K2iPqAO4>{qdWqwHU?pQG$&vA?72 zZ?WH_?02#MqwIeX4^iSl#7C6)5b+WvUPSyvi60S9QQ}F&SCse?@fIcCMEpgGKM{{n z;!(tBl=u|!8YNyu{6>ji5zkTLS;Tjg_!jXVCEi8+M~Q!t52EA)ksqSu2azwL8@^ zH<9n6YJ!{8ud=pKaKh)>Y+wG6!lS~K8kv&Q7=XP)Tp1Lo@&%nQC~IctEjgc^;XnhjruF< zu|_=>^;x4ni+Zh5uSNaVsNbTVYt(a5-!N{G$Q>FO zK;#k)3?Oog1_lthMgs$g+@pa3L@v_603tVOU;vS;G%$e3T^blb zQ?F0$=l!Vtyg#*{>!J2@ebj!gm)g(uQ~UWm)P6o6wV%&R?dS7T`?(*~e(n#opZi7a z=l->)?kBaM`%CTTepCCo|I~iggWAvfQ2SXgYCr2o?Pooy{j4vwpY^8pv;Ne6_5-z_ z{Xy+#zfk+xKh%Eq6SbfHMeS$5QTy3{)PD9OwV(Y-?PtGI``N$Le)co9pZ!hkXTMYX z+5gmj;(^*vd{Fy|7ivH8L+vM?sQttjwV!yS_7i{9e&UhZPkd7QiC1br@k{L|o~ixB zH?^O5r}h*7)PC{-wV(Vz?I&MQ`^g{Fe)0*mpZr4YC*M%}$v@P7@)5P4{6y_1Us3zX zU(|l`8MUAMM(roxQTxe%)PC|IwV(V*?I&MS`^lfwe)4HFm0zj-8_ER6I{nQI;KlOv!Pd%abQ(vh4)EjC) z^@rL|J)-tgpQ!!RD{4RWi`q{;qxMtZsQuJCYCrXl+D|>C_ER6J{nSfpKlPK^Pd%mf zQ(vk5)LUvl^_SXDJ*M_kpQ-)SYid9Bo7zu3r}k6dsr}S@YQO$(3J>Vt<7ZNMLH}J| zBZVjQ*XBJ^ctd}0t|5g-^lRf9Q+P$cc0NN2&*-0x&zQnH`e)}Jr0|e_Z@5P(yrkbd z?qLc~>GzgF#H}$>89!%j;YCrf?->dA|6n@qBGJ86OZ}q*-o=@RlJr;T{`v!1Elyc9UFrIQhb??oxuPpK268gV1N|grekj~K#Gsku{jtZ z#nM7_5F1Elyyz4rhE(2J0d z=uN-?DZWzgUBCb-K2z^)zyK+}Q}2Di04Y9H?~T9!DZW(ioxlJoK2`6n$glKTV1N|= zs`p}GfD}Lb|Gk>P04e@f@8!S%DSlV)^}qlr{#Wk>!2l_KSnn0V04e@h?H_yn#33;=fleu2vX1Hf&7Z{Rw>0B|4RAGi=O z0Ne=p2(APSAnu(Ceu7H@1Hi3-ui#q10B|qhFSr;m0Nf1t46X(Y0Cxj^gUbN}!0mwV z;CjFSa6jNbxF9e9+z|K>t_TbOcLaWfOVTmG|LaRS*8~QDdjfyLMS%gt-qblNxGL}} z+!YuAE(<&hw*>}(>jLk>eSrbs!ob6DV_*QdGVn6o85jUA4Ll9E1_ltZrh>QO-oOBG zao};dIWPcR9e5q?4h#U72cC!90|UVIf%oD5zyNT8^aF5%U;wy6`USW{FaTU4{RG@1 z7yz!3egp0i3;-8NKLR%i27s%iUxB*>1Hfg{&%kYh0pL36ci=w30C1u7LvW*dfAs%) zDgBcQ27pVYpMqNj1HiS?Z^6BS0pMck$KYnc0C2VRYjC$<0JvQGIk;Ug09-Hqp2+27pVZpM+Zm1Hd)YZ^Au;0pOzPN8zTy0HRjuoHg7v{i~>D zDi{E6oBkHA8w>#VP5%oQ4hDc5*ZbrD*UNS8ocTMpXWe@9{Hm zCj1V+%WI@?l)jhvJ~745r}_(iSI@QLdm67Hepj!(U=Lm)_2<9)(bUiDy$P?8dOdzu z{~3wfcynGObv^oP^B$?|{X4&LExZQT#%qZ8)34d8C^nm-7#xaXuTT_YrJ@v8DT=vT zQHs5aV)ZGCqgGKe{fgoYC`xvnqPXf6CAUFQ%EcR7LyFfHR=kFY;8`6U1!8ETmloqpv(_)55 zTFl;@7BjY_#R^-~V&=BASaB>ZW{s!C9Er48W-=}2>`04ccc#T$U1_o0?zC9BVi50d zQ1WdCCEa0A3MveWsnVbnRT&gZwLvNI8k7v5K`E^@C|Q1kQWh{MIdulbU2jnG8Vrg@ zSZJ*wgEv2H@TNx$-hyU>*VJP07PT6@mNtX8Bxdkt#0}okgu$DYGF>5G2mLE=!rAN|Z1SBS4Gjn={{qt#q(v=)1f zR;$lwb<`TInSP_y88BM2>x@=cz0sQ6V6>J8jfx{=^x48jr8Hvn*_*}Bwitbdt-@8` zX7m-uj6Q4J=yN2DzRaZ2=j<^0vOA4FSC`S3+imofD<-eaY6{tGCU3sO6tY*Ce6~tc zsIbZuGFO{I#a>g$>NABLwWd&}-xP8NOrh*LQ^-|s3gtGKLghh|*AX(sZDEtQG-8U| zn@v7Piz!~%YKoiNO!4BFDQ=CM;*NwVo|!boogJolcBd)s>N3T1yG`+O#T>L*&DMOI zIhgM-TMH`8AzP){T2y7WTB^;~60g~s;WJxHYt7ayzu8(AFk5r#%vN{3*_zj2wt9l* zpd)1V<%i9|(umnt&}3C2p&&xAxpI-RN}RSGJKX$X{{xc<+p^&0+vut zoh9V1w}kQ z@@0e_wHe_|e@56D$OvcGWrSVz8R6W9jBt4{!q^k;q77CNmPwj*LWhXGX%+m66Ep&PbG7Gi~{fOj|)krZ>Mb(^gcK z8OyKEw3YZWZKbuDwk&_9tt^mf%c;w>x$84+c@3F1PbjlCKb#rNie%OnG>flWGHZ)k zGecQznYAS`@%Qn}+R{X3ZB{a~wyYzwHm5VQ*4>p^o7bIL>#=5q^Bq~?f{H9}X=PTp zs46Q~TAdXx@nwZeYqP>x{;Y6WAS;|xmlbx`XNB_`vcjHFRw6%~70ZfbB?_9eYD-(P z5=E_9@vOG2L`gg=QJTz3lyzh!ayqjT?yjsvUUyc)qhu>~YqrB?%T@{;*$#U}w$)yl z?I^6uwiZ-pJBq#8HoGs|;i%2F75K9q&Vcxtx@?E5KHHJoknJcBW-HE6w%-=cR>~sT zetUDa)!CBmFKo@WmbGR3i(}a~XFS{QNMzf}lG%P|hj@+7Y`?23+n?K=?Jrkyymo6& z#AeI!7C3Su_KF;zy)q|KSe4@|sLqKLdvj{-zMP1oHmA10pA&Hga{TtXoQSJFCz9Kc z6Dbeoc%7k~q%EA|EsNwN?aetpXG>19urwb4q@W@%Zm-OX6jkNL z3##)XCEmP*-Io_Bt<6gm`12xVfxM)>E-&J)&x_rFKf$7mc;TB&Ujw3G?AAmOXelZI`Wdv&b*|%OMKm(m-JX|6(X3$tX*4$ zz0y`uSY->@s%;g;UYnBdvsE~1ZQgvpt-=|wS?zVU3Rk@?WN)xllm~5!JtY1vZ1dV9 zwt&4^{CYEAZVNaPHg7@F7I1dh9QICIz}01o*t>0ka%+Bt-Ig!% zcYd?IBH!w$%x^BN$`3iJ^P7u(`OOZ0ezP->Z*|t?H@oWdL(Yc$=5kT-?4f*zJ)B=_ zkK}jQoAVuxmi&&w*8GU0Ex)5UmTxPF=XW>~`LzYf{0?VFzQfs>-{I=Yk2t&YJIWQi z;;`DS4x8QUaM&vfD(n@GN_$07l|A67wpWyR?MkW7UQt?W_m=wY6=ebOb)CJ!U2l)u z8|)RHpj~l>>{e&k?sZ1&fdX;m&K7&1sMQ{Dw%G$EF}qS0w+BiSc5hkI9w_S&uhD4_ zxVyyH-S&V-DX=-L1rCR;pw{6iXfCKI@Hr|Anv1Fm;*RQq<`Qp#t<+c0Tv}UDTk0=p zE(;X+oOK1w?)rkbv!S5b6D+VfLj?|JxS-YTUorI zqcl+<_Ig1_Sx152*;&xx?kY$+y9+uz*20QHTcJ|qC={Q$&{|YoSXt~9fA$qtI%*4T zMgGD{XFzSXXW>swlD*d5auH0r6F(qq3;dRaN9GsxE3N z_7$}_{6#I!KvAW$uBgRTFTQRlYAFvEd0nBRfGb?&bw`Q1r*ByW2`SOJXGnSG=UNG*OapCrdiZI!cnR z&XP`dS4q;{UDD}sI0B9;htE;%2)O)?DrcRe%2n@hI2#;Q`=-gjzDR% zL+oKkrL)yhQP$=NxML2RGcJBM;jon@9rex*@%x>QdRLdj@9cKems?9KN*$#EXJx6? zT~!(?tu76@eWev;{?b-wpwwDcSK8{TFAbG7l(v=!OTEresm~cM^_E3S17*$P_gYFF z?pE=2TWQ1{E3I|LOa0D7so1ZjUCxeDM_FfSm#eEZQr2DCRbK6^cln)FWp&OfcfHe5 z*5Iu2gq<;G#2I(CIy;Z))#Tve`WSDnk}3b_2PdRK$1x;*Go%0sS-@~}(sL|he~W|y_R#Z_6}>au#;T$P@f z%T^wDRh1`PHc!%3<>_!a$~(pHb-5g#ZdXIO)m`CsxU1aN?iRPt9dP^I_3k=%rKiE& zRvvVF%R}x!dD!jsMBD*Sv)fnR;;t)ib^APR?mAD*U0WV^*Ow>UwVtHA-qYdsmv_1w z%DdctPq(|f+*)2y?kKM+_mv0A{pI!L4dvCIPMR^_-}_N>FM$?8T*<^XR2lln=$N6)isk8<*!ELzH25;+c0BTbl#aNy3Oc3 zK8IEDDh|b`*u?)Um0G1j{J&c9D^=p}{7RkpZ$SLFo|h2+=zX}}m&-mq)d&CG$EW&W zHPr{}KTGe-@A3+=FP43>?3*P|DEsQv>;K(%bDcKvQ5;H4zs5>&WmQT@Tx(o>Cn)|@ zE3P*o{u>ro923`^5LX>h8kD5?shIfNW~D{^T}0_nTE+j{luq%TF7ewP;;SyvnJWgx ztfVO>C0(%um7q~{pXOj%&=eHiqE~d@UW3={P4k+(>0V1ri5X*tm^qdfGsQ$VSfNxH zD-0FpinIz-MS6v$S!p&l8=B3{Y0akQ^kz#y2^a&0fH{yBFa^>BmJX%E*kR}}ccgWg zI?_8VHpOPN8Ej@-n$2WOw^_nU*cdj1&Ed4LDV!d*)GD>cT0^b5Hm%lFn_g>4C<$Z2 zkT56G5~f6Y!cwJF8LJFc=Bl(RQ&oDErB!J)wi;T^t!b^M*7R0Oy;5(iH`JT!)9Ow2 z>GhT_rOVi5=rVVub(y--yDV14YP1?eua;&tS<|hSkPqi40YzZv^rB=dYz?H=`?m4I?bJFouP1WhumNuo$ z*k))GEJd5CExpaspfng83=QUnv<6c{dV{4~={9y7y3O5b-KOsJZi`Vd8jS{{*_dWD z8PkoHS;{QqEW<4Gth8CCS?RMZ*DKc>uQyz8zCP`G)Ai}sTlOe>jC%}w%zM)InD(Ua zvGh=S7<(9cn0uu4F!f09VOg)NH?B9VH?L1yZ(9F)AjQ95cpV`MAI}v-tzQz(; zOry3~Tf|ZoLJ2}_AxJV$B8bq4P*H?XyOda)sw87y8cR`oRRvX56je*P|MQ;TeE;|L z>b+MbGtcw=p7S~9e9mUtXdA7KHjg%rwvIN8wv0B7w%yb2neQ3zS??L{S?-zc*~)3< z%;k*btmO>lEagn)Y|FLf=Hj1+5%K*~=+hy&t`Lgk{ z^|Ilz<+ACrt)# zS=KB=mLS8hBcNorZu)<+A#Al<1p(m!!XM*(=gjD?UwnL@s{6Wd7 z*1_Dt*umPt(81Ed)WLR0J7hj&JY+p&IAl3wI%JE{V$3nd7;B6n#u8(SvHhq0Xa3Ll zpY=b(f0qAD|JjV1(QGsttww{}Z%UsJ?%Ua7&%Tmi!%eFz=VBTQdVBKKYVA){WU<=d2 z%wfhbYnUO-5@rgs-PP`z?;7t~?;7q}?wan}%4lWGWsGI4WejC3WlUvkX zYDLXOjYX|R4Mi+ZpYQ`Hb<5 z^^D<+<&5c!t%26S+`!nt+Q87j(!kWfwq4t9-frA(-EP=!*>2iy8?TKwk2j9DjyH_A zj5m$9J<=YT9~mE69~mB59+@85yftsLx6#|`ZSb~uo4jqSv{mL+##Po;hE4vSH*3R6{*v{I{(9Y7%)XsKLJ7_*= zJZL>=IA}R&I%u1%%{I?A&bH1r%(l!n&9=SO-kRSU-&)@q-df(8-rB61)oe9dtyY88 zVl`Q9^R@Zr`NsLy`G)zH`KI}{u3A@fS7TReS3_4zS5sHp3GIaWgz<#+gyDqcgz1FM zPxCYT8U3t&20x3R$KZC73LMj71kAo6_ypI6}Av9#2jJ_v4$8z zEFq>4+ZFAK`HJz1^@`z&<%;Qwt+m$L+}haM+S<_C(%RJ8c0fB|K43gxJzzLsIbb?q zo1x7x&oIug&M?fd%rMQcz0zKpUm0InUm0FmUYTCmN@^v|C571>J*2LVz*u>hz z(8SWj)Wo(^+iBiu+-cou*lF2m+G(4lO)^h1PO?riOtMTeO|m`Fo|vB)pIDz5o>-ok zp4h5s)y&n5)vVPF)hyLa)og3EwdS?Pwbr$UwU)J}wYK5faPx5EaO-fxaLaJhaNBL| zw)wX4w)M8*w&k|zwk=Q#GzS_3t$~I>OQ0#xmZ#;J^Ne}cJVTx(&y;72)8fo=#yD%7 zAu~u$D!_%T2SgksTrk?l-g42O!rzpUFAnH<4Q=pG*#! zyfL|A^26kW$pe%7CErVqm%J{yT=KW%Z1KOy&61BL2TR_STr2rigXC1nqmnx%UrLUY zyl4%{g_8dy=ZPOjZj*c__3`8_$yJh{Bqy0Jc}Q}Pm$EMPLDhuxjXW84xWeS;`w+^ zo|ot5`FRiChxg+Bcu(G!_vZaM2hM|Y;e0qJ&Wm&7{5VI>lXK;KIcLtBbLafI2kwJ= z;eNO$?u&cl{&*zda zC5I}XlS{5uJ~xk?tb9)%xm)tN^8I<_eaQurA0}r^9+})S`DS_kJo3`=K6&J?$!U}4 zCigAxohR>~N8X%VI{9^S?&RUg&6BSuhfiLgTt78{)C5q6O6>sk1akh=mQYVZ4GDE4 z)QV6aLQM#DAk=LuJY zsMJJ?oVn{)sdp6lbJxC7%SeqZHH*~IP+LR2jmU34bve}PP`^V>4|P7&{)pU@H_fMR zh*~1*i>NuG4vE?%>XoQrqOOTrC()~XYNDuaIi|^Qq4g{miG1OY}9L zdN^v}sC%QQzPM(+N=J|OK-iP<%{diB_m-puVIS0;zbK!hAC(es=B&XaTHd^u;% zn|e~tpL?Jdm3!fSsAJ{6xHr*%_dRl-+$;CXJyUzjy>tJ_0rG%cARovH@`BtTKgbdC zgj^wC$Qkm6+#!F+A@Yb^BA>`9@`~IdzsNE2j9eq%$T{+k+#~~AXg z;HjPH2-brqa>wNK=?S4Ggx(TrOXyLdM&@4`EQ ziM%84$~*J!oPqq=UH8G6@%Nl1KhGKS`uvKJJk3=Wcl(?wsdC7IaVFWR^2PmN^4toHIc7IRp9Ze9iz};SA6r&H&xw4A42w z0A1t^Q~-ksm)-T6G;ozLgp`99vA@8{il z9^Rej%kw-h@6Pk{?z|80&inE1yf5$W{>O73?!V(_Jm=;9T|UEep6<`)J3Qy@{%)SZ zb06+!;~72o<$iYF!E>MPcjFyB_szTOoPkFk+-Jkzd*sD^cKkkP$r*U$%YD|Ifk*z_ zcflEWr#Yn%bH z$N3|RoB^`W{UEEHfjoOB?hje!4CLK9alamY&xL6Xb1o{L9Q(Rb?Cg4V;A!tp4(Tv) zyid31Vg8@M+4_I-Jj|!t#PJ~=?oNiktyd>@_UlT?v5Sg_$#4J9IsHYL)AoCE?A05w zv*C5O9lblbFlXZUf}PL9;CM@9_-OF}xp_a@A%S0^grb)^h_QE^T`L!bU4 zD#7-9!n~_D;DM_y*>*JTtHPWT83jAxgbQ|-$SBN7`)b?Ks!QO9uii+QXZt-WVfu>< zo#+3bbF}<(TKnK^YX1(OhvYvB#*-km5Y%5 zqD!3VMHe{V6^(O-7K?HoEjGbfS59D2@xjig#d|yZm+0*Lu0(*dO3B7fXUUq*!jk2k z-AWa4?kM#>uB6wqxT#)+aaX*4j%!=`R9r^sgK>s3JK{!{$%s2uCMB+6S!Y~I*~qx( zWkcfzmg^grQ?657mGVvEoaL*=-7Q}{u1kftv0E!Vj4fL6O6uO}p(Q2m68Ci4YoFg@5 z>R7FyIf=FW=G?DUY)+TjPiJqgeQCDM_rUD2zN==R^hIVuXD8JOn*Fej@9ge=mf2hV zexGHldurC$y4z-*teZT`uip4s^XmoAx?iustdHv#ne|Qmhtb9cC!x0#cg)Sg+;b z&~)#NdrebjeAFy_##)iacg?EI7~K42)c)oLQKegKikj3SHtI}^fl+?`jiVC$%~7}g zZ%%L5a?kV?Ef-FI-ZFH0?^dm*Z*5g7!N`!ZABw?|j@ zPTtjF?qplX{*y;^tUWoWB z$%OMC=S=YJ5q5I--iaix0P2_M;GbNId<5#iS07U6?~{~fz6ICt#3;DoWgdUhSVwr8=iPkWvp)2Y|8 zF{!-G5v%)e zAMtzt@Dct4{6@qLC=5L_ATzZ5z~P}I26~6?7SE)OpJ%x6f(XQPLNe3muD>$4X_P7iG_=#(J; zeR}8%`8QeeH>2eze1;T$c3FO7k^E-R;C=EbZ-!;dCytd*t~%)C=a=Q1lI0s6ATIC)b40par0+vVvWkLV+BV(cF&ZT393i*2S#I%8c&Q$hcMLL2-O5#OYaztvLOqeYaROjO%B27y~s*Z_ty@|LWk~Ui; z&Npadgr*hI_x zMcYC}>ykzL_KOzYnPzTXOti9K8b=tn+1(d3MxH}{zee$ZFME$q*~FL#)w3u}Y`JI{hqGs!*)eGqGCl<93Jz>sG3~ShJd9)f$U+3lJ;U zS*%@ev3i5W`h|%VoFLXPN~~g>SjPooC6|b`Oc$%UPORq^v7$T0n(h~?dPuD65wWtT z#M+({t9wbT?=`W)zlb&dO|0^LvCe;pmHtbt^)s>BFT{Gk5-a{%tod89>i>y#e=k=4 zgIN3jv-(*7)shQtE&p8n@WDCp!+U3u5C1tgzJKdQ0E%l!Aux%1s$ z&K~dnaNd7=->JX-&FS;@7iZ3!YtH^}E;*mRKIcq+eah+g`iS$$zlWTk|GVG$=G9K; zSFg4>o4;D;Echqg8UD`_r}>`+&dir_&JHi5oY!7VaQi-9F9tiap7(Zkf8N=7@9zMo z{&!=i&)+qjInT;F2Rtj{{QK$qxG$eRi>v>%Fis}P#f3dN75Cw+7Z|0uZ+0M zk5l5NJa)#FcpMqG{m;<2Zh!WTyZ5M5oc^duT-8Ta;|~5&JZ{h*Z)5*?_%Jr@;g#5? z50Azc{4U!te$R--@7nlaMr_c7u-ISk_lb?Y-!`_&ec#xed!=GS?!Ae5Sy&j8R(Lk1 zS>bmv=k8|4MBH5%Q}phXm~Foejp_DVP|Sln^d7MPR$Cqwr$q+tI4xwT^&Em`)cs4AFec*HS9`}S?_;- z7`^uAlhK`i-W+}Ba$dFNARj65Gc1AlYNxhgY)&%KO#a<(99>Df(DtiCa0r;qz_&-4;ME}XvSOz8AMXIf2vce>d0%+q(KbveC%+MlPA zr=^|>o!07<|FoMYZPVgU-ke(ZxlVy|R?Jv^#&^hh zKkkq3j*VOK-Qsbbzw1Bl*@4RA)*iSM-t)lb@HhJ-!nf>i5gxMt-?8TXxnuY4OBg$H zU)Ql-`-+Wq?L9vxa__P+)%Fe=b8e6Kn7BQ^e9>f2<`=hjkNRTCZoe-ayC05zmc4!S z`s|3&{j(d7HfKK_bzoQasPJ7=MtSdQJ_;W{Zs(q`<~yf^6@J@1Y{j>Kj|~1cd*u79 z$dS9V8jl>E^>{?3tnDKTc7%_J-{Ci+)sDi@N82+)*KHpjI$*nZXwmIghv#owHau!u zzu^tH6&rqc>&eeoZk_vi->re4TerR$mb+!&u<2VS4Qsfi{;0km;HBRz8r<`npuyH}-V8dt zA$w5FhOvVJHdGz-a{c9yUF(xWBGx-X>aG80;Qh=U1J`Gc7#NyaexOh0nE}_=#SKVb z=RaWJy5IYkUAMab#kGC-^j@4I&YrzO{p`}ACf&!;IF=RWD35&sFX&AB!A`=zW& z@7H%tkA7Zj-u1n>I;-!p)x-M^TwSVf#nt(JWJ_V6HLGg&30?J5?^>(kd*A=MdGD=X z|JEzw>(pM&zV6iP<;tf$zhAkwXY9&eJ%d)h3%0M^7JN2+aPYEpYw(cteLbqDkL>Ya zMX4THD-Ly^wqjiO_A4rMH?BC^?aWt`yAf9n{pxhr+FwO=eZ0J8*Zs@Sc8Oaa-KE=d z-!7$>pa1ygve_SRURLMh$;&QuZoh0!XX~;$oiCg$GxsQx#Ge0`N zwB|=^mY#8pTRP3rdTCXMdFk;^7gMEQDYas!$khD6z|`=-5~*H+x0d8|%vv&{W6Tm; z$6iZzbs(nuE9HIryp&DtQ&W1iADQyJoqx*L?JOydcGtdq(02Qmi`&M2*`jT~FRumo zei;|=da-Xn!QwM*G8ad-iCSE)P4MD_t*b8%ZT)hQx%HVvTU%u=>fK5<{pTlC-kX-39F$q)@?I?Y~4!p zZFS$y+v<07UU$FTc@OJk&P%F8Ec?a0lfFIXjrDCb&*tkjZ*%RJiCt=6PrP3%H!-o+ zhQ!*nk`j;9oR~PWX8%O3X1m07HEJXV*03ess{Ul|oa$HRR<52qH>cXBxgph3=KfQ4 z#@uC9L+3WH+I{YMpB8f``uNN(=3||^t;(~6ZdGn3-19z>kl?*Lp@w%xLT=@S37=Ia zjt)y$QK@f&f29ry7b@0Im{_r5LeYwrgsl}`#CNH1H~wzb6UGY`QXUFH1%Zwjb zE;asnSv@|b?3DP1Wy9i6l^GB}x=fdNLzy=58KoPxCsR>dulU^(rL~>ED&uqxUbdMSohH7=F24w|KICv{<|zS}a&wl;c{t-0=HYoupc>+0RCwe&(uHQj0P*1ati z^aJKH`X}a6`d_BvdXlM#?rXB?`9_QWnbD-bG8pwVgF$a>(Dc(n+l+RzH=4fsf12L< ze+K>H2ctgmgS5DOu;?4#+jPhKBKpn$it97~E2WqDuZ+I)T?M_zJ8%8|+iJT0wwCVm zwyvJ@rjg$NO>_O}>o$7w>yEnL>(2U-e}nbU|NTUN^J=jE)vFPD^H+h{UVn5qzUreF@dy8~BnnEf=?2yp(Vc&#;-@_8d2@7KTePP1J z2N?-L4|XT~djCX1?ERYwRqj7a$hl{o8*24lAipOleF}wTS@*u85Ul-;I}aPLa&8Y zE=*aNcRqdLNMVD_=kG1ta;{i%@HzkFCufHyFFl)(-0JN1-1&dpqdcFAON#8Hy zPxkw=?#b9M&z{)+<+KymzN{=;*Yc11r;Is1GDT)+r|dnJmooI&Un$08a8-=e&l{I^GdCk`Uhc)Trn%N-Hx9L5mUw9LvZjYNFT3%>&1Lg`D80Pd58amE zJQ%lp!NL8@TO546{Py>?ze@go=vS@3hbKGp)sh_JiVivLSN!qav=uA9%UaR-y9Xm*E6w|3SMJ^S{mPO1Uas`o*X(Q8-iWUw_ip{V z+TQzLpW9PwRotG?RZaG+S#^8&^;JuDS6uDbJ#h82>}9LhXJ1_1Kig}KIlJ$g1G`ez zgzq}H#(NhWUGI#zohcd3cb>~A{I=xU72oz<8~knR+V@!(*Y3_LyKZ#Wz;%_f($^L2 zxV|oahfij!9if?zwy)1zxBY(RfbI3x7u_DQK7ZS;^-_r&n?H5;@7+yVuC6hRW&IT6J#EeL3x|a_SLs`pv%f zlA9PQH?ntMtlUnJ+>(9e7P+xE`4Ut={p8o8>1G&{Kx!q}U%kAa1jVqSO&BKef z7D-4JiMaj!W08*iA|-JmE!{+FN-v)$5_IE-%_2>cMXK71bXk`*6-k>X5_dCqoJe0Q zkwRnI?Y#3Mm1{&gWe0eEYmrv-(xoD~4_%oe#gQV-fg;r4FAR1QmJ-I`|1n7#8{qVk{Npc=FRSL6Jy7lb(Vq^#olkNyjhU6|~70)X5O^ znIkAPM9?TeP^sdAVS-RUT=`khYQLb?SAt$qf?^?pW^Dx3D$O4x2>0Ffn}T+^f_j;P zehGqtUkDoZ5L9d==;$@?;H{T}me&O}a|Jy&2#O{Nnobl{?JwxsPEfXnpsg)2QIPk+ zohyRExq`-<1eH?+oo5J2hYDJE7u0Sc=O4a7?Mh_xyuR?8SaKrC3!vqxghZi`jBDAw(ySh+)D?e>V(+alI4 zL#*I(v4+WF730M^MvIl4EY>nytmX)@o`c1Tej?U1SgdMiv92A(%F0fu*UiQ1HWKSw zSFCU?vBuTJDtn7{t{_&rj9BYZVzrBl^_DsMV#znYw}@3YiFG%Ml{bjB|39m*YQ@>B z!ET05p~=Hn?=Ms8 zpue0m)_-DVhYI^O?0?^P4omU2OgQ}n`SCYiw*G@pIr9urHg>PDr=zI(kaJ6RNBf>p`<<(_4ff^JcRJhkv=(763%fkZ zZ(rwJc>Y|GL+8?+hwT1EcWqqa+|)k3C_7u&_pxYnoO9imp~akiqMWU=juyN8#{}oX zqjlXfz&#vjIoKI>^J(#Z_j)_iZuT!Rs!M0*j7#5@_(yiV6w2O^l0J={8QYvC_bsjI z{9-|2$tOAGogY5yR_dtifnm2u2cP$G-##qq6*~A?T(``rUah(o#vST?#fu#==Vbqg zcbijjj)fVe+qOFxce#S0Orxhe;`)3&x(xecCb2_kQcB#LIStE(v~|X1-%Kg{Vt-`Z zpr+5uvRj5dCV|KM#yNNAl>0EKQ{22?tCatBO_R9!nzQ^LvUlcV*>zICWAV7T-d!qe zS@|~BQg&;F$(tX>e)+y=#T3~|!#JwWfu!c9gZ0g8f74Ib9*eieE^R5(BD)woMZdIP` zd=t}h)V3Xdb6b0bG&ces=oZQU+0u)7Fc76 z?9O4&OY^E(bBe9eYA!66HfP=5ku|q|J9EyO14n9R$X*?G!OSiZH0RgY#9DoS^qaHu zqx-cQbu2dL-=|$_vvVg-_QiDRC|R#!Hs5c4JTQCE%(1?GORk#T;_OLZg)`T(LuY5S zOsaFuD`@uqE)VNWl5AK+r|y32>X|2dXEsOtKI_Dvwz?D7otpJBV{F~>3%1R=*ydzi z_V}=yWVS>M;3U$1mb@T}k|_v?LV(_q$yEg#o!Bs+c*Wq-}?^ADqQP8u7m-*Gb9 zuzO?!ua29e>*%fqWfK#l|CAj!`FdD%#q+TZ>-jsPBm3NHIC^!}=dG?p?Q;X(uN&X&hR6X0`BwCM9PH zhyG{%rb)6>h&1y`d-sbB~xaMIQdbt8K&?V1AkuY?#BTmOP8rKqeS}P=0zL5 zjQTuyfAgUC1yQD7OSfPb5qo*g9FL8P+;OHw&)k7gNACLhM?^G^N_v~%-$nKmvAgH# z-#4c(IMc4>+*5m|_f1&QvdW-^)3;Q9-jW?gA+p~mcTKD5z7MvxdfUI)^y}W{*54ex zGp&7-(AF1ZzfoD)0kpOtd0K;K<=Xg-37yt;ZDgCdIsVg{H8|6T-AC*Rs+V+gYRZkc zfX$X&Qvrr+ zV&71BZse4Mm3p-|+drC8zuKnu$BUPla`waf_R6mKcG)}mM!#JhK19!*{PSU3$0=j` zPi|OZM8~gX*U~20TeM>O^T-k{yaMMfI~>`lSa@LK#MH=w-2A}ZvWMwA*=^LQf8)rU zos&A134J#y{qgZm34W(1)i70ctdSi}>_2io95rds_h%eE3Y$$@HMr(SRaU*9n0Go!Fz49&#+=x1c$leOfJv zc)aRD=WQ2;MASZA=VSIhv1=);(-SGgJpb{9GC30th4^+k`%V0WWjCX{M9WSn_A&kQ zq3nd1(KWk{K7DI^-SDWc4?o#7ewgEQS7lGX&uBG%*~H1+f=9j|*W}32ZnF!IjhpwV zLU(pajh4MmLB9RRt=xa8dyT@%SPzQ=7jO~5CSI?^_yN+FATHCYp$Hm4rF7mWzb=gn# zLUu@{#w{DOdqHZiA36*g(^C7b*YO{`$Mo#cyf?e6*fUk^edZUFdi~TpEq~M(6|FV< zq;&TCV#yN8%*kG>jk1f%nYw+nU+GeP^M^!?F0>EtTjGA>(L2s(^<5%6uh>^LF(`Y~ z*Qa~*D=0T*)bRh(`(57Fd{o5y`~8$3F~?_5*v4`3pNxAuC2Z2=bDw0aZXR~HxX-77 zvMYqeJD*v<7u_HbpFoBMgjoUwyie;W9C$i?hI0U>XO z{rmjQpkLYBCA+!?@0m2L)6zwQ3yRhswz%Zw!DAZ~epYgz&yfDI(<@!}dFAGZeD=OW z){yh9ygn;)`^Aub(@qcF-mSg6FApDz|IfeU@Aw&hmfzub`3ydb&*ZcD4!(=;x{l5(e;F(Q6Tk*A0;~Wtzz#43 zECEx%7BB{^0dv3}FbFIHlfWi03akRNz%DQhECbWPHZTsX1M|Q>Fc2&R6TwC>608I> z!A>v~ECo}+RxlQ<1#`h(Fc>Tblfh;%8mtDh!EP`dECmRz z*a~b0wgVf2Ey1Q>Td*2OESf!X{yxuu<45Y!VjHoM*h*|Bwi6qQEybo{Td}d&TDQ#=+lvjx7GsmK&DdycH8vaDjSa_^W7Dzi z*m!I`Heba@_yYI@_y+h0_zL(8_zw6G_!9UO_!jsW_!{^e_#XHm_#*fu_$K%$_$v4; z_%8S`_%ir3_%`@B_&WGJ_&)eR_(J$Z_(u3h_)7Rp_)hpx_)_>(_*VE>_*(c}_+I#6 z_+t2E_-6QM_-goU_-^=c_;UDk_;&bsZeLG)J{1?@3*r;v8{#A4E8;WaJK{s)OX5@F zTjFElYvOa_d*XxQi{g{wo8qJ5tKzfbyW+#*%i`1G+v4Nm>*Dj``{D!R3*!^x8{;G6 zE8{ccJL5y+OXE}HTjOKnYvXg{d*g%Si{q2yo8zP7tK+ldyW_*-%j47I+vDTo>*Mp| z`xEaH3lI|!8xSK9D-bgfI}k$k#u0`w#;W3lS3$8xbQBD-kmhI}t+>OA%8MTM=UsYY}r1dl7>XixHC% zn-QZCs}ZviyAi_?%MsHN+Y#dt>k;!Q9)MVon2^|z7?D_!n333#7?N0$n3C9%7?W6& zn3LF(7?fC)n3UL*7?oI+n3dR-7?xO;n3mX<7?)U=n3vd>7?@a?n3&j@7@1g^n3>p_ z7@Am`n3~v{7@Js|n48#}7@Sy~n4H+07@b(1n4Q?27@k<3n4Z|47@t_5m>=!|4uD;; zA^kQ>*@4davKyWAW@kF*&n|WDgB|PK z54+d7FLttXf9z`KKF`PwckY+n?%cQHeb@z$JWP}w@yN$Q*&UC(urm<(36ov($kQs> zL63Z~n;v;%XFc-AE_>vW9rws*=RNhGBCqToM1J#Uggrr?8~*X(FXVgAnALwF@9ZZ; z{;i3ZAEOU_eWyG|Kek>d@fdySBRdSyAH7evKhdYzHSRq^zh?bUe}ulV=Mepixqt8v z^zq%}L4Tm1(~SQ-L|<#lE=2VAk3@94YYyDA*m_y9a8(K6@( z_;By=ulK|F$ZvLe$8!J}T&niYaidy>xG z1+TniHzW9U_>ZD@!L#35ZTk&;`+j-1-@v;>+208MITt0~0T1uju6+l5e187$ui#~& z?05t}Uyl0UZSZtNueG-Ue^m$sS1Xw?@L8U%=x}^1OcmpY2`;Z-LkBiUhx3 zzkGcYJl~qM@+SCxwne~A@LutJVL#5i0S6F#u;LBu!!p-**RdDuoWy>#E%*8w_M~{& z%4^t{#-{?VVQ;R=UP|mw*W0tMVvqKxcwfaneLnbyE7&V`S7N`q1-$~a{g&9jhs`91CiXCOckRpA$F9APU&3B?ksX-W&l6YdvH|q!O!M*W7qPDa z=X+hm-m)hX`#UjU=}*|>-_rem!an<#yK(`0oguq4vEM^ROVy3o^K}7v=dthG8;m@U zy;uC^^YAU_@CP>R4?c%~@L!E5XYm&TWG5&7L+XH5XYnUGR=r+;e=*Y%TY$e2U7}_I z{zr7H<3Hk$NLAC1_$PxOl=u;Uh25U`FE=X>I)gt`_x`)n_&3LX$~=v~!~ReFpDU&Q zJcU1WaAfK!{G$s$w>pKt#Eww>rzv&fPvTES80wzHznZ0;J%PVn^)S@!z`szV{gZ+|}QP9>c$DVK5%U-&4G9on8Ho;tw_me0>D} z@bdF@NAMTfS&IMo{Or@i_>-4jrXR+?^bc|z#@}SGDgI}-PnNpyN5jjubK#%<`rqAr z{MEa%`xO6mYu8r!__JSZxt)i9+qYR#9{w)-QSpDBKitg4A8wjAFBkv#w?CTZ;xC_( z9jb2sIXCeT{&d+dnjXTxzSa815BS@=WX~%8w`*{-AMnRJZ@YOA|2)EX!9o1>$+C+T z|9$T2+u!5QUrSH^9{>KE{MO&&?<+n#B5g?y@xV_VJLC`_%r5`Ocf?dAfH!ShXo@RUZ5zkEi zZ{$AWn~Sf!_7U%}KbH8XPjuv7;-Me^S8Xrx(f$MH_7E?%mmRajPicFb>>-|d_~!O* z;;YG%m+U6qVh=6x*NrF7vWdrh{#~CE8=z%4=!!kd?)c?;ETdGyy{XUEMGPvaKEaFY} z;u3#`pRbffJeu&nUnGQQL@*$6RW-jd+rYgWMl{9_DV1qaYbb}z#LwEOt}*KhzI zAKm&j96)4z#Mf{D>}Q4pIP}BIm2d#{0={1f2hihX>`FKQb~wWUv~Ofz2?sE6>)CWT zfc>MFrNaTl$)0C8fGcaOr^5mK>hoX)96;{PtQBwo%Vifd9KbxE_AB53_OCUrfCK0n zcIGQM0F|$*xO3=NZ~&`Y*Zv9)pqSzDayS5XO2YxP7#6o24xs5@-Il`vWVlK%hXYtA zd#B+5LYi(~1_yBO%;aTo0O8x(FM|VMH#Ho?R5*Zfi%O)z0kA6@4j^S^))F`X!-AM4Z~!+C_F4i5pz?I>U;LE<2k`FSyc9Tq zl|iW~Z~%>E=QbR`^=D z2?xOLZa9G0ZUu|s0Iq+Xxfl*$q<_?6H~{v0!vPHIP<=5RfFhbwM$5b1863@#NhyP zjsD4S0RLPnmJA2*V3u zGH)fp0W>$~B*6jr%AR&OfFoNXli&bqJnWeS2QYAIy(BmQcALWitR8)L0UW^9g8T(= z01juy0yqHnpThw>Ju+kg9Ki4a0Sn*&`ZTM!01kj1>2LtXQ$Np#19&ob|9m)r9m!wK zhXd#%d(`0o9z}=DhXY6((Plmzz>sB?=EDJeD!bO<0G3L16C6O@r@8as0P6M4oCgP> z^0L`~e=!dZU|7o@^WXpu9+7H5;Q(&Q&UQF}OGjQN!U1Hryq*XLFz6Cal0MquccsPJdUIXIc08Sk55)TLP;8dGZEWx74m;Q)F~x~;a%2ptZf*XhAJ9KeJ{pXhJ^z1IZmZ~(8~b=Khk#%=GY!vSP%X`{md9DdPUhXeRF zrI8K?Fg3ca4hK+ncP$+bV7ZJSgai1uq_++S;AmMvhXaV*T1JNhNSaVehXYs~TU>_& zh`n7zhXWX%A~hDm0W|s2qQe0^yJ6Dd05;7u>Tm$PM;UZDfIl)c9S&f2T}_7rkpJlX z7k`EW;P2r8_<1-0ejg5i&w~Tt^WgyaJ~#lr9}a-$fdk4uJQ81K|DO z0C-@a0MJJ`0Q3_M0DXl6K!4!?&}TRR^cxNUeTM^3^(No}902$L2LN8c0e~NH0N@E6 z0Qdq20N%g>fIn~m;1L`E_yh+4Ucmu?UvL2685{uk1_uD%!2y7OZ~)*T902$T2LN8e z0f3)y0N^Pc0Qd?A0N%m@fWL46;4vHk_zVXCUc&)^-*5onIUE4^4hI0us3i3*dI6m>=7IQ_6ZIEdj$u8{elC)p1}cN-{1hS zcW?mMKR5vFAshhq5e@)*2?v1vgag2y!U14k;Q+9=Z~)j}H~{Q1902wi4gh-%2Y~&C z1Hhic0bt+Z0I>IP0II$Se*g{u{{RjEe*q2v{{apFe*z8w{{jvGe*+Ex{{s#He*_Ky z{{#*Ie+3Qz{{;>Je+CW!{{{{Ke+Lc#{|62Le+Ui${|F8Me+do%{|OENe+mu&{|XKO ze+v!({|gQPe+&)){|pWQe+>=*{|ycRe+~`+{|*iSe-92o)m7mS!U5nP!U5nf!U5nv z!U5n#hGz-oFQk)nR2$AF=x%0bN1W;cfp--H{20-#hr0?+#z?# zopQI_F?Y?KtGY>K0hvHHkP&1BnL&1tA!G@eLbi}GWDS`^_K-nj5t&3bkx^t7nMHPy zVPqMZMz)c0WF47D_R#@!0i8fM&=GV6ok4fdA#@3yLbuQ{bPb(D_s~If5uHRg(NT02 zoke%iVRRXtMz_&%bRC_C{{sWS0x$t=03*N(FazuWL%;Z$oA}|ST z0;9kxFbnJg!@x2y4QvDBz&bDw>;nVALNF0*1S7#pFca(qL%~uo6>J4#!CEjE>;;3t zVlWwO2BX1hFdOU!!@+Ve9c%~V!Fn)X)yZNDunE`(Yy`Ffn}O}XhG0vuDcBZl47LWF zgYCfvVT-Uy*d}ZgwhEht?ZSp(%dlzKHf$WW4x5MV!vG?_%Qe~_%!%7_&E4F_&oSN z_(1qV_(b?d_(=Fl_)Pdt_)z##_*D2-_*nQ__+0p2_+a>A_+OZ65kRZ6JHab6Wh7he~j7vC2j7+)Bl7~dEl8DANn8Q&Qn8ebZp8s8cp8($lr z8{Zor9A6xt9N!!t9bX-v9p4=v9$y}x9^W1xA73AzpZp&&0I>iu0kHuw0mM5kswkO6X)+gqt0)QDy%u!-i67!IldBj{JW*0G^h#5r8 z8Df?Y^MaTO#M~cd`!K(U89mJ5Vb%`wbeNgLTpVWKFyDq5Hq5DE7R_^+xWY^s=Eg7^ zhWRhdcwvqUvs#$P!ps%ssxUi+`6$dlVa`cKnPtMf5@wPxcZAs@%nxBk2y;M~^}#$3 zW_B=_gV`I**I}PMz18$j(<4nEG`-IBGt;w7UoySN z^cT}ZOrJ2l!1Vjl(@Wnjy}7VI^w`ozORp^bu=Kpr*Glgy{i*bz(q~F9DgC1KgwB!9 zD|$QW-=s&AK1_No>8GS;lD7W%?WEp&$2S?CQjvd|r7VWB_Fyh4YVZG|2&!wOwuRu%fhOe%DW*;D8h zGp5ijW=Wx6%#1?Em<@%VF#`%+W7ZS;#!M%4j@eD<9W$EHJ!UbXf6QD$2brye9x_7- zU1U}g`p8TqbduRe=p{3b&`o9;p`Xkwa?dG}*+l3mGljr&irVTpJ>>Bi*88zrWvuM!&i86Br3}ChlIKT`Uuz*=H-~lsXzyxN$fD6oc0UMa* z0zNRa1&m-e3pl|H7O;X@E8qn)Rlp2pr+^#GNC7*Tg#vys^8^fGwh1`G3=^<~StZ~J zGfBV{W{-d?%oqV%m?Z+fFf#;mIbF(U%(VipAW#momVjM)z07&9EeGG;Y^XUt>()0n*gt}$Z)Y-5%J_{PixFpk*> z;2bj$z&d6ffOpI^0P~n#0PZoP0PJHH0r+Q>u0$}9*#h7oGX%gwW(9zU%me@v>HP;6 z>G1~}>E#C>>DdP(>CFcx>A?pp>9q$h>8S@Z>755R>5&IJ>4gVB>3Ii3>1_u`>0t*; z=~V|$=}8Au={*Nm=`jae=_LnW=@|!O=?w>G=>Z38>GcM0>FEY@>D>l*>Cpyz>BRA41j>8%Eb>7fRT>6HeL>4^rD>3s&5>2U^|>176==~;I7DNAoMI86^SSWT}ncuh|+ zm`(36xJ{2R*iA1m_)X6*7*205I8F~QSWd4lcur3)m_9;!Z^3nXY{7PVX~B1TX2E!R zW5IcPV8MENUBP>LTETpJSHXRHRKb3FQC0t=>SI*>h^ntp^`)vlKh>|N`tGQmrG{4Z z!Kr>W)t9FF%T%A3>i1H8TdKcR^--yQDAm`b`jb?jk?I#xeLt#yNA=;Tej3#mqxxG^ zpNi@?QGFw-e^&KzP>aFOsJ;r-AEEjjRKJ4iJ5c=tst-Wb^Q*diRbQ{_pIcwfo z)t{?6a#atm>bg~ZwyLvM_0p>DS=BGAI%HK(tm=YQeXpw1RrR*2ZkF5^GN9^MRXwVz zD^>NOs?Jl@YpS|S;wNN4)j_IyMpc)n>I+q!An`6TK-`QBsQNoqN2luHR9%~@Pg8Yf zs$NXheZk)#1F8;7)l;duC{^F2>XcNyk*XU~^*^ePN7dt~x*AmUruZ)8op)CJlj4ySAEbC4#m^|7Me!wy_fY(W;vp2D zpm+fl->W!X#oH=wmh11t{d;!6cVL%>?1t~)*%|MiG0tph_G-wE`Ht+?klphg*{{KF z2G2a^j_lcx9rhhPyX`x&Z$oz8yJwa&i<-R~2V}QOL*o*2ePMwofGWpxG(!B*w?`> z3eQ~WK=yV#l^qrA?vQ!)fil0pQ?Tr=@XVhMWRC|sE!gED^X&uK=OMH1nN!Z3>Ol5- z$PD~Ic6+c7gZ&=t#_-Iu4y-AAKG>PTt`GKSu>6RFJD%a@X@P6{XdAu*P-FbiZL2w>&K6#uEdm=b5X2631?2q6) z*(JgGvR8uhmh*SdjA!2j_aXO_$NjK}g8TB!)#pC*xKFv?JnmO?Kacxn_B~H<3U0z6ADVAdl?MKt9={fxI&F9t>c=2J+0V z4dk1>8^}Ae@xcJm2X=v=AM67`U-Hox&mIBviQORR7yCibH+F=$XYGRlqL2CLBfCG) zPxgSIub#aG=rg-R&~NsKpzrzUyXd}qhClm6zyNlOfC20o0Ru$$^T7c2kAMN}A^`*B zyYj&R`EK`40QQxDNAhg!8$tKkIfCxHcL&J3wGXk?%urvL1ZBxdmyrr4+gO31q|@)i9q-B!2ou_fB_Wd;D7;YPlo7nJ{Z8R958_WIbeY3e!j>4^JjFQzdz*p zdG;*0cTIRc58dbU(S5!T-RJw!eVzy1=lOCy&x`K!{OCUKgYNTw=sxd@?(_cWKIeh% zb3W)k=Y{Tbe&{~uiSBd0=sxF-?&pI6p8G)exgT_&`(m#IyDrdu?i1bTe$jpI8{Oyr z(S76r-A6vqedL8b703^|k36CK$QQbgyrKK-%s}^%M|2&dh_K=)QZ0k_TViXU&;=@Yj78+=&OD-FL;EdGOnP zm)xlb-`#i3oqO=#Eeptm$3D1a1)1^K54S8KQy%+*?z?5qV}IPTh)jCylUr7iS&#j4 z%Q7{y^ zI_$CMZrw)5(RDBY;|~Ua1^iibAOFCO6<~ncE93De+}OhBgEe4)$Nz9+5g6d{Puy6= z^MYMqfXAP4V;dOY@ps(V2L^cjAvZRH0bnKP1$Ke~9)HS>tzdx1-$M7@80_)K+}I2T zc>Fc)&yC?8f6k5VU;tRpeS7>rw=F;(unk~<$6s{Y4luyuPr7Xj7~t_Ykw3Q$^7x}} z+XM!9{8hK@0s}n$tlPFB-`F}Zz~leAZ6O%o@sHiM5)AP8&u&`^26+5yx2?tIs(m~j zf81@G!2plH?zY`vfXAPA+jjIDTMq`{`-1`a0$_mrT_-RAUjd&1-yaOXmjF-jEx-VL z4e$ov0}Q|y0R!+&z$bhaFu)VPxP2Kg0N)0D!`A@=@O{8Pd?7GE&c^K{;Va=Y;X8o= z_)=g1z7-gNuLa(!eM0zR;4!`#7=W(^UgP_N0r+y@IldhjAa~sfy!XU^ZeI|4fNux} z;45M;)LtTdN$d%}B^ZFO2?nTrMfjrFBYaaZ0ACe*h3^Umh%9%)p5fbq0ry#>@&VP7@+nf;md;o zqT8LY@A&#)fZ~7EoIAw>tGRWG4_0&N6fdmi$|-)B9&!2%6i=+?zA3&~&2dw_v6{=K z_+vF^P4UQTZkpng)f_a%E33I?ieFZ9$`sG6=8h@8St%lIXj9+S95a|pRVTMC|+I7wNd=Knp2~Ab~SfK@$G7k zjN;wZT$umQzkBAqC>~zTZBcx@n!}=ad3vglImOSbIVp;#S94DkU$5qvDBfPpB~kpn znlqw!e0qk^8O7(TIUtJHS93iSzfX@2I;nVmHFqP%Ghai^(a87A%b+I)oyYeF1Js-g zl?PCBD^xx}&7n|v0X0`blOHAg_@9n@R^m48ru{wfcl`u0^mLiORRyoBnjSNRFm zC$I7ps_$LpD^wr5%3G+ubd|qQeda2Uq58&EK120^tGtHl>sI*<)u*lU9Mlb?uPWc6 z`lwajL-j?g{D-=g|RRo+GQg{u6E>hn~27}d9_@-eCp zQ{`n;U!}^=s6I)Rr%`>6Dqo}e7**az^(CtOjp{R0c^uU@sPZ|~0D=K3uR~2A7@+by zs!vblc~sw>%J--~I+gcPeR2Qi|7)7+b5nUB)wibdL8=c;<%LvVnaU5TJ~5RiQhi@4 zU!?lDRNhGSWvTp;>a$XLB-J;i@=2->O68SQUz5r&sXir@XHtDfD&M5~h*aK5^#!T? zlj`&N|9L1+-;T;hsXiQ)mr{K-DnF(AWK^C?^}VQkmFi{w1eF(4eFZ8% zruqa_o=nyKt9+TN<5zhzRhO^wXR6L#<U33}Pu1P3e4nbLRe3*E7i-rvFE352QAyL9)sRx4 zdYV?InWohW(6kl~O*41bv~vA4&3CY-wH%>o)^JU$Fj>?5qBX6xu4(opO{R;h)-yQDG&4AA1sEJH90rHEyTMVepTXff*x+b6!r-um8ypoT8ytSo z21je%;IJnd9FSK+UMx?MB_p%c$3=WYnA0FzUv7M!ifkqh2e(sJCz!b#r&4Uap@} z_Z@81TaGa5)^MX`5k9rBsuv-b#}z zAk*Y3y4B?J&NjIkyYm+O`Zq`bB znYEgg%v$prX03QVvsSj5S*smj*8Cl2&C=bhmG5WP>I^n(twxwNTew-PIN7Y#jW%m- zbhB0@$*fgQHEZ=(nzgo>X06y(vsNYBtToIrYwcZTt;8v_R`r5eYkbYDb-ZKNNXLhBmufNi&x6QQb#kN}Y zD%n=OVUAUA@3QJ8PFeM;7p!{YYgWDE9jjjIp;fQ`%&IqiZPhzzHb-&0%~jgV=BioA z=4xKU<|PU{*S+f5?PZ$T?X?2z_7)DiR=T_0Uap_r?mO6S zZ#lxQmkzhvD@?ZA{i5ym*1G&|lHFb@)o!o1(rypPwA+hrwcEY3?e+#ac6&RQ-Cq2Z z-R^V2Zf|tWZtrl%ZZG-JZm;&tZg2A1ZV%LoXyxohx_fyQ>0YB!k?zfE6w%AoE7HA8 zvm)JV1r+Jt!cjyk-@Qopa{Y>Q_Z?iMd&?0;^zz|Fx>uN7q`O~qk?yVaBKC4gMY>l? zEz-T-$|C=dsyB~q9E$V%Xv>zUo4)Crwk4agC7ZIYC+p(eoSSoahI4an&ds?wH#Y~s zpb5$#X~92=l-&XW(Z7f$yC4b#O9YF8e{4|hfBdIdw%w#eyJ-n55)f_Ll=8|0)Ph9X zqR7V|-}k*o|Gw`d3aD`^p~a~=Jx*;Jaca+uQzE!c6Y=)|eE8>cc}oLchZ)OHZ3 zx=;c_;e>=B2?;|J5`iT|6i-OwL_%5x32B#1K(karnxqp_j!8&WHX+V(32B;7Nb5pE zs*4F2l@byvCnQ`+NI(tOwS+XMC!|dyA?=w7DP<+31v?=XorKhO6H>-YNK1Y~+71#@ z7fM14aMD7Mq=lhLi@=iN0-m(SiKMj(lGZMngchl!HAyF}9Fw%FY*Jk0lGZezwAO{B zRTq=+f|RsSIceca(gJEyTF{c#oSw8cjij|_Casi}v=;27RdkY8+f7;-FKI3LNozYu zT3skbB5(>GLsIYxnu5z%%0%!KJVB)3HIRZUWQrW4Qt%X=g7ZuYuCXa|j7z~Yd?5E(J zAO-iJG&u>UsWBu?t)OYDjHS&HbFMW?Aelcs8H+MMFj)C`}d zHiR_Q5YyD8l%{6oG*wX2R8vh`lUkaZ*VELNk)~Q^_*pAWE!t_Sq$xTh<(Z6BV>9L~myu@p zjI<$Sq=uNGP$?tL${DGkWTd8=u~01|&FdLy%g9JAGegZ<8EMhZNF^sDb=-`U^)k}3 zpOJQgjMRg&IfDrA;iq_Wl&owf2z)~c~tbCJth zGkn(C5VBT7%u)+d)|!>GRzb;HO*LyRXjyAs&stkX)@qqqYSGGCi+0v3Ia#aYhU;F| zTK2QnPLQ>F5CUPL`ujf^iXa4rh!~C_;{<}N0tDG55om=%kVzUratwl0Swvjn5M-K1 zkaYn;>LLPT5`v&Ig5U~*02Pri4MFB~1lcqYWY0w46$?QYYy>Gf2-0>DX~jd3B_Bby z0|e__#byjLWNFT;3(ep#n87PtxOZjv1G$?6_Fq z#^q^#TwWK(<+?Zy%WZdD zDtP1al0PnQ2jg-Vnt+P%1X4sMYz&>S32Z_v;uCU_n6OvDguP2nKqYFzo}?%295Z28 z*$J`4P1w`?guO0I*mZFNE=m(NDo@zBGGPOCLMmz#_MARpZyFQ!o;d-RtO?vbFZmPpb}(Ugp-B>hCut0sL{`vAq>N3P7(QuZ#3Zr?CXos`Nv=?n$P_(^ zHJ7wm%DSC#VqBn#ox*<+cIcbWXm8a-}GDSDlDJ!Q<(ewHgy=6?%Epv*> zTT}F+Jw=zCDZ1lMS$S`YUiPQxonVUYLDM7-Pt!OuEw7-{av7U8aeUgwiD`KaOv@E= znk-P$bb+3h^USndW2emmH!aWb)AEKeEjPqz3YVtkS$SG6DARINowjgoTAtUZOv@d2+A4U{^0GfI?*!9w51Jv1@C;o{)rnE+{j0Q=PGj+KfG~ z&)8eWjNLM4sFF2fFWNJ9$(gY`?u=FPX6$8u#@-2L>>h+d1dJjCf}$9Tq6CJD1dhrC zfugGbMR!RQDpM#@rcpG1r)7|C`?G<=VTPc6%++3DiIor&gm$+ zX`twyiNa+IMHg%oEjlRLc2TM9q3Dv2qT2zAcA;6Q3eO@{WR}6uS%$!7#VS54SBY6> z70fcbktGN)kZoI+r8BEaWl zK+GwtU{2X3=b$DvhcxLqCCAJuRd!Bna&vN%pHtR_Ii)Vn!9bcrfjp<+%A5k!ISFXt zQlC>cjX7n{oP(RzoU&lgDMe>aX}fb$)0QM7Yhn{zG%)C=&=fw^;FL(HPXI+?g>f$`ymgdp6Jn!JjyaUvE zsjbZ`ZGGO^H0GT>a~|$k^Ui`j?-ZSRr|r&59dF)Q^5>oHVBYCM3nT$A&;+u8uAmEO z8Cx(3e8DD&1#}H8pcQg~EK>_~nO;Ei%mP|t7tAuZV3+v?bVFD`8{z^*NDB-hFQ5fw z0d1-a7NIRTguZ}o84GC3T%gL<0=j4~pe1Jk?YIk8*;_!D{RMOZY9TgD>OG8d_u6@JcMWJ=B=({UHAnzzU-`-{v@u*meFB@)0( zG(eV=6?91{V@oE$mux^RDQjR!sgO%#lUkyi^pcWimXsR1WHz}ayU8yp8^V&(5SJ(* zEiph|QVPnF(o~l$pe;E-UsAS=C8cF9QB7-!Y1&Il$yri5?vmB?mXu|GN!baOlpeH9 zw&7*EjVwDW=(1DBmd!T4Y`2MJXALYn6>^#EP|I|OUUu@#vQuN1%?`J0clc#zLs)hi z;xg5imYKG^>=cw`r>QPmZEe|U>&woTvFxGaY-`DLKnd$6dBMUbys^otA{$YAsB|C7)Icjh!YsL3NUP!#KL|bGcg*&atwx5Sq#Z>n2GZkwk}{;UBW~{#xPvL zFrZ>EpF>KSousstC`+UqKYz!+p7}j<%xa?sj@G)#Vz_2c~688Bk;tH~YBOHKdHMNd;mJErT_8ms|@qw`P{uAw<; zO)AT4F0QP(KwU$t+M3kV*W68G&D}HC(7d%~mF+dR=&ZSIcMYw1YgW@=bGL&vw+rXQ z9FoIwXbvmmIk8COkTS?&6*3pbJ!j_V9F}KtSdGmgc`j!b`5d+(|_o~n6yv*YL4ogmNlp!KjnSr@CwI$TB9)iSn@RPlAGNUWn(u&!3fb+SsW zn^k(9sxs?pja{d!+`3id*VPSSU2TZ#SXEk=s`9#8P}bF^y3P>Vy42R!)h%OPZJFzA z)mpcz_PSbf*42)?&Xm1%tL?9=JHfizgEpWVydl<*4Y-DGxMgeuso@(^iP%7EV8gAD z8)194VbW=%HFkrpaT`{N-*7jC4Ywg~U^Qt&s>vH}LD_Jd>IPHQHl&We;cgil zZp++YYu1KUvp3w5v*C8!4W{O8SRH@E-3d0_9*l>>cU;6#94ByG4qqf3;P@_yLq!V5 ziZm`3862;&I9%j#8|QJUDByTq!ev6iaiE5u({LH+IKFA%_@0R)MGLnH8^?r2naJ&l@!trYXE+Pe_h!!{kD_}*uAQy;&O@IQoOBTo?RbY#B!7MTbuF4ju zB3G~re8DOT1+Fd@#G+J?%W?rPDg_Rx1-z;i zqF1n+eu3K#3S1Z34Eyg*3?Q2#KsPl4+k^qWi34I&0$@|yB{!iqwTZRqO|i{vYE^a< zZgZP>o8Oe$!lqUiHxVFhDulc#17%YK>Lv=b&9MItpT?%P7k&#z+uC#pds8bqn_Ao5 zMBCn`1N=>GJJ{5^&{o)gY_R~@G6A~f5!e<5@GTAyTNVIY-Y&UCwy7<)O>dcPX3MLx zTU49d;@bR{)fTqAy0}FHX-g@~TQ*R(JfLndK-*H9`j!KXEpN}$08zrt~mF${R;yP})y;rh3eu>)&N?Z@xhMMp;)6W?QSV+i-{5#ykAB)DgC|hPaJ1rER4uZ_7<(TWhM@Xj9t`$3xpn z)7aKp<~Gu?wwbQ^9dFxd``g-1u&wo=9kL1UuuWvgY@$0}8QYNP2GFrvTST(FQRiaE(L7Cqr%i&nN4AoMj0DLzbYww}}?CQJZE(xez6VSU9V0QH?yGsLZ*9QD91B6|@ zF79GL+EszPivwj>2kLHk{<|8`cSEi2>U-uc3#?ri*t;BXcJ;Qq%L8xM1OBek40iP{ zR0-==MQkD!xQSMzCRRb3ctvg!6|@N|N|UUFW4(&mq$^aDsrXg4LN~ceIM%B$O`+n~ z#R}GxDr!@%;7z6C1GS=5wTjl%D@xm__XB$FwWI5&ebr^RiegL!#G#NI9Jh{P$e2tRjn#jqN!EYwqCV>Q6*YtHH>Z5 zt=iQvwpF6zR>Rm<-L_w~nn9K5p*5lgYD|-<@in2wH>8?cQ)+xutEnBmX0?qP-?D0M z&8hJnujY3An$-zvd=ILJ_aAk!jnv^bT9?{b9cknB@cyHYwn1HKll8Fus+(=PPPLi3 z)n@B-o2%PxzRt9Ty511$SX-*AZMlxOmAc+k>qJ|tYi+$AwqJFmHINS8kUK;J?SO{TAseCI8fJ%XP#vaW zb=U^o;Tqxix50FThTjkySVwB89l3#bl!o6_8$?HIXdS)bw2g+}G8=5iYPcP{!F8O5 z-*Fq^`8T|d-w5^A@OuC#fCS+^9)O$ST|kooXp;q4lLL5@2Sif&?cKuhibwd zx`}j{CfZ?}SchwdZC8`%2u-IgHpRBol-hDrZYxct9gaTQVeM)fO<_-OYHhPAcC4n< zv72Gr)fC#{=%5qUu4d2_x_(pZzHq$F4`ixSW67&Dac)-rFKC}?~*O3N41b1-NJfIi|DZ}vB$OK9^X=XLQC(7 zEx0SS(5~FVyGjdm)t1!NT1r=MX?J$<@FqZ8wmhCW>ZKV^|z_!uWyJkC#Sv!nbJB(Qybli3rv$h{T zv`)|lJ9^j=sqI&fF)1|6Y?giEw5b+K@Xcf<1w*Fjh5lHKt9x>%3y zian;Q_Si1mw=!&ReEqw z>;+w;hlESCC-ty!iTC6l5w3%t(xZBG_+WcXj}KqX9@n$OHMb}9{BR33+(HW9aQe@w67}~_{o9k5P8ugxPW*%8|KZrnV}EgU|LC6`IeFw?k|YTY=8q11 zsGWhIweQJ3m>W0n*Y-Wqy}nm^;P>s%8|wRp``+V&=fKC@_X79&+YEfwy@5abU|;=x z_UFp0?U!NTr|)ln;LQy`f8g;Q%o8~98u$0#_bm6zFqr?)FVBIO zI(+ZIlYKa^XfQwIz+>L`nuo_e@T~Ve_x&=2$3B=pGx+^q4Bqb_yYEf^J-_5|p2%ST z{bTo!_a}qnAFTKP*7rj9w;7c8_xyyx`Sg!{FlT0PzQ6tZgLoX2tzU+IS^H%e#IL`< z1E2aJz6a;pKi(kz2eIgvryrYs84i8bhyLin_5AHu9$fE#0ssH~1L184L=zCig77Q7 z!^$yCg?<7$^b;_lpMZs+qZ|ZX<{{{T0737>&|e^h{sKAl7bu~>K!u>=8U$U{A?T3- zK_AS}Z(xOf13UB^IHBLbg`krj1YPqX=t%%UpP(TKhKC>&8G>+h2m;s;MBzgaLkvM2 z7=i?H2ue^x&>TGkZ8Ag99y!4UKl9wtNY02z7*$k01LlK3!5 z6T>76hDn|pCdcVva+Mh-ciCa`C^t-A=7-4#!Z7(x941GkVRBL)CUeR#SyhM0*;XUu zIW0oo)+6LgBSL;RBV@*kkV|%i+;$>l*Nu=Dya;*MkC3l}2>BBp5fNlW#Ly9uz(zz8 z9}#I{L}bB;$WtTYI6We+G9%(HJ0c$CM#Rhfi1lr$saK;!9&x{BDkl8EaHrvPZ>jXH@LEqv8c`RJ`ktim!uF@h2QL5hQA2Xw)RI zs7c~clP01j3!)}ZMa^+KYOXR-bC->pN4cnZnU9(egsAyWikg#h)XXVSv#LhT<66|b zsz=R7M%4UZMa^kDYOXs`v+hRClU~%k=10vZLDc*N#Y`BEnJ5x7aWrNEEM`)8%w&j| z$$^+DkTEks#mqT6W^OVubB~RgXSkSolaHCtg_!wO41EPs%v_LTW>JZmZ8c_|(_-dr zJ!ZZ%V&-=<&@2*%3TPZ^VsYp+9*1raap)O{LtjEqK$40> z^K=~AV&YJXjYDU-ICP7TLob9l^i7OIX(m zRvcQkSJc1|4OGJXa4-({CDnU-r z3381|kQFvT9^(?^6+S^e6cXfnDM3!j2{NxF$eNlUPiP5pUr&&ajRg78N{};lg4}Qt zWW!C6r@RDt-A|BDg9P~*PLi`ok}RM}vWX?h(|D4+K_tm%AW43qlH@#{B)6C(*ZTO1$o; z#HT?@{0yhXStKnM(6rdZ(&A}6E#4r~;xmvIzffs$o=%HfOj>NQY4I$V7H{!s@r95U zze#CvQBI2`B`tQ;w0K@ii+A+2_{vC&Kg_h4wbJ6UofdbTwAgdg;zchl-t*Jqn;81M#lVTWy~2nV{SMZv*BjUQ(nfr?q|%WLB{+HXU$n8YZlO~ z*~GHuX*_G*AhPB&kTt(hS#zGwnp;fPY_VDMESEKJ@mceQkTt(aVP0R(nk6M`cGRqS zUdx(y^sM>H$eKT_thsDw%^fFe_S~#_(aW0m{H*yV$eO-enQ^D2Kq8c?5nSAn-d0fhT1I&M637RT22OhQL>K1b$>7@COTl zr)>mYcM!PlBJfEMfv@=p{3Jl&Pw-fnDI9}wbPNXA7);?~Fhh*N92kQIY7CyE$KXw7 z4BlhM;4|D9e3Ku8p9^E~S7{7hkjLPnG6uKRG5DM|2H)1l;Frc2{M{OZm+Uck+Zltq z?ihT*8-wrqWAN)>4E~9XQ(;b?3Ul%liH}n>F;1~yoZ{(mYLywMcG+?2C^t@B=EtcA z!Z`I#8mA`ZaVn>bQ&n}GIt)LH$G~B@CUE z2y9X!@kxm$CM6b3N<2L&tum9+E;}h5r)ltkfE5<^T$9GH>> zYD$`;r=(40O4?(mq%+)&eaZB^B2>$o;;UDcav2OA+)^lOT`YO#> z3v!qfS7xlXI%A#FW~|%#jP=r(vA$a~){;GAZ96ko*PXF0cr(^rf5v(p%ve7W6kb8Y z+%<;6M{pFrM4<3}fWmKS6kcOcxWc0FF%E^V@F@IHK;ic?3g;CRuBj+|LPOzw9fcno zDE!ez;SC3c8!if;@=*A?kHSv_6#k6N!Uc2|Zep|WX?zyGLCnI>z%2ZQnuX`-S$K){K}Yxe^|5dvONp$IJ0oi zorN!Yv+zBC7Jd`V!oQF?Y6YF6%Gexr1fQcW5p&diFh{+m=cqMij;gS8)G=<3y28&< z4~04Ey*x+dl{u=W&QT|{Ici^@qaGV`)JJ=c+HmHmhC4@{^5&@P{v7o*n4>-;^Hc$y zr<&M2bsC?iZV>a-GcZqmq35YBW}a%X^VC^xp1Q@)Q!j*h>YFrAEz0v$NtvfQ>O6H` zo2TyR^VBP2p88?UQ_J=|wd2fFJ$IhE=*?62{CVn4Fi-tL7NjsoErmI1=?K0cT_P5w z`(QzOOD{-k%z{*57o=m{f^>ynkRA#P(tCM9$}0;}OuYDBU0yrDtGK`a&;CTg;-=Vi%>e+@f@g zUzA=5i_$lFQ7S2mQb%2s&TEU(9eq)HWh_cRtVL7KtRy$Kej zU&xXb=9#T9&uksRm#j;~l64;}S#RkjYmHg5D(sSVj9ap<@JrT1Vaa+gFIjnI$*QSK z)(LIN+Siw?$HtQN(O$APoF%K_E?K9%CF{DsWIYX*tk1}@RX~@mCbn#y#+R)d#Ip4a zEL&gbWowIBwp#46b(UMUZt=_33t`#%CNEnhW!dVe%hq{q*}9`ITd#~|>xaE;?KsO; z&t0}Iddt>5f7yByEL*=&3?VQKA#n_$!%l|<7{b#SvdUn{ZkQuJ%3;W59zz}o81haI zbH)mWR8Mn+y^f2U_k0DP24EYr1gK=~P0oV#c;VTG3 ztRNg%K?HgQ*<@CbJ$3~-!>u4U`4!~3u!4M*SCFEzg0$5YU z$*XiuS*5G$Dt%mArLXF%^dn=H{$Q`t>&_}&cUS3?-YR{~U!|V}tMn&ijmFV68enTQ zg|E>Ju|{)XjTY!NdXrhB_t-W147Wz#wyGCE|*66$b8vQz0qkp0~nZR-~iRWaR$jL0o$vl&jciEhLl*`GN`JDVf z$jR@NoLp6N@^LLEU)6K+BO@n&uygXdlauRiPCn`7yiDPF znIZBr2lBE&=jBZ%FYmE=`3#qrZ}NHhxsaE?%6Yk{>yUmAJ&yPcP} zoxI$2^YR5RFW>d^^6MZk|3ueq0$aC9eBGvrb(;n2HqWfvyX?Aslv}qi^Xv8lVcmYG ztlL#}-9E0Z+gJ5<`;oD3e{k0Ay1Q#VV~1B?A!W={nFU5zuO!3wzFY( z-3|MKw_)G)H|*EJhW!)8kuruOM{pduMBvDMfFo}i9I3E4a*V@~D?E-o6maCdf+IB* zM^0!svajRFV*^J%IylmBapaVTBiDT#c^crzXS9Gcu>x`$FCaIF0`d$LkS}xr*HA=le#>mq6?T(8#%3w~Z zer#;gADvCQ;cn8WyiNMLzezt0HtEmk7Tv_Q=+pQXeS_GdpMfp<3$sPH*e&`jw?*IL zx9Atb7X3}$qD#sa-BGvb^V$}DN8h4f8C&!ZdyC$2w&9B@(;Tt?>Hs7=a%G)UP->^m*h7=N&bay+hLB;K7w!CmxyiqKG?S3 zGTU~A-L{W$+x8WH+kPl)+wYZayQXg2C$w#QU*EPL8{76rXWMSL+x97M+rI8^+fRdS z`!gEm{jeSTG`?fsAa?9$V8{N#?AR@K$3DyL*thr{`-QM$e^bKzpSok8*LLhX`i}j| z*s*^&J9f|Au`hZ%_C0^ceiQ82zc2zNaRQ|Y0%ZY#@(h9QvIKgRBhbq{fj$rj^qmss z1XTh(t`X=}oj@NM1p2`t(7H>YCp`ka<`d|XfIvTmIX{4vQ3@}k3{gfoP(}r&jP9{z z^bA)}Qvg;|D7>aHL`~s9O%a%yvd7kxGh9u% z$=8(ULQVOq)ReYbQ_g8M<+ff^UK%y!yHiuTZcVx1)s(w_O?e&El%H7LA@RCH6Lp6L zb%$r`&QY%JT;}V}1EKD`Q|r!gt?pda>&_#i?tF0T&PlKCT=VPBlc4T=LK_ai8V-dw z9ENB(9B4QK({T3KhI58%I5+u*^IT{+UzITLr8b;%TEn@mH=LJ7!};zsoUYq&E_e;+ zuHSH82My;Z2GAooKrayhy$=BTmIdfB4xm?ffIbue`d$U-2@Rn8IzS&A0R89!^ppqC z>pnoA1_1qxHPO>}6TLw+(PyBEehKqgEw+iC<(lX%zKOmNn&>wr%yFqr^t{$Y@90hR zmC;0hI8C(YHqnb-6TRm*(KkU8{e|r@NANx760yhJ2Ybw0c8@v6?J-yQJ?5dX$Glhf zm=oF_v#;+lkBvR%qr1nP^7feP{vPu**ke9pE#@@dVr~#E<{4-)U)UCNmTNJ$_!jd* zXffZE7SmB%%z3TF+|gUiE2G8ya9T{yZ7~itv^9yS$NAR|CiD)bLL0fst zwv}UCTe-rwm4`xGd9SvW6Ixr@*W1ctqpf^&+sY}gtz7rp%G02&e8xJ;X}qJ{AUeu3 z&{4jy9px<7QEu@a<%Q5uzNsDMyw*|f=pE&i(NTUl9i``Xl#5{`H zc-Of^be;R4>%3*V&M~g*T;aRUL!s-uSG&#$t?TUTUFWgUbw0XX=akoVuKQi*Y0z~( zV?F0I-g9mcJ?9zdIbYbGbC&BlxA>m(Lg+c))Sh!*>p6Gyp7YA+IX~QdznAVJ`j$E-#l`ZJ+2*Puj)tHN5)b1gL{-c=^bUS z`A69&!BO@Tc8sO)V=O})V>xh)71(3!8SWT+lRw5j7ml%C)nn{A?HGGoKgPZ^jhxcb38uAcOc ztJnPF>XYEO`UyLsQuqm#Ax@|qIH3yc3H1zjLcPhKP@fAY)UWCZ^_+G>y{(^6Um7RW z@9qipf_Fl_>z`0x2Pf2@_(_*0PP!~O>GIr3_cDLdeIT54-)Se^tNKazk#W-f;GJ}@ z`6t~c!AbWMcFLvjQ!YcCayf9y71&em8Sa#OlRxD?7f!if)l=>{?UZ|4KjpqOPPyOR zQ|<-tlzZ1d<-QJ1xj%6VyF^gfeL!JvISRYNQ`kd+!rp5Xwy#szV}rszdK7lur?961 zg?+|PV>gJ?*fVe%`@){a&T^-*Tl{J4g>V}Crk=*mYp1b0`f2QyaT@#Kp2jYEr?Gqf zY3xmK8vBKxVJ{J9*!$oN`<6SyUg6KM4}~-Ad+iLnub*Kb8)w*$-Wm3~e};V;oMAuX zXW1LXS@sz?%YNa`vbXrN>_cMOcy+K@bpMi_+7w)2ai@)f; z5H7mkw2ST?{i6HIxaj`yF1q*pi|(7?qWg=WaTd@x&(Zj0p2i;tH2zMb@vAzGKQd_i zgGb}nd>Vfe(D*0(63!5pa1LC;1@01llfQ&N7cSvnwM+PI{SyAtxP*WAF5!3mOZe;H z68@98%(37y$McuD2f}6UopzbKs$b?F8JD>a-evBZf0=s{T;@LES2%{a!g1gVCvaD| zoBS2-xp0O1s$Jo3>sPp!#ue_ncZIv_U*TQ{SGb?VRgDE#HJ-n!JrJ&H@ARwMBjc*} z!Mmzm^RH@8f~(pmd|zXTeT@VAn!xRAH~D?-xv;N&)%La9`o8wk*w?;$``TT9Uwa+w zYd?u=9t*B{Jb%r5AYAj_>DRnR#x?JQf6aRmT=PER*FA=~?s4F{CvexjoBVa}xp3Y4 zs$KVP>({-P#&z$zcip?|U-w=I*S((vgWm@X{+4I(hXRAY*BSh=!QdZ#27ekb_-En< z{tVo}zi>D3Tl@|Dg>VD^rrp5r=r{0J#tr<3cLTrY-@xAlH}GG?P3}Ip$-U)oau0=@ z+XW|z34BXK{kz`N;I8+XxaU0s_q;Fs zJ@18Z&-;wN~(^TGrEo&JD-WIW(M_z(Ce!2|vi@sQ`hLtfw? z^3R2b{8#-U|I&EKfA=5quY-sDPw+_Rg-7~3jM8+e=a=M zzv_?mm&RlLyZ=~!9X!^5f+s#NJn`QdPy7$T6aN$O)aSrcU*Mnm&xNP{SN*B~(s=5B z_n-Q&gQxyaz!7f+j(Bfy#K(XmK7(h(7ycRXLU=}e)1MKqjAz6T{~7Tnct-pJ&-u5) zbN;>Yoc|a+=RboN{1@Q`|4o0vzcOC%Kl~T`o8Sfi3%u0d3NQ8d#!LNU@KXN_Ug=+i zSNb>OmHxwjrN0SY>A%2h|E=)ae{a0@KL)S;&)|*!MR?R~P^Ae^|eG;om&Eu=wBn)ARoc^jGH)yLxUK`h&Cor(mD?)3voT z|M~wWoZc}0oce!1m#FL0fB)2?_-{}C>p#47@_!C{(EsVrR!$uMZ~wvZ|10$$jtT$j z<*|SMmw$0|{rLXTKmH&8?8txrU!Od(_V@lJIs6AC2_5{1eU@3DU)X1x9q^6&tkXX8 zZ0G-`4jASGeqo=DcECp-@C$$YNct?*K2vSLFYL3{`pnfnd#%qe?6cVVOx8Y|E%ZJe z@QeG**1q55z>hNE7xvk1ea35__15PX_6GdIJ`1kfXTtT_uzg0{fM59A*D~N2_StfM z#%!N8cfgw-@C*Aax;~S(&!+1$YI_5I;ctITpJm%;+70-Heb!yK&%EoiZ~F|q0l%=% z#_Kb3`>ebJUiP8SX237(v-J-6+5>)JpS{;-@b+1J2R!aWKKFoM*gN#={Hp=adz1Sc zzIUJXJKz`h`V7E63%Jh&9PkVKtiS_?`JwM;z%T5x1^bNQK5MYg9PYCR5BTE)eqoSU?@-^vGT;{;yf@$%_xXhfpY88sz%M-5cmEgzeqrDD)9aUEz%T6cix0{; z;1?d0z0Y9mpG*Io2K>T4zqr>w=Ye0Q&vNYZ3;X=yKELoFRs(+VK@11{!al$FAjSiJ z;cs8mfM0lUZTi<}z%M+wcKvHO;1~AUln2*%z%T3``l~{gO25t=^6v-y z!h^ciuVVv#Vc#QkPzMM6!h^cmucHHgVV}KuP>1_Zh(C2l?(>&yB9`ZI1`J0D4&O!bD&Feh$iw*Sfo9{W$ z%WwYYArJJB4|>Q89q8{jPxO#4ddM3+hrH55|JotXbkIKh=A91OkKa7h zLHlyRE+6oV54?Ya_UJcXb!AJo&4V4Z zuLtb%0l)abmv_jM9kkECd9#D|`!|pFkWV{k?|=LI4tchN{-Mt=?zaKI`L~BW+(G|x zz%K7Ivk!fOhdkXu|MZ);d&u7%^k;uE=-+>rm`qSTh;6Z=BpKw`#%%wZccM;yqE zx{wKbkeToyGZjE)1|EVU$PhG&4nZ+&2#VuFP?8ve(qIV6QbS~f9wJAXAu`4ek#TN_ zO!7lyS{Nd;(vTRDhs053NQ|jNVq6;%llqXDHipEkHDpHYA#>CjGGp$L8TW?Fq(5Y) zgCR4E48tSnFdW5(VHh8V6T~o_0>f~I9;QZ^VJgZFQ!qD7CHP?~B@9y;c~}}zhNY-F zEWz5al+cHzlrb!2>|txf8MdPCumyX=R>B{)Qo*p5K_c)d8i8Y21dihoI7vj{G>E`i zIzo*y5h}(;s5lp)l6-_p3lSxb!A}90_ zIc1E<8E3?fx+6C1jo1l)#7+exb_N|qV%R7W$48MQF^Z(YD3WDH=@>go$GK5D$&b=$ zVU*4)qjF3gmE+o|oYY6LmQAlM13v28*F_JccHT7@7t#G|R@A zI2U7*e2hs8F(#|Vl(-gCl6p)@8!;v8#+Z2_9xs z0?cMKSWW1#nlfNDXWRr~`>< z7ZM{LBqn`Gj0KRGg+uli67s>&knx564L2-7gnTd%GQL6`#_7M&VLH@dWT3;?-{{bU zmgI-Am@tfGrC}A8ht*JzYDyapujjBDHHOuUHLS+% zVRh6QR@3gV8u5nJq(7|2f?+iaM_3q%up?-M4Ow7pf{3tD5MeV^gpJb?Hq<1W<|1sU zM>Zxz*sK(BVL9TCC=oZMMcjlQaid1W4gI@r+>W@RCf&3baYH@2u^{4R;ZTdACPUWO zfgYoMJyIh`oE||&nGqz-jUY*W1c?bFNLC8<80s=L&}6i)Noz!o+avO*Ga{$G5jp9P z$gyBV&cdTKjE>SNe3VWQqjVIE(itk$WT?&bK$o$;E~QZ$Rz~fVHfksIQ9Ejk+M(~( zj)&Sz4|Eyp>k^IaY^kQ(9C{7*RcA zMfJE7)ze;7Px?_k7DV+d9OGd$#)ph8J^^BUhKliVCdQ|^7@rhkd{&D2uoClATFg%v zF+cRg`f(@br@fe;3}Sv34S800$hZO_$BKgCI0M6J?*C)$%;U7I%K!gtv)~|1YC5ir zW{L}liZCj3AKbwe!39BO-;`x!(NTn)~Nb7?e9EHx@kGD}kCKDdwRPboFYQgJEC z%*d?BC4ZmyHRs$sj^p?H`{TzeW0*N}KlgJ#_c`afKJU+U-PP4K&DGVt+p1f8uBdL^ zVpVl(ua4^09_y-Gx7<+OTC=ITwRfHRQ1w-Bss{C+8r@^qmeYF-t7+~rtan?Fj-D%e zbZoJzM@O%9Jvz4B(4(VfQ;&|`bzz>4-lFf8)3@kb)4WCB-fdy7uG?b8mK(NMQL|}_ z6}{_fruS^9nci!3n6GU$8+xv)+0biUHec&{jqX{uWpmHE-m7}H^;*}nZOctP+j=)_ zIl5Q#mZLRq*Y(=8<+|R@y&8IN>a|MqcWACQSD&lPjnDPXjmS0RnsTFa)Adx?T#jb} z^ZZ|)`FrIeJ!kad?fdXN-=%tnFVF4eS-m`;muK?w9Ny>j>|LI>%QJR)t}f5g<@vch zGneP&@@!n5hs!f?dG0OGy5;${Jkyrv*z)XJo>$8=YI!a#&!Xk|vpjRQO3#_)*|I!O zmS@QF+*qC!%kyD*CM?f^<=L-1@0Dk~@?2M*<;wG0d1fomY313hJdc%Uu=3nhp0&#J zRe7fB0zF5SXQ%SKRGyK_QxSL;D$hUVnWsGGlxLgrJky1GhAGc2j|Gcla%L> z^6XKbH_9_cd9EnW66N`!JTsK%gz{`qo(IY^KzZ&b&-&!~o;=f&=Xmn$PM+7vGdgqj zXNkL__fv;H_TSCVYx>1gHxBvJ2b*<{Uk?9h$X>5oZ+FX)s@Ctb=Qq69 z_?06z>m-F6ipfvccRuTloauq;+GPIx&AQ2}=kJuv`|sxGS$+I!-(=dD)&ELH>ao2V}V~$+y@f*IMY`VVlS##uUk6re|dh}*JXP2snlbv_6=Tcd2zt@XTB%?QUKAVml?;GEF zCRzC7P7Q!uug93*B-c)vk?B3>)~-udY`a)%x#apS|4*{#CF3%k=)UbfP11jL zKI@L0@u!Cu+>;Z|%ygrrAC%mP$Hrv((V*L_+&R10bFfS+xo6lGZjYwZO*=tDS^G{; zcik^f&2*)um-lv$e%PtCkYldgWh?i@fg^c_glRDcuiVDnJ9&g@Gvu6AL$-6vu0GL) z=VrLvBip+Re{y`LKlMC(C)e`iv6&9F)#_c`&(;mg^r-*rx2xOxjiXHyA~*fvtzU8f zeDg@2bzz#(78QHAy9h&J?UthDg+i`W0=~gqg>F0{ehnN;c4twW> z{oEZFACl=S=t|eCAPC<6hvNi254$3inK=v*}*4d#dw!A$G5E&jsDW z?nUm&sK0Tqa?eH`j(eGVI_h!U>)i7}7qPj(oQV1ybA>q*bQGIQ%&Dl?G1r)L;khF= z7nze$zhka4XQPhCTxL#3J&(E0oR7L5YXNIQ)c05`STmx|$6CUg67(TkYglul?#Eih zniTav)+*MlpflN8#+nxOK-N0eyr>JZ7P2NreUP=1H8bc~wwAJ{M!k@=mNhr(hOEV` z$x%OKt!B-RIwEU1YkJfZS?gKzgRW+K0eeE!7uhS=GlC9hdkK3=)En7r*mJ^jQ*19{ zPm1~@dlh?D)FIi+*wdmO$zI2v7j;SYLiWU{PqJ6CX9gY7_EPrLpfB29%bpu_N85|p zlcRpgUd^5zbxihh_VlP{ve&cc=Yp;o{{2wYH^X?I(`L_c3CH7kZT5T@_I|xy_S_ft zew|PDJQ((VoiEREGaWR%pX~WD;r&`IEj6a$dbCGo`1vMug^QHGY$7crmu$kC5<|3xSz7;>xBC)(_O>;m`44T zyq){`{qz3ly3Z!+CCtVh}Nkl6dRUS-co z3hNoqv$N+XvG;4eOQS9v*2C<1OJTjVXI%0erm&v!ygPe76MMhb+w8eb?EPAgdHx+S zfM@U5dhMf*9M^WFrKlM?sX7AU2n>{y+yeyjF z_fgLd`+ZiI9pV8W_3aQZWY6&m@kFL~hj=4cc}k zls#`O#7mh@9^$F&`D7v9%5?J(k7ds@3-MZ}qlb9TM?F2ndzr2t;=$~BX(3+Bo}(7x z$xLq#@urWudx%Ff{XN91KI-rxp3U_55btL7@*y71p7$2wHH``G52?L?1(V-ORTT{R+{i5d8_!mk|93(T5QI z2Z8%!euJ2=hWje>6+}Nl^bth=K=chnzd-Z}M1Mf^1;jip=3|lnNA4eaf8_j;?+31z zOF+3g?%_Ju$wC zyg72_7?;GpACV`==O{*g9OHw?iz6qF`+D5Jq7NsxaLr4vqK95#4;PiGKWf>941~eLi}>H_~rQA8Y#AG z3Qb~`&0>}k5A&^JEz87R+QeFx=Z5B2m#UtL+BU!v!-3@Z%C4=Xj~hgA+0109xcEsV&wmPY4WE60m% zPS1A~n(`f`=6pxx68&y#zFNGqTD-Gbyt7rDWms`#eptn-{IIGu`dLT5Rm`(h%(GR@ zvsJ96qqs5OQL!oCQKeTd{7NvUsPL}5T-M5&=LqH<^q^bVb(Kqs)s?OKy=BEZvC=wN zY2^xyTUi`kv8p(_YK?enhrYkI*d{*HCT7}NwLyPxEUv5AR9sh8U0PDAEr~Ui>MQ$} zmQ)NVjW0En##at4Xsu|dm|i)wLhrSrzG_6plB&@aD=NoVRO@*xZ52%wt>QTwDqAa- zRkl_16>IHVDb`xGQeUsCm|nF;oVKH)t#Yltx2|GE)%uFMstpx-kNR&@#fB=eoyvyF zrplp}I=-H-F;ul`E@y@ji_pp04-z%zCR;|&pMJftDFUh{03c*t7Kua&vx+{Rp6 zt|PZ9w;@+8&q1x`Xq{MRz2;kA&9woVa}AnrVSbiIXpVNy&n5ZknrlsBn9aE*Rjr!G z%QTz>Fj&ri=UuV~6IFE;0wSGDHHmzL$n7uxdUD_7*lSFFsBFRsdu zujB%hBQ)QbYtuDnnlyKs zHE&uqAKNq^S7=^oZWUJ*MpSiZ4zAN2)O@Pgs5!-asxA)DJQ|=mG@zoPI6!k}rRL4b z%IU?G6-~vJ#pdG5s@7tY7-o~^Nt5PCljg_9(z@ct$_>ShniCtV>U2N%Emc=El&Y(S zX%39my*yp_ezWf3w$d=&>snh%!>ZPmI&@!a9VvBGaW6OMejKg)c6!B%ie}x1ZMvsd z>Hb`&dzbrA%wP9iTV=EEomG{ib^ol>eX>gTLoQ#dd!kNjWxei;zFI>E=-z11S~)CN zpC6%nWOPo~IyXMwr2C{fw_a;*ZN4qHOl$3k{7T(3t8#0_um|MVAD#&I!zi*Z}*lNRH&7@x(s zEXHFo4vX#CRpfDKS2YaY>9vVjL3Vj~I8vcq7IcF}{d#Mf7>aI3mUm zF>Z+QLW~n)d=TS;7!SlaAogpE`@ZhCLanVoLksn~@A_)(2=`lIsP4sKT3bfw9vrQ8 zV!ZB2ttZ7M-HXjy8L{$qRqH;i)_y-s_u{bP z`dq8-$JXM;T!-$-j$(DbR(t(W-J2r{_1fF}=9jV8>mFTO7?!Usj?RxLj?XXCy0Wa; zlwYfRc5QKqJQuC`y23K~E86m-3(FNBtjM<&R%)Nu{aje1V|C=~w8xJquFH=uuGg3i z`8MtGqqWYgD^?fkwaX7J)D^}T`WBXJZy#T1D6H3aa>ZftQH&@IEsidXFOD})#qwg4 zzHTn8FScszvci&Ln|u>13$0pnHWb$A-yMa%?B~UGh3Uoh`q_rU3hn1@T7NbatBdu; z+Tze+U2%M|Z?UyFKz}zB+ls^V_vm6%QR|S_ppE*;^5U}M8vSIwzSB`$RotNeUte6O zpT+z0-A`NG3%#E@HQYB)0poMd2?fnoAJ)Q zLw@jHi~G(+t24cL)t_73T{pitq~h%sw{Y(_hV1iJi|hB`d&YptEpxAm6~9|-y!T}> z;DK8-4t}Y{ty)-{>CeA@uEn)(v2)`aPq(;dPX0>cGf%d-f3@wE>C}7s7WeTUgBrj4 zV2gWk){w?`e%|7)z5nn`&))Lx7I*JqCpEr#SBvY_dTQgfx3{?CpB;K&t^TtOi7rSq*{iLzs!$t0> z3vx;8Ul+Oll?7w)XdCxEzsNoEm5Su5Cl-JgX8lT-R zd1a?XZvEKplhs=;a_9be2V?wbFU7xI>>5hDBr{*T*e$%aPx6!JE_QeBvTMd?+V8#C z-P5ppa^-CoyQ?1mYO?<|7rT>3?wN6%>e&~&tIyp#IpnO1U1`%k$&trj>?SPjm+_uI z?sc(y>Xre?MRgau7y2HM{JHXC_t^ahnuh>wXw;hv-H2xfB`5rPp?mlAhNRvvbTi*P zIO9j7ZeHlV{Na$~zRMT7C}+D@U8B0WGZ5<05y?BV&`Z|8b$) z;kdJsYhSt0t$6)o2&9lu|gl(uMgr%hO79t*U; zf4_8r+ilR2X6LC5g(oT~lFcG9up1>f~o1E^r^OyE@~SKYL_>J7DZJ$-=u9xP5n8mh5!p z0$2OWGV^?(b^dk40(bq{-%55KxWFCM=elIi?G`xy+I1NZed-VM-PPl7NGAIE?l<)} zCNJJT-{oGv(L5q(r^BbtciT>AOO6{h-~G4#rex2(=euXu-<0vy*?*nq_8kA6tj z>IpwcZu|9lu20R1lZ-pRepZvK?Y}Y^d}xz<`kj@@w7MpD?hk&N z@#!(Ynd3&+|18<@-Z}2hKm06N^^H01w{7>ECk8G1k|XE1Kh^#sSy?~FJ^IWqk_SJY z?UuGakn!%b?w{@M`*c;Z>6+PYmxq3t%sO|rn|=O+=Al6w|I4nk-7nsKD0%6JrG0zQJ`|kgm>4rW0a8k8) zraN`vBgx}Goav4{=+TVFzi{SE*RkTUWcNWc-I)^~Pe$~f>3*|gr$-0v{?LcdbrZk& zWb)BX=emoJ=}2yvd#<}6*IAo|XaDT4oa<`m{wlfZqjTI(_I^6K;rVmizHdHlo*r1h zkW1w48TEgX-;FuPy;JjSGV%cZ{phoqU*M(nGu)L2u1(&0V20cKFKd%$zB$AF=zG65 z4-jnOfFU#7urEEI{A8;cZd%9lN!6dHyU8stWd4KWZ==ZzT&~n(Ur#`D5m{*k;jW_tBsJ zl-zyNWOvrhZzsF%Hrd^B!Upp&!DeoMVv_sG&;Fd;-8RX6ZR$J84d+a91Gew1b)&}O z<1Ht-T^GNbEPQREn>66B$xACIx+QP?HS>AwF-msDqyLudu=_;!(ZBzeyz{{Xcj*r| zW`2;}Zk*uu>iK?h<a=x#Ils z?z#b;-YGm(H-34%`@^z-Cx3oxoa-36DcS!1aqgQNH<_mj7PZyLaqiBOK1_aJKhFL8 zzaJ)F``g)Wx1W5J`BkP}b+#+@{3N++%-Qat2R}(3?R&O6cE*3rg9Y3A_G4$ce?0za za>BRHa+QbV-0S1ca-S~CW&W4%e|V<*d6IX(e)3E=?}og4<@z(-PVeS3pUgM=pXm;` zso(~FG}hhrw}M;s72`_q3kjF8f!dyJgfFZo_e%o-kP6 zc7Go2=KQP5-Tt%D?pw!IyPCzL-R;Y(Gr!M;Ta9)t$M$e7e>mNpcv}xQ>8{gVj}Lm7 zhYU9OY%|-Saf})Zsnd?>3(5 zUb?Z*`J(<^HgwHuE=~@!ClDgFU|FhTk>P zoqOSzT-~gZZu@7xWS%xy=qVqb>;{~-m3w5($?oPyw{o?YpX}cIa;Mh~ZTr}*Pj(|7 z+}aKP-3T|X=Qgf*+Xy%M>`o6HZ1vE+N4Qeq%WlQrPI3>7`m+1r{*&A(cXrldQp2>5 zJINh0Y+JWo=_GgCP20M+I!<)2y|ZoR)7oj|iLUPI?c5dHp6IrEbvyUs%O|*h_OHwQ zT(y%6uyf`&+v~dFuJXB^-L9t&cf0Mfi~Dff;ckyvogO~e^ochQbAQ~TkNefwVeYmw z`nWxJ9_9{P(OGLsjn~rKj&X+`Rqrkxe~i2Cx_UQl*JIpoUavRLAFTV??;Y(b7w_s$ znRK-K=;>YELti=Cy|n#qnIEp-9Y?t%e!iPKc=A#1`NCJ+9=jjqjvLYG5rm!J`TZl^ zd+&V34W4wQ+o551_v77;bo*Y~=^eyF*l_z1uGHtN?&R@DxTnwksyn{V5$=LjU(I}Y z8*Vw=Ej@V;cg5Jl-J7@W;c9j`-0l6&9+_Y7fg2BV)4#r_d;OHd+=OTMbnk9;m>ar7 zrw0+X|HE$%bt`|`*PVI%Plxg~qctN3Z+ zzPX^&vxryV)8@pDt=Y#teo*3eJZ&F0`~61emv`3SqK!;Dx6%Fbpnct{JsVx`CHuN} z-yGtG|7zdNclhzxA#Tpu{oL=i8{*Db(a*I#f2iB*{eGE0@!?|+bi+#~ zf4AV_L)_T9PERCWh6e^8;-3G}e(vt~4|ae0$A0e8dk%K54(Y7GAlS>Y8(LedxKL)vb zYY%iS_Y86ujXuzorVVm?E${SP;r z_xKrO7|ZWO-zLZ6m>iqe;I(+oSg*{va88^X=g7Ho&d~?Td*MCt-gu9^SKf2vqFf8E z3D<^e#I@p@1s{lAORg!`mTSzl=9|r)|^=H&RWEp#M;Cf#ahLh z6@AF8WvpqeZLD#ub*y=jo3j?OCbBlNMzU72W=3B#Ybk3gYb$FkYb|STtiNY1W=&>o zW{qa8X3dU1Y1VSqbk=s(c-DH>{HPnV7qBO=H?T*rSFmS9-!*#)dkT9CdklLGdrs^J zz+S|j#NNan#a_jp6@A?7W$bC}ZR~OEb?kZ3N5Edlp2*(F9?4$Go*8^ZwwJP}vbVCw zve&Zb#{LBC#q7!K&Fs3`eh>?hu zh?#;9%3>*EDq<^QEMhHUuGqhUSd5sA*o+vBSdEx1_@*qDBc>y^BgP}vBj$@7fmo23 zkl2tIkyw$KG5Y?AC5b7CEr~ISHHkT6KM7({Vp3vLVpL*PV%FfxvRIawme`gUmsppW zH~LJ7g^7uYjfs(om5G^ST>`N*F*UI@F*dO_F?XzAAQmSkCpITWCsu!7F?+0YAeJYl zC$=ZXC)OwCk35B3fSiEbfErTie$tlS#$uY?_$vI;` z5^_;;QgTyrRB}~v)>y|vE=x{JZcC0!u1n4veMscOmJ=&CCPyY$CTEUyG33(Z)a2IW z*yP&e+_66jxi~pFxj8vHxjH#}tg|7PC#NU3C&wq(C+ClR4J`mo0Brz`0IdMcAo%o5 zOF&aVTR>w#Yd~{|{aVl>&?L|%&?wL<&@5sd5LyPB2HFN12U-W3$KIju3t9-82-*l5 z30eu7NvtbEOF>gXTR~$%Ye92~{bSH#&}7hN&}h(V&}@Ql(6k&h9kd-Z9<&}bpU4@} zg3yG}hR}%6iqMQ=-4j|8niAR)8WUO*np5m&gBFD*g*Jsog;s@T6?}=NWua-IZJ}|Y zb)k6$zoKbjXkut%Xk=(*XlAi43oWgWG&Qs}G&Zz0G`HAa2Q3aw4s8yN4y_K&F4lRW z<)P`J?V<6Z^`ZGi-ij88CWtnOMu=93W*Fo zlSP|FqeZJlvkksY({j;t(RR^z(R$H*BX>p%MiWLGMk7WmMl+6ecWB9I%4o}I%xKMM z&aod7S~Qw8+B6z9S~Z$=tm8w=M$<;yM&m~7M)Qt7MznA=akOzXa8L0T=*D z7GVi61=s?N0oDL>cy9Kg#vou3FbUWMi~?2xvxs$&und?6Yy-vt>wtMgUneXCCITCQ zk-$n|Cb6y(mI70Ot-x4dEijkZzX}!ulYz~^Xkaxkn^>m_%Yo^@c3?cP9+*$`m%@Tz zLa-qi5v&Mi6ze`=NiZeY5{wDf1apf0v|v#%DcBT@3RVTPigl#0ESMH-3&sWOf_X)s zD=Z8q1{;Hs!OCD}u`U&sW=!qFw~evE+F)+6zZWbHCI_2?(ZT9qcCpSCmIu>=?ZNn9 zeJx^s(T@QOgbBh1VT73a_8Wsm!X#mnFiKeELLn&uZR}-rs88pWg3k-ml)j z)qLrCtmb;?`mE;q=z6W@dg=PDrWQ=svz_ay>)X!t)%9-Ydh7bPQzNF&qn*z~pHDlV zk3O$1`@5a{TlafA z_q*xb3K zX+3FYJ<yOpYX+3IZJretGZy00jzn%3;>sLFqbz0BbSt{+Wp4QWp^;GL?%KEDHHf6ol`fD|MT8~rK zW3A6A>$BGDl=YgLJ!%G>0tLf8zkg^|We@NLMv|ps`7ur8k zYW=jIr0gf!UsCoL?Kdg=jrJd_0n~n!vL9)GO4*;ZU#09<)C5u+sQoNuKhyq}vcGA+ zOWE(V|CzU4`(es{sQoczf7E`NvR`WdOsOT*ewwnMYJW}HU$x(+?6=x~t;SIMams$I z{W)cS)_$F`UsH2P?VJ=$d&>T<{XS*C*ZyxciHZkO;sM16De-~gg_L+f@k2_j zqT-2^ctY_-N_?SsBPHHY{9!eWibqo75ydAd@rl@fO1z@@C8f4e@k~lQqxdEzzEQlB z67MMfF)zR3p_F(?@li^Aq zSxS7Scr7JfQ~Z`vJE?dsC7x4!mlEG8-b;!16#rRGrQ*Sqcu?_SN_;5xpAs)BeoU#g zR6LmyPb$7li7ypzrWS85`nuI%DjrRVM-`u@#HWf^Q{q)>GO5i}Jev~FD!xsLZx!#R z#Jh@rt#(22a7sL^_&6m#R=k`NFDrgdspV8Wof1zgzD|j+6>q1++thYa!>o8bB_3CN zo)VucUQdbF6~9~Ur{ejPcwX^+N_?+)KPBE*{BJd($_G;N0p$lN`GN9AEhR!Y95{L5-om5-(5W6IA` z@-yXYDfyc6x0Kpd<#Q?dobtPr{7(5^O1`K3FU4!Ad@v;+RDPI}ABz2_`KIzutASNMnv#zyKTXL`m9M7ctIA(fYGakprsT8AZ&UJH<+~~Q zuJT{2nN>cVk`F6CPRWmzFQ??o%AZqeX_Zf>NYNvtPo(G*(koK*3h5WA_4OF_e^T@evH#RO0FOSK zqIXFDu$o`#At`!@^pO;OM0!bzULyS@r50FvN{XH$eI-R-k=~M`w@80UsS%bQlcL8+ zpGnbYq}QbAHPj4KJ1jjXMbDAGlcMiP?@7^nr2kk=vGkx6JxKaciasRvpQ0B@KT4@J zmY$TNCrMvQ(U+t*rRYu4pR5L1dQ^%YC4DMIpORjcqE}IqOl`9CtQ0*<`c{g*CA}*} z?~?vyHOtb&QuHwCV=4NW^s*GaO!`?$Ewl8r6g^G)T8h3Vy)8v=lm2Ek&eG#j^f>8r zDf*oBx)i;RnrCXCrRSySdD8b%^gZc)DSDsuKdXtB9+;vBN*_$o2c;LL=!Mb`Q);E9 zC#L9$(ic76Nh zr}R&&xt1Q9qK8T!P0>fi{!{c)>8DnUEj=|wPnEvv(O0Fndh}N5ubvuh>9HO?R{E?* zpOs$g(QB#MrgmF;u1C+6zU$F{qmN53_vq!)&pox|($hV9y7YCAzAnApqqj?cw;FTl@g6;1`n*S!sg&YR{$Td-Qzi`yPE?dcQ~Sm;UeZ0Eh>8c!2nThYyGsczA*Mfu~koJi)^g#1}k# zLA=4&i8qKpSPi>)goj6nPk8u**uRHYh+lYW+r=|HJVSiL!#Bh`JiJ5v!)o5eLp(f0 ze8j^?#7jK9MEt~43ooAH;VI%P9=;;p;^8ggFIFQj9^>IL;xisTBVOa-HPp;gJ1?H& z;W^?v9=;>qfxi}r5;`?e(LE(AfD>ssp6|1 zzAE18;jQAY)}uf?*281PXFYsY?BC-7pl1QS3&e9hJXd_z!*|7dJ-k=^*LoU=2YYz1 z_^^i$ix+!%F+C3Gbs(PX;mP959=3g_V8`-ZV&Gk|F)h9;^7`1E7^i^?&0a;>mI%?-tOV;;_n_0 zfOx!z$BWN<_`G<%hu4eWdwMU3=X-d*_`Zkli}!nYzv@G*CxgEBcmVV>j|V_wJstr4 zuEztQV|hFPI=06Hpx5$v0QA}(4}i|a;{njQc{~6*SC0ok=kDHl4}h+n#{-~i>G4zO+Il_gES$jMHs*|yv61o>W9su1N9uI)-6^{o%_l`FY zz^94FPojIv;{njU=J5ciKZf<7(7ovK0O;QIcmQ;-dOQHScRd~e-OC;ifbMON2SE3_ z#{;0cZ|hm1x!~~tXl{5s0GcZv4}j*5#{;0b=F1E96e z;{j0Jw8sOWwb0`M(Awzn0BEiBcmT9^dOQGHOFbR{t*sspfYw@%2SEL~tVf5|Vvh$v zYqQ4#ptaiL0npm*@c?Ko_jmxbwtGAPTI)R?0M$)-JOJ7YJRSh;4IU4G_6m;&KzoPB z1E9Uc;{nj#;_(1zukm;Q)c?zRfM_rBcmT9Fc{~8xt2`b6?Oh%Zfc7$v2S9t9#{;0f z&f@`49l7-k(O&5B0BCRYcmT9ldOQHyJ3Sr%?WG<+o%U9b2S9tRH@{uoDC;qzz1ZUc z(BACv0BEoFcmT9_dprQz%RL?d?d={9fcAQi2S9tj)s!n1@OS_e8+bebiWNK_0L2a- z4}f9`j|V`pg~tP+Si|E1Q2#RPVWL>X;{i}?;_(0|R`GZM6uWpl0E%Ti9stEQ9uI(G z9ghbHC>Ha0 z02G^fJOGN-JRShWZXOSSVmXhWQ?Z@L1E5&X;{i||o%K{vEa>q7C^qzX02C{FJOGLv zJstqXk{%C$VoQ$)K(VIB1EAQ`dax)K^>_djn|eF|id8)x0QC{_ieVGQvK~LHVq1>~ zK(VgJ1E4+w9uI(GVUGtuv9ZSkpjg@C0Z{Dh@c<~6_ILmkTYEeJinTo+0D7(C0Z=UN z@c<|`_jmvlt9$bRR8H`C02Ir6JOGOAJstqX`W_E}>ItnUjB){w2SB-j#{-~T!Q%l? z?%?qND3|bf0F+yJJOIiyJRSh`SF#>5%0)aL0Ockg4}fwNj|V`xi^l_?T*l)8P;TS# z04Ue-cmULQ!FtXp7xH)jlpA?G0Lqm-9suP|9uI(WDUSz0xs}HQpj^x20Z@NB>rtaz z%;N!2Zszd-C|C1%0F=9V<#719J$`QGb{-Faay^d+Ky{VY(?+?V#{;0;(BlD6uITXq zD0lRD0F+C5JOIiqJstq%njQ~;a!>1lqg>SE0Z?x0@c<}S^>_f3yLvnT%4Izs0OhtG z4}fxAj|V_~D6D6Wa$%1LK)JEUAFo{5;{j0a?C}67m-cu7lv{f|0LryJ9su>%vmQIj z#XTMX<>np_fO2(@2SB;I#{-~T-s1sKZtw8`DA)IR090RUJ$a-Bcsu~o20R`BX$2k+ zfV2aT2S8ear=CFCg2w|Ot-<2~Q2##b;Ug`=;{lL1;qd@StMGULq+NJC0Mar%9sp?@ z9uI)D4vz;weK|ZH0BIo}4}i20j|V_niN^yV?Zo2&ke1@{07zT$cmSlecsu|+3jhy* zv>1;EK-!Grc4Pk@4}i2Aj|V_nj;EeO+K$HqAg#ya0g(1%J%ywNc{~8phCChsX+<6n zfV3lz2S8eq#{(d3$>RZ#*5vU3s6U$ZAd(j4@c>Ah@^}EGRe3xB(ylxn0BKpCdKPJ0 z9uI)DE{_L5eM+qNhO{tGJ&d$5j|V_nnU`ip{fx&0&}Zd5j|V{7n#ThmtB;8_QF z0HnovJOI+>JRSgPbsi6Zv^$RnKw6&110ZeB;{lM?=kWlj-q?B~NelFN0Hh6iJOI)P zJstq{jq`W_q$PSh0MZsc9sp^L9uI)DN9&;^Ez;uwkT&V@07$F!cmSkbdOQHqGCdvu zX`3DofV57J2S9yhtml%nP>%;d+Nj3^Ag$En0g!g;@c>9m^>_fJt$I8F(po(p0QJA~ zcmSludOQHqW<4GNX|)~?fV5kW2S8e`r=CmNuEzr)t=HoLP~EllbdnbA@c>90_ILoK z6?;4Y(vCeI0BOk{4}i2~j|V_nv&RFV{*TrJN?NqX10ZeM;{lLX?ePFeyY_ehq-A?N z0MfQS9sp_G9uI)}>R8VxY2h9ZfV6Rs2S8f6#{(ek+~Wa|mhP#ileX^h07z^1cmUL2 z(t1oui}!c{q|JLg0MhC`9sp_g9uI)De2)h}+P=pFAg$ly0Z{$9^`sID@OS{k20R`B zu>y|=K0AfWR z4}jQ_#{(di+?AXe(}0EnG>JOE;;9uI)ns>cH$*6Q&9@GKQP z0AjHo4}jRL#{(c%>+t}H-FiF#V!0j1)&F}e0O8*chM&X!8UIlD zeb_(8dr0h`#S?4R?6{d4}Xf8G!5pZ5p*=l#O|dH=9~t_SR& z>jV4edcpp=eyhXvg#B}UVgFoj*gw}F_Rr@5`{(n4{quRj{`vf1|9qaXe?DK>Kc6@3 zpU)rm&;0=V=l+2GbHBj;xqo2)+)uE7?l0Is_Z#e=`w#Zd{RsQ#{)GK=zry~xe_{XJ z&#-^)Z`eQgJM5qPANJ3Dfc-N+VE@dQ_Aq~7|I8=YKl2Or&wPXZGyh=!%tzQi^Aq;Z zd~FZ&7xvG5hW#_YVgJl`*gx|h_Ro3%`)7TC{j*-c{#id@|Ewplf7Tb+KkE(bpY;d! z&wA7z)+g9M>lN&u^$YgTdItMveS`h8-ogG^|6u>DhwWi~g#EK#!v0x5VgIbBuz%K9 z*gxwn?4R{F4eK%NpY<8`&w35}XZ?o#v!28LS>Iv*toN{g)_>SP`vL5q{Q>sRegXSu z|A76opQK@bf&H`J!2a2PVE^n#Y1p4&|Lj+=fA%lfKl>T%pZyK?&wdB{Xa9r!vme6# z*&kv5?3b{A_D|S9`zh?7{T24lehd3&|AqatAH)9HpJD&(*RX%~Z`eQkIqaYP9rn+D z5Bq2Thy4=|!2XF3VE@Dmuz%tQ*gx?E?4S4o_D{S4`zQW@{S%MC{)tau|HLb>f8rO| zKk*FgpZEs$PrL*BC;ox`6A!`uiH~6a#7nS$;wRWY@f7Ue;{gcq7VMw+3-(Vu2Ky&I zgZ&e)!TyQgVE@E(uz%t^*gx?e?4S4#_D?(r`zJnx{Sz<3{)r!9|HPB9f8tBnKk+8) zpZF8@Pdp0yCq9M!6R*PliCz-z5@Fve}Vmz&%pl4Z(#rAJFtK9 zAJ{+n5bU4)2=-6D1p6m{g8h?E!T!mwVE^P>uz&I|*gyFg?4SG$_D{YB`zL>c{gcnZ z{>krP|KxkHfAT-rKlvc+pZpN^PreBICx3+flTX6_$uD95UZ|K!WCfAVM8KlwE5pZps3PreQNC;x{1 zlaIsx$LK!2Z!E(x6ws{?RXB|L7U8fAkI5KY9o3AN>RNj~)X1M<0RxqnE(` z(NAFi=qa#&^cC1YdJF6y{RQ@q9s~PFpMm|O*TDYKZ(#rEIk1299oRp559}ZP2lkI1 z1p7xHg8icx!T!;YVE^bzuz&O=*gtv`>>vFJ_KzL~`$wOG{i9dG{?V^s|L9q;fAlTb zKYADJAN>pVj~)j5M<0XzqnE+{(a&K2=xMNj^flN&dK>H?{SEey9tZnJpM(9Q*TMeL z?_mGvd9Z)VALg#Duz!v4_@VgKlfuz&PL*gtwB>>vFR_KzM3 z`$wOI{i9dH{?RXC|LB>pfAme*KYAzZAN>>dj~)v9M<0d#qnE<|(NAIj=&5PYSAEc1 zVgKl_uz&Pe*gyI#>>s@r_K$uG`$x}({iE-~{?U73|LDK4fAnD3Kl(82AH5j%kA4jM zM^A?Rqc6k$(VOZ2j{Xe$M~{a6qff*B(W_zq=-04+^laEa`Znwzy&LwA{tf#_4~PAu zkHh}a%VGcM=dgeDbl5-oI_w|49rlm@?t>l=`$wOL{iD~z{?YGY|LFO!fAoFWKYBmx zAN?Qp4-bI-!v|ph@B-LB`~db3Pk{Zy7hwPJ2G~FR!3Q1z`-e}!{^1p{fA|ILAD#jG zhi}0C;T^Dl_y_DC9s>J^kHG%nC9r?^3G5%90{e%r!2aPauz&aq>>nNj`-jiK{^2#S zfA|gTAD#pIhws4t;XSZ__z&zK9t8V`55fN7MX-PP5$qqH1p9|C!T#Y*uz&ay>>nNl z`-e}#{^3=yfA|&bAD#vKhi}3D;a#wQ_!sOS9tQh|kHP-oWw3wv8SEdP2K$Gv!T#ZG zuz&a)>>nNn`-jiL{^517fA}5jAD##Mhws7u;eD`w_#f;a9tit~55oT8g|L74A?zQX z2>XXG!v5inuz&a?>>nNp`-e}${^6CdfA}TrAD#*Ohi}6E;hnI5_$TZi9t!)1kHY@p zrLceaDeNDf3j2qz!v5i{uz&a~>>nNr`-jiM{^7N-fA}rzAD#>QhwsAv;k~eb_%G}q z9t``355xZ9#jt<)G3+0n4Eu*K!~WsTuz&b7>>nNt`-e}%{^8ZIfA}@*AD#{Shi}9F zJsyC-zhVFIaM(Y59QF?{hyBCPVgK-S*gt$7_787|{lnj3|L}O&KYSkc53h&)!|!4L z@O;=md>{4??}z={f58u6KVwYr3)t^+jNm7*WAhrpZ(y&@IRrm~og3#E{0esNyocat zu=mD$_8%-BZC|V)q*NT=1XRy~sTo{3&*?a?b|; zirvfH)4|_j_d54{@W0qxU`_;ojLjA1Oz_XxTw+cIe~ryG=3MaK*j!{zGB=r{!LMU; zmpL5#JT|wP#m2a-iUcw%mvuDfp!=cOr)h zern6D$gzUo+Hx;)Fmf?+GIBF=wBXma+>IPA__-~&BgYGVZ_EA20fQghazk>&;1{>t zksLDk$t|}e#|(aR%RR|KgCE^;Q*zYcSGU}i95(pbEw?4d4SsjaeaV5zg)JxU^v7GS zOwJtq^RR!*se`}Xa&2<%;J>$AoSZ!P^DS2=XAk~;%jL=GgTLQ$eRBTb|2HiFO(4_< zm{x#hfOdd}5b6m`TR>w7^#-Orph1Ls1k)zaC_=r0X%}c1p`HQuZyE<$2bxEye=scs zO(fJum{x*j66z;ROF>f!^%bVIpt*$l3)5oIWI}z0X*Fmzp?<@(95kI!-(gx0nop?z zFf9m8DAb3TR)l60>PJjVLQ@L$C8jl@IfeQY)1uI%LVb#9RcKbBe#NvbG_6qIVpd@>${f=pQXnLW( z$Fx2)zfk{US|FNWs1Gu&5X~^u51E#TrWoprOlw4Q4E0B*MWRWD`XtjT(JVv#l4+S} znrNG7oT1*yv`;ipv`{qBP#J=EKo_KpT0>hVmQ zN23q*dZyi@;fH!Y)ArH$L%pAA|1f}14`^%vMiA-+jUB)cLOr3e1sFr9H#GJDg9!DA z#wK7Cpt9GaB1~afEtDV;?Y(P!DNr1V$3-C5@fHP(nQ=>_3IEgnCP3FEAKb z3`}NWXMLuz8kkL}-!zs3(+TyR#(H2rq5jiY5KJi4hZ-w_8HM^$V@WWjP+toBH|7-T zPmM*vq(XhFu_~BVs9!af1=9-kt;V`wUZMWgSQtz!)W;etJ6p^w)Xy4AGp5#AUu&!l z<`(L2jm5#_LVd2WI+$Ik-!+y8(+l;z#`;>s{6hV&u|Sw$s1G()2r~@z!^RR}ilM&P zSR>3a)E^s*gh___WMh>u%TT{;EEA>~>YI&q!aPI$v$0T^XsC}iRthtPox)H9Bw5)s0=lutPn&v27T4sCPH^4FeDL@W#er%E3!#63c^U8kg#HHRb-)84_HP~t zybyQ*LVpDFO5gzq{S(Yf!S%#jfd?S;TQKhh9)Qq~!Mqu7$OE9y%RC#Qe}j2B@BoDV z4(9d110eQq9uT}BcmP6w2=j{I0SNsg%u9j?AoQ0ouL&N2(0{_bD0l$yrf`4aRlx%g z`d65jh5H$A3m$;b@4~zn9LJA?-y^b;{}5gq`%Myxkl zf6Rjv`jMD7sbNf~SBdos?-Cw>(9guYO?Uu8zZ3I5;QJ2O#uIG4B)}fY48c z_0>F9q2G#mukZkbek|tA!UKR;i}f1s79N1m&&9l5cmP7b7xRAM0SNtI%o~OWAoPnd z?-(8cykzVr+Fuep0NQWpH)Gy2_8+`xcmP6w8uO~*0SNtT%*%!c0B;-n8(ud&0P#6$ zc;WB>g#I|@mBRxN`sbLJ4i7-+uVY?2JOH8pj(PF$0N~AIf5xka2LSIL`!`-bJOH7; zk9qy@0L16F;04435c&g|R}c?C=pRHpVV=U!U&y?McmP8GA@d^Q0SNtx%&Uk8AoMRH zo-t2j=x=0RM?3&x|K@?j3yB9H^hY9IGS6h_pJZN2JOFqriLXMxCG%e50lMxKvRcv*>O@wVat!0Sr98=tcm`eB(jmiRdI%QEjQ9)QqK%e=LC z0PxxpZ!7*b4{qqkW!_vo0HI%(d3W&ugnnMc_vY~p{l3imiw7X|12br&)dyEGl^dlobF^@7{W%3og%Xk2k&zPrK`Hgv;@j8?5DE~1JG+t=( zA-vIe0PsqaFX5fW0}%SDkzbj|I`msJ?=>C(v48VqC1NsNG5O@GWe?+U5zym<-1o{cJ6nFqa ze?{~b`Yl@R1^NrM7Kv`VqAxcmSj?S&fPGCi+cU?Fsr5wJ3N1LVrrDRlx%g`d3;l3m$;b-_mMb@BqZ; zbW#h02Y}ib^f78>@BoDVnN~}K2O#vqFxQL8n=0}%R;S}hVDfY6`RYL)N+ zg#M*g%Y+9Y^f$FyCp-Y5|EbkN;QTJK;QQg`)!w1Mhkm^1^H!rrtsWkL(7)Ge`S1XQ{=Qc0hX)`&|BYHeJOH6T zu+<9U0SNtr;R#k#82Sratsx$O_q3<))Egu=)Y{Wn0Nrh{;funT1`9v)NaCWLO*Bt zj@5XEe$Q6>i3cF`gSOgGJOH6zwAGH{0SNu1t+o^oKfY6WLYSZxmP^%8Frgj|A@6ZvgxO^a{WOK<@zj1N0KW1E6DDj{$lO-~pib0R97d5#Rx! zHv#?xdKKUSpmzcO1$r6a0TBDQ9tZR~zylDUi%l;C{1Eg;zym<91pE^8PQU}8Yw7S) z&|3iy0KFFQTj<(5JOK1!z>lHN#^C`F`*-*?=-q$^fL;#xIq2MzJHk8wpW5>zthWRn0I`3E-$eJG!vjDs3j8SaroaP0uL}Gs^sc}I zKrajYEcCX(13<3}{4U|S@AzRHei(XV-~kZ(clc%Koq-2{UK;pm=&gYVfL;Rfh+F-W~XN=;eV2fZiVXd+7Cn2OvH#lwKfs0O$>ZKS*nZ!vjF? z5Ig|%62VVIZxK8IT5BABBdt9S4*(AwtkH___^ z4}jJ_hyRIQD0l$qjefpcmTxy9sV##ZXm54+>*%$E2SDuK;lI;f?C=24n+Ja$y?XEf(7Ol!9=&|< z0MOe9e;>Vm@Bq;J2mc?vfbam&8wh_Oy@K!n&^rkKAiad}0EqoN{Dt%y!ULe#!{I-q z7ZDx+dK2MKq*oCh0D2eU0ic%=enxs5;Q^r65q?L-J`N86y^!!j(i;g60KJm%ODcA9 zcmNbjIsBCLRx*EOduPuj#a<2%0KJ&-W73-m4*AfMd=-d2SDuKdP>n-3J(Cirtq86dkPN#y{Pa2(3=VmfMQjL zUsbWI!vjDsD?9*V{|h2a69cNqR*dWqoyptl(QVtS3?0TBCl_>bvDh6jM&WcZWmRfY$E-evfgmCHCh z0LpC~{$_fe;Q6L~DfZl2Nr|G4J2Y}vc_^au)h6g~om&1Rp zT+HDCpf?*H0D86I0Z{Jd@Nd)04G#dl-SBtQ>kSV;e6BLR;P3#@8xDUsz2fiy&^r$Q zIKAZX0EqoN{N?nT!vmn))8Rj-7abk|deh-gr&k>w0OhU@|2n4k>}K)JEQA5X75{POh9!vjDsJv;!)t*yr%z4q|iEBAJI0O-YsA78n-!vjFC zKK%Oh?!yB>FF*YJ^!CF8K(9ai{_*+J^a7+FfZl+30O%D+y@0d>hX;UOf_MO=Eja26 z=rxE3KNV)yhzCGg zj-#G~-i~+x==Dgw2fZKh0MHAPdJuX;;sKynB=sWnj>H2%FG=c2=q-r{fL@c-n@D?d zcmU`{Nj(a^De(Z%tCD&ZdRO8Bke21BXQ8(x9sp@wJOjXbU*Z9f7G^y$>5VCk4EFD& znNdIE@Bm0lv!0st*2DuquTAQ0q`f&j0QBOd9*5qXcmU|tNxcreJMjR}%aeK@X?qS2 z0KGn`_leINrxz&oKs$FnAJlqpqDB2Owu+T9sqis;sFr*cho;g3w3w^q>VZ}0Mbew^-}as#RDMr z@2IDuw<;b0X|0ZWE3tov2Y_CzcmU|lN_`f+TJZo#yLHrW(aRMN0KHwQ@1oZ$9)S4V zb$Y?#0iZW5^T*Oj@(U10e0$;Q^o*Egk@A(+&>+y=w6Q z(7TrUHG0|N0TBCl)VI;=77u{fzoY(*UbuJw=#5K#9KCY!0MI*^`Z;>(;sKzyF7o0$4UdKKdVpm#C#i}W(a z13+(M>Ko~Gj0ZsM-%^^^2c#seVsZ#|a9S{xn#u@^`E zrC5x^10XhIJ(|U89QB&?ZpH&ZFK6mG#daJX0I`2Zy{FiZ!vi4p@2Cf*H#8mqv42Os zD7~Zc0Ei_y>PhJ>jR$~U(|7>Ho~#G8*uSG5mEP2N0O(asy{g!i!vjDsYwB6)ZH)(j zUf0ySihVge0Al}+dRVbBXZ5jzJ9}n}ojE)JVrlMX)zdbN>FlvhuWjmWRe$U70Eopo z>T&7KjR!#N-%+nCcIWT_(94^8UV3}u0cd%!v*$OxzwrQw{X6P`=?#ttK&;SFFHG-n zJOE<#d^_Is2AolO5Hy3+$cmTxy9rfs9(+&@SShe-67yEbAuZv|nJOK2zr@mdRn`Z!6 z?|bUs#ljsP0I`2ZeY{w?!vi4pZ$0$I{v95GW7S(94}jRequyTZ-QfWc`*+rpU%mP9 z0EqoN>h;C$t%twZzoVXCY~OnP)9W7(z^9*n+Cu&R)t9epx%hX-R9-V^V$0djf1~He zr{21Fc5TO2ZrqiNhOF9D_ufZ)UA*u=+xHn;vFM_+diMS5)=QT*|7BpGeTLk7*!d?s z{?UN7fBE^mt?M5g^u*I|G&MbV+K_Fw{MD?6E|2*~P3%@>w|M;5k@iWFSmfzubIR?k#m>iqe;I(*7UYm2^ zTsSArjdSE&IcLtD_rQDMJ@MXnkGxmjGw+>iaLFF4{=>g--*^5$`1!Se8~j(sKYC2n z+x-60%0I2=c;EZSOD}W$ZJu8L0M73WnxlUBDChT`*Y{63-|e@4 za~0>`vwqFbct7VJ_{>jufBnX8@gv^v)XNs#$@@S5lD@Zd4MzQU%Uihy>lY8WiEA)+ z+P7}t8vNz`gTBQzIAq|?*KiH?+veD-xCW1oNUz`;yt{DWCxHT!W^kZe7APnA^PP60U*X{t~VM|K=L-bFKm7xd!|`*MQ@34LCm6 zfY;+1@cLW>&WCHj`Ed<6U#a*L9Fp09pDKPvvELO=iNAdM{)Dz4IB#U1nd&K|?;J5}G&(BkWi89avX zlq#BZoI8X)}%f@Y^ zuPa~BkB=`K_hSzC^2l*zVq)pEz(zHn1vJ^QJCtY43{WvVo_>fqX!n zFWoy=b2djD(&P5Bfs5k62eN^Eay1|DW={OlugV7A#Xvri*Yt&bblc@>UT56&UEePo z$K7q?s&rO1Zogd3rM&PJyY8TG#rOR0IBvf-@?KU9U062o^f>U^Y@jaoYW<_s ze)Jt>1DELQn!7or)6U?>@!VN8YL4d&W}NXt+4or{YA)mjuR7!1vhTAj)EvTbo;#zY z4?iA1LV3@!lJGf7!Te*WC>!rb?PAeODKpZ$T4y@8L_#+068`V-aaBLiSLmc>8uUt(F=lJhYE6N5gjRVs- z(D%;O{6u$e>4Z~%T{iHZIPg#U!Y;P%z9$&>@M-@k8~58du2=Qu8GJt%@yXM6)wkl! z@n4OrxtcS7_Oye`zMoy(6XWmC$<_Rm6aVdL6UxRV`r5A9`ElIeay5r>KChp4McKHi zaolbC!aju8bZsB!?)%`h`^pA>GY;&?25K)F&zWy~`tQpIJ`e}~Jr4Bx5C-#B#-CnN z;1l2Cdi}iS7*74;(|0QSzFo@oI`9Gdrk#>vr5a-9(##o0l?~igU)vTiwtV0tywYnk zW|s{-mVq5Qz|!)8OBi_UIo~cDI8R^a@?Xk-wb#})#a=u1s@0If?plfy{ZkRmwz*=j zLuwAK8NRiCHGE(1q9T01g6~)6^TW5!>x-&gbHlgZPw%gKVD@XSXJHG)JA3FS`uJ@? zjjlo%px^HtklRM*p^2bB`pC-ud{xu3kn6+$=RfMTWiGEj`mz4YPdenxrnz&b&7Cl- ze(H>wQ|8t8ZEhH}*O}91FQ}hCXU@!d^%LgKs-H4z;*`mgr%XO`VE=~t0|x9r@Vo=h z)c5<%o-=z&zbO+Y&Ny?%>`5~hOrCP)tO=8*&zLx&Hn4>-^vF&e!1^N6eWu zYtHObCQQ^>=W^pFPnkMl!OZ#N=3m$}WnTa3yt#SvCo6K**SaP;-Ep%f%%84*=`Q78 zlkE4$&9?*epJbP8{sl88Po6pDh&fZHjyvF>LF3Nb|DfD?2kt*^KEHAJjQJy{oIiZ_ z?z@8q=JZ?ojq+p7ojP^?IQ^uXql}w6qj}2Yar+NAU|??EjL!EnZt8&t zQ{9wMoyVDqscy>lQn4S%sCT2`%&oEKmV(CHZ$kUo>p)F$3-tcJQu*uqnm5Z z`|NTz?x$g&yS>Vf$IID!==Rm@&G2E_$?FPy_GG$Tp+TK@LHXhB0KCs54mtCP6Gjam z)%pM9MopQ&plRG`1N%2OhwmRbYQ(tXXG}bE)SLx#Crvr?m?MtJ9dqKT^+(ieqW2$Y zQ+>eT0}iV1J9o;=DHG;R*{go+VIxo2FRlmu299g!H*xNS*^{Q%&z*AqjCnKW%&tFR z{{#0QIQXpkezPVuEohvin|MldzgYwH@A=ag%$iu=Z`$MwCd{4M?|}M#CmmSdZ|dwh z{pQV|J7d!PeiLTSn4l>zP2ZflVD_Z>I$FPZQ|#|~`rhOT^Cx8g&e^4#JZFKXe{R9- zc{8TXo-(<9()0;)ySuBxBlb<;U+_NObOcPj<6)^XuG=UpV{A z-?mM>|KTdw`PudS%sUC6V>hpCGqe13ZTfeV6xDpZXUpb6xL5X0eW&Z2)}YUwmHO?A4O<9@%V|O%Qs0*; zeTr@7Q|HXB*95LVDs1TWBj(PTG-ckrIdkXj_5Wec=ME#VpFl*A%kQBtD~{-#{wEB` zRrahpp+|nJ(l+@o=eH}?<+so8kl&?{>p$(8vu^`AIz zUatS#IsBvl7he}{(>SX9cCec${@{y${WHVLe?RO(|N9Z__Kw5Kk6=r(KDPL?Zcd`? zr=R=H&zxB|zZ>sYf{6GMpF7AGo}6uA;o_G6R_Dr~JF&Y%X3Iu*KMZSDcR$i}>F(Rv zjQ!#t>V}R#{LDQ0{5Q)+c6~qDAgy)QT>OKW#05;u_z*J)O^!F<7qlug=hj`x`vr=OP{?+Z>o3*G*Q zvn+pu-Mna63;y3meZg#uQGGm%^3Tjp@eAjK{j_{R>E;aommh~WP)~k)0D!70W(RT!`IpgbN$Ivrzj!muZ_F^g!u}UCoY&z%KQKPXGe`B-TJ@udTi~n`pz8b^t#N%_k_4+UVi6X^FeWQFFye2m^ zj@m3{oZEHWVFH4^KR@nUUB+EMB9~jUc~~Zn+|y;;DJScAeKrq_=l4RFaW6E5Z-k+7 z+{Uis&dcQ(8vn#`z4ZRd-|vxgHKIO_+Wda^?K)0xY>gDY_$MB3RF`ojVA{|)YV+~V z>pJdbjp2g~f8z13=sNBV4d@w1Z9d*zUB|trF?F9G_h{E~mHFKG&BLWQpC7kh*Ks%Ig-|{}?#M3VHr%Xn-CVyj zx{Q1GdwSja&mV7Y*Kv?S{V)EBr*K8rak`+j5*Fj1IPQmC#(ga2T6S5o>-<=kar^$J z`_BV5$LZ)V`*W4X^$lP1SA5-1x{SMD=^j@Qk7|Ff>+bS0N?0m7en3eR>K zw=S;>TK2)kaewJLZhwu__u`*8u1a62#b4i#n`d|E`AKRZt zyN(m<>TbQqxKMum+HTRoy7}Bb?mF&Pjq7GT*j{S>XO4HLUbmai4TnSdxH?VfHQkIm zyUVzBcNfZUzwEkP(q-I6ja$;q`?#&kxTc@zeRT8rws#$uYFxayy2A0xm%EO8q>yXs z=6(F9%eeKA7s_w@?0CEA-Yvf_wNDgs>$`a$M|K&v@kzaI`0V*Bo*(G4{CML#^gi^z z_$Q9Ltm`;A7?yYQx_5RNmwQGBTN019`E}E-E^n;8pdTuIH{Ps6~+|)#8Y@# z zls!iW<8=?$fAMu|6syGF;k6Fd@%AeFM*MQSFfJ~66UP*D&*?SdAI9-H=R zi#}1#@Am!9spWKcb#--}Q&rtahhhyc{oP0hXIMzO-y(!~#czIsbjKsFlBOei)x$is zB59g20Cx()*J9)O@^<01@bzt4oiu-7q>$=USn^B)w8_Y4pl-z^r;nh{ab6vg6M z3nNOJ?y>mpQ6#veIXD)NAz_b_7zEBe{~lf}^B)q6&rO{)hsNR$jpgqdi|-kW?-h&p zIz~vDG>66F4~ykLJQmNnClMu0?^ryo+lZ2;I2KR7xiys<`rxzlkq&X%Qe@qk!E@_6v;)liJhsWZF z$Kp$3@%mJA$@nD7z2p2R6ZbzN7C$1Ue`G9vWGucc7N6_INt#iy_))R^qhs-$LmW}k zl*i)BqeyT`QxS`=h{Ycpi$69Ne_Sm7xLEx0vH0U-@nd4~V`A}RWAU8798uDo5Q{${ ziUgOmJ*y}vX{sW@##hJW@iBQqOs? z`Z@&=ZK)#k1oM&3%6K#up+9ky$n;$m;rTp4WprJNa5nQq-U%!+$C@=NLrD?(FPlYf zvhs1}QIUCP6`{WUOk_T3ip)s!w#e>yhMBI?WulE)WR5nREKQ;hr3lZX6GUEZkEhg3 z7nwFo5$b!R$ag|-kr`ty*LdhCLVx8}k!M@^Nb^;Z+42?Pc?7e@k~SjF<2}mIFG5;v_g7}-io6YB zMW(M=De`P97n!R?cIA1vxlLq)1V!jiJfyNcz5#~w{4Cky>t=qgvOT^b<_{{{;~Rw8 z|B@czMYzAXs(|E7%V;XzTpjI`KBn zxQ$b7;~ZN*xz^9holfrXBud5$!1$+MC3vPSX5sNPTLkhPTLj_+TZG~4T7=obwAij& zneZml4g3R?v3Wnp9W#dJ{6Kfiu|Rjsu|Rvwu`qkgu`qkgu`n4Q_jfFFO8g)mb1b8+ zQGOa*mHYr(hx`Cm9$#jy@nu#QUyj}Eu?ESn=x&mMb0sG(cCOvTWo#4S9|5voOQc1Y zxYU@e$g~g`&Yn1tJw76PR>V^U>jcjcoG-}!3)3wVTrIdxaJ}Gdg7*o2Rq&gF-w}L5 zaJ%471z!>Tr(kEa|A|M9vD2rq|B;mMG-eVJ^4Y}A#;g>1E%DpN+%EF{#BUkH@h_&g zOW^*WF~1Y}uf#`;>4E1C#YFsywONdt)bDYR06R$L;UgX)tb;evM^3}vUjJaFnhlpP= z=4p{%BHoVui;S+3NuZMVCSw1CiJv!SyvX&$Ta8&H@OX?G5##+Lk0Rb|%w&L%n1tPB_ZZPIfk-tL3&ADCV7l}9G zd_<^D?lf=u7Sts(%#I@2MU1ZGgvJqLIO3`|jj~F@X z*ph4(Kal$`Eh!m2dUQ5BvSPFg$j&afY(i~~TNQzuAH}zlDh8o#ZPP*^vAtgiLxpb7b<-n0V`TaVwhS1XDpr%g-pSmz$JDZ+H{;~ko z(B!3_xBolw?`*!H2FVS&$jEz%2{MP^41<&Y#4u0>b;$%Lzk&Zg6z@RdVB)=CtFU!y znz+~mr!p~*tKdBR1+|P_iw%6Vz-D@0QBWW3(J~vX!?Npe@`nW{7P@6Q0}G3S?m=b2 z=c)^FQ0Z{hO#-)FC#ca#KMYD%oO{vXfr~>9cz^YsC_FGqKaa!qbGL2_)i-w_qbf?qK%62?vJN9&XW!jfj>E z*m?VN8$UG;Q^8tJpl@Y$K-2i;D~wF6cZ+w>MbJ$?XN>=n!0S>{xAjKHYs?o$a{fF zT->#=ihtv+z2dudp0w3K<8i(4QlWsH$0^P@uG~FzO`1`Ge-<5p{?w9*x z(@I>1P4j>?&p=<6jF84HhV1M6CG>Se81-?qSoNW+oult}(8qL2s&5Sfe0^_t`uMn2 zeds#p==&S=jZ-CF9JE*ihdW!83aaHqr^$(c#dk#5itW5!7@uP$8CT zB8g)>5V&!}rBJ3fuODJJ3>b z0h~wS6X!+vgCI;{yZ7PW6r+jj61IM}aai<50w z61~e1o(%F*5R*aXC`ySmE!$)we-b2KFO#tLbTXCxAD;Pz46*ifGL?Tkb7a!jF-In! zExx?}3UP1+nFMdURkk;*MY~| zoz?@=S@Nyf(+!9ad)i(Y+SA=|TAn>Un@J*j`c~+6_B3mZXHPGJ2-6j@)}G!34t%Tj zwEwP(Wb_V;HeXw@@9k;URv5Sho_9-o+9jAmV4DehI@nBx&0JtRFJK(Gr1Zaqx+2q> zNn8TEGd`iMl}*O!;tdy)=u){gE1SpblP{0O#cAUm9Icp!9|jyNG7svjzzu%d78a{-uxP86U%LCTD0)1IBLb@+@7kqt%p55o$*H<8& z=AnuJMq4*BLK?Rkvahe$(^rT%_LKS0y#<@*0c+3u`bEPT(nU3@{HsvW7qVJt0BgFG1 z{Q#SP9%Wv+u$!snQUu<&hlfJniwINFeG$FWGf#BTzJ3cAVSp+Fsy;8svVQo!u&;Su z5oxKokPpJXX4@OpSC)809kH+Jw_ur2PYe5+&&ihNbvgIIo@4?QmUW-@B+G%=mVG@$ z;+dK0P7s_R$lgA~rwAUfuTdUp@lODUvRr_?zlp~Rjuo`!hwwU)&k#IYaFO6r!Bv76 z3$7EqMv(Ru_hbDPKzqI0vA?Zf0qIzeeEWO24o_Q~I-A{<{asdCj!|vWuI=ynY%pPd zmRT4CpU$D?-G}pwyA~f(Z2FraotrDpeD9rqzPGKFvV{jtWqQj@}6VbAaf`o;q2{1{hu> zM-ea@Ya#z6K=dMNYxZ&WOtNYMB9h0Et+tmfm7kA8!yfrgXpCR;O{^Hrwzotk^`DT^ z0TRICUWc6N`P6$&@DLy`^HWwB*!zoqtM;v>yL!usQR4G85m8t>7EXTgTWJv4L;Z{EjT{j=eRqZSy^Y#DRDSz-G2OKqmaY6t&5-Ageez{i)r9HG|BuOVxwWtN%9el51li=E zF_jk_F(lc^4VmZKkU4LIvm@8ukaaYEYcu7fjnw1>JC}g{x908sGNK)xgdOhJ*BR;oD4g{M^lN2>lNG!Mo}T z(IohGJX;PWwh&cadtIJ)MS5N8%H&mv&!B67Pbz)z~Z^_l-VE@p!!*-%C-3?(qu`vy|47O9TQJ>OGFdPF?QkI<+U*DV1SAj_C z%fqJn*kRE;V9i|+Hj)w2xP_3FXVj>KF@KRX1dVF|@9%dI?8!F~#{Du}_sjmP<^gMl zz_`qk5z@F7kbQlWnuUip#>^CI7X8u>96zz-q^iI#z zqT#ATAp3C~*q}b_!tT@8cRMb^c!VqY$F5;SKYU;K0eFrPX{opnzK_7CmgDMv$+3J` zGb7@9f1i@JW<((zH)nlec{s{F8m_1JiR0=%(NDmkJtgX42UCgiNNg-Kwmoq_fj(lL zt6BN0`(T)PnYw zf>Q-&2(pb~`bNR!f@=h?6x<+qhu}Se4+?%m@LPi46MRPSRl(m8)5iRd;Gc=Ojm!?yjSpF1-~X}%MJR!FY=3mzYzQn!QTkx5z%hw z`9ZYDr{_PMbonD(JZ9YH~b|muw zc+-<~g8dudv)D4MMZw8`!haRTXo7tsM41r4#5Z6oI1_(vLO@Ut?)~;h?B?`(UKbKC zw)!J@-r!wzVI+tZTBZvyx}_7sC9u;4Sm`lcAhnsyp*G_b{DTHX5e#%J7(aIEZr-I0%zbqVeqYiXb!%$ zJ7bgX{rU85+PeM`9hh`4>pa2_EwuRX0_=BN%66(mSa#vp144s7^2~6OeB{HiPK^U| z@N-{-Z~Gm-Z^7?%vgxSmnz>@PeF~93A-Yccxi`W3+)*BEiAiM+!hhE{_+aMZ`%OCg zPb5CR(VB-;3+_xWK-nsUOZU^J9fQ7WB_jDGLH8@P`S^U&JWO~GgpFi`G;SVb z3gQt-L(sTc;Qjqx3~%~2gmJ%ouJSyH%dlx4u;!1@mn9>laf>1Q`o_TU)~72U;i}K} zOf)l)?=)dLCDpeE0lvOkPhTP8RNv>oYaZ(81AVReVs1v9uWu&w@%(tc97s@oUj$Em zCD=#{5Ydsj_^VM7m%1$WpE|hx8#WwLlMTe*ACaz91)v@~?H%PA-5-7PL1ct@e54;@ z^Uq_xS1t!5PS2wVJoXz>E%dzRPYtS z{}l{SIJ7&{f}Mz{d#zd_KJBf>X{Y|9h!JtE&P$md*p z_IkT>_oW{BbNAb}*Gpyb{I2H?WY~bl>~&h}*Y*nfegE`CiJQIOay|Tyk;x9bcX<9j zpYFVxSQyB^-o-?#QTLQIAa&tT#k_~%h&ZpD6s zQ|<-JTIS64+CPC^gsp(b8BAg<>kRl-4fYS!{C%ExM-1}78st5AQf*l|1+1lP{lui}P`rzaO?6d$UOXX_)AbnV@o7>l64}*pTHkAvvAj zH`;T@!}r1R_g#4bIBoBvILY4@_W|4doIUtNT?^Zs4UCd)B6eq-zlBYHVE(?AC0bZZ zv@h7jP`iV@0G~A7Py~`^%T8iC_OF%HN*Ip-&BM4_Y#o`upGCj25*c}o(KQQgEIw9z zefL7xh%hCMn~MPD8OP6SJfMlZIQGHW$x)gO!}K8t+%L<4=RsVG&DZx|(3eG+lE$&S z;Opz=*^0hReg)EL9_mP=z`KzV(zw--eSKL^AMXV{zFWX+9Yz>jE-u1=FjsUQUXW#VKNR+bOHJn<0hG zrr+!5a^C(xl@A-okPKCFCZ+7EyKP-F!`7GucA1bqMf1N z2+o<`^-O#Nwt_2}cj|huk6;7es`>q)oWCEnkvMKXurXdv;1y_Tp1TB72w7}NbADs#VW_vWw`*EDBzYnM8@7A1qf2RE| zJRDkAq7nGe$HH-XZ&cq+Gz@(PAN~pYY(o4obl(*RrydI?Iu=(Xui1WbDo(Jtuy>7IHvEPtpL*K7L zDcTqJ>D%~&&}emJZvHAHD^MWkvpVPju@p+CBX{or7kM7)juZa&w=5;=P>vQwH z9JYS!%ena#C~o=>CJ5FFP8FOX$To)Q8U>dNt`WRaaD(6-g7*kMDEJM*{e5nJ70)9r zFvFMpig;}^CudovG!Q}su;HVq)B z8GB#f>L<3e_ez15L;P);&0ZlyQ`&QE=Kba60! z{`)l#Kr7gO?@Z{8HZ#D+)|gc0ApBRmhYv)iQ+p|%j&Y=c*w`J}Rl1=_6W?zygej@j zLi7WFfJ-yNxL=k7 z&x5!Wo8|#)=hA1%2x%O<7QQ~tedm*q`r4ZZP>KR)IwjS&8Uen(Mo%9fyLx=LfY&@= zO%?Q2c>&7C=K)*{eLO!NAIF+h-`(Jurvw{`K7x+S1Na;&BFjpNI=Fok8xE;S!!xke zOH}~s@x|u>j6u4rmyX9r`T;h-T(0oSg`?7X9!21_E`v2Qp|7=i!2RpJ!gOzSa2`N^ z)YAdXAf)G^vZ5cpFMI?%uZXl%T*&@A4?vsc&;gL!U%~0Io!b}l047imt{vMW;uJx) z2bAXtvcginK=4w*>jgIm-Y)nh!L5Rv4bA+I3vL%|7VPNU{EzEAfG@I~@Bpyo3XI$L zwm#sV?k4E~Ha+hM=4UU!A+W#?* z`C~R$f1+&{*>Q;M`BUD2NOJbP{W@JAigvEPwb*&rI(z;!CSHWi+4Ga{1-l6wO0w0- z0w1cm`aJJ;?fLFV0DInF@Xm%RB-Vb+uP3Ok+{pkgfqmD0ArfJ(zO(1~xW|kH{D+(Gdlzoo`LL3>!RMDT;2*Z+Rm^TSI^b0+?W^Zwhg=gUe* zcX;0a3_d`;@p(7z-wf&6+@&~Gd}S|_&7RJA|8MHN|FYo8t<`_Vtp9B_^ufBjKNWCp zNbp|>;5+T(l;Dfw!f)w)3j&tI_a-FLKemwnB|<7~ttWdu?Yw_{{Eja|#2`IY;sbiM zfM@}}T|ls!Io%*V-;wO*{UhTqDFhk5cpqc&R}oUFc~(v82awWP>RRdM{Vycn+Pwc^ zNGb2_KMH9`L5365T77SS6{0h&e(t^fjo_RqZ{OR01H^*QF|S<}@CZck@3SetFW%eF zGsk=Ky4eGkKibhU@1M~vO?{WZoAp17fZ)?^}o|fy7>t6_gjoH<2Mn;^I*81#|7a1{SJk>mPMG7#<4%=>+|QS z`|AO&MLNwx9ktNs_Y*Yk%9y@lB+_z55rxOcu|~}U*35)HF2GY#b`t{p;~NTPyiPn{ zoO-D5KJd&_f{nBp1<~0vSsBNTbCmsbUq;1g%{TBU(&3Pr0G4{U;Tvd1EcGezy?H}b z0P=c}p2g;$M;Xoozg?~eSOtAAQ-P4~i|C!6sYKVQBkKXy<04e6GN9`7f-LKY?~Ad1 z&S!{7OT~qJ5bFUrSj6&n)I%AI9eG#(VO|dEq3xnXc?33=*-_YHz5{*mb>vn3-ZQ}+ z@7}Bj7=mM=AE8|E1i=Y{wSrRxX9zY3HVU$hpq@2?yvHeT5WGY19>E6%d9N|uf%O0= zhxDGm%Z~;m^RrDNeqZp1f-edFQV>mAyXymJ>rxNzf}D5tmyRsYdbCUb!|0BGH}F2! z_@&FRPp%+$-wm8xQ&Y2T>(w>+^7X*}%{@-NwdUZRf6uN?mlo3>!R?fqXL_Dh`Td^P zRh#B+#p7y%vAyg!1-mrg(Pw+weQ(h8$+PLrpq)Fumo<66ey#A)^)Lgu9D(@u;J-3wBA*ZPBwK-`etWPxk|nQZ zh`zgEJOw^^lap59AFnYupP4iHcS4F@V{kK5G$1DBK0~;WvDIfVw215^2H;bMi(EupW^9H}Y2m_mcl2GhP$?0KC3i@D1?!^qVA}4T9$( zBx%oPR++?e!A^*VFU~}<@EPJ(0$H(OB=!?b`6q;-*b0{6FQ|VP|1&6Ffz-iNzQgba zY@M4XE;hk*CjJVx!m;=ZPG{_6*t#r4d>EVL;)4=gMSx(AicgHnJ*%-4D1!3o?*oy%Mv z5ep%Dv0b8AoYoOR>B05E^t>6J&qUYhptLE)ek`F7=~%Hfki8f1y@-vD&jLa^92^`j zgmW!>27xJC`d(bxDaRr51Z)|zTs~(Ajp1p1`{rrSG7i7n8GkY9n&hg z9o2J3REu{o@SwPPuVW~N$TQ`UDsc}=yyQn>8-#7N1`7J==A%CB#+MRdcDmoh#q%0h z&TlaDj+@!EY{@5<%~_OPu&}XVd3MmMiqV5-eq!;;?208z8kc7=aVp!eXl}#&`3>`D zmJO@OjwmTDTRL(k!jDKo)FIY)r$APuNqjx$XrR(4E-WJyKHkBn0^SHbNgi(L{ad288<9OVd1H%{Vl_ zFOm_`ICkNbXVl?nxPL2Y2pZP_-rw)vVNAY>Fz%P(dLFC5YaX!ZO&XIWBcyTccl!F? zK#vkCtv=tMa~;Ao4^{MsK0cR~H0~+{`1<}1eI*Fv@xinUkM9fMH4j)*3wTrib!6QJ?S6xgRp~a9^aYuvaQv=fcX278g&kK zTB-t&=}0@UDNlJl(p8WV;`Jashs{5aGMq;@gz-Gs&tW>A7mADf4e2Qa{wkEBeQ}@O z=^6TIRwJ+%!r6MiKuNT}Pc)Q@21h)#>btcgB zxX9ZDn+1O=_=@1~1=A>a?!TYl5F*+O^>d7%T;TlkOvgEBlsUXld5XxVi#%K8C4ws? z{8EuO5TXCGL>4ZO12StpkwHY(6C&?nqP35Jww!?_5?(HNykNDUEpMc=zMzkre-qyPx|)DlIFwVeLDQq zO*MyF57e=t2P%2wsT0i0-A_#%Sv|r0yyz4&=-`)wF(X%}MkPj@p*@;E13%QD^XRKG zee%T*^|JLt{qnVgi=&jk-JxEo_bx*rt$y#lZ?X=t08J{m8~?#=2zVS@oZy$D&jwY&=huh+~&j^uKN=yxe?9m}LY$=zo1*$asLQoLW2ryxdN zuX!d}`!0;+Kgd?krKVK=9A*wOYYCZDqYX^40flrB_Lye#tKX%}l6T*wOmlJ$X5ah( zK{(&KOHfw{c04w`Gc>iCjN8X_?qjirlX3f)4lX3wMC@pOvJ+B zc`EU&eez}Z<=MWdWgq$3Ls5TcFV_nFJH1)IHe)u8O?Lhky;c{D8I|nvs^gM}4a02P zAc$Tq8u_dIZ-DHr*UCDp7B_ri5^nlE^Hl9eJ}UVD>eQ)K({}Tdoc5x}TvWSuH`xlZ zC!VaPCCewxS!F_(V#i!oxvox5+dgUBxZ|>ec!j1}pYVbu%d%DVld==UFPyDgwq#zz z^5sjGEgzhPk9b&_^%9qqj~JC5gco}@%vs(rID0m-3|Ck3F=ewVj+wh`&fBB-1?e~+CdZUcc?B>i3@`_MearY4|rc=AR z%ufWKaU|M=?Az`tT?x{}_v=3qQivz9H{!abRy2>mmd}EvEz30AxWBj$>k));av^mKuX(_l zPa+~qMo8oM!1eX5_IxYt`LM2qzHX`vsQRvo>09sV>w-8vzPJzT^N3&{SV`Hq59?0o z<8|WsqR2yi_k(91)(6s`VM~p5T?Z>Oj@wp2O;-YCOKl4Gr|IH8th#fN1d*}0RLcEg9YFz&;0Yp42R zU-V%eMT8Hlj)=-KgNRB9Q)RDwSgiN-@k|h`6`Ue?uHZbuCcz5?X%jR5^@1A&Zx{TM zAn#$O`?lcYg4+d~1%E2|is0`B)rWO7DmnGo?~(#1i+nl}?FZjGMLd^SV2m9rN4mu# zFDF92L}Y8EL%v>Qx)+%5PD%G=k#QJSFKa#Z7Yg!zrObPnXssV0pIwwo1j_}F7n~$$ z%Ngl-J(+%{V1wX7L0j&KUm@}(f@=iV3vLv=O^`DisP}7v4+}mjxJ}Sr2c%=0$Mnw& zz9jgH;C~6eCHSu3dxA-p7xEVfb``Ys6z!~*7n0j_TApqDyvpI-iYT0Oh0s=gUZY2j zcByy$PS%ZVXyJ}2v!HXX%#h*Dh4|XbjlFut=2<0=yzFT6@@4&k;zaT4(%|Q-`_@;R zLET^O*A$ecMm<`6aPyU(pG)Uk{nGlmegQw1ru*HFcfa#ZmW$nQDqxuhjrjW!0^?*8 zg=@y>s=;C|wgy-;_5{)=onOm+&9(e&Mx@f5dX?Z~*!i`v3XJs*%g1hDj!gbHAw|o_ z?q@}&#}y0@GWS>=shgM~W&K)MS7zs1ZK2wgZoXBPy!f?v z6Sd~oTFLNT`n3``hF$r!wn9_jKDBcmc1#Ki?cA(d`n9sy3TNXln8XTOMUi)UaN50K z*`7PURvi=HgU$K1rZDz(Y}T(e{S9!))2d%!to!HQ&I2lBiEPZ64Y@Y z9E7pHEHZ8%cJ9?&4JYIFF&$h;vJaJQyKM!wNRG57wI=hWMv0HdnzzVn#c#Layjx7V z!VMZuxI}32y5PUn(Q@WldCe_%YKtwH740>SRznQ71viqjw(#DVSwHD zUeB-cJypAQz3?ns`mUt&J0eKNml|Nrvshiko@{~XY#1N--``{=G_Eo}5GSo%1ahFb=k8z%uHbUUy$ z-&764eSLffY-_%$(~%BMa7d@4?fpe4MR7c4KONsa`?pro%}1EO-{;Xm?}m~FY` z(BAX)4Mjv2VM-de909(*SBqSqpZa{?)U}W`4|Q-p6m0_~jk^*7zP`6SeO(Zz$H#Kj zJk&805gaL1Qg#ype0`}ld{g%!9rKikg8O09v^Kx$8?kh+MX2+!yconLs_Ld(=yuB1p4=@;t#NLAFZ_zf|yg!3~0J7Z`8-P{6Gse_QZz!R><0 zfzLjha$L*b^eekjCSKNMu^hk|VVP>`)33bOS>LAHJ< z;GMY0Jbvqkf=r@+g*Bd7D9AcPIV;#-@Myu|f>naHoRQv^Gq6s=&lEgIaK0ex8TBs} zTq$^k;MIaR3f?SukKp}+4++}q0Dbh?Q}1JfPYFINXs-j}eQC~1;?v_v%B&ujU3hSwR~LT3<~{9{MJvfGk7dG&J6h* z-Ur;9$7GYF0+wg+00Q{NpEzMo)6Gm9WDX-F(!a8hzZ#IFvnZi!?M5*qi~Qktf=5vZ zzY}~Cq-Y`&ZQtbU%;FZ<4JBKB26LqH-=^LmGmwx;EhD5-_V&x9xJoenCjQ{rS%>6# zsqf-HKVU5h#v)uQ+fT_*^ya8ZLIzm1^+!cJf5jDb67BVsT_B1|FZ8LSXH(-{2v`Dj53)k4sul zz^;%SZ`h$H)>1M48ROJg#-?&yOPMtzVUFZ~@VBmAU@r&Yu}c%QV$AL*tGjUI?x%~= z+zej5BlpJ7unm5O;n{x4PiH&&cAb6kVjaIh*>4tKh0Dq8Vk-OBa^lFI*z@8)k@x59 z#%pt0snNszqJ?iJauS_Cr*UP2=Xrql!A`VsGn>Nq?(7F{0^0!iegZZdN|xWFcjjVw zr+va5n5&{?$(EBe5L*VD+A?Jf^hnbUMHqQD@+7A7uMHmnq;c3Z59La1|KbHGdm_T6 z8wbvq*-%D%oAv~{DfY?2bnMUh`)xv>vJPR~ zFZajuAU0vsJYdacitS)OR^}%>&lVf^H9eU=&SYulx6?l*S8b;cz!%Sc7IggUErCg1RLpVs1Wa|63Aq^Jt(N@ z9>T?9-%Ux=J&XXTXwvXLs5qr609EyNk7x8Wq|1^K(t7nIWdA%~_sWGfu%1T|cxDzF$||*+zT(Aijaa zGLDXcQbb8RYsZ&)6(UNaL|NZhCalAeogQ^_+_yc=%Sw$ z9&z&O#L-=TcKs1ouTCD_>1TU6{nxhDSTn>PYASdL7|?;i1~pEwT_5B13ov4X~h(!KZ2&puek0q^v zsqcwd!^ENOdNZWRcKvUrUWg!Bg!3uNG23+k!eG1JNl2x>Y_+AHgOpxMT^Huqu2T>s zw(Ct`NkQgkNE{lkwG6VxEAO;UbU8WWl{Y}amzdNVuP;Fa|2~_qdAn)8vbK}h>cGC(h~Ywb{e5SHf!~g8REhTEER<3Z6-6qg%fzcAw0903@ZSHg3V-V zoKU#=QZPJ#nEhO9gX#%P;q2!qZ9T$5IuaaS*Frc7Thc7MY%`f*PPlY488!ikxnwh$ z6P$4IW-{(jmR;l>N~qp8C`jW!czCov`En&I+{ejM-^*nz+hC~=Ib~y_f7cOLB`=tP zHa`fWQT06?OODpP*m3{p*@_vJo}~}89OO|R zthqGd#+1mDG%jwx7ozja@mcPdPjR&aX?SWLu;yVHg;_E}8rKNfw<|A(kwZI&`r5PK ze+qp}r=``ugbk<(T?`x4iW^`3S) zuPyNqL5}?=V8jj}BGFI@A1PQNI6<&haH`<{wf+7Ij{}wZH94NQ1pg`Fb`1c|w+kv0 z({(38E*2Tda_o6qPPlK`uF!6e+w-=35MCnj<$}ix(xPBKcIAm|dx^HZfM!tWZNUI);4y=Lb5|~G zTyf08#V5EjlvM@WOpr|u!chN$gj-5rlPz34Yf;0Zk-ENGFSu#Qzw*<1UZw4Nn?6OcF`VgVM zE5U0Xu%;LnhUt_vZY=_Qedl@lP(-1=P2e>Tb?`eswO)X-8xiO0TLpc3-N1(Wwt#0I z)(6rm6a-h>Dlv}RR|PfQwYXSIHIc+I-6Pm=NKG2`H>4|70mw9@XR#?yc_{kxgUAT+ zdXS#N=AXxEuUuGP^}4ZJt92f%nFf6vr&ZE@5xvthz0g=yBCr?2*)~xhuMhX>>zjd# z;2)prL$Vz5!}o>1f!8V`Efp8?L97d4nXo(@`7H#?CoVH}M4V4R9|6mRG4wa|iK3le zugijGUwryNxshiepDFL>y!@%qPn&**V1r1`zB3Ve4-xrrk*%$aczzz0ddEq)UEhoJ zB!;sl5m~N8USncbu)pBZg2M%?1SbgA3f2jpA$YdnB0;tl)W1sbVnH+y?b`DE#v1dn zrnNfXeZj~P9hti@a@2?p+w%0KfOC~zksm7WerW|1jTVT&!5Z);yTQQ(t^iY5x+fn}0x>XX&B&{O%G@ebYe9$<3liEIlU*?W9kFkalb4Fo(HiBo8|#)2E&lak`dClM#%noTn~Nh-%($CW8o8_ zkLi?DAIFb;eYbo1SVVe!p9QaZsN+oNtM&qvWuM8{_aOA~{CIrq<*2^9z%x$?HqvdV z5Pwu9kjZj;P*BrdkBi0rrjn*(UmPl$H2fb(SE>R~Rd4rrMwg(1WyuI>y?PR|e;!-C za)G-)JdYyqT9>J!4*FgoBc%H!qR2ve9T%%3W8t)6`-Qop^YDT!tNWp_FKko4uDG*} z_PBq~Wp~|&m@&$>dauXAIp{^ZtxE7@!AXK=3CD&2Av6o8 zL5j@6-jI^7QAsOnpZ`L=;o9d5D5X-Lvf5I#MbrO-zu4Mmw!ku)dni~^kfCK0obWXG zEVj%b2HF|q(2muX6rX=#g0Hl&qId}WG#=IwepwO$Bhu;j}hBcn6C;rGVq z+ybj6;Cx zW?U_{zFvT`aXa)0RDdiZd9Bf93hj!x9l984)*)U=_02(m@{Hs3u?IAf7Z5=`)$VAk}vlcpgIuHc~f4{8JAKGFfg93TnEp=!kU04!sQNI%0=D zgmi<5t;V{^L<0` zTY}#c{IOtsP4z1bN2Rv*DDwYNA zcX-^B-@XOqjC;QMuo=?7+4M^taYXT5)#p?VE$(u}ebr}I^&3~%e`EEQs`=H$SdX17 z?mTXDe$kHUnZ;}Z`u}i7Q1r2bcwqDzNeZ4wpPF!8E`pxf{eoveQ8@fJW zZRoeL20P1ZW_O(mmH>kvBY<;%;{+S}7N!j{JpoC!(cv2GuP`E!e>owQ9?AG47_V!v zD=CCFbUmcVhJKQ%*|N$S>>-q^WlUCV=mAum!OUmsPc5|CQg=g2v$>D0!F~kc!5I7* zGnap$AVWDA8`{w9AvlwI5xC4~iYKneKW~vvd}n=7Me!|c;9H&3yzgEjwo5CT6_&&c zrB*c04Loh*knDMwx>^L(VN05(#AcQ@y%w;p2{W;&b)DEuiHcgYuAQ^q)mALL7lO@;gVYZh`u1^e-1G1To&m}L&_J>KINVZsV z9a}zqV8wK{y_ciytu@~*nH%yw`Rm1 zjW6r%b-=FIvbE1+2zz7Kv%jaLEqdIp--HC5ho_`$+^*k(ek#|r9g2kL8iw{}+^&BV zY1XMSpz53B@rBYtE`e(bk=M4ADE+5Z>*o4i?ZdN3X$s$Zi;~Ej*+na^m zI%d~zggztGOgt>z~ts@#Sc( zHQV%BdO>IKh(yrwgjRzer@>_td{i@M6Jrg4YPH z7u+a#x8S{k)(-+b-xc{uLADLl{}aI%1%D;@AA)ZQzAN~ipk1qu{MH`=JecJL%nI81 z;gI*<{}5Y4-Io8MtaL<2$K5mTy0-8?3~kl_&?)pk93lRP!*<+~K^yG(AMSQ$#SC5{f7FJE8`9^jr9o)!F(kb2?IF zJiiGkNkQgx21eug^me)N{HwraCQ-EG`F{Y{>0Tzb3gO}!MsW=h<} zF1!?OH%tB9z-7Vx&BliJ#reCL679zMFZ|uK>%ZWkIyVJ59+&5GfaH5D>TK*(0vrFN zS$^4OGUqzs(#>RMW9vjW#!6E>qSz~ndLH9>*y!|F4j%`37Dhg#>@w5WD;bvnah8hl zpRioY1>m1Y>XR>XgeGB*;QvQ`)wp}y@6oDp+~c-TliQ5*d^|B?$cT~jepIyL`)J>8 z<^klG+41G%AIAv}krSfaST_Y%k?*lrM3$e+T!e6){$8vikLELk9*_NGS-9<^`STdq zLMX8%*q45f18c*zWch4xG!Q^LI4N?Opn|5OO+lW0L=w~aEw#4i)d<%-Ot%GFYkrRt z5bnBmOoh3j=u@@k_c#r4zP_g*tg|`XpT^COrJIBn@h*+@;`rG0_d6bwRJS2r-0xw> zoRC)O4=A9l3P4r;De%6&6pXWO2&29NY%CX+?^>+9j^qdlYg zHiFkY)Nv#tYPy* zdkTfy5x>W~u)F)TqR$JmtnP=xzVLgXntG(A;(|VidG+0~t8U>Du*4(k$b1I+Dp)40 z5HY_8yByK9dg%vIyR}Dg{j432a>pfa*Y7bz;>!iu#?VhPL9kZvfZqeOS@4U34+=gc`2PgIBglK7 z`q)+wUl9DcAPrK6|4Hz#g8vZAN5x}&XF&MWMea%qbHuKqUsE+flF zlw>Wg z!mqm7l?{AQ#n!&hN06+2=Od96Wac7ka4H{RS!@|DrwHuw_vsYrHgwD1uLalX0Ve*y zmcQ@P55i6di5EoYWbn+uqbZGu!hw|yS=>X}hDCE5=Fe}KKeKFDMRr6V3NCE&WGaclhCa?LlxV@57Kiv z{m^z+HoQN!zTYyr@7vp1_WSV~hjzUt?jr5IXP^z2z;1k6t`$$f{x|o5&p9PFUHf1y z1J`LDSW_>Biq^!^K>YJDuqU=Z`yfhcjf_Kp>Si4K+l5|$vhg_&ccO#e4UxRo=;nkr z?qu+q2dv>ocGi&*(zrR0m1oq;5NM|=X&n2lz76>hY=b)y#{IG!cpgOBD4GYXxf;*X zEEyq;s;sA$sg zv(dpVRRO4~w|hLJuSdE;WQ4R{Jqg)Ak5yi|v}gNog}xV58Bq62WcNs^wsXg9|BG=E z`e`Ph>hppu>xb_P+uyG%?y5z5{2*+9mT`0plp^Yg?a%tgG9l*L{_epUGyd6D@3!qf z6uN2aj}$ykuuAY`!AXK=3Cu6d7Rk@t&Ywwg*IB7h+bhzaWhih7T7UD`?9D;k0BJ zuX7n@i#%U&nV>BXq@$_B^q&!A8$|h5!Cl#~?X6unf6g*pvLC&F9V--^vFiRyOG-wM z#yb8{Fk(Au!w$uL4GL{ozJLAAhiBECUNfm?e9fC%udTWKp_^-h!KXEw!IPWu6|jEh zrr_o^HO)2n%GSVBYEmOM)TEBfJYC%V<(_?uk1oy@54P`YH=hq~>)z|sDy9zlon5_Y ze09y}!avhO;gj1RHom1@BH(y9j`1)g#5BAy(Z;$`U zYu5+32KE$F!5@JEoyRnE;{+4>%S@Zee;!fz{<*D{L0&)PhQVz8Wr_3;nIw^aE|MfO zOjq7uQ>VKkS<+VQiVIx+TBi9T`ALKEuQL_+Cb(o3Z>=OpB$L&RWU-m#Bpw^~d>3~T zWFEJNlj4&CHoC2>nN&~YN%KL0w-2lbq<=^Lir`*AUW$jFAN&jc13F&Z>q3b^W-KxW zlivVOS60TZw5Yoc>{S&16@S4L#%{;fY5B@UCYZVtEd4_T!xBp#f)0-%6=529^5%q9Ja&${puKuANt!9_qAhAnA6ow6UAL-UOAdcq3J zp1Bq9lx6D(RMzs{^G@9q!hJUFSp;Tf+9{jKJ#8bV5U3=u-?aea=tux|OkkY|%-MwR zx=@14b2hk;xVr*#M@9oE^QiO8={Kj&xH-kWGMk?UqNR)R&pjMuE-`Os2Cp*jl)p2$ z_d6wYU|P}y-OOK7h`!Jq8Bf98u~75Or%hhPQJcxL;4pRKV#?&pT)dUZkM`opFUA(w z#WG;rW0Y#~9)H5=mYqSEi7jbX1$J@?Pckqwz6E&NvTcgwJX3#j zYU<4?@>I;Yt-!WQuGpr*pY3E4U0TPvf96%1h5IStCZx4C(PPD&6$_WISU7Ka&C12| zRxDhyc=K%_}Q*m|*QdG@e27F*7W0Sl(4CRvb8o++n07F_46nV9UWtH~aPoqwOIOZW*09{rWh2MsJT}ICVLo&a z*%cx(Nx`~0!WhnmXw64qHBVkJsbS7yy11scyo&a-_fcusL$e3jRNt_CW#bAX9uaSW zPR?HKakM;`rK3mUME4hO6N=Az$HKWA(OI!%qX{?m3Pc{BJ$Z9uINQYTgX%4#@5Na7 ziNy``-CCeUxN1@Du#;Ur{GKG&FZSn?4AK?`$Ob28KFNfx{jctHP3GGC>+-6*qGjHl zH8sl`8i$4N%g`HVzjra2-Y6rq#c1#y@pqQ=y*pq$9pgwH^)d0e7~ik| zfS}z&8j1~OQAk_58Iy(76C2ZT_^tNk_AQ>1h)Yc^t$=f)@(X_ssAOg0~BPNpP#+w*?;;+%DKG_*21G1m6<;8xd{8 zdxCaN3MzFc6gKyJFcESuk^71~P~=jPD+MP=_+*i%iA<}VdhFZ_;8F>{NWxc(e67eh z9D7`>$vnP7LDmJzybp=ipaJ$5d5~a*;26Pif+q>q2~HEV*8zHFiM&AYlY(r+xWAQx zR|#Gxc$45Qg4Pd-^mmE;fFRpP>iMQ1%|OcA1)mdqUhpNsUkm=H;BN*0AV{AH^#m+G zV3FV|?a| z-O6L+xOy-h8egrCp}FQ>`Lb)jY02n{tfdaj{lT*jlrFO{$T+|0X*CmS&ZybB_3D}p z@R^QjrjHc2!vHh*aID1&ipf{j++Jh)UUzO#ad`1r;|?u;vFDbq_^8otpKyr?Dcj?!D&|L|82KBcC|>SFPT zT7T&3ckqrF`+669j43;nDWG$j7dTGve?HD~Oy$3bD7ZmiB?NiL^CAQp-kZ+jIp7RN zB+|cT>O}rUjNnr^QQpM=RQf37Nb=c`s90-5>`JZVH^}F>Lhpx$iyjSys!T1kOg(hRzSdfCubSMdGyCReikW4d}VA9vX@;Q+C4%3{**e&3khjhx@ zVA)C(oW#8K6yK#-g+C`Ah71LBDNdy->px{X8B9wc=2C3df4Ygs^<7Aa*$+IVto-zl z5^Za1F3Exoi7h|8qJ$xqwO+VNuvp5K*i43(9p1U?0n~uh_z$TsIK&dxT6PA3iJc!b z$~FaIA-SE^6I`}42~K}~mBD(>BnW-unj1WsR@y}X)5Pg>yns-X*+AQ~+ zn@qr6bT@y=LxNRsZnj9%9r1m!$@#croIxnDp>+iIQ|&rCGN-t3{D;KkaR(&t$viXd z=G63?Q+o7%8qLhSmDm#K{S#{)e<{Ec>tn)le8M|onfc_)XQ7@ZK3 zUop#lpW@y+D0k(Pv<36A^-0>xbHFz_ciEi9^UljIYdC-5atu6VN0g2%Eh|6ARIz2V zmY)fZ0-GMUWEuQ{$4n|Qd4>6tItATRJ%U4mp2=RpVZq_Sk!XYpk<9l^(w0zS8^CUep7KT{gSwa4)`UtoNYG*!a!^pZ0b{SeO*n(2bv@I7<0ZrhA`bm7iItT zsWKE2%*~Ke-CSRt{w=2A#{Jb`Q{7;#KZ(=dm6WYUfUl45zuAOH>SL8qef8iq4_I>{ z>Y0Ch8aF?dt}9NQwvm#uvk~C$cWaR`I}yqKGFjfywvhww9g+5*w{E|h3O^qZ)fgY~|z z3P8#uu(2NSIg#s^^j;ejx+2^4OX7Lp`pNW5&IeCFo zZrlMxBx1YA_>qFg304W7EI3KQ)jv%6~$nj(096XkdKq}<3*k*@>G%M3UV0~ z^;$n9@|`d8YKdPbGT-h(z1HuA^mmB-pdb#{9vAOp9-qBFK-LY)y#%ej1KC~|V2Om6 z3tFcF!mCBD5u7YIRnT51q&rvSMS@EO?R7%@#UfuWsQ$_gB7atJi{O2NY$ti#-w;&) zu0rqfH!D?V|B?`74TbwZ6(6%{2-m@(FATx-NNLvSR!g=CSY2Mb! z-pHG%uy;Tze=LP?DI#x|*iyvfnWfs^KdJl_x(3ianz}Qo2ORtdApH{lum*836zKPN z8^H&42uJndA1KI7f*efQ3ZA!eW+Vga*WjObVurV0FqN^qv7E>5blzLMZwijWUvLJ+ zL!rew;bu_cEUNoP~5`U`@n(U&s=mYF}UlpJa#2MJmGXBuqZGkF148q zokf9}N;uuJGu8vBp=}r4l{WTF0uwtIU6gGK!a{QUttYr_XAzwK`Y;t3rpPmQ@aDGs zDZs#-L0|>K(Gc7*o}oL_42TISuBaL@fjONp-m-NBr|9&y6tRN2B-6lILeIb+nE3UE zK@7}v0*^6iRtB5NxLni0S>mlS7hA^sB|Z2rW^ix&(x`?oBtQtIWnoAPArLItN0;Sn zxmfNN7Zv{dGT*?K_*l8>+;Rz=_8u+<{Rv%lKaN~=r{qmecAAk~mA$I>faJ`08?Jq2)}w^QPnF%pr`Xs@cprc_MW=!OpFxqgh<~p;Q0%m+5=q>DcLc_OInE zyFGqc)GmCKu13i8y^g(SJNk}w&eT6~tWL%3_-Kk@I3;m^nEKbQe~k^t`{Q3@oju@R z(-zwHAt3CHe{GX01L~uI&nVX&b&(Ij&u}tW`e~SkTLxPVHa!Ng)_+3VOi5WjA$@)C zQXe9zFAtmQ<6~R%fHj9CI_6)yAL)MMWmI-Hbol$NEJB|jX}Mp9>wcGm*F0d&jflvS z5z@FNkbQl12Rj>r`r7laeHHq;sWPDITN~3i+tb$tae92bMw$n#`5q$Jy;M?mBLe*6 zYl1#rCmtV)Jk+-ZJoA)bBOQs0&;{k9#5itW71VS`L)lVIBymjl2sYopc01CQ#?n!I z78}zmH6vYx3P7GO=_zdfd93ovr3d1)TyQya{A+xFZAbiT&!TWw<3M^LKRaL4$Lqs= z;ygmCMWM|NrQBopf^*L4z`VbMt^Z2PhvnK=1t8_&F?mc(o*0u|&)0SCbNq4ciJUu6 zaDnfSTQFz-{BY9X%7r5qgu(*MAdH0NE9ZuD3Hgk1K0x~H=wqYLZoFU}5#ck4sEn*1 z1#sAXl6a{2_JEg4INP`P!^bz7dQp#QMG)r*o+r3O@Djnbf;S5C8O?lO5Zoeozu=>S z-zUPS@Jv)ED=P9N+7L zZwUTb@EyT_3MN>7I4?RUh=&UvC1}eX;iV#5pDSd3EQ{$Y1y2&>WG9B(MP$HvBGbRY z@bd*P5nLm9wcz!FpB21SkPZ>%w?0_lw?tN7o^>E0oU^Hz&fZsh=)+sSVt&WhKvz_h zb<~GYaIhHCAQxhT^$-5LoxE0=`~pHM{~w6KJirI3Ajr(8lt^=^9+UsBgOogy zLAnmQ0TIccGep-xf0}6?V@S^Azki2zSqD9nd8!+k4qLPi+Rjf6GVj{MN&SQ>Qg(GE z+=VwIPdZ4Q7qEfKv%R3a|3Zq(@-q0pG_MN(m*o8ekr(H^fV3CoJ%Er4P5v_wQcc10 zknA#USx24E!3(RgPeOXTuWWRrZ$+u z*zMptyS3C=h@G(&@W_>3-4fJD>gEPT}#cqe}<9E7-`p1zd~^k#WR@o7%1s- zA4DEb*I-KGBK+5NI^Uc)-~v5Rn+MK z&(*v^CfT(Z4S&A>a{7$EoW4`bm(ycmHZvCFF3s+oyEMDd`~Ez8x7Vxs4l?Ch1$~t3nv~t2KR5bw3&!hmT=;9ciQ^STbnZ#iT0TzYq@zr5zfa0P`{ZPw%Kg; z1gGI_a3Ku==T8ZwyaJo9?4|~0^V2}IbTR%}$J$-l%>*CfmEG(PwO_`ql~5gWu>uj< zVQg(>cY7sgJ2S|~E3L#*_Q{v+L-}LlrPasy`?<1v@W_Q%B=2cuWp`Emq*;@yrky;i zcEV{V0VdSfPp*eS5l-TZulJUtHDSi76VIA3YtqE2&@%4i_hT7&xTk3orcRqxQ#G-6 zdi?}aMP??mAs{JL{dx z6Nd~LHh#Rv<~GfO@$E3uaqIV~Ws>^~=FMNo=i6Yx_LrDTTG$C#w7@%%<4zcU;;6yn zg580H=DpaT-G2k*jj zOg@uoxTUdWvDrSri!Vpv378LW>MX7Tvahci`Z%7XK8`0%A6kEozBU+6aQtn~^i?Ck z{ET}W=~mQHZWZGEa?3j6{Wj9ET!ve@3*h(l&BIP*5N6Ke$nE(09^2bJlTu#`Y^Lur z_$?2-!D{GhOOHB>TL#(J_mZa%Pv()nb?{prs`wi8ar|%2ylWBQ>w6vgc%Ha_ZLrz? zZGfM7O0m(Ukm#+v`eXceu=(lUZr(T^`gBxAV|eIQNLS7sP{;kFdjgw(9B+H|f>RhB zM?Mez4D>aIhhBw~QH28|cZH@X`gnd=ri@^LbX!rt@y;v%tc$vwAHIi&ZkUJei%Mjj z@m@h*`53QKPdcLmmJ&N~h z_>WY6Ol6r{2>rGu`aKQDVaa~7PvQRZnT5#qMdYFyeow@}CsnRs2X%+5^&&>tZ==6u+R@O|iFPKSh#ZOg~1EY#8NQMe<>kuT{KR zQO+~Mzpe5{MdP1;tg@VEqh3Miu)=G zF^YIHbWGPraj@br#nFmm6;D^3qBv7=wxaYeMREH>b&Gy$pWB=JDm`2N8u)&7+YasD zudFO1uHiNCZ{s?H%bWX}y9R#5$G8SQ1!kPKMBN(r%)XCw2zs=5rTOveDq8$H*m#`q zY@OLp%u4JAEmqTxRHX?Qe z?Rob)2A&Rc4d^_1`9!`fr;{ z{kPj6v_C&l6>u}nkuq6c*tzb)wGy)Eb*?NIc#V4r&4 z7Bolg@@)Z;Z4LemAacBh@rHnKh&Ak(#k695BYZ!}w z$hmUG#DUXrvaey>`dGusv4(L`c6g{E&-Q_(%(s0o2T8YU`+#NFW&6PPqOLe(5!W97 zU9o+vb`k8z>UzP!iWr2gKD!9KXnBB%U{F-8^dm?d?R(_`_#{yk4SbIzj7!nt#2E%Vve&PpYczetwAcEcSPP8yRu_2%A*LeEk| zL@#gqPGNiY8=`EvZxnn}4h`|hvaWGgO+4h-i->kA=jFqknlne^uGbhl50QfHy@QCy z*jQ*;&#d=o5Kr?KyPL6EJ@4bk51tT2ua;(>U$gMM+8XbG`93CI5Fqp7qx$=J-c{7j zT`+pe;$Yj^_x{_6&-e?7{Pz#tts4chXU%#4&?Gh{w3pg52R^E4d60#4Ft#){^v&YR z6b&q0DFW!{IGB#<{JWst8}r{itip3)25HG*GYvPEm&Inf;SJuxc8|NwQjFp%;0HYv zxqF~*5YkZ}_r>(_3Cq{F4hJ;S3ovhWUb_E-f(><)TZMFfxm&?8-lF9)+{#^$r|&Xw z1Q~>xvvTJmz}L451Xf#wHT3S`cIaa|bEa<@0(^b9c=|{S*#519-||q$ccJerFTlKO z5$Ef>2l{xP8hZDTL8iT|@gP0*am#=Aa5ygdc?dUW=^j7;ve#Pp?MT7KymAIAeY4y)&mh_iZW2fw%5L0eQ>W8OWygp3?sLT!MiDEg?MW%~Mt zBJ$Jl?tu^acVlzTYRO5TC0n@ci?;tRVn=LH*!?2sIZ5ocaZY|%n#g`R-tN4cE2a11J&w-pg` zdzFh-?xOOcDj%U(LBxKM_#%?KWBxM~r)l_^inSVkp5h7({|XW1(@_tvQlhjYAo~}} z>~n}RA_YqO0hVg`0L7yeD-?OJWxg?r;}xYnA^Z%LXDiNAl=g)93st^U@r#P96~C+~ z?F{K}QJMDumV2M#gNi>?{9nZ<6<<{Rx#DjW|4;E<#lI-Z%xLHjc^-kXRstyP5J+Z` z;Tc6ziy{A^s~Gf3u>!1G!7(??p_nN58t?fgFBBgNJ*CcO>oVvWZ_%-B0egQ2gO&H~9)ihQ68$20bNY^~)L#EDe@EVed1@E1;` z$QxK|zEwDh(y@@*tYGA%OYlFAA~S>=7|TwfHH_^C;cXOu0Ig7!Q++}{piWfGQbA$1I|!hgY-Fr*aj#ed+Z;mv}+C6Lz)EhFwe|7Aj}+?NTh zb6+O#-2z`v=ojDG@@2xf@WevCOhCohtAzIP8-ots8-tEfsnHvQy&CbxfK4O+jX_t| zYNO1ou>Q@tTw@7qv4z3OgqyLs*97#jG(_M(axRlV0xbTAV>9B_Z< zn9&%ugc930@l4f3TDHgD*F)Gxd6l`|U5wL223+Ff+8!@Iew#G|-t%S9H0uZIBjd$H zjw|jnH2=T5^@TuohaV6B&I@DmGjMyK1nh*3X}GbxEH={(Z}2KMjx)_!Tm=GreUCujW<*jS_r>&$gWvMN z8@vNu9Bh~~Z#4qU&$zZwK;Ffi#Z@7|FLzbPAmaTQZsn5uvOMqx`(vjv^r*AAeExki zMjsr<@;LBB8tJ?#)@;G6eYh_bT)aLKyY6!e;xo4t~o6Z!jDBR(JvC zU5hwhUj~YJo~W-4Hq*BOe&(@#danqRqVnpG@#J3oboVrG9RJ=0mC*-z&Ds7vg8=h0 zZUWK`v_wGeAKeq!{Nw0>1F(9=DU6Pz9sJhj;SH{WJ`UH+Sy@Ey@PbMxy9I&d7Wm}K za;cwX`uaHc|6GKbGkqo-`r&)#{x>}LzqeH!ko8`kCm)w5bFg8K>1(jD&Uv39Ur$cM z9OI)&CGL@}iEPx^;)ChaX4js%&@TgD>)<1v*sg90yEW`TnxoC%Lfpsok>t!;LMG?W zc2AUd0zf3$DO=TJRL=y(DT*@`=PF*L$o`7uUZZ%O;#U>fuP}b2;sc65RD4YFDaFl- zKT~`~@ehie{YicD4gh!%PC8}d2y^VF;Nm6e!g$UzK$KU6H#`fIMZx8lBvT@(*g?4?+$ zDD48}$-5#T**G5GL`CE7r>HzbahBpD#q$*NIsF?n+_?O^RlY}&^c~B4N>Sb|LH?=A zzgB!zQQk2j{(Y6D-9R>OzmWBUd$zR~h@#)xQ(EQxcEx)q_amd&T~XepAzb3y#O;rR zl=-t4Y-_KlKF+=G5q&e_8eVrW3D*f+&bot-?jMuQW*?C+?*%=(Z!Rj%X8E!12K&Z8{HmveToTuB-?*7mOG&$gLb`Dc{oj z=wWJk<4vqrUgF!(2~oe{H}J=g8s(v4F0$Z;Mp((AC?H+0X;gi zzZwB_VqmA`*BLRA*CP9`mVbgLJf4*@mEvtoH;S?BU0S)B{ZzS`B6;*NEUzuL)+aNq z*yiCC-KH=8DiAb^!K;7ER73GM4_nZVV~DNrwbv>59m3189|^Oe(~(L$vRLH(G!W$x zOP$Y+tX5ubvLG%WnARjnCWgk9grR0;MSclEnHr(B6V5vi-|9VL)@1H5mB<> zyx9wogs2w@s-eB#JrvweU!Sck1K_GLP@3xY?Gk@`4ZGJWo00|Dx8#nU0@ zT9`9$H3H1fxCik_ez_N5-YUfT$MI5o$1Sp4hFiJ$9P^NZAjlxzoavj30AJri49ZY7 zavU5onLduuEf2iGH0WbGa~8J@0lvQFp1xLyGkvUA%R?OtpzkyFXd&*LO)MqQg@95-?Ye!BHgKF<@e@KWXFSWdYd#Cs%V*E6 zmiueYz1B>>$xIl|@$QeZILG@0;@++wYRb9Y>>D}fcf8^x#Tv!46wg(>Sn(>w)rvPM z-l4cj@dt`OR(w)%v*K?QUswF2;#S4KD*jV3fkw>zZKlYngOs}{_EQ|IC<7Yphsax1 zF&x()*PbxnLY0M=gM6vV!p}jzR^_iLen)W=5%Ds&7W!n)H}pTvaNsXB-K#3Ur|EGR zIXqiSm3dt=AFp*H$9_cK>i33fRn2;WOQTpVHF){rhJ!hY#rQ0x}KroU7PK z@_5dz_Yco5-E>uURW|5((B{J8Y1tqXbP2kC?~L%MB}2-K|J5njXXfBU|KQLMwoJ`B z%P7aC9%+#t67*>HN;B9%Y4+>E*2LAxk2^g0Fun5mOd$j%+5sT1}?ox^|Ne~+n? zPt??jba*gzLS*v+HOqTYIe63s$PvrW+ z2eOjD^p2gSkI6k26H?&H8%G!=v6Benurb@X_4F|-*xpzJ10%<*J_$>?7dGQYEt{Xl zGBYiYE`ddLc9ih*sV8zwgPkT6i+4QXAZ*ECK`0;3WO4X-rj_I!BCiStCp`Nh3>zUi zDtREMI4}OiG4>d2&VC4eyzHF)5GJ1##la&k4sFPO$SljE=*x1){CrWtvNRJN6lt8s zPeR6R1DzPo{*ysio;#0r^9+VW zv~4aY?Ar+Wc$ne;hQ*JD_*ZA`WREG3$=gmr-kE8~J8TLhmnMc;79o^(UrXdIaq;>b zafVm3I`)V;^N=fAcV5YR<*xC=sI)zEkgbl<2?4rBjOID&Y6^^`JgJzapGX!u0X}>+9==K?ui9=FD52mu@x`{LLc0 zxGMPlatC&d%VoHgyTHo>Z!jG@m7zzSsh$hj*Ea%>GHnsYQmHojKFD3<18r zNuIv#@x#|a->IeqI?TH^Pu~pa<9Xu#ff9|5cLV&)Q;Lo5UCd|tQ{H)F{CBYV>3##g zhWv*)#<98%`BRN8-kyGWwVRWE#3>u$iCoQlu-RM;*@x-4odSda3p51*b4Nj&|_N zI2A~@3Hlnt4=={asKSo$dA$QRmdo?QGJSn7qX0fPn)CPF!iawO$HfmFT{b`RDPX&g3Izl!;ag^d%#WNJADe^*KzD0_>&M9B6_!Y&Q6pj1csIqW@NdJ_| zTNGbb{H@{}iXSNcU6Bov{%#UCj?swi{A5x+%ca%oKerXp^qAWP%(4^iT+ zeH^Cgc<)J|ky!h%Sqoh1kYg94KJNPP!@*$FHOyV}-Uyd7N7r%JtFmnlI2g=z%i`d` z#B*VJS}awB#XSTIii2M7ZyA^q7Ig1YT%h(WQd@>(i>p$?T)P}YvYo5``etz8>umeubc1TNZLD*JsOu_mS9^#b`+3C=`H)2>!u^ ze0$Z3kG|n(cE;>5TKxlI;R%<(JC))y_zTA{b^|tN%VO+X@cxb>C*6*t)CIZPEQco? z&#Zi1Bjzc1526cKig^mYb`kRwycXI|LPrq&}?NyRIu{_y3 z(|!Mok}WyW_a`M}cOM+<{F!9ykr2Nn;xi@X@c*-NI-Uc=umMuj?Po;u=1dJ1dX2*Z zByu(+73vj(FPzpG~f|3O!uYbG5wa_Hebld(fdL6}Kx~ZI$XE*nN$UnxHl!SKZEyQ_1-; z4L1yJw&7~mBJ4HWDfrD>0l%+rE%e=qNb2Lmkm(!e<$*U?gxf90hvv*%jR5mA?kh<* z=PN&56$1Qn%fa6mN6c_5cLDsqzB4e6%OK30#pQFgqd@kwMIs&thgPQVGWabIyuroL zS4xjMi(3ZS-@ntKZxF($Z+l$rx1o<~oXwe+_3!Ju3Ho@RsBe2*ZBKBux1p}hQ6IN_ zuJ$=7pJ$0Q4bwe<4X2>iqK<9eIIgx9>B=k@n?#!DE>k5ABw^YL(f4tfz)TbS0Bpg#||gr!aG*uh3A9Jxo}J` z{2t``Y&|U3{9Mby^up^Q{Y{ji{}v+Z_byGhN%8v{FFYRd(J?*y0-~HRAlnyZ_7y}K z1p?(f0ZTP}fTEB-2p_7loG-|7zJTL3e5&Gf#o3DNUs=v##S0aAPht2KimMgBtSILX z@pr2H9mV?;A5@g{iFE&~@{@{kJ`w(s%D+>5Q}KPp4;BBdn9zEZIpE0ON@a3R++VZd zU~_~AYPg(l#1B!K?_`-?&O7iVmHAGR;Zqf-E0U~YxY=-6sYkybgsouDg_bQ#6&iUU-y#J7pt6GxA=bI+}ruT~lQ zl$9Papl`p!2iC*)mh~?y1=$PNFyFfn*8yA}-+NMaSoVbMtFX#&E#@m{HeZqjr*iP| z*;K#lv#Fy}Pruk{pW?Q{>|U8#-lBL&*zMGcbwjW2FeKZ5pSgpBL;tX4eD;8<$)5FM z=Klb5l!bejB2(e7fFUoEAK<|A9p)y}z88qt0}ut0SJ?JM(fN>)QnO}@;UnZu-p)j3 zi(v-ST+I-(#juuDn=WF@j;Y^!nT%wA(%EA8GrbijF%%nSGtb07W+6*E3Db9rjudA~ zf{5lr0q9{)VlLo?pK`Vo3Vuqzv!zfdbRvvE7V8NI{t9tEKSxx8Y|! zq`!_L!cjNE%WFOT75s&x-^2g)*qVvKgfXwe`z%Frx0SpWc|A2}rxA{2)=G%*@2sVR zy`7Z-G2>gI5z_O)MRj8YCSy}Y~ULS>_cHqcLG2vxL%6`OyOA0IPF-3Ma~r_W(GzgFbqy1 zFfodX^Nfo!z{5QLv{cI6qrza~n$$^aQkKn6gJ^L~D@{8%PcQ>H5iGcn*j4=JT}YJP z0pY|$vB4xT{v+phTg(s)L?EWw5(Z&Q28+Y>^ti*BWIN+ApsY-^K)96r^N-c&J71Qb z*S(Kxq@mm0xy?9lB{lK!r$4ceT$M&oSJHpZ+##8A@K z>Sw}yK;ta~%$PD?P5AQQcV_P94w8St@F7Ev%=Dl|-U;#n$LVwDXFxh065Dg8_XH&-9pIGplCGf|{P0Q<0^2jb=HlZ1TXv zrp})-XWER+{F-xSE&w%}>D#AYpRxg`2ZQ;nDnp+jJP6)`A#>+rrTk%|OM}9eMWdUA z?Nc4Yy~0k(&fz}czTp8Jj^xb6WG`ioJx30|*CgL$i<)yKJ@CN( z2qIZ7!>!y!@LL{ug98wep+}v?as1`$d#jxr15lsO@vVexd8pzT=;K(yoW(6ifUoZ( zPhTs<+5X)CzvZEh6A&@Z3otLo?Y_Qr`}n+JSP|*F9e(EFHBWa7#x@67ql3&iZucr$ zx*uZ9!#Xf$=^jJ?+GwqX_eSIBV*-%r=$^x7e#%uy$A=bkJYKq|u=&T)7RSN*V?B4o zX7z&VimnZKgLTlyv4uG+i|8F*a2hIi5CZuK1=XUD`dOx3Yw!j)p`G@nN1f?2+0YMf z3XYG*6+5dH7xGDPe5_;EhjWx-my|Q$%dElJB{9R5=gA!PbjQXz;(dV}-8K(dxvZS5 zz|Vb(af`jkt+BsoO3o}xeP|CHMhT~+SfhBB;<<_!D_*6zTJa{uI}|r5{y_1^icczT zR^+ge<-Vr)cf}ADMh>GuQ8*Md&^DBjejg&_161yz@?k0qr-1mZhEGsDS>vavjN)>* z3*OgRF3%g0*9cKY3_#u+D3>Y@P&`U;sNx94d~SJcGeVI5VwIOE%Jr}vE~>Yt<5irfn_H5V@DaDvr|xy| zWqk&gf>?rUm|J=b{V-g_Ep-N?z4d-FK|#;vn}hDj$>9;F4k<2vr4w1BL}}3TgDrbk zom92;;YYG7xWAG;75+b9*aLqrA~4^9C*o8=@I==j$}vL+R=^9&=t(L`6tN8@**zs} z4LjfPCBMrMTf@%lJ1LFH*04Ler-(PJm@@hwCR+owNwgeN@yUU-kk){NazII1H9$2E6`H>qnDg8B8V@M7v;hpojl zrj(`Q55Nci$IT;sr2>6TK9A(lO3ks0xwKM?252Q#3TY+TfBl0N+6z9uBadH!%H=@Rt1MehJ^GpzSfn~^kTnXH|q&KdQc;&A!BYA6l{l&Zu zQ!{Q6Y`;E}of&x@#bVuv(ltV*R8W&dQ3 z9Z3#v!-ySOKO5Orj9dBcJ|pYD#ntdR8-2NqcX%4gu<=pI97a*%_`J<@=1zm>axcKV zgAk74K;(XnF+>$2_eKaFE2A+r?}(O%iGL5_R(jM~+*HWsXB3w^{7%ylERN6le!1m% z27MJ_ESHaoJPsnC|1A%^!PyvyXXsI9aU3uB`igM}KJ9Zr@V0bo=00pLJ!<(tQ^J*ri$vzXIv{m;hutx~H(2pYlUUXSyk~{^)*!%|DKz zZR2{zd%@^9+QE-T!cB72bd$8i6L|h0mu1EY) zDi0z;mbod2AEUC2-ytv5bTS78=`K|n#fpBmcb3DJK;*e5W)!{or(`AKBV}l;^T^&6<<;;B;sGKerHyW~{pTkMachadP_T&9I85U+BCc|`pNNhDh;=s|2NEDC;NG6IdWf-sC z#DM9HNEOh)apE?H3|uTBMe_*hf*Y74UG!r}%0nbmMfWq|{!GxbC%u<2(cu;J@~)p8 zd@8+M(44H8&19*f)eH~Q8%15}W(iCkLcljakg(t$_*09*8vzB~=|5k-2vSf=|B~=t zKrRpQJ*ZM1B7;b}Fx?BPJRX8`xWnVwYS<#1UB@)r@({}qEIh=RKf?DdY%PW|WhFB{ ziw*udc?g}XB6C!d-7#6^m=;OgUQ_DD2`^~ue3qu?Au`x)@DQ%m@fhHmV^bc2mj-x< za6LVpU3f7dzjK^e?F3DjTS<@zj!9Xw&bNM}G#`6YXha%2{b1v$caI(96vKWW36WoROa5iAi{FCj^KVc_iE(6ct z*6HQ=PQ2&k;X9{A8fHpwHEa#()BR`$TW0MVlzMG50I`0J?gAo{V!zyI3B@q z;3vniJ^rE?2m3Qq2DGw>-r)tCP`QoaFJ5njF=ZY4ydd#fITV_Lzu>XP&T7SlB;|^U zV?Pf5f^{74Lq1=TFSm<#20ro^Y;UZS47Pm!f=?K3%1F)~a)-H#L!g^u_yLN?C=OAq zR6I%XG{u>Ua}+O7T&8%r;vVh-^^1O?KJG&58S%qeU%-iq#$8NNxkhoW;sQmfZ{)j7 z#s4UZy)(qyH)|W> zLQ2rUSstHV>YkgyMT>KLH_V0f>)Ti^WGy>$+%n4qdcYRl-oZ!rw=C{d9Q14hD^K;? zcsjZY-&Q^FA6UyH+?eD~h2-r*4)%v*%XgTgA#3fzv`m*t6!2{VCT8#k4}(od0FphC zDKStku~d;A z_)A?L-hiOO)SdV*3V9DxYf2^X(uL^?qzgxHfT&AJIsI3NFPk?GkK$1s)+&aK87 zOKogFvKnU`r4O++Uq(&inYB55@Yl&+#DqoCCo*=1y|}KfSroa`CK_6e!+HQ+LCzp@ zQcXc6fxWoc8KUPPY_KNJo|D2Crf0Z?*EsN5|MkVk4#nND{

    7GUaNDPny&x!uwn<12O-(jhtonKUAQ+b%;9TQfVeqNRH7 zqN%fLY!*u$b5?wdHVv{yu8~MR_v;JtM^1zm;dJu^M|OL5zx6TfDa^4)$orn@yLZw)}v_PEcTj}M2 zH~13tF`YTnw;Tb!zQ;U$7_LS7_*`dssN-hntMmfQdmZ9@eJ?>D&l8UqhaTy>9e(C1 z#YXoIcASgM&C$z^;|D+88#r0419O({K?GozYAyUEa20(_05TojbJ)yJc_q@>K2T=; z(LIIDKaOAHIPjC>=m@lWZU;Zgjobs!_p3;X%VL>mB9XfgoCfQUPl9|1qCVzQqXb;kQc9_QiikGbn)wzXc9`v4^4 zO0JpVy@&Q_*rzn*+8Oq9xVLL|hhvJV%r> zirp0tRqU-eP_bNbh~jWXjw-1~>I*nUAgiuLgvS8M!g#dV4|DY74<{%1=I`*?B3~cxjjDqpW^W zoanXs-`<>&*)!*;b(BoQb4JR_8a-#E)U|_%Cvj_7Zps$U2zz$e9Aef;@ai$ew*|va z?tQ@bDuP!A6yIMF{IXkdSawy#ds{kHP0e<|dX@pjLAQ_Itm1LQ#iKLQd3U!#;LItxpb|HV++EosJ_MAMGMvY zEr>10GUjS3d5z+aBe4v5u}h5k_=y%w6A96WHoRb^^^q-(l6fgyu99{_0!_SS1FKi0 z*T`GFB1h&p7G4~fHIiE27apb+feFmG;XFa5~q#aLS+Auhc(BFj; zSOP-vFOHWe_W;}vp}lxdVh332yv*+Muy>1`+v|BtWTFUj72-*0AtsJ6>p|FxAZ6wS zT_cmtmcSHZWz3TGkv@2+k`r3u{TNSWhhT%j7R%;Oc`240_>&%;7&lud<;r|!PVCSZ z^>$}#C)R`R%FL6;f-sYOKFD-Wo?l+p=jvqpk}kcIGcpIr>=P6)amuVd!FEW1cpqej zickRc+e6url`}@#D3{>zKoLDcW})`DIn_0b>zihR4#~yuF4PYWN$M~nOk!e-M;lXM z!V1L%%gzu%+j2@drccenu@rMR1L`IrH+4>zBK>jk8C~a#Cz(F-Q%Ak)!3fzAAsdtm2mA1HJG=pMu7AIB)KUhvo$9S4G0ZsaCG-%lecE{kP) zhZk%`<(49_6T15oB^T&2i{($F}NL|Ezh%MaurN-Fr&1$~! zijx#;6xml%?*he36faX;t$4HIZHjj*-ls@jl6rom_=4guh`6p^QGAVv2KN6n{x2&3 zUF9NF81=LxBAxIlkTWU^p91+vl^e3-3$;1peyLaN56>(2E2G$5@leIyiad8rSFSi( zajc@$C*s-1GTn5=vlKZFWjF__#N~=B6|YfTqj-a&)Hl+9OXYhNA5eTq@lnOc6`xa- z`bYlPRQ|o493vPCPy zp8JuV=^DJce&e*@C#M{}v0rijjo$`Cb5!w-8#@)l_sfI9(i~ZQRmGpSOv$!bIwZTV zexK~9;-KqaFu!Fgj~~Z^UUoyM0@Jw3GM3t#W5Nu)!oc7Vm;%HMVU!Ccumq2;fuu7i$8$$2Bz6*Eqs+LhO=>cOV?Y1hS(YIn(uts&7sMlB_%!w z3xlj5-9>h$Jo#KuLUtzEY~+i{jwQW&C#RS17(FAahT{c9{(7N^N|9my9(rbud}k{9 z-qbeVp8^LX%Yi=4^bD7SfBNZ}ZTOjt*KM%Z$l+%yT6bQ_K76Nan&_3d+t0H3ba6D5 z-ubdoqq|+j5qu8kx_WcwJq7{panQwZy6PlE@@|92*=Wqoc3^p!@{bU1rAM8`RY5jC zqi9#Q#tSen#}9tFcjDQ16(U(~8XJ#;I2W7cfj4*$1N96&>MZVT$iBXT?cA7$`nJc> zv`0Z48<{hG7bC#eH^S4$yS(k+TKFvwb#z4pIWBYN<%68BZxZzJ__%+l@<`t;@H0;- zHoEyZ360@su4*Jl^RGs7G^N;_fJ%AV>p^#sI)FouH19r1?QZbJseGY;Z<1YyqR{&JhJ2bvE2(- zq1afCW}v1YrZ`G*ydoc;skcUPmf`}%OB63tT&;Ms;%$m|E8eHrR2)qT6-I8R84>#_ zTmj_HDtFcRgH@I}8HgXOvTy{D*)K4^a08I($QkgOAxixM*&k8n=z&y69QQK+yNZ8P{FkDf2gJ+VBVayvW1Nkg2gI`f>q_hduXIuI9HJ3s1iYOwBpU)ch)ssdI6? zV^>hTlj4wEoiW)u#pVV)*jpG7*R1y2*^%r|l5$5;Z> zIG)B**U!^11sEB^Nb!y%u!Q<~nn4mfi7-OE;|VSg+qSrt_~(;X9#6vuo`Kjv+1Sqc zJdNcNYQ_@O%hOnbhIkt8n(uts&7%1NTI%P9r`a|0EsqBHa5-q2gOZEOlP$_$#4MWL zeUjCAG)-j71tbFPwzz3BJI}y0G%JV4RR1KJZ7sEY#%9tqj-rX)c5ncd!E%YLX6-v0}=p8RnvgKWIn1%9TpcmMh67)N(7Ha`6{l&%-j!gZJcAKuAg%v%>XGa7NeK0ZR##m!94OLsXcqAqUcRHXCEt#AI! zoIHK+)Wgl3m8Y-1`7@W~>1&M&<5*f&hk(Pze&XRwC2ov4FY_9 z_06B*BMtL#{6#k#V~M@2F+pY=w{I$1x@GlnGaRR)%4z}P-miz7c^t8}uT0n-jnQwb z)~+5!oPQiUGJobJDpqG@5xv6;-fr1AZiZ{{zF^9Lrq2r!uOHqN+zg8KoYjg8`XuJh za1;}_*ACvk@I2?sOdUJgYv~A)b;3R)mz&A8)*{pl2LJ5eI4r|yX%sJWh^7X}2+|A zhGpxr6NbI9CAi^Ktb+<~tiT+bUAV6_$B`GY{`6xpl~?;0{J96QOx3|r!iv0CdeF- zr;nG2^YLFp@kCu{Gi)YuMs$#;m0pmf7OgNSp~D zX4Np}<6j2_gELLc*2paYvucPuF<@)D6Bj$bJ&8baPG{J3hXk@FwVux)Oe=y*;{KTe z1P!5Dyr&SD+>tnzF^|L{F^I`F1g6LC2r_jdSPd(;uDHAvRV)SE3qey(Ad;s>Fu@(q z>Iv|Q>x_SwYa-zwY_Nhe4lvPq3Dx2~H7=Ba;w-z6I2OMRqeIPPapDrj$0dxnYz^@| z+;!jivM|w~tJP9&F*Yu`owR84bPz5~J{yb#(NoeRxj56mPkK1Wo}-UWRxj-8CgtR= z*NNC2F5YQ?uyH%*t#gVO`-svUUGd7FxWJqQdo16Kubez;=G4>1&s{WsTFq&g5fkWA zvS?A5&Ub3S1P&g2WfffAHg1$PGad;iad$FygRX&{xBp$C9$t6B-Xe$R8P>Y{%4V0R zuPC~*aB%C=9h;AnWBZ1q3D(t~byHT|d=#KN7+V?}#^~b86b&pLY9DuM*7ylZ+WO=4)pO|usQRtMS!ob9Qt^k zc)V?}+5T;SpLy6G=pMzGq$TRm9OJn01*o6ydGJ1bwl-(!9zcN44-G=0eJl}>>FA!p zW`4>Gk*=H`b=*I?C$Ra)G1RMpM>oj)=Au6S>v&5%r~Jq-^LvE zbjQXzVqcTP7rEz`JWDszX4lSg_Wi8K=Q*Hln?Z`~Gj@beVt+<{sGs7Iih~tLD2`S< zMRAJa48?O4FHl^mc#Yz9ieFXSp!f~NhZG+r;+i90N_?7##>aVQ+|S>t{JP5TsQkXl zGS39*6R1?CZ%KsQPUVACK2+tyRX$Q>SrdfxbS$4OjL7>sk>`xa>w{RV$n!|KhvGm* zUc(F@qBvZ!QgMP}jp9tjTE&HmQm@dnMCHpBuU5QC@fO896z@{JPw{(-KT@>%enI7* zDZZlkn&KZ6|D^bV;zx=cne#ZMzJazDtCNPy_qh=+^Q?e9HQd%t8ILqj!#T94K3khL zL1n%>VK~S7#Mz3A6y-Zp2w$r5a>XkZuThli1bw!hPaKBmv-55H#VdRpKB{I)WNgQ5 z64%Q|l{MZb@juhti(H$;Tkq$ZuB)=(oqBfMTvR+Q8)SkmgPsqMDl7g=r(mC%gM&jq z+%h%$VyFLn`0xJ=$#&K?Tuk*o)^NE|Db=Pzwt?_*RKOZ+`3}62e1Zvfgb@W^>2f3j zuQU#l`>M3r-1#dak{2_P+1we#G&KxyAAL?2%wpzr5wH4~ZDN~Ep32;Vhtc~ddMi$% z7h8}VEsZ}_MA`@(l$^SB>Rd!6QYpTSz{;-wAg6`k$H5H~_f>0E) zj}G||<>+x@nC^tTTzK5u@UzQHpO5Hpp1$~CF6Yf_fY zPZMQ^#~tHX!oJv05TPr!WUwGyPmeoXZwJOAP+T$o(PrS-CpgFB@|`bBPxZO^P}6Md z19xRX76_p7WUrFsi6ddyxZD22lPyZlOdeOBNCtUdo)#l6LJDZHI^AbGA84wN@GrBm7`&e5`6HT`AJ! zmpcey=IkE8hlges2%Lm*F!hs1U>a_e`J8Y2057b&)y+!`LAbB4sviDlJkt64o`kR# zVdgB3PhsX~-07$=J_DLF?-T_1<#O#-Eh2dw47cOpep?=RgKwiEGxVslI6g)B`Z|Mv zXp1oF^ZB1ILbg2c2G2ns)0wllOA+Af>*48Zg*e;4>*2RN)bShWt80GHml5adHj!BLxsH^|^%e@TxzD~sqIUhq0Pu0aUwjBq|j zQ(s$bEEC5OIlh_f7fHGM?gi%@Q&aFi+?Uu{t+yE7L>Z9q| z&Y4fv6ro)98Eg#s5*1`bPSfRDNCYEk&t!#DAnR z2f;kvj*4P88nUc$01C$jJVfLBDGpGSi7SY&P+8h7`a7#%6eIer{U89CE{h~Ebud9W zmoD(bn$9Xf!C~|-kuPN%=h6{D%DMD_m(J&0GLV&XxgFkWirjn0xlpOcxjYWB`C!JL zz{KxigMT;pf)tM&JPX@#!>%|MOGz~?3qbU8ksya(`Wxf z!K(Cb*CjbB6Mq9+tggf+hGS+0)=qqbHoMBN>>8`G8}TVS@m1@1&QXILi7e~?1Z)!T zhP(>5%Li>rZbent($&5O^o=FB?<0e-psK6Vu%c^nM4<5&p4<$*U?jEc)xD?@|Q#`d;?*wL+ZjUp}95C-l|DALa8YZ$Y1(x9#yMe?viy z;Zyc1Y8;<(8PYX|PvN(p*w)PPcbZl-owU;GDLzhfnDskDXROtk-VX*yh>Sl49}lOvzLa~_f09@UlZty*!G#9j>vwC*f4kE z4Bj-zo%HQr+F0(Su5W8Q?xf}BWbv@hK_=*4d{Wpi*ypZ_zd7cl)-fl!^HrX}F@z-1 zHlY&ooeUx)TQ-FdVPu9wXxOs(Cep)}&3s70=Q-{KW^LYOZOW+@?gZ9ps+c2Pa5ZzJ zi|&OKo3+`%{2iFUnYH2jk>ub?hQ38_#Z<9xddsHJK+J)h3WP@J#;583UF%Ah{>!-k$ShnIjDxrF+<0GZClXuPHRj&XDc zW8=8Dp>(B4lV2{!DCSb?;TY8)kG_pD0`+s;&ota9XR+Bnz*{dLQh_*M-v`iF7azhK zny;@uKBPJ?9r+M9DHai4TowF&x#b`QN>KohgW+}@d@lFPJrNa|L6|v<<8!>PZx$YS z@!N(ExeQ^JhdLHPAJdt$xMc|N^GLmexi?*uQvylWBX>$?j2?7ZR7 zBYhj-XP#1QbT6VJI5pWEtt;}GmpEkN9<7z@%%$LcJX zHb_$s9WnrU_&mEae8?flNB)C4h{q@nQyitZhYvyhV4Ti#PRuBFSNy#5A?WtD%WoWp z#?5-;l~U(A9j>E;;h2cu7+8%%Pr#WqZ+He2V$O#3SKlABiORkDSXjmQaQb zc2NjS?1+pw8#~g-xoqR&Y?CY-Xp=b4xF`cWOk5b;EVn%R55N`%ClcH~R$4|&g_y`O z2iOb(nGDQqAuuy$yoBpxEfY*j1Kh_k$m%;^{v0l~am#^mHSDCVmFEIoYEzyp$&B8A zf6yPRxzr{B<~imPINr`#@8a1{X^7`=dl-+_x1~Jda&pRWwpAhm0D7X;IJ*m-5gm_E z6!N$2k{0>w^UloTP(v$?v#(OOU6pvUNo2@(_v1D)UVp&u;A2=r!^XE~&WF~s+(&>LS=^iVp<-7?aufO&@?&ez9pRA&%LeY`ERTt2dm z$7Xrp4OT-~OOHB>tAT8OMje=dT}>~*yi*Y8ms^d&<5EPjT!ve@3*oms@CNfR(9O`J z&f;nz`}$tTpn&57>f7F&kJZq}bmmOor3mo#ecu5uCMbj$Ur}C|bHVaI)rEB8_9Z?_k{zHk+fKZYBUJm*&YwL*_Z+ zJtLO`anCHdYiy=ZsjkLrAU8o|(agTnBVl^ZY!Tin+_OCSk?!y}$APhLYmP&b;Q%fU zGzH;5*p|qHke?y$L&QaWAQ6d}kKz3k`IJm~u;K{C(Tb-iPEnkpc#h%)iYpbbQM^v^ ztBM;GzoGb$;-kcZZSAmZ(fD5xaS^?$@*h?Hv&w%{S>`h!T`Qab9*@`q(Tk7z+4_i5 zPe7hO%Di@n@>&!q^#kO&W%vNaqZEfKNnyi>!!qj;a?d{$BF5BXkE`8CD26yH{SU-3gl4un~L8^yg9_f_no zc%Y)q`{=9k5sF7EO8Z2AX7!C?M8BO6%g?KG8(w9~;>ma+nrn}@K3=7)@!v@*b?qXu z$J-fK%hvmE%F_C72Yw-`8*T6277RPN_W|F-_p1jK-;eKEcf(h#uc~-&OQ))-u(7-K z;Q_@#w~yYe;&JkzVE2S=IXnx0w<0j#flv7h(}rnwE3kWVJ*7kuI}O});5WM`uOcEj znIUHPM98^x!G+9`F1i&_F}1=AE_pVyIAbRyPn@xnM5<^3bA;)&k})-jI#a3Ugmmf; z2rS@f!7Py#0Q+_|r?`Y%I_0cp5W~|Urb9*?`xN91wq{o#EF83o(PPt;$gfL}ccoxK(E_-^W-Nv*oUs1rS34v)y z%8XlYsq-x3;;9sA#}k+WpFbk>ccBE9;C&Q{JIp-@gNcOp;ysCHrqp@kawZ}`oaGVw zx0b6APgM(p;>h-G5v0t#pzHdBkmnF=mcSHlV?CFeIF-dT;xM=$%nPq&^C!DVzl~_t zi8&sh?|j)&IvKRC&&5}gv7M`EoEAQl%i{pg0bCh2zfZTGzXIV*XKofe z)n0&kIX=^&fg~l5!xvF}FvFv-+)2{F6R=qxc!SLlHqxWc;;JB%|u;wi8Vg&g5>ibsGTBNf) z)KLt5b@4c>^7PgBt)yF!j`~Wm(VdNx(3tO7ufVv1<0^C1!EF;ZR9UTs{}t)_m;khW zf5P)Kx(80W?E__NSC7H(*UOH4E9s|Hq|VAE@~P0=)|Mz2f#ikSV`I5(v9U}U8UX3I z#;l9;%D?IJg0=~73Ld9TP61XcF7T81Rub!k_2FCx^9VNPTS??XSXb;bVqPYCXmGTQ ze-A@CKHz?mHcr@Ak+)c&c!}a=imMfGR=iE|Zbjh(kYD%!;Ey!?1;t+wab4x`0cc?V zPvieWg#34vi%?5akJEV0U1NT+ql$Psme1BhM3Wcf zd8M3D?5-$7UWE5nndgq_$`wZ|j#Zqb$Uc_orYoMM$Z;scFH&5txKfeBXvVKmyg^aw z8{ywl`Ci2b6dzK2RPk}e=M<&>k^eQ7f3NtC;(Ln!R!p$okly&04l0|?lWr<=e9UwM z6$dGbU15aFI0wjnndv4fo}wu2dpo?$cIUhB(s8Cx8lLY`*1s$xu3=j!<*uFN@iMea zyB6OrDq0!#+)uw>y?*1g;3uaXjW1aD=NGJhc~tR@?hDqh91ND`$l|Li{=_d>FU|jg z^&{D-Jbu(QTB74?NVGJ}*GM-U*-Y`M8%%|H6)Ka`o_36{afVVF=4<2O8Jo#Cla->~Kd>V3mHW^8EP z=)cX_#59cKYtl;JptX~+F*b*dpNpsSbY2bX5#gPg#&=5B@Hr6-O=A5Bc$iiM zKOuW5%sU}4jhjPbsl5p)%tKNL3=V-QK+F(ExljU2@aP&y@}vqvgga6pv6BcR#XFwh z@~~4B$KIBS^S)u-A3;ZAgDTrO{~Okb(K$r(mUzbsfidQs-qI*RL+lK9&3C@cx1R}j zj|u*=a(m&jg*gA_fF2xk5~&mBQ`^PYby~; zA`?h-y2sAYGj*Sn-qE9!tc3Qa_Cy7LHt89u=xE-{r;wh(y7S$Lp4o<<$#~rcdyO1^ zW@l{MF05I+u*7SvyZ@A&PZdYQM+|c*^|;%Wg$4nio2IjmS7+X1AnUL}VrbZmV+r1E z@Hi{uh%}Jx!1BNw{1L*f^r*AAD#+$%)YZ86*LVTu<@mubm-cE`A(G{?JRS#eE;h>p zZ}1+T5Hs|sv$%YYhW23jKu3M@FbAZ*W!Nkayg_>u#B}B??qUS^`!~YV$Gg1kUp`0E z6%pjP%$au;0(^awppW~*{X>;U`fh=rc}lU-&BsY-3`fKDKl5A``KJzU`5eu^8p+X= zV&}>{eLP;e$FTXwQRUSO83U^q1m|!n)zH@%j^>}J+{SP;oMYAnWtii!*5&;0JseGY znXke+;q{!$(Rk)m{H_}5d z6faj~pT+#_9{BB+iuah(%NIafq4aJIxr)kB!GMy%$r{Tru@ig~FV#7R56B=y!N|S%R zS}q3VX;_a9^EBc5EYdM8c$zSWyEu}y5{xA7pfhE+>(+rZ> zNrVyN70SisX^5v`PGHQ)KNn?)m> z^yh`A*)^Lmm_$>KNi-##M3Zb$E;LPPvc{)rXcY#$2*J&zSupd=IW^T8x5{UZFYN+l zn_+^G%-K3+na^i4|Rf@ zyFAaas{C;*WqFhVcEQGU^3n;IpN?^K2V=wVr@?f+kS4!ezc=S|KHXq!rknCoY;|!n zM3^!AZcKU@n^m#$zwQ?vl1vi6YJ!iGzf~D;nTv)^uk5M^TO+=uC+~E6$q0t4f8VnkLa5b*YF>6WDL@iO~hWVrSI ziP_QF$=RXVt(z{r=aTFdSuiPUxZ0`bW_&2SJHGjh^-i}2BeOr9)JN^c^e%>J>n#Hy z^$~kAKgf2IkRjQyY+ZK3us5~@H=K%fP~nXg*?p^$_mn@hDtmqQ>)E@qTOSU(-G})) z@4V^W=HuWo*GAZnji`_je(%aSIINAjoplwa<@4Q%g1=Ep6s;ko3OL6s*_G)Al7GRv zreg__t(mcq;ssIcZIgYNr9wK6R8bN)3+R^9kxt1fs#I!*s7TQcjhME{vo*tMIbjxm zL9m#Aa3T4*^bkf2-vpT>^7P@17;!%SYbeU2$8n6kiK0C5jbuK~18a5|{=&6);@`0~ z;w5X?;wozWGSv*HNMgx}GvNb;#F*fdFi#WWc7TfYr^+;oXU^>KtQzT}z}66XV!+n$ zi+)n4@Y@p$LY&hXHr*kCtVylsGYIQn1ee79GX)45LbZ5LAuzckaV%pViF1IY9YEX$aB}X5foVu{9TQikAF{G|JF&;@{Y`{+2yRj8jdraI6A2K9R z6E|3edfc4qn#C~sd-f146{}+huV^6?bum2e`j)Sg&owv{P^zgb1_7*uj z&#>0rS2nvmeMQlgg@ap{?%0~C+;1acb{y++&$=nAZaxaoHJ0~5?c=VK%QyMGdy2~7 zdSUa&=da@q-4-zxu_}E8%h1g6VZ&sEE-r%1Q z>f(p0^U|%y^W1tbqj{^4&M&td2k|N*SuVq^+y(Gk9(aRe5RsuroyBpEkFT$|eOxb? zRuJjC41UW)6=y&n>(89UEkl5>ua~C}!`n#TI`}OQb(&l8Wg z4K_R84e&D$+XLOB96O>8%`uMKcN8t%^Y!pU401&Z%i1i+ z2dR9h#viV-tj$8atO-JTI+o8CM&x~+$a6;I^+7CF#VsmM_f z<7*UWD%L74RFrx}x+N-Ku6VWLO^UZD-l2Gx;(dzWQ~Z&l)%Oc3|4i`}#n%-7p!g@n z4-`LAXWzUzzHhz-3h}v)+f$Z zT%^buAq-!txLol{#cLGhIzgXp=M#q^`Rsh#e(?(T`KaD~j_B|BCHEiMFs^5w(13nr zrH$pIZsE>=Vkr~ofjN15i*M`wle1){$VolkYEAaY;-!6GD7f;T7g}9(e9`LFLD=`& z;Dt$-WK-8Z7;K(&e(|-zbCX_}G^8R}^Q%tbn(-A0U~*02wac=J8%!}oc0PIV z?BmZKNn6PODHxee-SqSQj!oRUUvcp(o&M43`fSj(Tk+C;uOEDT@Z&c74jEYdbf@F8 zOxv~i(Bk&{oIRLZdR4R4uM~|TLhsnLC-uLI`0=fI)^N><|(N z5Ct`183~Jqu*jkkR)ewx5(Kqq1Q%SYY+5#rTGwh@MQzh~}GJioc~ocEmfyl1`Vp5?vg?%bR|yRyybz_y^so<3o!$S&&g z#mdOG^zB9YlPb@(-Vj;us;o&9CX9EYZK6yMWhZ#78{WVQ2B6tU*7gnfxRb<|5@Iw3TM~M`2M`W*5JmBT7UkAP8cF-NZVBt|8f`RjJZmS zwvB$HOLOIg)}N4?ium7Ca&}w(nU(V+e+YLUZF1#o3~e&`icL69WWE(1*5$fNXJ=^F z2l;2Wkkx5I$AjdoB5rceJXOb;t8eEqPkZy)MYkbO=~X|=n^_Uw)uT2uX`OISd{6wL z?g^zX%rP#Wjt95<>~?JG)!8LzE?f>wH8=?A0Nk*2IDz4Xd;&hfUe)vu zgl{Gh;otCiba6tX5dxER{FO^6C(N!V$o?caiK98JEj|G`iABX%>Bap^XV_R;@1?c! zbXre>h&U4wCbW$9i|soAp?}eSsm&)w zn)4g{ho5J-7i^nEuqTMf8Y}Rk{Q*cQe6p*yIi^9c4OukyFj)B5gt{059gi!6DrEL3 zJ~--0vM0AkljJijb3ZKhSTa9Gavgo+JMljV9+`7JvL0mCqEf7TlJ`ZS1}jDFwX6lk z?+T%e-*-T@8M6!vqzuQZ&Kk2wsSK1>CgZZJn5S1kX0yZ1#l?=*p5Gav+jfCTbwCd;G0YNr+~lxGq9imbr=XDblFt=+jd8m%%{J4Dr=;n-dR=c zW0_{zlrJ2Hs@kK9itUrL+*Q=xS?%mxyCMxAFC$w<^6F~Jdx<n5S}6(63e+KXN|-dpkpui zu-IT&P+Sxx%J4wfZe?hIwOb+^T+mv(7uJL+h82sqgq32QN#NaucN+-|#iiNmW)Pl< z!GPAQ6(7d4Zv6}R&}H`2TX zvv4li`PL~tT~4}i9*Y%Pf*aEe6H_)5ji2ELvzToB*WyAb0<#+uq0J`eAvm7Wv)SZ6 zjeWxOiXTP%lD1 znqBcVdG3&!XYpmm zSr=hMD-Nb&B6L`5r9~x&9Ib<8T-pVQj|5-c$2J3j9WgRMU;%h+rZn0xoV2pRMG%L` z#GHlyWw_F;>MhRXEe`G6QEFqoZ}olO=^KfC*taO!yaP@*STO~3Ke@=&!#Kw4|3zl~nLta0V?B}*2rjIUZYzj5*M zWeqLg+&wm4IF|Wz!{TLg<}YuMGc@HLV|R(Vv97p|rPgAH1CQW_#s$zpg+I!Z-1C<& zUAlbPoW{BH@NTsm40n{2aPTS1UWOfxV0tXLdStL|EV!Z=|2=~BBZE1y9)tQ9cJEnU zRNlR3@VuLX>BYg-;4Cf}G;qTDg@Yn9*AE>TJKIhernK_e#m0q8>s#AakT_94%*iwM6TA(aO2=@u3J)Oo@*R z9L?7aP{UK%j75CQuO>(#Yeo3?evZL&>^K@ShP~gx_GjYRm?3?VGwqW0XMA_e=X*4! zxbbv$O>aO17y48&98~#@;VXe7?HIy~;ULTZ#G(wE0l3n|=Kc-nAo-j4_^evT9?B2JZ2gXP>34C zw*a(1-bT(Sz{hy$&LGUkYFs7^tky*tmKCE#jqz&$og@!Kf*iO}o_~+#D$phjtkzAC zM?PbW-{o-d%e&Mk4@;?D`gXx?!cfN7AnzrggRyRdpI_cq$YcJPKCb(l{N4pS!>~Qj zti|kWK}x;R{{dWnzF%S%v4R2A(2v*SxX||M)!C{+WUcMd>{WilcPaQr8Uo1l(Y%1m zpO0P02g{H7=zz=QBXy5v59D#()flFYhUm+lwE;qE;mGE|$tdMfKI1g^5UkeQ*lZY0 ziy9-(&<6ePpT-`InIOCJ9a+WX(ubjqjrhN@XM@|-*M3<833DWSHk}jfBBvKCo#BV6RtoVlFKNPutK|Zz%;t{6k$o2Be?jp|#V;xDQoK`fw<1?78SXj7eTqL({DtCMitj3ZsF;rYGrYWC3^Zrz z52ic%;bBDdPZi2HUg^`6o~iU%O4lhZ`w)<~T4~Xo1bw;Evi|`3dZoXtc)#Me6uDr? z_}@|dJrUtJYo$96Dq}i1uON0H!u>d<3lzr@5srp@>?4R$&p`GUq}hiM(M1UsDfUt1 z$|(I$R2-u?PVqEFsc-PH-IAZvXkxu$qoOSM!kz07^uJDViz4UMbiYaQcE!6Dx%f)| zZz;Z{_=@7M6lJ{|e1B8=pNbC45$;?eCAL*G`&C@crTY*?-dChKK_^xzPEwqq$jLhW zx!gc(RFt(7(9#Zo+thuR;@1>+D@y%?Z=cdXQT(~$n~HBM{z382itJ|@KXn#}F~uUq zp^C>Vo~l@*c(&rXiVcdZ6}f0lxmPQ0QT&qPEsD1*epT^)MJ{tQ{L_jrDZZlky5bv( z?55kZxs2S72R#zn8c7`nPRzOwc=F8TEzv5s}(O) zk8{8D;E#;>w|Jfo=~H|@#%@o{$#)rt0vR>U_+=&Ja-c!|U_u8Ptp z$JfWJRql)=`IS}1r!;E9xcj9iNJu-{dbq(=hC9LG=BTfmzn#ZN^J~XRT$S-OecPa? z$SjRsqN%f^E{DnOaaG2rFLpakNmYoHPMRJ!U#TIps}lT%!%dGJb-$ErZI7h9zc4p* z*a)_%pS7(TiKk|T^h0#OdkjzG-+l-U>~&nJ1{-_~h_fcJWPv5dwBEdFud=aJQpd{II;J0-l+71BcNp7C}=UpSewDENf4seS#+1_how)e7AxA)o}!S)_=k6jgcHG>TT z_7awhG14roY688nlNH4Bf3xu>=HkLm9)aU??$Hp*p7IWcE3C!{^S?H+0ioGsu#-rj z0Bm=_CZ-Gir3qTqgkoIqCB$)Ma@S&&^`4!nhDGFZNe3@-VgNc z-b^dGK&FFC1ejq06u?r13;83|i`5gyVySX{35?rUoHu~n=K2zNTie#GBE-k7Ge{(G zEQmcs_=z!L@~!vN`XW)$zx0*6?Ko~EVM8d|Oi_DqwdBa?`+f#F==&X9MHXm;x6s8N zM{#L=3;ZJ89VT)zX@}>|#Gcb%!TAxc9H!5gwt{B5GH=N~NKmuMF;X1r`#!d~eBs*x)sn+ab+nnj)wqyKiPy~&#D$P2pGY@PJkh3|=rE&ixAqb?@=# z$XB2L0=ClnoJjj)&u@Zuo^5-JC)9~ed}ztt;xb!WsXJA&A*CBu-fod>rZBa>47+<< zpKR;O+lkSA5-$P{cMh^k_205TRX2B~twHBDlumGHHNS-v9Z~=U}WH#`xt$JK=k%DlZLwMjl5gCJd|?q+(Bo7B$AN4z#h; z>pKweK6s5Wehc8>kN3OCKn#4$2i;A)t6?``V70!9%*AL?WBeLG`{lif0c{T4DDO~v zRX>J2@)={~T@DAoyubM59cr)Y0OVa`gg}O|a_Hul=X7=JiRo*L%jEYi4O0T7X~0Be z2%eP2P#&)b6b;`UxcOHYCiNrV|3mirJx07;KZp-)Yrxt3uJ`4<}kNcy}KdOc_s zANxA)jcrNsPuli!pYF+fV~e;qWb_s;w~6q7Pu)LK44}N}oxtoRSG-s80mVlZzop3f zA^%T_p_Ffhp^rEFj$F{={@h2(@30&|n|(;G@R80ZLSBK=C5l7Uooj#O8>2KQ(WK8% zJV%lBLifuRw0c|2%bPU;WH`-^g(R(w%$ zpCa!w`u|)}>J9YYlxC+!f2lv9)Eh8Q-TBrD{rf6PeSzi?ICLMcDD?((9XXg>4iZMl5&4+t`r3WkW^%C-PWtmu|n7Z%Ca}DYLMa6F_KBo9`HM_h%$hS3e{8=h(iHjZvw-}qExaMkEl>sLLs zs{Rz78;N3raqR2xZ_kFqMqH@|yED>GyxkdTje&467=uz0!>eJ%mW*^KPFQ+{NJt7H z5?%wp#Oq<_GSG0u60966fx>dH*byr2<9)Bw#1Xp$LUBJl#7@V#*k&J|R^;>zQ>iXo zSz6BiI$TBX2C18c7Q__&|gMdt%U8T*8sP@EK%bo`+Yl>x;(_&X!Z5)O^{O*W< zOTcu)TOey0!GF_YOpRW(ObhjF<5-AH?%>>lmfOv-V3y-F>w)5 zWS;o}3O5GFj&L#ZSU-%@FYhlHgbegTC8yozoRp_eV||b5N*GfruFGd}o;2sh%)e_` zA_)_e67thrDNdLmLYjVs_q2B&P*PXvX_L3|DADy5G*q@xcHB$>k>xMxN`K$^(&uRnLO9xJjQ0HLp`)qgSnPWqU^|Ucm*fo&-<3PEDi+1MRapQUtw0A zFc#P`Z3;=oBjNd=5_2uiSAqrL3(5zy!QGF6?1(H6M4YiA$w4`D=JhcALM&i>HCyId zxlUeUuGLwmMebayt5N}(YekiE(DD#GrgN<{nQQe>Pt3e9*D6pdTjpAYO69biYrTQg zI7K?w+6zMGT2k}>2j*IdUW8@p?^U3U&szMyIMH;8Dfp&NiZ2#`P;;%#kar|=t^6aLYjI8fNakA4p>S6q&Bibvyi(^{ zBT&($hDmuyrp~pNfG8lzp=>K1%-mxmG!3aBd`{aNtCxkNvrpnLD{N zDDR9+%&1Dl$^AF)jtwate8hWP^|)7HQs!C*-{X?^b|r{oUk$W5!CqkQF!6BzFz*r_ z1v?@$sX%B3+;Jk}-QdM!i(6xuKE>(ieN2TNt9gOR31hZNmi^?!P8lKM$iBPdyy{Zi zWp|osztX-G?4hDSc{mevT4jk9I3c{5A__s3T7eNtIceC<3XD`L5`LD!Pn1yD%}T>= zR^TM{%umA%D{!(>(KPI41uB$^SrJO_iqPrH8I9%0+`2L>swuW~{=?y$*v*;dtgKl7DCU0P69rXs* zYAKak{vY86ma8U@|0GTML_gu_%5yNfbgnr}3iU4CdSdHGhPCp?nM2Yv;)n*?ZbS#0 z!W?2YVn)gCb_B#6GiM}ug(UL=`7@dEhWyNrSE@&|ouf02gcf@%b3*?CNbVM%MClKT zvmGv2*m!>Z!ed|pg65A$(Pz5f!)p0_4&siv%{Y*A3%`+$7!Ct)(e6I>+&RdR?)OYF zLR1F88iUI}b}zwLaWC8sHwWQ)6?aj98Y6lUXk(|>1oUh%TGSXnJ}Uk3vXLFh9E9f( z9LXFc$0zSlbC3%mk89M%nDnLY_rxHNb0U@ttlro?b-(8W$a}{K0UxcrZdEJ+()`5iUj^>xXsgmq#W2&-Z&+LJ4!IImkiwd)x=Rt08S3Z;59$M6+KA zphDS3IhSCJMAi@SlkWG7({Q4Nh49=#pqw)m&s7xtOt^E{NdG29-bbXbRotTZvLf}7 z>EBmT^jy*Q`06kBX(T_`Php#CZGUH}y7RtF-rqTXxI5cOnR8&*r?ojp+3+Dpyub4} zI~3oXBOj&v-b3;DY4P=o_dNLYVxBEOIQr*2o{GOg&ZZx^x4WE8zcp^3vnZZ{^YcH< z`$qnp$}8i4%zFfn4=w;SMAk59aAWJ%E^!w!4C?`CcZtO;blNRGYF(djKsKoZuE#xSo zlc@Ryc?gm-=`4nD!s{4^9gzcXBF<&v=$uH1I6TfgbR6v$+w=+j5AB!Q8v$uWfxcm? z)lvg6&_Dbny<82zzyPI0128a9sYpboX2lXpH2?#H)KfG710_m{24J96shE{c>3oi6 z>|``n=o-S1@Q(nQJocW)&XXtj1rS+s?EG^etv2=m{M+29agTf;+5?cUY|N-mqQ$Om z+W>F-Wag0EIn&59nc~{bfln7$XzZy!0hPM~f3>9B)02w_V{qBi-Z!2bJkRm4@y@kp z@Qe%zc{4pbFyvXVoP*1rDIO2g!|LeBXyMcOY^HyrcNCh6sfWcI6-AjvwrMdDOjAw9 z?Bt0cj(c~{l&^9ReNUYAfa2u|@HO_dG;=n5t~ndNz5i@@YFc*iJD{Ar=_N}Ig^SBQ z0-l`&>>Y@=xpx0RO>`%5x&z(T;Ige5gdJj?Okg0m;$KWY{tIxW={e4Z#n3JnPAr3^ zLina{aZcw6|E^(AUV|%@pViM%Tbea>i!&|3&xA2Q?ifKVk|fvWw#GgnOgfA^kx4g3 zbb~F?hd5i@p{wp}na#7ZMJ3ShL3@Q}M*n&Ht_%>alflvy9EAP?0yjWbWCC zc|S@{4zfPXYK|#===ijH$n&SPmFi!h@GoEd=Wmb7IM@G9e9Uw^K=wjO@5GPEj&2BF znRfL0sir=Te7R!D^7($||BxM2tox#D@a&iIHQ@iNzN-IeVIEhfX!@8onxEiGeNUS1 z9C`ZJ5#ELhT@y0a3GN|Wlo!FpJrw5Gm`wD${e2F`x)2P;PTpY#1~+4jUp*ZB^7`bua~{g$2*SwYe9DA@)#?R#dkL7-PL24t{xckjH#d9!JwAeYeBTFpP`lCz!yM zy2A*e>Bs9nMZ=d4;VXp0{WE-zfJ9Z*8?Ot%S850#`Dk9mW$dKy1z#C0YM4Hn@8N=% zXX<_BjM1H!56+truV?ln(5oJmh>LOhvS)n(g;4>=Jh&TqIj}QMzr4rMPW!{n7=PN0 z8`1CnY3RRl_LeY?r1NIa!IYBg@LA}?dQrhb{Z^I}%f~e=v4jaq3HoW)O2P!OOWOq` z%jh;-Nqe@Yt8SqlYdqY(?`YmV9)h^I)^LJirD9xhisCFqd8ZZpOO$Rh*m%1a_LbKJ;o&6G#?SaS{4^d_! zzyV5^C=OQ~p*TuW>H++dl%A|utGGb1UXgt?qIO;-Q>*RscN z8I}L@(M^{&S$)&6@|2{}{6qx&2VN!r;HVqot)B-G;;mQPN8dc~@x_R@>En+tXWdH@ z9D6s=UX0XHo0o;-H&}z}OI|y|>Iz=Uzn)UVfzZ?7$8ywoy0H?1!)N0kyYf8!I>;r+ zV7aVZIbpe1BcXd3A`<4JO=3CfS~Bur14p!>gHO_0SxwhW2y#ZvgB2@Na(~znzT$$l zsFmXA3?xLHZeR@cru_n0jgog$u}a05(sBcN;h6{}D^s1rKOmc~Om$UC^rZt)rCfdK zKukgf3PoQ!&_g{%Upi2rl;}$b3YCJsG^MjJGwx?JR!B~EE(-q^ROUP;{qlglAB1F+ zD^phlNM@aH1vUikfUS*#yacWaFzIb%eb=>TLx@y}ELg&(j3U}#?7EH&{~D|!;-9eanP5q9>L*C>Nw8>etEjWuA%EGj#C>T@GVw!EzY;N-?bF0$ljSA)#2TQ{sRc*Of38 zS7)m}YippQsG?VWwu=Rg7RiEUde0N?DfkydvHfsCEM=YHTJbN2zHGq`#?(#CwDH*j z>f?)>$v)BZgGEfjH4`C>D^pCLfK?%ha5Gnt~1P(WA5ElkiFKW zeDQH4;kx=$ANpXI>sS`W3pu7?#)WbJk(K z?FSdmI3C2;ofwE8UO1yi&(iaQE5@JVy5ZHTgT2t5ywV0u!b87>aIp2ZWed-qgj1xG zm)&%+&G&J%|L&I)=FFKgrE=<_zEwwMEO!PVwSTbnx#Xo%S;90cqyOHTTmABeNpsi8 zciCi})mx4|*cx1-d6@V0kIDBI{KGr;MU~k(mpYdPFArRc&gZD#VK6o6Ut&A-D-55n z$FcY4lgTv$)Sjkv8PNZ%Fi)0Kluy~Ln_;;sV5o$=(QxvwuRM+i{(Xpy&st1%>O^6HEb@EL3BdfY!CkNKm#Y@fW_H4NjT*%ijSvnlmPnfKu$8osxX*cG6Sp&zf* z^|;ef7^Q|uc}S+N$87)~+nO<^U40J@{&I0TB+40C^2((h>}VpMc@Xkm^{7O-Fpa+K zS=Cr)tAOL-*5fwj{O7F4E%5SXO38INme%9ghqSUD*UBptULP)d5&zD597o(-{~D_} zQE{>&ce?36U$I`1(@wfyqR;!=tt50zu}G2Y-Q*jjI85;b#Y)Aoio6fV zU#BSb1o}dy*C}4E$Uc$$>_drPR+M@JeZSHVDL$hp>!B)+G22*#>lpjd(H|5Lzo&2aGL5`_N%RCTx z@b$zYpJ+X?tfWlOq&xh2Vj~lUM?1$2eDZb16X>rGUA{PUjuUMgEs6eeF~61Me!r-x zuxnbZwlBUx^g%vdZTJ&!udS`M22q!-uwj0~mm7Z7P}n%X@ym_BYAjqef7O>){c6=; zpIFT@k~kdu4xl|6e_WVLHCQ)%m%N_tn!Irn2$z5{`E0r$kXF@9Vyo3)y*eBu7T~i_2%mWXn zJDHXkT-xw3O1t6$SlM-2+5fqx)ur7qDvR1!MimU73F3lQ8{cvl$n1lzMomTbuo~r` zmB?*{FSqdS;@T6w_Cg@1gO4Otdy6}8kRcY7x6pYj@9BQt$sTWOOEi?|H-1`P!TLl? zF~^2()WP43lE=TR){NHILOlxiyr&)&O#O%mZa3)@f_p5xuz%_5{)Jn*^(uWn(Aw#F zX8QgK&d)m%AUmZ>K9Aqh+nz-e9gqI4fIc9IFz8B z<29NxT>eg+<29NAxcqb5zqE0mvz&{PhZl!W6L1;Xu*yF4g+2#kJq3P#c^&xpfhXlL zEk@qyt~35!6GSKZT|)qkyv05{y`KT!8d}sCzJ;KZ;>Dc032uy+?j~M_HDO@2c(!tk z7B$AN5wu_4R>St|^a`jPJmTu4&A z@fsX%eQjl*hB16R=h?`n8|#CHYcT$NQ0FKIZp=pqT&CXI!H#%6vla4Q_ozgfF^#_L zS!ZCV#5FdKqH=Lj9_2Gmzr1@<31i`A4CSIRMymSY*8K_XEm{_F9{*2#r zU|$o!4Z)EzzX8woL+q&7nTQ*?ClO2xNB<#;GT#SXsdQX%isCHAxr%j)=PAmp3*k2? zeVyVBioDMm-))M#Pf5S6DDz~{C!_G_E`#on+ZS*?&1n$Vp=uT7Ty*d)R~lku-p%&z zpO3SyNyijfZlwDw4pK~A_n4^e)rzMp&Q_eKxJXgTANQz<56(&HNm>WMJ0n*Y zU$hACCSVzE`JkA04hLrYC89v&{+n|+N=t|8OL2!^2Z*_i)msPX1}%*D9vTy$6Q3TR z6o2o*iIFSrYl=IYf|~vQgn zUlN~j)_FMcgNFq*TzG; zPu|@px@NT1;Szk4xgL0F{Dm>K@fkJM@ry>+jULv)>QK_f8B$&2lsSWEk9}@mMLe)Q zxFb-=^#|vs!1j8pVvL~!%@y&#Tf5?w@z4&ZB(3zjV@AiVp3~=8MIl_>=Pb4Ej$1`- z=3H!bZ(4#c-b{)|hIDEQHAMzzHAPAyrSr!g*cZNUq`ZH3Yy6)0drw$BG^Slvk6K?$ z?;bc*8Le)$jCyWZv;pz=oH6IYctwuA@vO7#ZRh0-&1X4TJx`xgeb&V}V{_JYuE@!0 zvbU}6+SGMj{M2}DJiL3@?&`RGLmZ_saQFT3lcLK;kBblM(A0HCjlFGpqMY&*<@D^K z%V}3d{8yh%Io)LS@Yl$@2lhXdjrP(#YHzUn_1oK2P-ATx72BKplUQG8?}VRL^zE{@ zsc&#!N%WjL)~Gee*?aeuU#WA~m-au=RQNM%`>4p?(w|}t%HBTeH+!23XA>oaEv?|q z18@UZ=e?OCwGX7Wht!{VQeOdwE2pE%`J>8t+9ziZxOPI$!+Re)kkj{(eJ?8gv%L?1 zzJK342cE{g=srgsy9RxV-4E0T*M;}8XRwy_3pmTOG!PvDcPwiy0R*uMi(^11@jnon zPM&Yj-fNDygxTW+d(b|d0}W`#{SSGbrM-N;xP&htL_+Q1fpxFWNJnDbiz}MJlfV${ zSHgd4b_R!zqV-}58pcOXFhFZ1d!^vxw2tBc5G!gKAdt}S6C%#H#nG8bh&ZpnF~p7@ zXNukr2r)Rm8@A~snaPYbPc)giWI>i&Dl)nCj4dC@59|Djc1Nt33)t6ZI zcBY0~omutxShr9Y2?b7}ZWL;WQje2bJlC>sp_I2kwOOJ>)|y7kzEv`Z^ktoIs2Hg1 z)0kxOI8b$st!8_S5vDy`=drCBR2_gwMYG@RGEyCIV1T&VA@qZr4oA8Kr z3;$m%{IA2!E&PvJ7=HwrD}`^_EdAc7hxRD_fKA^zQY`+UkXuQz{2ihMY7l3G6 z49l0`k9Ta5pC7WS5Oe2b9~LhRDhHSQMs=2J??9BXueG*_ScJL= zT5I>fnlOW5#cDp`Ut*m>$N;OmnonChT;L&4hVNx-u*$RtYy3qTEMRS_W*|Nr;Y?s4 zI+NQNJ};qsN^p}fmB4`DoS2BU#>7fz6PP1)vIne^X7;@=sGY&w$V$Ae1@iX8g?$Qw z8`*SUWaAjB11@k7G_~WvEQZ40z!k6>1pfiMZE^A;hTd=D3Ro)zUGM3xr<*j_yIv+% zt~a0ZViKWONNCQzY-<|9<>UxLj6ct<<#Pcd3)8F@LnOI$%2-a;PjH=Z)ql#%qL~ijKfuj z%WY}r`C8NrxX#0shIY2f=UxuCQ*p7S5jmz#X=&;mAl@V12W@FDz}v4P4^ni=&_(ru zbtEoijKC)BHYnP-UIHG%0d^{(}D0OuND zNR;1XIEWd5e|8~Eh%xMaX?R1?Fn4})DE|{N*a=uMglz33%BlF?Q3E~_wKTqJ+5AR) z*{R`}{*s!vLNyHY3=%xQ;%-jEE#)5Qzc&!$Cz(K*;{I;dECg za2)?cl0+*uVF{i$GT5#-cy8lFEJ{N6NcX&(0{$%amKdJ%WJo@HlI+JnNxEcFJVf|O z^f+ifg;`QElYEI_iPVXkq&+3s;t^cFD473Q7Qkzk9Xqig*r_;}{pe|fW(LoVy)-kp zAO>mzDjxQ%Sa8WYEL7XJ`vOGy9DXetThH<#1OIBM?<;$)oang&pd22v6tUV`gdwcPE$C6?>*21#v#D&YOc@hI`XE)X% zQH)s<+ww&Yidi{#!QwS#bMWc1#)T^vEjgbHyVhcelEX&4h+MXL=MNVDq{JLVErCIi z6deD*aAm{XC38xLY05ylCz%{8iVLOyf%3kEck*f?=d6_asjC++Sb%DU&PU7sbdXn+ zTsg;CEXx~M--$OtJpV*dFJ8v7!{-%IC0;cijmiT9C%-C^Xjs}T{Aae*Pgyx%+E|Om z;ZITh=;aIXO#F}k)S&D!`OkOZK*$(AGLz1C8Jk_SA^pn8RpG1CMrBtd>EZLSdnjIx z4UOTW8Skfj3i=lEEK9Trwgu6;@IJ(m!r-r>Rv5kT?spE4#W!8LqHIwWvWLj>F5{oi zxWgvP>H#QyidYz!oX?`Y?rL-VEi71pI_b@RAdZpl!q-)Pu@P*O&D0M zGa)ZViyGtiB51$7ZIH({M0p6}$@>-TCJe0BT6k8P#_03=1?+x#&q5yi9LmGumnZKJ zuu~r6qIt3d*344m(f=b{e!d?<*mTs+-)|-^qPgc`weC93vi86+3VSD9l$V2xar))e z#VqR%xEW*Q8QP%V{nOAXVOkQ#l#1&@oswjKU&9AW5O-*@KkwLt3CbPkr?V0SY(cp* z5>7484`!(+Ow03gI!aqhq=7Y-Fu{~VID%3Ge*ZjiVVSZnsdF+g;VpTKgQh?G!d#Tc z!W93@)&B)xF3Mv|ihp8w><+aOM;)g;D)}tru&c!|$9Sah`yZ{XhD&9T@J3k5VAx%? z$iO}KAkt6ddJ>U41jM`O-q}4fhREG9Vu4~m`lErD6VVBrrE;2xs1~+u^0Ng6&Ap3e#5R>fNt z?^N8aNF6rvJ*)VN;(o;gioaLnmMi)Hp(yXxfW{7)xECv)s5nt^w&Ep<+ZAsn;yHJl z;$1{MP3iMv3<-;tX4=CUJiqxTGxOR%&6$dJgP^?j`Ra{6!ewHiU zsPsijuO}kCUs3##;_nqZq0?jdZbUpEdnooKB0YoD|9GWOQhJ=yla!vJ^diMoikB*G zQM^_0e#JeCKUL&LDdYVe5$XD?(*IOCf-aH%ZHP#3nPRQt8pWH52!AILo%=nCUne3w z_eO~?DgJ;6{@0cMmD0ac`Xj}UiICSGWlHR=SfMyo@mwP0U8{J%BAQ{6E|uJO@ME9J zdSZ`B`2Sex*A?GT{FCAbivLpNHV5T$2ZzZ1kjVa!$bOHQ z`c7P#x|b_fD2`E_peWyMLU<}pP|keCa}`B55AGK!y-x8e#cLI*T*2_SDBi93RYmC^ z;LkA#`JPpLK~c^?gZqz_ra}h!exvv&#SawI^gb4y81TiEE>e_tFyTH@=`o7tolJQb z6aKT+eZJz^id2)}eRhE&ztKlp&e;N9t2Dp0NB13y{LVRPs#FjkP<&MJNk!@n(f@mj z)ZZcfW5w4M-%zAZ2L0bx{D&e3Z*-3+W+}E;}WPgOia(e%eu zS)qTUB6U_sU#m!+71DPoeob+=qV&`7{~x7aRNSYypNM|=O~v0TzNbi468bxeP-76f zts>M1gzlnPq}WGskm3-<6BJKU9IIHR$nU8zKJy%yt@IqlMT+MrQn`ivYZdvO71A3N zuT$Kjc%$MiiqwW7|AUH;DSk`wdx|eA{y_03if<^srT9C=KPvuR@nc1*+c3Ud#maw_RRNSh#Q?Xg`4#lr1 z0z{WQ>G_NH7Na}jp<(}v<|G&)!e5@-KnVvvOrwQ=p!Uo1;R^UueT;I%zkTBQUO|4) zV^p3zk3rX~9B;)Ji~dKZOMQHKS&ZiJy3`%GC;%m^GJ!r8b*X8Rb%i(Gm$@-}Q=83M zqECJ6ey61E#>{PRS~tg?=on}$XKZcToVjabe0#hCUkeG<71aG|MrGYS@#}MT+F25H#3yY>cqe^^PZ%Z~6RdIZ!s@|MXXlJ~P&i5_ z4AQXan<88KL>bzZ8rhM)Gq=mtl^Hkosp>T@H-AFqY^y3BDTtI^SiNyfWcafjQB{}~S)C23V&xGDmQP%9{QI>*2piC;STb-)vl#WAjK-dl;xM zx-Q~u{)|qzbaX~1{0#U)5uAg>;8V2snkp{gF93qMv=3jze<$=Dd45QH`5JKvp92U! zLi;3{Rd_n#)E7XcbkymniQG30_e&<|K@9rNrYZ8m~g=MubKQb8*D}KA4d# z{9jLU8lL2C3BN>r-S5LKQ%ZO*3&=sMa!Yt0OZWtkEa6w^$88IiunC&^2wa&r{@n$K+>0x;SSG#f@kyX~d$ z;60TAPgU<0Rc@0v!w5arcbF`wa?`6Ln?^?_BVhxJQRQaz36F#gEPW{js@xpMca%T& zEFIZR;O?iyPg|+mRossFb-UA(zi!-$Qnwy&@);|2`!%k4%5Q~HCdcZT95$@l;~o5r zuF^IR2&U4u7}Ou0U5D1u=KT$A*|#-_3o!uH4hak351rI8M6T(;nZRIl28Uy0BUGlTRH zTwe|Y#4zHAQGSv}`2x!9b8TQdF!(7rZxFj_03ffQw?YXlobHt()f|q$q@oO?02-FQFqXy4*K2M5H7C%lRjC zu>M)vgE=w6B(X1TG>X!Zp>#-Sf{R#*Mg9^VqR*X(SyEFe!d8qA<`xIffwFkEPg#5) zzW#WOl#p9u!KEXE`OtwzaeO+3q8jx6Liq#=nNj@p39>3?Js7js7?az7@6b#7*ewu(i&Jy{cpV)IV;G zacq^Qh3)Q}a2<}X1U&wD%R!sL8>}LOH~?2VEov$X zEUN-`rW=c2axuQixcu_=fM`wLB=Gs=HTmSR3@DTGF2LoNHx3!{4=Tw=a~>|gygiWD z2X0Is6&W&}!Fmt!icDd^&sd28T*mC`j(u`Ca^c&^%YmJB;g@$!50s4w1T^w|PGa@D ze-`@Vth**J5>rZUDq7PgPv$qhpyB`T&@Xp$gAD;#3URS`*f&z&`~>3(r2iCL3I8-K zlO_!Px2Qk+Lh75}3^a!RK7Df;jU}I#xMb<7B?*qibCwU%R+Y$&hs*~O{Ql>1tKm`^ z4mF>UH~Xn0z75GIvhO1PK+!>gWOTs>lVY9X1&S9b-yy@}29oeV2@jO;z~vO zoa(M4z9!P>knSLYkF684P*)cR4VU*cb!yNh2||SE;Pxb<-{Z5B{-ug1DUMYfugFDV z@|~f$SaF%+g^Epzd`6LftKzMScPj2yd_wVA#a9&fD;`k%z2f_d|4_71zKo}h;t<7A zijx&<6?u>l`L`(UAmSN&lj5yJJbdm||8FS$n9~2F^m9u8KUE0jJ}@eIYeM5OOZ#fKDMR1}?0gcqGp4kpmy@|;j^+ERWt5&ro~ zi%vUe(fNe`0QDDLPtc>4ZD&QrA22G^pzS;bl5?Q?k41lE<5P^l>bS^r-(?` zyNaS)33?E^U%H=8MEJ9anK=1FaS;*nM28)Cxzbk?!GFEdJCwdn>H8JGL4>^L6h)UE z^oL4|?jz^|lriOr?jo>GX_TmxKiCDc6xollUeE;y;?@%^QtYF6yyA(9V-&|JRx3_b zoUJ%Vak*lH;suKAPZgL_ zmHxBhhl9G3Z~ZSfw~gk@^|*pR2f3afRX<#V;tX zSG+=Tv*HbkH!9wuNR1E5e@OA$igMq>{RO4v-4)P3Q<~o)VK}3c{(GgVGD3G-@7IW8 zmLhdX=r8ZK0L}M;L}>>!wMyuJqT*P^D#dAvGZm?KLjEO+4T`H3MOPjEqN@(1{s-^B z?TWW3-mdsn#rqW>QG7y?x*`n!vf@t_UsL?G;ya2|7a;#%6s4a69npTFt)l3t!@aB0 z-4%N&^4oQkLv0kIyn_QAtF-8-gPyGPG{rL%YZa-$!tkP_4*Y`BO^O>7uTi9C3;AzW zyi@TW#Rn9>sVMy)_`jnxbzvC(M~bg2zM)9%82W#p7+^mI8ai2m@{SMac1q_fMiqN2 z_E!{Lb?}R>I*|G{ls8^+y5cOwxrz%FMOPjCqN@(PSl!nvQelVkZcw~Y@fJmD@X-H3 z#m5xCrAVC~`oFCBs-pDMaQ}_czgMKz5BY7hU1CHrOEFKet0J{;*#8$R4pS7Jb+}U( zhyJH3PE(wzSgW`|ahc*uMbTA9c+ph{QoV)pL{}Xsy6QmDRR``+|Cd`L=68g#SjLFq|+7KE9NO4r&ypkK(Rz|xZ()K zv5HlS)K{YXGZg15o~^h-ah2j16q^*UQoL62dd2OEUsk+R@oS2^6{#Y{_@7mLN%0lM zR~27Z{I%jcitj1@MG+wH#3eoN(e7e&M?5s_Z_z9T;U(=-#t9%K9QZoW9XL>*2Rx#b z?RNq1bK{Rex*1PYkRS9ImA6FcdLsA-4H-H-<}-&oSA6*Jl5&^*@Vf9XFwxjnFB5RT zFE$|(Y_iui^cGsU0>px^~MgQR5oc^8mejI!MKzZT%OZtGT54doF>)ivt#5vFFFX;)_o^Ztl z*Zl`NfU^*8g>b_Kw;vtgNz_|Ly}Iw`I6Hd%CEelM9lp5W`^hcG4wDI<6W-1C zXGi1}tB7-oh;X8m9&sLnU&waq?4LtOS`+@PG``DqaoV5Yb5RzzUB+UU2&JT?i2V13dJbY!T;exZo{SaHVqqn~~!@9hm?s^Hlsf&qicaTWDo= zr-1K?7xalUOX>Su4?KrMI5FlhpEm3W4p^>>2BrD z0)&R}4Mp2d4+Dhbc*AkBl{*c=LS=E6qp#tZZ8$Erayd5(4dn)2UmKu(mVQJ5Fd~92}eYjm#}c%1Ip+(N?{!(&kR+{dc`RWow?DIU zJheE=LEUYL4;1!#wA5z8IQ3 z(RE=SbDzOqsOBu!g?Y;D#K@;`WT#okmqr7U>X<=}u%I9@XxgRI=w$gz;h$dJm{5ryMp!!g{-O=m2NvhWWM z-Xp@%1GL2Ql9hWJInJi?F*rEP6As>5Qgq)$9o@wY)=hR<ay$t9KE8hV`paKsN@h2 zJWlI_bCaXkG6?Hg%{aFhcZNBWIbF|P_N(t^Cadpe`L$!K+KVD>#+Tgh6Y_(Ek;JLY z*&xj!&Dhvzn|u&+j%`=TA#&swS{2cz6(= z^gL*L+4Ug&!1JK(E!Ts<-px%F2+uHwRKq2X#0BxpuylpK16-rRhCdks5nUeF=KeE` zG2ezg;7;%Dzh}2W^W^gj(k;8yt@~s8T+NmI^2?&R4UISf(f!uX(db->=Ew4-OP4R3 z)5voW8*suS??rPe<@YQ*e^B9vCzT8eE-%KrNUIOcrhE?3qxYETsW8-On4E{vhYpv5E^!&d@V+PRKILq7j-NS@6oGm$67C{J1aem-iQ+JWNPDd0+O0fz?_IdE5gs##o!-;Fsqd=hhR`*A|z_ z@7G~x7`6u*e(U9plzOB8qqzKhMG&%r0o2fs*HgIA_UhHyx*mL`h5(X>=Et~A$#D!^-aw6L>}cc zPQScH6kI1?KqC)qNyhK~Y3K;y_V5`8=YP20$8a4@DY?}D#&=8^Kg-88tbv3%lJA(t zz|JyaUqc<9;fdfP_p}tcHihP-)EK6Nvrl74?=%FE^nEGxvnljXQ|LdW&|JAQhVuM< z3+X;7{wJrvhN=r~FfK~#t0R7RX(h`{2aQm15 zZtvg1&i$VEh@G%MPDEe$S0Xyie-Np>g?1J|XGMLpKvz6#hGl@OW_Y(_Ty-qBO%YB6}RagV)-V@I!VlRwSh#2JXh2%gV_f=thzQd{@-4FXx zM6B|1EWxv!IQFF88J`bCWJQok=2@x`E&FH#)P0EJ35q8xPE?$tI7@M^Vx1!UC&qK3 z;s(X*6mL+xQSmlK(K|!9hn0R(@wYEL?q3EI`@U1M)R$x}UDN zMDaq!s}x1=419Mgy_<+N#&0M-PsA8uuljRknQ{-P`)`&0K z@cYUGIBCMFWto6`#@c%i&B3>-$KZ?A?>(3m4Q@!UvqxNUUwm;S+A+E_&hyXa)-}Z= zQQE@MQ1e@;=WTB+aq$KS<%wyrnp;5CLNK&*`52;mPW_LwxM|w z9${KpIO?{tG?Z$&v^SH2$d1xzPHl?Y&ROvpwQgHO&F$I|?;ni}pB9gly^0!PX$H1z zlGe5jt!;LEmXu~CT86VFB&8Wdj@{Bo+nBaJByFcO8s6xZU1dD7(X^t7w!yHVNmXey zR_lfev?#kYxR^RfDb2#!qjrpm6ikWN^6ofs%NVx0JN9KJoya$8aa*)Ad&I_gDek25 zXryr47`6Ztck3lGUQ1+WJhHQAG%_SIw0m?+{H(auZ`xk>{@W7oUw2O34&VKkD2>GZ zmv+$mFAZ(W&9iB3CPi#prZk$o7U|w?X$QamP#W9EjE|#r4UL?H@+hpe5qm2`a=xkD;H@7kp{X59I&1_z&NTKb-gWbYwWBr@spd z`WbY@Xfd7I>d?=i7teb;m%h(M-V)zP`kzQXugpB}?L_c}DLcdv(9ifI>=Ei`Tt?v^ zlfPg3D3NBRbEpLU3^%Tvba+xfV?TiU8KH|v4@~ESE5n`y!p6Z=Jk2Z<3K;{$Qg7J< z$5DY)7S$s zu*zu$bv&q?yI|pvCFg!vZi1!VgGzZN!?tP zs2jDiZj2S8T&vX0)rq=stt&0twKNzDj-_*5R;k5m%L<_Bg(9;te6p#!nll2fC(uGQ zsM)kkf`wYI?PkEj?`OB03riy{RGy*&XuH+0?52gPC{)pGN4?_i7_7Cs0hTGWusE-v z7z*0%eFJU>87Al`yN6VjKxd#?}2IHsrx5vpo zyTyun4bCDF4BTez??@~@IqSHf;ZB_ z7W_0VY{75R!WLYJS%S3SNwly9Uq%aC@YiW!3qC*#TX5GbSlEIW(ZUwIjuy7yn`mLd zya@|(!YXG!GZ9YqRQnN>y;N^M^ypII--achO(3voVxHlYivI{cQt@YG!@`QckQP?_ z4q908kJG}6|1~YFc&_qF#ZRJz6~CSqR{Slru;RZ>3oHH?w6Nm;MGGsw3kL8~@e^rb z#n-{Y&wJx91NBU8*Dm}@dl!5!y`+mhInW5YI=E?Kn$?xh4l)@AXVcWJHh6-Ys?!V; zx+iwiQTt9vbiN<4?P7%JCV%_k)Qzn|{=iuVuQ1tEioXd{^Uvn(?Q` zJua}@5gZrT36+Ecv5JU%b{E#7RZXCOOEsZfVVF#ij^CO}s1?`K33a%F*6KCQ zv=|wan?ZP{2W&Wpioh0&qwNTza0RVZPBSg@Tq4p;3nhVxzI;9~4NzRdGI&rDY72$GwNn;1dG~tuQ1UJ^%1nMsGh(uxx7dYT5 z#smsLz3WoAT!9PT1acx2M`>bwtWJWphOA7J@1xe7olAFYO(!hC6|~NaG}GcHYbtDF z$m**ISJ3I|Dbsw8A8cs9jE_@Bzh8hWDwDvR z1gzDLFY^;64E}tq>arb;Z9JHoL*N5QZ>Rtdj{d#Ckeg*EX?M6JldGWd%BqpdvUc9NB8c>jZP=t)vmMN|L%>R1?OyVue3yhbQg5v8hK8# zdwJBWw;~AajSEeV;3i3WfgFTmFF>RWUoUVuIIngC5o8tK+T=C<4W4(6&zm_GlaGHE zc)+UfKxDijDw-B{AkY6}?@i#Ns?Puanam^^k`TfkV1P-&F8d}bA|j4#Y7(}vC}9Z( zML-CO76T$$RJ2)8v!J1FRjYofMN5^o*01_iYZY9nT8oydwQ8+jwN|bEKcDA(?#xYy z*7n!$>-GD-e*X)1-sgGFbDneVx#uo3_ns#lZo$A@!nG!GsIXwna*GJ+b)<7QpbX)f zni#V!%9OY=gmZ3Z2Ulh`l(r=Mq>ycMW#*lad|I8P+Y6eas{&ITB6v@&+a zU~i;gvYD?}ra)!O8hln@)mh>eOC~nG#hKw{%VooCslsOsR*YogT}#fvr!5Wm+=&&r zg!WdVhnUS0I6i0as7~`8iC$6vvFrJ0{n+(+vvb7Pc(a;#Wc$2P_fH(#*=RE_?j-MS zacu8LGPw*v65C8%gB4?W2FV**cbqeIrj6`Ef=*}zo5bRL6cL-TVz?0;{}^rrJ=&ST z@4BdouIHQUBBH^Pvxr?-aoyk0#00BkTbiFOa?O1)p@tXwy%#(0uC;|QN-R9xVR#ar z=b4Eky%V(mr(H4>KG;9J&XY^9rXih%QxnryCgeyH(`+{S44<~J_-3sCpc^NmXPWm4 z3(}W=_l$>pf;pQ>xT+QqLs32$m`{wu8p7jo3q4G~(8IzO&p`^J9Nz`akFcWm2wI}9 ziAdVwGY#JZjWaFwnNF6ay#hsZoa2c~tk~U=CMI}dgr0ECP25+FhHA6r+5lty7+_G? z(js>Yl*lEvT5=|_-IDVOS3Ge(OSZnkP6WHmawg#{6DJa%W%x#9-(;4>1hj z0@eFAL1&AvoZYkXEPxXk;cA%Y?A*Kd++92k?f~nsqSFai!+L)WdlNOVf8%CbNNlv^ z-1~vOPD{WO{?B2G3r8rA51a%Db~YkM6R!8?{2a-LO^>`^Xk*1vSBT!@0DSkXp;?ZlS~;ucF56Z}a8T7tg`hXNM{o0za4KLYcJVyqaf z1kakjFD4Jb0j3*4HItyR|E$0tCtY^2FPkIPmO^B+pHp#7Nh|2Y)HvKxw zokh5YB#vOZ@)=&^42ddB&Lo`k1%xY}I1t!Vb!IsC9^hU}&Lj?6GI2_zVVS9lh@F<4 zvm4lB$zp=t(Cp~v&$f5De8P2Y;?C$csP44fnS@FwkL*XFe9ZC-iEP^ii7t8?s{JmP z&@M-j~dShj?s)`dC4zb1YOI z3uVTj8qu@hv`c&EU2uHw&|0ecL}7u)Rl!jHc!J@OgN(uKQYN<0F^aOwwG^W}yAq7} z9AqeHpMwzoh7c5?3tS~_3`bMNz|lcQln$QGk7M~^4jG_1ID;SS`H^f)YsDB8I>M{}chWhr0orSAhjK?% z_s+YlXTh-0dE8S4J+ZI4PCK$GMW+<>Jh4aLLw%z7T+=6d+J@;p^7AHy4hM&ZZmX;q zkk_+sK3dqn*Ko9LYp?w2<)ac#{?XQ~?%QJ$wyGa^`UY-BZVX*jHa_&TpxYjAW{AzZ zNCtMc$hOM^YffK}NeM%T&04#7)w<=Y*Pa_+ziMg4iq)&uwV3tcMm%PZoK>c|O?b2K z+_JTPvDuU>Hz^0N>V4f*sKk^hn>Ry43kSz(ZAZ?%Y9%^!bJr}USzSj?aq~^e(L5xl zvB1`epwNj1ah6%4JhsL&Fv#A*J&e^yWyPE!6kK?8i(PF^~{F81=t*%O<^UgqWZ+uHIH{dKk{ zX5)*+zcdf2#nGLN!QA3FPi7o>3|ii@vL)-!!UWvqt1;op(u2 zVw`}^D$}eeSLR5~$`z~1Jdc=G6&Sh{b9BovT!&(=-O$Aq6>C>4SzpoI%ks60&n@%q zl7E=&0Sn#2nZa8dO*30qZE#3_qZ{hIl zHnD_<;x-!++f5s;tZyn-zrR@!{O|O8{!WIS-`_3pR|OsYu@5|dOOW>a+lY=>=yitd zfWVnp{r*M|3)ojz`eVC1f0ao4HZO1x8ONqWsm05?2(sT_1v;t+`C@a7w@4vK4mxwZ>c6K3ibq-;TR{{le|>wu61->xMvXKlGcg0xwDW zHZQQ}DEI9z3Vj#(%K=%Z-``gJu$lO&2zj1AB%3XM{l8;gRp(~j6TMk}{=x2Z@fRu3@C*LBQApY zYS=u$^76&YmIW3qT3ohx$%;kG8ErWstXsb%(Bit=;%a+@`{cbTZ+h*qZU(x6+et!Y zJeKSluy|&Sn><7ERuVFQZ=`*lc$4z)l)OjsKFN=f-`6dJHJCWE=QR(|g=5KS% zKU?zo%CD5XPcna?q8)$uAzxPh8_IuI@<)=tker6`%zF6}7u&=6EG(ZdJ>#KLKT`56 z68*+AMoJc5>>+Uy<$09kd4udm;(~_Lv(0lDEd3~PoXGnQ+RqS+#52V*@oez|u~NKD ztQG6Tn?>#~`hQS-SbRdGE=sk-sR{i8g--@|}|Tf;02?iI0jF4;K2DBp(tj{wwq!O8#67<03^r zZAHcdqipeBK}G|kJd8y9PZKwYDPibwpJwqXz@=`u1WIkqQ#+xo-wpo?=MMg2mg96=gs|EJfQr;;)kNe zsfIoG70aiSkUL23Dmh>BQ6&5h6Zs-4%S{oB#5u~JFD{jSrMO&lDGk zi^NspTJa*0*D03ccQBIkze&C*Pjdb@d8>GbxLf379p>|WdXf<@Nj?xEpB4GWGUeZi z?~5Obe-r;MX7M}-dtUcQ#)KsK4l~K?AK6djOZ$`=8In9vJXy5IKhX2FX6EzVesZaJ zj<{MpU%W`%BHDETsE0_iXm8gGaI9pD6At-A$rdLZ@(juR`$;S}S6m=+ zx&!sAMb3Jle3i(z^(o&f-Y5Q4{HQK^xsCrOUx$ciFQ3h{z;N8emCUPB+nM-iHpTDk@GR= ze}lM5yi~L}-N?UQvc>6!e3N9(-eCFr#h;50i@z2Rh<3e${i~8W>4W9}EPf(doNnlY zyqThbW!avH*iP&y z=8OHrA>s&;lV@1|WRY`dC@&Vz6W5EJTEqNWkuz*4|A+Vs@jpdQwc&lj>*629_r#CI zzlp(!vriQ{FNfv3i+N&SafmoVJWf18jEmDm&g`MTGsQA-g}6qn5WgdC61R!l#p}cd z@%!Sf;$7ly@u%X?M9wT?d!7?t7GD$J6yFg)54iwAp7Jni>C_XGcCO#uRCvxf(%l}d2 z#3{;u7sDA&j)?8Vj$(JQm)KuCO62@2`kNre#c5)ZI9ud2E!wXT&lA^+8^z5cXLHeh zhuA1KiMNY)i9Z&9B61QK%Rea|5MK~EH;nmji+>jXTl`G?QcTTs_HD!*v6ILtX7txz zWQ1VKqr_vy2_k2rF~3NhC!QfL6U)UlVui>FY%G6;SS?;F?i6>4oaaXSABzu)zYrf2 zpA?@JUlch#j^+O(ekgt-{#^{>iwx}|VwT8Rb=3D3`-y|Z5#kt;0hMV#Q9MPQE*6V( zL{8SD{n_Fc@p6$<_n3c^c&~WB_?Y;l$QgdLe_Q-i{6fsacS`2xh@A3Ad8jy6JXVa0 z)5JOAd~vZ@CY~=|Bwj66i?@iki=1i5`X3da68DQQiLZ!<#kWPyM`Zag#nfykw-Iy1 zPGS$Sx7be{B#sh~7AJ_4#Hr$`BBw#J{!;NQ@f?w}BbmQRyj;9euUz_?3vshi0E4wii2#oViJV1H@tCDDhZvg2)M; zv@a4%#D(Hg@howbxK`x6P?rC$c#T*ia=Iwq}>qhDQXC`{2NueQQqfTNX#okNB-=^`Tjv z7()&A$R`lQ&+38HlMpDnY{QUKhX>NK(oby@+z4e#z+OE6cJEHwgCD%zgf}Vh=j9i# z961z|$>GF%Q|_feFfR}9z_nQV9I3=Jh+yy%tDoihXw@x+z}LSH)}roz<;@?y7j@#y z*vO$HM-4?xhRwnDft)nXz70isMEXWBk(Z18R%a=WI=8TCiRGVpzvb)S4RP<2tSwuq zw^Y9QjTP?|l|&={ae~hx*|$8#xQxc?-wrnny*aPR=f_*kI{D%4pZ-ws_`^>bwtSu4 zU}wWa$rjq>DNT6(Ci>FU-->lJ6IwjG@sM#yCKqfF+ks15fO{;Al`^-@D}$uH7Cay?<(3w~#P&FUL3=hgGU2>=ts(UMP~`Ev?iTh)atSr-Io8KLpInZ7 zHy|7sk<3Ov1npcuNIJ@VPR{4sz7xwLycan92<3>eQ1)4NgdPvTTb4(dOZQ9>?s?qt zTEcDOyngNrK7X}qk(ZAF=jn%y9{JB*bB-A~Zk%0o{_!lzoAbv*4&XNJqJd3cE7fp{2 zuvD9dG~}I$yg*f6)WxjIi>4}-ULR^$5MNL-FTTAbi2U5Bi*A+|O;bd;2=aTB&x>DC zl2Vl$ZHRAE1h=pvw~dHqR=EgXBcfSKv9zl_FWR=srNU^Lt34GFzV;V-Qn+DWd|P2^ z{q(PCe|A+`W1ISE@fS*F`L?c|yrwsXkoO|;w!}S;$*qetPK!TZ(xp5vnp5R%`R2Gw z4MZDVy9Y+YN}U)_kG4~+xm2Y##}NU`dNQ?PDq8AEVYIlUa9}jc?9*+VJSk+`)V4lm z<KJTew%Hv6k1kb&ZOk!UQG9dUrMAS2zOp$CQpi3KjnGj&$ix^G8*%+zZT@?l;+oWXvnCoom@S+ep28!H@rExA$?Hb z;IH3k-#8jaLi>g%a);E8ZtM{3Q{BG4F}Gjs^uU7L(e*=XUJhK5JG`cSL*MGg+|doc z2^8lJgGK)u<}z;-@&+aHMj~$@^BQn;<#wtcP&2q;MD10%9Z^Rr*dsXRhP2vnJ&&^> zj;Ml~K8^kA`!@6_Z&Ti(ykl*r>drO&8wb@7l%`8<*XrDw0gZj?`$01mas0Z~cCYSH z)3>pI{h)>gris?}tnO7auyH{Bg7QJp-nCQ93mPLMv&O`#kE+S2y)#}LPdW5Z{J+Cv zPKcnlx0kfV`1FpJ&2g7XZ3yd#PQg*><$A|-T0`;BWj_IpQ zvXGw}t+!*ABi=>6<5B4%xbawVDMk+Tj$`k5V8lL`yC9y6z3tMGhNAd2CGEIOiU^A?#UsIOM{zu-)QfwWhuYmJ=b6)` zvT;;)u_NWg_$Be4mI|r|y(7crXCn@tm#;o*EXGkjBHBqu-{yD^m3R4V>^M6{`RpAp z-|d4o^)v14l53@SKJBgYZXc)V9P0A9@4b9?&Pl^Q+E&=MKGRBFYiEtN=szb{n;fW5 z)tTUG$=;da3X?}9&LiBv-nk`E<<58maprL;o@LxwlYQ$_?8WwK`{uYyrBtQVyZx7f zo;`9;rX=>HxBrs+G+6KLNsa>Vcu0+Qv^qEnx~qTOzT?ruGndQf-u3cb|A%e=1nRq4 zsbjFEF_qUj+xAblx?}7N9zc6rueRpi_BGw2-O&$se13GZSeEV_HUc-l?hZ8^Y{0Egkb#t!+dWY855#ah z6&l2FTnG#NiOkao{^Wq0%mU{0E97TN`i;y8r_N-C{fsbf1vA3w_HIBVl{c&i+*l5? zWZ=eakV4n;W9-Q+xQWS0_BKa2y(3zP8XtxZe}?ln4wHaXe)UL1Yy3HcwD61gOlL9@ zE46nSqQ{N8?iUP$pr%&lT>9oCE$ zixI1^=6aXJ7h^9uDw!OeOpZw=$0n0UE19_hzG~^~QLLFO;LfDuP-W%{cr@u8li<{( zCX?XTq~}b6Ym?HkU70K3-K6788YhWwHDs(<76|TOeVMCJ^R-OfOsiF>*(AQJmU*^> zuPCgA;q~mx!T4U3xfX^d*d(WLIU!OyHfeRZtwDw9y3NdvI+GS?xmo_W7C33((CaHE-?vhG3j-a z&=!-vG6`)l=|T*{%ynpsNq;d3Z82#Be%#MwSjq<0ci1GNDwRd?(FZ zgZfN*i_+57Fl}T({#FYSwkF=`L>Qa+q!ZCP6F+q#nrC7^{GN!iXrGC*oQMXRxP{_6 z4w;>-h(BUNL`5bZav~}+F$dq9kc)~;oajVUWa1hpq9PM_IT00^xF2HHN#oEJH}JR$ z^R@>^MEhXh?2ehC>>k;(@v~5I(4NBg31ntYfD#9;!qiy+xv=!uYpLHDzAh1|Ez=h_ z4#!|JG+hctOol%=U1m~XURF|!@+`vz!x-z40iMuI>idv9IUoqkX4)o}?noj21HpVu z-=CGpL&9YjdD+dQj?mB<6nh3JUwCBsnapNfLrjZ*r1Bxul}%%6Qt=%ZzNb9#7kPnK7-_ z<7rzjGq%+-p0@QeN4HwW(|TpN|M$85uyvESAF$`PCMKnDfby3RD7S5*dCUo0H!;x< zD|RQLk+VBdlH=+k=X?7mCMw-NCbaLjCydXBhYjYekod@wbBRx!mKcjG4#K1mjE{rE zgK!ZX<`VZfEzt)@6JpH}d`SzO#gd8Rb_X`$I=3}Mnl*Md!Pm)AhG=WaB4Usw zXK_y697`4wKgWulv9FQ|-jkpp@u($>2*wG)_7HJP77|sKoI4GhHN$NsVShz9Fqinb z(-M3i8vB)a-jZ_;0bJ0k1g8kHjZ#RaL$)V!V%j@=v1?(vK%hXcHW44 zEjf$WIn7_`r%6W+V0#EZj0xjlr7uv z$vZ4Gk>KCy<_ViT+j^{!n1F&*larh;;%V%69vPKP?6*NsNc6&a4?( z6($b7cTmf_u0n#39j(Pol)4HDjnA!>H=>?hu1bQh*CYNFQDezMf?W;mBfw*>8N^82 z>Ujhs?xN8I+k^l;gm(PaMCadxVS0-jwS+r!&EkIE=9)$@hzcq@6ZMw5CJ;PCY@3^MerUQ z2ib0bZ((A4i9S~AT)v-wmump=iPMfptrJ`Wh^>|^@oG&&@rd(8Y_#NDg0mjrpK!I# zC2n_G;;(hC%LF)|=ISAM`o*r=TggO?Ra!{!e2fd#yTE6zuL<@PtoKzi@u_uNArZH} znoCTvWFg`9+gz_*%Te9gu4ZDERW_fPY^$;3WaFTpDt zqTlh5E_U@2Zd50>cq=Nq+|^6425iGal}y}b)fEzVSh9$4NBI2lsCj~G1i`mPQSgyU zCOCxy-B0i>Qe2gY0a#(OtulGA&-XUJ4s|!UW)M7w;oQSl<#$@LkZ?7Z%tCdXbb}fQ zp2m5C#$zsfF9B-4p1=W%F`ld|F~oS>eTp?ZP<^nHq09F2vsV*!!AG9EEz+SP2(8`$`4G*ZRWW~?M*6MX|Hvuh3oaT*Y#(M_PYLT(O%b|++1IKtv_3~ z*Lu_Tk*~e3D_gWTsr0v3L%{mCl_B7|nBCzU0_7|b3A7oq(9iTs~K`H1Bg6Z|F?4pi^hl*W{-pHEmn z1(RpZdL|+3oz1KdCaj-^$@6AiM9BK~X4bDJtoOs@MYAp@WPM9B>q7}^{@Js`W<8UT z^{!^thZEL^VDhF}7ZJ2Zn8B(|2olP)w)5?T{TncP+w6-8+1ItOe>Y+O0gOH|`IgXH~&q zMJRjPsK|rZe~1#doT%nUGR)fX6zB58f~HMxCATb*JFk`8WZBkoTU7LIMQerygtL!J zo7Zc(|NM3&TWTBqZQJtA#w}W!Y;%iLvbM9DZ_GD~-(2~>F}Jntu%@-x4hz+1Q2>+S z`wF;4L-=w0giwc+$-%t5UiLrln-aZ`&p&oJ3x%>Oh!#89wk^5KtbNH&{1&<2JZxLI z`nIAq!}`TiQ`zv=4jjw9o4GAKe{-w8Ik$zY{}Is+;?_F*+tzj}H+mUAT6nRMlkB>r zzyFaEHf)o1eDhJ(BKO~TSbeiA>!B9i^vxyy=W_o?_Ckwo`*+uY3!#6Q9X}!R;MT2p zH!m1W#ul_-fQit6zF3XEK#mr`(ypSq@*?tEMcLZrD=*|VIN-uhCVV6#82+m-EuRz{ zJ#^gA(Xo-k@gn-sBge!B;Jx9p#p}uj#unfW<6&jzE-72KtZdnk(Tm0nS%TPgOUq+; zQG3NY1k;R-95HId=%dew4LNt|n)SyobuWUSI~+3JEkAcjY{*&5E?B&F`H+#ZA*YXu z4OzZw^$^6jTd}la$l{eN7Oz{e>MSUiD>Ubjb!9F@Cv?jeS1eA*E^elRC?=v=T6oQM zE6!R~wk&4pWbYze4X8JJWw^!V zA54sgM22NEE~0;797O+eg;vVt=JNwTq+(XGFWY6LKG7doB5<&#do<5m= zup1K#<)aglt7kG~_Bqd8jB9B3N=IH|zbR57gA*nq6*6q$*IsQ<&nm1L7$_UaF7ClA zXTQ09Xp%6#xn?w9^G$?r=3OpM^5pYgUmNK6hQsx6D%6#HMnU7z{J<>lcnQ?$<_p11g^q)#* zoFA6!gCRoltqI!m88~IWNkF+&G9T(w-XfXL-6`|=ExAkjJ0it4;8crQ7mrcS?RB}IYC<*)VlH=lOBv}_Kg3+`gSV+CdqsV%lvyK?^FI`((~N~`h8jQVae}H{!}s_iqQ|B%8`8Ah2{8? z17*HsK$(xeNj~PKo)0T2^Wh<7K7VFAmy4^U-zfQV@fs54c1pfYyoZFJeUcv&pC)1d zs^mAt_et1)Avq1_J=-rN?4y$Vi9<=)kC)82Ewn$4ggv^$=0#_bIKlEdN}`Cd8;Mt_ z`jWVD;Qb);M~TOZCyH^A_k*YM{2a;MCHIy*P%@tk)2>F`CGvbq{XX$Y@u2vo_@$VI z^AYX4iTy>3zXttDl8Z!(vj+XSlD{KfAzm-uDq8$Z*#A=UGvaT>KZ$=6({Wy8dpe5+ z;t25s@l8eZLp^h={VqD+BpzH09nRc2~1``N%RE zyUv&^+M^rn_db#bh{uS>i<8AEVu`p=Tq>R=UMOBHZWV33qCOj^;7;jp7Jne_7Wasc zicg3K#23WZ#5cr0itmY^h@Xiejw{rkF4{PT+(~i|(Z)0M7UvZlEIr?rXZaJvmT?gI z{yg(ni~V68|W^C-SX(=4XmMMScgNp6}t4Cx~`jK%Onx zi#NDV@@1kOAF$&a`Yitgakt3(2kQCGKKX?BtoWk%JJE|L$omS~zc2DNf65__V=zn1 z5xa^##KGcl@o3Th=sU_!k{lQ9xP!h(a*1fiA@oZnpCzsm*NPX47mHiPZQ}RDTCqXo z+gjWnFaF>il6Q-H#0SM+h>wX+iU-6O#8<^b;#=aML^~g#UOr!D{eKtj`~ca`4g~y~RBQAC~?x(c=6(G2i0z}b zs6Su4SiD5!eJ=C2ixyWAX^X1}-X{H>;*Z4pM2jDc^e-hpE>zd%dy0L;0V1d0vHWr3Brz_YDi(<);zDt$c$T>%~U# zCh<1$Zt+LrUU8rJpW=UsPm9lroI=R@Exsi9uH+9yPAX)6D8n&B%oe+fJ;Z#mpEyJu zA&wKrizkay#X@nGxIkPaE*H-hIn9ynyI9;RZWF&J)`|_{jpD819pY|rkN7k3m*V5% zQ{r>tOX6$d8zLuOvV9+mUx<7NLA}MF1lvls_>+)xCHEFB9wqdHBo7tGh{uSN#JFg2 zDN)YiQi3JYFBHqf6(XmGvRxa+OU3Vs*N8P@y?BFoi+Hf4K*MTk>?Lx-E%T2PXNZNO#g#<9 z#gzmtt|Vx2CBds?_dRi!Xz?YHf1l)^icgA9i=5)i`u`+;C34y;^%gG@%#}P)93om= zNaR~wNN~3F^Tcz-^F)jH2)k<}Un|y&oK(zu?-B14e=2g8G4r1mUl4yI{$6}bb+O`^qfg#8}L4~oAKe4{#pE7{7U3xYT9=adx*Woqr_q2L~*h> zT|8Y}ATAO)9h?3u#P5ik#H+;biMzyG#2`D?W3w$5wo`cJ;7WA`T>?J+>AHa^#qiW4~=ou8qgC70Eb| zJ@FLrgZn1O7scnqPmO=DC$z10_rgfK>W09Tx$Sp+KhSPxPEB^zr1H|-oSm;k7UxE4 z14ji8WWV07F;H`Jd`tX_c<<==@{)LP$GrH)@&Ka#r0m#NzAZjK9t7v%!{TdE>=c;s4CS;&qU;&w7SfC zdY=_9EzPP=H+|dsU8QX-m0EwOG{aJ9hzR2%#H81U72TyxeQH%(my1YKIY)=f77b1#~7rkY3JZyfw-02o$$mx<1#Da=yGy`o9 z)NL()6t#P?Xwsr?yFD935<~4y$7n~+&dWvAvC@H2*DLg&rrKRZo$2v9eWpd8wz>dhyOD11B84m>ZfBI(j&L!pT>rx7~-kYZgIN9|% zb<@gI3S2z4j?E&c_~XH)Z2RHvVhpgn>L+reSbJjaRUNG_j)ad1SNBBps_-$X)x8ku zC49`Ua?@&iHg+zLVjuLW3RNE&?X71+Uj3}{Q_C+!G&HZD(={fdjnRf)h)LBMMl^x?KO+Bh&;QtILuIZe-cdMtp)6Rn&p-Lv6DjE54_1Qp+`7s}?AMn+AE z$Lx4;5#pjlv zS-vISrrwK-#ciAypA>If-l=?Gv|W{p(dOESo>2TECm$1SU*(Rf5f($}m}rM8cf5Jy zabUD#3lE*-A*g-9sB*cbMdguE8FkTUy7kCOakn?Zjp6aJ((rLT%R`L=qT%DBI4;~i z=&UUWA%+;Yo00LnvCu_%sf}s%J2lWBAxE<>BLcmm@kCTGXaC z!}hL=g)}1Ct;$6ua`M1v_bL|!%hg{L?@{GNMcSgMU{e);?2@>%^tLZtpTV()ao)ME zy^XOpjopkmBQ{Ja?NlBa*%8~uW2$F)TWbZ6YZtZ7>&FH0NM3H~Rg-h-yglJX@e3+G z-I?+5gyA^4U9=}2`z~&l=ONXN<70E{QZolosvK z>?{&#K&(L=5gqEfL?ddi7YWO2O^?RSdEp6d(uS9oQNU;w8*n? z`!dv+r55Fm$g0j$BrNuhi#g_P+ayFei{LEOsjhA^_wPVN=5kT7y!OP^J6>$KG#!hc zhcq6&$D~yk;%wnYSgN9+dA*a}nAQ+HuCO$l*UA0yH~ZG-H~cEl4^gF_$jz_m-O#VP zFVekhGJ^f<5tXW;zsUtP(-6H6v8NEVFV--i`q|uAO@3p6jmzYj%{x{L8uJ?#md|c> zX7i%u^{$UKoL)W$BYj>Xh8=3RSZ=D|B>d(lC?{Tpi>Sl_3iq;&he z#pQVz`{9BV8Hv-e(=_&~n-3e8e^iU>;K}j1 z@zdgm_H2%4MXPc4PTdw9bjJS81q%W<{#dmAkXo((=vu z9nxZm16Y^K{NUKq(#YuhCcS^K^P$*~Hv0$tX5x@1_HP~%IWQ4tN?L>tc`4TU=mFOA ztNqb^Rq^`xP4N$Y79J58awBTUC`XIaUdj!QZHH^$eUm;pSo_xIf<|o3_xHd4R?d(Y z_rLZQ-_^k5Gc4gCpF0NmaFY)#TP}#5wi`OcPHPYQ(7Q}WCz&P^;sdyp)N>$(O7W4> zZvj73(x)*aoce1>q1jZ8tKeri-5#MwQti!wNID-lCc~&54=J>TMFt(s&$X1t7BV@S z$w@1mj{!t5Vo&8G;r~V!0;~NMO;3FTIf;mB&qGKHv%Tpz;Ukh0ek^h{tlHc`tH&c$ zrW6F)+)wcd%StH-w^>i|$;g|Q8)-9z;;&?!1&912cIot;%Eyo4ry_qrIwLp&pTTFW z|29I;CHMdmnKPkgf)B$jF4r@Mkm957tQmpefxD6T0oJz9!zTFbAtY0(XS99UCl%uB zte5u~Qhcl-)0wC+YYjqaJ$DGYk0G_IxF8UGp4*wlfMhQ`1V?->n^gfTlh)&@URDL- zZJBgFz8qy$Al#NoubFf~F+^_Sd-(E{RdK;oiJ7Q4>)hdi;ET*0XcFo$iSH0)or^k5 zdc`EvVG`ft$yyl*zQm2a8bS`=K;zp+H-PPfGlL`B2a8fFkSq*U&qxb&Sf%`yd4(vG z7M_Hy>&PbX#j{R(k-%Sd4&DwScmm%o!Olh{k75m8fi38QU2uZ!j4z?;!q{jh1P{Tg zCw@onik)y`kPUkRQm*ZVNtPR`-m@v!_Jb$y+LU{Um3Zd(M-EM{>?$X}OPTwAvNd-+ zemv{S*ls7A$lu($GPqmZ#PjhJRaXvyQ-T~3;kFcE>_q+m)0Kn3i39L`8Z{MDW;ZwC z#U#iW22OqsacG=F_xy;KD(w1p6XrAnw*@{{oSMx?*9Fxke2g!nj3SBS;28N8o}Sf zm~M|Ca2k7I?D4cf&dW07pQB3}?rCO-S>S=3cV)=ur=DTx;(*^F=0gWMd?q{DBx=Lt zYWx@#+`(2(NU?#-S5cqEMg=cHmJQq#roM#A2JXa^L)amU@e&#TNDqc?%JslL%D(4fwwlQS`-VFXZa(JXB`k7iB#@~fyI*;XO@Jc9x zgM&dj?Rf}7&ztzc!@yE7!F~?}M+Sqxrip#<)ZX(=e83mC^M5F`U&ml(=CPT_r3Nuf z@yl)~o5IwNeAtoEYS=#-W+L!k1crzrn1TlTg8NZyi&%ej!(6z@_KC=Cmy866zP@5h z5FrakbfnkzS8fU77q03_DAd8rz_~COoND=<@&Z#k@~>HSPBbjl7mtuGx~O5;0=A^9 z>XMkNmQX|;-Fza9yC=mcD#7asUT4r%G*KsFAdb&sW%xsnQvq8r00In-LUQOL-HF7x zhUq>eubhZw_yLm1r5}=rq(U$su?VLmGf}N&7ZDS&B6|jT7ghwuA@0E%3S5xY#01~v zhLF?5L^1rq2x?n!7PNQR5YnIzlB#W%%f!#cNKC|v#t?5{ZG*syX(Rhw5Xf($_%2q2 zDv_!n+(gBv&6SZRD#EDUXPMPR1)JnkCfn$%24!s-jL(T!5l(?%(e|$9>cX^qTtuU6 z6xAEBc1$#iIkcDhXcIF!rL^XDbhHVru_cC2c4IJ5 zOiaYeIFclrg$*rC^S5(6lx+hSP;GUgg;dS=)M_}|M%4qJTGnV4RR>$BeeH>(;%Qh@ z1G8$wwOLFSVogJpl$kEZ+Qwv>L?|x9nqjI7!qibN$I6!0hN+`zn5t{BW+&7aM5w2Z zV-Wjh$EMnFbsax;;-fZ9^&?n2w9J}a8>aps)^?~PSq2$Ya-?Mh@D~{92Rh2R*zVLo zZ5BjGws`&#?q?SaOKQV&tg-a{7}l&np-)TA(^z56h9<13+n>~b#&`yb8ROYi&mF%j$J+A#Iq zvF5O|o8?fOZ#md!&2p&igEc#V92S!=>e%WW>TRETIn)lt+Afj9VyK=h=))mO2 zdMs9-H)f$AwLF$OB)ld2k9ybbUK0{s>vhMmFu4~i0)FiUhNH`n^m2Jf-(I)rG=hNuGmXR+liNg6^Qa#gq(=>RgjakH+V8?Vu>E9k@L*mVVc z_*(3`f|g#3haxc+E3R_HvDh+%bK1R$iSbymvj|@OOz)K=p~L#k7jb^~!bO~unvx68 zwzzH*%*TabVlvY`iDO_O=&G=y-aVU`xD9JM1w<8UqHrfx7!s>c0SxzUVxqfi?NgOZ zjKhjjPgSxM1-{6P%_XK-au&fa0&wtDCFkStokNt_Yc)||Go^?m`mO`&?PpCWCU_%~ ziTRd0QZl~!s{4q(O;l+65+gnzx;|LZY{GR9MiSDt48rGNtjH%mL(9;rhbo!qfctbb z;-ShkT*xSN;f;Hsh>)X)D$NT%Mq(X}75T*Zuz{mTDw){ngoBk#G-1V6nUIrY#Aa^6 z4CjPk)FwFDXMveHZwsy2XRV>Y7kuG}kfVKx*3c1SZ=&?$l}z04gy$=naA8!72$g)i zS;hO*XrCg=!TMeto`_&Zd|#4N1vN623? z3R!nF(07mf07R7AwTX%6v0_W{WfTLQ!b@1Or35R1;azrkuuFo01;iYzp}=>-O-!&h z=syBFrJ1k0%ohdj7dWRPc>wf+=a^MeL>$J7N^aT2#HUzMz(Ro8P3{*^V6zCdW}mg@ zA!`Cc8#2M*XDGGb_7(-cjgD;~!qzWy2=&YU%CTq~U%*9~eb!bAYAY4VwzfF%vRsGU zzKMx`SmD9Kn>A73TqB$!2(@*$wUxUE!5fJUSVQ=1V#4)Y$wjEV&b0=i!?71As5Mk1 zTXQ9PVLR3wy8>kn%>OtzFxrG0!7OTdvPe=16k87T1cdC48oiD7b z-Ar!9%Hd({rf@k{w3`ZRH)ZZ5w3|AdU)gSSqAgyp=LHbxQT@z4r3jl5bf|VvqT`*Z z>&~w+_4*!r8D5j7wOMbY7vI6EEnxCftk{B&YzruSh80^tg>3<4{-%O0pbjB3xdqfb zixoYe&|1$^c?c_dK9OPf(zRi#-@uBVZ=QvM)T`&4=h;_}9q7gDu&Uim-hvhFe#F{M zfl~s|ZYr$Zl-2Xp;nL7r&tn{C4#bTbJDMZhjrV+vcROMd-QJpADvm7A&g=8!cu(eK zVB0t$P_1W@_YP?N7uI?TQLJb^71nynoP>m4rw-9e*?MZ;#fn}}Xsy?&E5l34Eq11!y$={`r^(r;0h}d+ z;=HCjU}a``Wtf0TuEmP_ ziIwmNUr$xuh{P^e2T=-N&>yU1Vu?8}B(xn{H%)_U&NGJ}0zX3_S%QQuyjWa=6}g1l zj(PXMvHNCKNUSlp^N9*q1HsXV$jtNM^i1cUa9c6ooa2LgkGF;Rj^d)r@(ThL+@(;u zFJJsB@emZe353$c|D`1roV1VQz`iE+#u3-6iPuQp=)p7@0GJAn2z+C)3r?>l4h#-4 znC(l%^yO8ZgAK|@5=vfNIqXn2ji=1ycNky$lOcfF{s&z9s}kSSeC>B(h3SUv=ZHmZ z$uCX5=DVoGv}E(S&(MU7fwq-wK3j{j4_QmO=~S?_P(GeeQo$ntWpT!*Su5S|n$JvM z_q*n|=ziCHT6*1|Xg-xkG@nXe@1yy2=<9vgd@6mt@0w4gulMbO)oSzI=%Kl<_mf}U zeZB8S4=sJYpZt>TYko4cv9I?rc(_5n-gkqCN?-50!9%65_c3^=@p^v-j-3*$xZNXo zaN&EzWb$R~ZXC96S2Dq{5wIb2*e0X*(iaXitnSNjhD&!MQHc5&lio532^`GCjg~AS z?r~b;ey1h&IxX>}(-OSB=O5}Rv>Sca0e{dXmRzK8w@qAR$yo%eaev>3Dd%sN-(QjW zd(lc15zckuq)m-;T}*h*D7Ip+nt3t7Vyvh*(a%)6k~94!XZlLc^p~8ODA@@mT~lYX zKG&kek3<#FaMSolg~%OdF^8zbif>z+nwW4MJ%`)GYG_Wri4|YMhITIf^Jw9#m zYC$i1{pfpCkj%AScJ)@^_8(a*4^J~y^}#mcgEu>l*#jUBk~tX1n{6{}aTTo=Qu&@nI0 z;6j8Wv|xnijamr(kX5T!l?^Fdyky0~6|0u6T)(Vr;kk>KmakY<7WntN<)4Rl|EIco ze1V1jPlF#n6AYf!JJdE7S{Ew_Wem*^RSfOfCzKh>E9hB(_ft}K@uM$4dhnxPBGrc} z#&mTVmP+b+F{3{}7+f?Z%8z7j==>NJ1NdQc$)X}_~6UJh74YYb%6!>OwLi4f=7@NWXswoWi z>1#y`yKi>dBG=kyI@{b9o=;~*vs$UrG8VRyaT;~ATghNV(vU(ZlhEVNmdjWSC)NXS0$)W!aL_WMUak!pR zuzqUZ`MvUSte5x7$Ki_4>hJd~$jisUeA+1mJ&*65cj}B@`OW0{1wHffk>TZ^dQ`#I znwsY4kB7s-lIaDZ%%P!nk=Tag%O})wJMAE|u04*T?K$5L50#C-aC)Cmn`tM6vc`wz zlvsX?1JiUpPfCg4WVPnh70X5h_&GAL0x@{wD;J+-f&7-Ns6c??vbF0LuUv$P$%$CI zi3wLOu=0`%M_NQ>m=~9wKcj5bSrz4o3YZ8N?2E~qjNrUxl3CAMykupWMHU{15W;la zYOv-tC$B;i6(86|ZeBAB!G)Kha57pkgA^wMI0_=>CzYpNRM`|MgnaeHyUe_< z8L>|7CPxHTtU4bL)J{3+q+??PPC0FMY?j?`FJHYjhS=V*lNH-LR=9Tc(z12yR>ljJ@Lz$i zuml4s8?&?81_t=Pi}0(+*Tt8fl)a{zEx*ouz3u*BAD>@-ziVbYIXkv3az#4-Oy<8H zv)cB)x2N3+#v)c%lx?UOn%HNafg1{~7%gU7o)ykY@Y5Wp4(4FYy`+rI&XZ(tdwC;V-84p-93X zUmo+yAQ{+>_pa-G3NQJC7Iu9*qFvos!Y!WN%@F=AN`i0x$jX(C0ui1f8 z%sGkrD)5qIioc!{oFWQfIGDd2kaha~g-=9`UFf{U^XJJP{rZ1L9B#HHxp=+eG>og= zJl}V~!Gv+Po9Fumiskc&OD-Wi)bKCgChm4~dycH+f|)5c){<@D9!oAE8&mXqP zPu^I$o8CSZfj-NUVZ9eG^9ij6{BGq^{k3=UUvuU5f{3qQF>-mb>SZg=Z`L>yT6`-( zTv==nF<|`hohp*;Q|ElCS#rH}0=gS4O3uF9{ zStRtilJmtuB>XHSyJPByI78b%heWw@$!jH7Nv;#`6utiDIfi~8AyNK$(fS+uHzmI- zT7SbXMeWZPyO6N&Ck~eWBFTK*O#gf+OuJo@`EZr;UdenHhIU@Ry)5~V$Tv2a|AA=z z$M&dytpDJz17+x=((`XMvmU;pNKO<>L_RR4Js;~b-{P}F=EG|0>!iO|@?OagOMYDB z+aI()D83=SD}E?`L86{8PWogQv6slFt{e}HlS)pLe!k>o;z||SQcS_H<7HR*8_!J30{qSg*+h@A3e+adXK@fs5T8zkQ%-bKRyBP7lnFN%yyN%<2o&f^<$3E5k7F`{^4 zOSvbG2NK(Hjkue{eeVM#Zt~zJz%R7CqNm{Ii1$ZiXOXwajH}+4%+cdHz9WM6T# zI7uuN&lFdR7m7UZvHdrSJfE?BFO#^3c}?VbjrDvi@;pYFFHVyd4;tnAQwA;mGkA*R zV%g1=yhQR@lGjSUK=KyJ-<4b=`6lsR@nO;8F{2%aB>!2oKcx$OTbxJO&Zsz8w7AL8 zpGX<)<*PTeE0VlW@?yy=C7&mGqhyPRjB*Wj14MkiGX1|A-+r~q zuM;m4?Yx0@d{^>S;ttWyAISfKWZsYS_~88$dB6CW_@sD1wDSn;4oiMp{G0f9k@r#b z*Fh{0?T;=)KTh&^k@9ov@O*BHtCIJW`B{eD{+2Qjzy#lrI!77I%nsqMg5xzE|>- z;?p9-Wzf%GMBZ;v{z7E@49e|9-gi;%E;6D9nA#M?Q|3$kU zBIhJfzC*lQ{JHqB$fzB(`lzhEq*uB7QY+(t@N*pe-z&n86L#85kC=;ZN#=BxJf3uGIKZ+K28!{uBP#?s#l#Ga3qQ%vQK2Ng6)rLG+@)+?L(c)?&f0|^A zs||U!WJX9~J!gyOiR;Bmaf^7B_&xDDu|Z^n75e)R@qY0E(c)(#-{NP3&r5$${GIrF zkr7(x?^7{|pAIRfiWWB;atF!X#GWD}y3l^4c#L?w7#F9BMdEDn3~{k&akAlWmE`Y; zo5ah-D@8_-Vf{_wZQ`BckHw#ezYzaZw7A$PzhAP&#fJQfWJaW+{}05!il2+&OqU-K z+ld`T29;p>nAl%DN@R!|=1&w)5vPl@M2mwBJBxn}TKsEpjq)qRi^WUCZQ^$ETG8TQ zqx>$(76%*hk0jqGTAXX>pO$QKt|9+M@*Cot;(v>O6~7euot4{}DYg@H#i%$?93qYu z$B7mf8-7lbJVPuL&kz@j3=+h8FA^^iFB7j3Ys4n;X7Nt(9`PsQgW|)Y#nnbV&q#hw zd|7-={FC@+kx`4-zKCdXwISzA9w&|$OT}g4HgUUni+H>EWAP{ABjV%YLGe}beepvv zJzM)t%oqEK7C#&9wfNcKLg`DzbHvr+Msc%PCGHR{ZZ`bfE7{^=L;kJgFylT$j)=X) zd~t|qaj#)FLGmQASezr4iz~%T#LL8;;x2KoxKDghd|CX1_($;*@iQ?4U&Pq{Y>{!P zC=V73#aZG8aih3HtP^h*e<1D=_ll2;Pl>OIZ-{>tKNoZGU6SqTBn}dXij&1D;!JU_ zxI|noR)`zK%f%~2#^GXpH;Z?Q_lWn2KNTMm9}yY1i{)PuUlZRD|0upEek6V>GR_yv zXNn!fE@DqHPaGf)7DtIkixb32;&kzJagI1&WLz=Uf38>|ZV)$#mx@=4*NE4Pjp9w> zZQ|YHkHo#=K9O?7Uzg(h>OK?aizFUyg;lJw}=eX#`>=nZxinn9~6Hf?iZgI-xS{wKNr6e z+jesP+l%>PKk;bsIFXU!*q+(qa`9~OJK`p>O57pdD&8SJApTr@O588LF8)FMMEp!- zs5-W%lh{`rC>|?L5Kk9pip#`u@j~%p@hb6q;x6$P@jmgVB4g#TJaGvx)tEU)(O(?;23s_>YnB%Z0yp;O8qN zzHVDSZg-7V4t6-bnEep_?)h8(K8NGTevk0-aSk>A@Hdh6kSEK}O37B~6qIg>Pl+E@KA`0O?u&8|FF)sRxzBd}s(T?~@PFQQ zYR*Gl2bQ*}{Y%&Es`>HZ(MV%@HRC7OPOq7^Id|TPfr8BHjM{P0;&^sr8~f`N84a0@ z%(-g%6=pF#{(9lD(Kd}G@rdPLHvLkSY+IdGJKRd9TTWI(+s2De-*{Sw?pK{y)9pJa zo*w^GQM(&+nj)ijPmYY)H|d50?RR#lTN-G*w^MEVD$D^pSam2I?OatBX@70tz@|fa zQ5TnfXtdJ}hXd~gGIn%r%H7%F+CblqRi6afU7xih=i02MtQ$@!KezmguIblDuKjb@ zj&<#BXtSf;&g|>MV=jq*e_sFc?^vGw#(0r<%q0i&)CbJ$d>`DwkRvM$fUN`%4Pp zhSWxG`}nEHP3e$3{lsA2)JYjlS4^G~|6$SLZtryq47le&&d#hGIy41Gzt=4XtWsmfxlp87wyWF^} z+sw(gO?vuZk7iNAyX*`M{&;`a8v}jAW3j(t-L4xJ9TgoMz4t8)>CIT1{VkUnk;dI! ztJ+rQ)^w=tyaPd<4ivrqR-o(7-v$nM3lDF6@l)?snmcIXAX%;_(p~ zJw5)%LT_Yu*xC68j_fYA9j{IK|JeHy_^OKQ|9jtkNnRF0fB*?R<)`3ljQZLO7o)Ktunf3IlLv6PA4W?fH zH|t|7{nEDEGPWmO_9aXtaBXjDap{0yiybYtome%iDq(Z8?YLUd7N#8R98B2ux3;gf zO}Vrs+IP!s{$p=%qIC~U>stP7yMMG@9o`atG19)>);Qthae6dv`%a0pV7718!-!!g zOFdi_)4oqSc}Royo#JWVsdDVMmn7{t;pF2*?w8WGo9!4qCu zN$YNjBf9wMHal8xI~z4LE6@fl!q>w&*lJtqrY^y`hg)e2KOvZ7&$rsvT@}99`m5!; z%*tt7wJ+Ru^6c-el~0MZ@@8n|Q_;$wY|zTBZXfS&y93+z_QjbCWp3^hJU)0V+W5WU zd&6krE8(r8Th3eBEa(r~Ywcr__n&v+j4F41d+e65_SFlolASY(?uy30kJPle#?Tg= z->$?>!p3`#RpG`+wE4s0^3NgKksDy}ctm4;_n#4baihri3&UN;OH9Ij0A~QheP{FE zA4kIg=M#pDmP$;*uK~`B43AvL|3KVPknsf)@==cHlJm5zb%ye+*%Z&Hmh;TxhzfWK@$iQiTS+oU`zI{>1Mtb1Z8Coc0*T-!pQglQ5*67htc!PeG#ve7T?oOUJJy8|myBZAuH8B1)17xZ0>r3 z9Qv?1zT149WshZmn}xddQOYA80y2#co>zhR40Xlzz?UE{2XQoD;ilml*OJ#5+m_O} z1dax`6`Oy$T@R*RWZSK&wlyoaHS4f78#OpB?9QpJlAYA{sS~ikda0d;n!y~=$|KE<>X1p%ESeg~Bc+ zsM0Y+iek~f#**VG=|>T{w#YbHCcDthbs*C{A?d~usKOO8T38e&=gJ?nQJDgd)m`?i zU{?}`Cxb|X4=j6nC4jaZ2%0ic!l+9}axryLbpeQqItG&5bc75OZxXWjY4E5uLKpD4 z;0!Sr-m|QTro)4-pm1*jUr+*53ymbcF z*upyB2p@vSYArM+It`wyb)OCv!!%b%-3>>UrSMF3CRhy55PG@L49|v#D1qlX4(b<G(FiV<>#W2j#>BHlJP7YYZ#&MzeY`;e+S(CB+MFVqz+?@3 zy&_39mOyD7{jL=ZP!_!*q9e`~Ej6OhG$tw;(*wGa;|TGnR=0CwsMsyRP^LQ|IgBGP zv)CT69g;FRyD>iImcyfLdsZ;ula!|n0Pvcj3~{MMkKY4e<>GQT#q)NCZJ?ltN|1_} z3Q^I_01fbvj=;xmi8sg3^3$LYO_aa~S$2lR0(c}N=n zdMtvcWp@F|K4Q!chI+U%1ggNrhyRF6LI~Fe!PPK%NNr8>sPFVsQL_WQ8+Xkf5OK^xpZoGg13i*{sUh6-(yUl+`R-Sh+YCYXe!< z;+k1l00UvXZ*e~4yo#DCP*UZ(aMW9tUE?GV&v8`oGu|}O0N8ePIQjW-3zvRxF;|qix@RnOG#=;7FlBEzTS~PSxZM& z$dM(4x|31NKbGFd!}2**{hi=sXI71~v<7=CVF<;XDcg3EXJdgaEVsq;^{7bKz80)apR=IGX`7qf;Y6Ii^sJ6q9XofIlYSSOQh8D-QVYV6^@gNPMdPB4LC&1E ziyF7xrnvdI)#0Q_tvEF`&a(ba`xl()A*WNwnVu{EIE&fC?`O`Eft*Fj{y%dTXTwY{ zdKRCt>`q4&9ChrpInEg&UpzRtLJRwRn-zm%uKcnxq?Z+kYOqYv;02Ylv8+w??CQ!z z(Fx7b1Xj#yNbdSZi>v2Pte9(|BS9e|tL)6Is&F-UXF)^8UH2#=hRm%d7UR(cl%#N; zgOjCrbV(e~x=oR_pkmZUW_A~p%~(=XQ@LpN+-2yA*HkT;HzU+@&a5*l7R~Ni5b8O)cc|y=`3rh3 zu31z)v!-Xo-0BL{@f<|XUNV1XP4$BLJr`FBE=Js}ikgZDjn#kLlNnh8E4nNat2(k4 zm7JcL3l{Utxd$Asm|3#`4^34lT8OfdwU55b+ES5ammUz7Y z=ShYSI7gB#hHQpMmJdOLQq#`!uW`=zU4r|3?&4+hYAR*`YZkd!WkOuB$jW7dvT|oE zUhFPM6j{bddx6+%DRv>FMk3zx8!PHPqdbv6@THGrtryfTUJP8jf3~U$3Q1|p97SO-QQ=NNkRajug0nB`d#GH1bi^`)c1vmH|(%VqR!L)g?2 zf>sY?#(Ap78QvB|c=h#wK5p7WeSFa{`mROTq(RVH3g$7M2ot=cf!tNFpWtj2 zpuPEyKL+0xAS2v+N*Co^sx<5Uki9szIPBdX%Ms)K;NBB)bpF*dG)PRz9E3rLDxz3xfJH!KyHm8faZ`m z`r|#0ZJv7J@tAsH9eIjvP3{OgCzBa?CP*~bJc3qQXS4%E1`#&;(hz2zUVZO%!8}#O z8E5nv+MqZ7`&j#^tx+uKm+w{l(%?E%OD@H=kYeTIMgCAk-t>Y-KF~m(S9H`laz%RS zlqiAF_pvroJLqA3yN+id>b}X^NLU6U;;5&4gmU#3f#x}3-^;a-_$ih&`%bQXv`A^* zkGZzd1wiAZT(Rp7nHTfeC64CKTQWDY=+KgCv+7Wz+b(aYU;Dh(M23x)EutdJS_#kf zFW4@LcPKum7{q~cJ&e^v@V6=6q4=EQYL#anPPu)GFDR~4xm}9)E54+dPC1;&5D_PY z_q53R8yIP1tD!w2uTdh*jRjFOnU9Z4(DJo((~-|(BMwj;qBvY}lHxSQYQ_1AXDhB$ z{F&k=#VZwmp?H(xU5bw?KC5_0@vtKMV3zMA#m^M+HYoAU6mu0%P#mEs>u?}F2eGI} z=EVcoD!oPVYQ^2eILq3jxR;2V%-za=ROu&`{=L#XKh)1J$CQ6d>5r7=S69Y2R}3oh z13cpgDvna*XcOc4S(dm|@f;%Z`Ki(uD}9;LH!6J_5naqa#e0?ixbmM<`k>N(R+`r; z^}nz5CraDspjnPo#rBGPo?yJpn+Fb7daPoZ;ylH(6;~3G&pM@luJl%=_bM&(=JD)s z4{6{7%70$*4=VSX(tjmF?sLT!=(b67og(H(=d}Zt>jmeV{SV`DNeTuPa}>KO_ES7T zu|#pG;%LQjic=NK6lW`*rg)a(xr*m2UZ}WLal7I!#cLJ!DDG8!K=Bd9-zh$$_>$rw z#Wxh+R{TKm6Gd7Tv0mgl1GZLL*1-YIXAAPXD9UvRxw$n`gSoiqjN1 z8pHT1MOilo^b)0)E3Qe_#ROxMsS14YqXs&BoJyHKXigG=JenRPI73I1{{L4ze zswmer;@?&J3q`rU5ueEG1xS-NqS&ngGnEc0<|y`2EK)pCaj@bDMGk*Z-z3GUiZc{B zoJ0OR#nTm~-$49wr5moZLEA2-+pc)E;x83x?M41wijOHisVMyj_y?4JO;P$0#J{Wb z2Z~=RI=nu?Z?4!%v7I8iYF)zQyi&yisGq?vlOco7c0uTLeRfj=?fHT z&>&SG-Q~2E|(xZ&!Rs@iE2yiq9#&sQ4$vhUwpw%d{~hNc|4v|6=x}OJvPQKP+Y8dj^ZjsuFpofOB6RN)+^G&k9@P9$gN7>p?II7 zSzqL7rJq-9xX#GmH2&|3v)GJTEW*@`O^*D7)yIm*fUOTZmUa~(OxU#BSRnSkaNgN&!yBJnXrt|v$OIYq83 zNBUL8Hx=Je{76yOZGxPvdjgboPk?Rl8p8DL6>}81?i}NLDfU)8Uhzc5u;OqsQ5EQ+GDaDS1QW7DWI=c`WD6874KE#dUi}l6Hej* zMH+FE{!sB>ieD;n9ai#F6k981D$?we{GN)k&I)K*X9ako#t&9JS#h-DM8zqJGZp73 z%K9tNvs7ssmNLJK6*no$dMt>isVVup6>n4Ar}%*4BZ|)|{$BBA#a9*IQG8$VQ^n5} z1ISD2M=?V&sMu9e)?q=oNa_BHgB4FwELEJSSfN;{NaI=NcaGwPia%4_q_{$4!fP-)sYQ{OPfF^c0Arz(~yRx8d^#APn))x|u|qh3Ochh93~uW)-21WW2u-UC2L zI>_-ooAQ11`R-*tw}8Kk_a(!}%e8r57)W{0C8}?((hG@@@71SoUdZDj^8oWhA(!F) z=jY`W78Zs=`2~e}eMJ82=K+4kmlfP1`v`Q!cg&2KIe`bSCFvD>O8Hiyku6|&hWJ9qrG~Z@9d8@6+*@2V~}2;>LK)TdO{3Z!QWB48*UWv_BE$ zU1cThNZzu`x*o4137dWyj@#^C?`-hb`?fjT+pV3tc6V_hjwKGq(&47K`YXb_aU@5r zwJx)EOrLVXO?__akvS_ZxN`iI)@zTx>CSMko9+nzp=45+<+pb9Xn%M6!kcn#niC!p zo-=sK;1kn(rk|5}YANZtgU=nDlU|s<3&&w^^KG{>Pc9i=a(?mt1J33C9dUIDTjKRd zoXh;1&9V@QsTxy{nS8Uqwg5!VEpCwWqHBPhvT;l4D{TeR3Bd#zr)_>tKR@i zpiMY4JHyWUJHvJ1$A`2zXxG{GzJj6Qj%%k+nOyQ=W^iTewd1GU-QK@xR%ZIj>81Y5 zXO`?nX=aqH9`g19dy9RvQ|HgSy~JK$JLKi3o5jqxyEp8eC+fBlJJLoz(l%l@Xd^yp zBR*{-MNPMnF5A&Y=B52IeCqU3;dyDhrGBlWUywGkJgsjg>vnYboc4X%Pee;8OwYOL zM$8qQJ$Q7uF#YcK(@Q6TinNlVhjV|I#`X z3bIw0HgR3}DzxIe+m8>kT}%(}ZhumEeCf=R2Qz1stQzvhf!MZSZ?U?5wBPC!zro6C z6-*00b@;o?LF>-GQTiaAgN8m?UPvX*^M^TT{H~5y9wabx&}d`oe8HH`Bl+)(n?jja z815pUPkafFP^OSEeNX4VKkhop$VZE!^CTwW7D6DdI~bUQ)))PEbPif~P|hg+xpUBD z;@PpqNa4()qQdbEq94I@wAuXM$=Kqh2x1Oe7FF6Z#S3%LlFCT6Ke!l0&QOQlHnCLOYF`;!|b!q68O0y@CUNKNZ1E}`Ai_dB7T{0jgY>;M3Poy_8C76z|JZI zv7CMO6Q=bAsK=Kb2(Y|7y%t5<^B=fa@LhZM~bKX^dn zp){wIVXDFcj=o+uR%#{mu}5xn?!pcV`%^CT7xb|tj*O$(>TkFfsKf9 z&%Vqudmdzq3`ld9*~_S+mhLRG7CDGZh5Y-`xK??HW9v~Fw75h_q;E#j*7ikUa+X;e zHr_UDN^RI;+OYA0^S?REOlO20VU`&tu=!B+DLUy)=CheuX3C4sGK)Jp#htn4nPrxG zT=MZMm=-(fti^?^Z5(-iE4vkHZQW|y@+H+4qoZtP7^psX(pl?gN>` zaa|C?M&AUM%bh~OP`X=~5eSMKi2up(u=(D-f`RGqDELyqUN@M6b`*k#$letUoD0v1 zBY>ABcnaY)H}*Y%ADKV^$;Gw9e-XS)tN=a?FZnUBA0FoEghTKW|2hIb=wSlldU(m5 z0S+Z0!L0z6fHFiLm}kz|0jOX-Vh#b}8j-9WO1udkbwkkF7zzn-srXNaM`n9gFx?Fh zk|I%>+2BMDB)Zvg*g;%OLUX*R0vV=m?ZwWgV&)B!}q znOs-_-U>7PDgz_#ea^eXK_f*r zYBTCcn0W^&Zdhhk@vNGur9r9xBVXm@f3vInHE6Z1X@n$EiYt$SHt^^Z2ySMGhfKur zvTuC#GbQpJPi!d~N(ow=@sZRBbP)y8De(5|b_xvblUl7EK^+;QV;q4xVkch>gfJ^r zt`7!0y~V8{(N7iTmAX!u#;?u&?2a9fm)1SuBlv7|{u< z-bP=93?DUxVc;1l!9>EVCjJcs{Lsg2i5uXhXbjZBqbq4DBUX!hq9ze!d<)kwkz>{9 zKL}cJLm?rKeFyvW#$CIsOHTS8B$NuI1|BP~_NK}}Z9HITGslgJ znSl@CAx>c3GZP|<424bQXEI@3dFu@t#8u&c5xnUK7+x*(GMU@N$No|9(H`YFP`ocR z)uXIYP3)VBAj7_h^SVfz211%W(;@IU9SMJJX_qg;bHQ6+KC z;>j{$(W*N}VhV^_XV~K3{y5Y=#j8 z@)_hi&q4Z%`A4Ys+#|ESXXh*C9#P4n%DK6-DrYR2gUMyH7hnpMgezvuSX6n2mpgaC zOfOlnsAB${N}VU=rfD2Aw|ai1(TIswR_@FN^X65e?dJ|E9hqBEgGqjvQWdLZ_M(b; zm7WUxnqYhI@q~*Chi{nT8p@GJcQkwe{_Es=K&D~7IVLmk@Hi>% zWc+*OCPT(NpHjfcozXz91`KZ*jT~QbFa#fQoSH_tj(R0~YeX=asBbcxLMqe7j%riz`C(H7Fj57Kl7UR6} z|B1Om-pm}Vb`m=`2*W=f*VNo04;#nFnv1%|bAxzgMBR6p8^n5LeY%b{9Cc32F6gZ9 z^e7V{?z7$j$U5cyl5>3um1dvT635SZBjoeG$GJa00U9UyHu1QN_fL1O&k>&-n`C%z za!qJ$JfR1-J2@immm5N%IB$pjIQPkA--*QrzV$w~yq0`u?EKI}9IQA-af0Fu#W{*K zipvx)Q2d!9`)}&IQt=mx>|Ytr{*L&l;Hwk)^()G%}ikN_XKNWwa{9BZNuhI`G zO?w8G>v^RQDg76v-&6Vv#T0a6loxw(p@pjbmhc~>aCMroNp1NqC8 z-cCekxl{3KBKW^i+((3-hn4;<5%OZE4*WoAE-6YmI*s*?_tECVvb^0 z#eRw>D3&M=Rh+CiO>w58Tqn>wU+G1Pa=jq_9HlQ&+@QEsal7I!#cLIBQ{1QcfZ`*H zPb)sJ_!q^$D!#AyvEt{7ay_A30k&5lKkpJ-E1G#TA*FK^Ip3OcoJULKz!Z_sRz#Xo z5JxJ?^$7YDrDrJ4R-C7Jy5d=i93rKj3l!HWZdByRJ^7a_Ua5GU;th&4=AhhtigG=J zenM$}U?=~8;-3`%tVo*=@;_1J&7U+!Xo=8KafRY}it7|FQQV;@{RYY<{RNOq@UoouC_bS0l;X3Be^UIj z;@=fNQly=W)Qe)KVh6=M#XgEBD~?v2s#vB-I~nRbOR?cRnDrV@8yU)NQ@lcvb~TK@ zRq<}c`xSqu_>3YAZrGo`sYoLd(jO~+p@@%c5-;;#z^B0pVXDXhpNZTLkU#}?hU_i?}7~qu}e~sb|iZ?0V zu6UOsZHK5&=EDGG|8L++8vnZDn~G*X@Q;=LTv6u7ARVobsIRSJNHIt87{y+Sg^Dsi z2I&SXeUjoR#Ztv7iqjP<6{{7OD9XOR(6>_QHHxyoFXCl?U*HuQzf19Y#TylGQ{1O0 z^JI`t=E(q`*7)ZYWu6S;Usd|Ain32I;%R}!`mynVM+_*YD5fiBD0WoLR+RZMNY_{C z0gAADj< zK80Ayv=Hji!)ZdL3U%LMzfdVc-EsKK&~4aLga=2*ciMB|aVlh=h*To{QSdzP{FX@` z&aQni&YOKbf_#x`=wSE#8lrKlM*?H_y^@44ZY>!!REX?7@-i4sCb@lQ^WPsQ4^94r zdQyQnd4vljj6#~Ih4&y(4mUti3-1k7>+Ui#oI%-T1h(9zAYjYAh!F70Q@P(SFXI9K z0Yt{x{wkXf@$q~DwBq@^z9PO4!so`1LBu)n%Mr6YJ|72jcKkmObC#9R1%!X0{T!%- zv+xJ|?`r@7e>!9XewI6tdywn^ne=xG6b9sAvaO^d#9UmFgjJ zl{Amtr6SF?hlvevi!AIg!Kbp8QGpXvxW_~**9;hyfRirXwY~Y!4YLQ0xc&&i_J%MJ z-Zi*kXbLFc{)R00!ZV2!o+DE`xAEguzgq%OGrvFc`YlWe~25 zFc`YkWf1rx?v{$72VDl?;Ru7FM_mSi$M2>P1+SLKX1@X_;#yU2RGG6DU z<%69y7+13I|JJI})$^-szU$J_@|hZApbO@gVg1RuvevXzcbrtc1M8BmIoVj#-XKn~h zAs4(~Lhb-~w?HO)IQS!Sonu2zW(Yd=fcG?bRV0SwI{ib=X;d*W*EuHS6ojyMt8GWk zT2ScX>YCEZGsd&XScA(Lk^R1JF>M)q@3f2j2mAT9yDykgwi26=!P~h5xGI7CrubRWYqYwA*H3GORb0*OymH* zy|qh3_ozXmhK&mUU{>IblzYUGQ77S4YmI!Hb$KHy#!VPJc*wYM-`AqNk+paw5V6ep zhD+@psU^u6Q{x6c>ZA!H29;X>{j2i2<6U3E*_Lm4YDzPC4>MgpyZb?@fi?f$+PSfY zMsDBfwIumLnw`j+p}6&k#SmXD6(XWrx3=c$);@XT?vK-g1kD;<^gI^v8oc@aI$!+H$~%Ml5+ z7Mh~yvj@Z}G?&El%anw7;5J1W2NVm)wV~-~94NX2) zHh2h?y7b{N_9H*8zw(^o0mYXT4=KK;_=e)!itj1%4Dh=lnzQA@hc32DB2){?_b4zs zlSUiuq4B&%D91M|;t<8*ijx$lDOM{kR6JAhT*ZqOYZbRC{z7rD;+=~3D?X+u^?`Em zBO1#i#|?Z_X*pidA1nQtq7NsR^0MwDP{yr+G9C>aqWtlSGZhypu2NjDc!lEiinkHt zbb=c2UeZZAg%v1vAjpT4vsjM5EB;I6c=0oybC#IyXr=jakMVTg@62)@kx!b&hZJ)Z zk5TNU*iZ3Db_#f!6a5nvPgSf?q@5GAf2>(;M*Gif#N5MHtQMuI7MT>kft<0_>nK49)UfT z&R6WGXx952s`Lm&X*WnWS!roMpt&Li^)65p`y$ZBUSYMy8+(OIl-{biT~X|hknWdC z?^QJIPTCvz4{H2Viq9&(sQ4$vHx=Je{8;gyihN;bxf2zQy#l{)GCri3qu9`HfnQ81 zCw5D~(Mpd~Pf5H=t48)yI zDv-b{Dmo5wGSl*6(s7Uh5OUm=Zhpg{taJk%V)tSPIQkMD2a(Py;OFyybR6Vopse`r zP`M(W3$B=P5Lyy_#W+X}QejOTjt02nAQ%DpEP zCP^zY0zv~Etb@ZkiHv~w0&@9h>j;RWi{|JEh+oN6WXwDgKBtN}88u0^F9%_dIPy5i z$k=g^QPhBO5EjxN%`##fghjN+kYKmyj)RPAI1a*u-)S6V)Q{sJKaPX^I1Yl#=Qj8s z$3a2`dHH=#?>!B#Kt1O#m|xkmvSLQ{wCedY=PsF5Ic;9W%qmW^nt9x`g^L!}rfGgt{&*D(p6G&io~zngt8yE)F4isB+$n%2~52XHDyqTlAG`EqqaTf5Y5-+)XeW zzps6_+2itZ_!Z+I`z_BnNCt)`s&k#ca2(_p7|!U!age((hVhV&gK&)FNiz;|YOd2c z`c2l>i9 z1e@wRe;fzF9bO!sqs9F=4)WtT2phsqc<)#5AICxD^00WF;0-q7ejEphR0Y1PaKtU@ z92qh5(k)~h2A{{&@oQPs{SS_V$m3W}jg>P?ObD91H#GTJi5sog80Wus9Aq%6mEYyZ zC{9qEq4+}^2g$>Q$KyFsk=DngPgR_&c($T^uLl1LrGFd;L4DzS^pE2pZaw@s4&v6s z|J*o8pMIWUkWgeCB(LdxI0}0g6}j?XKOZCy*Ew8+`5-4Ym=98h`5o?jkXic&>3oo` zIv=D`=Y#Y<`MmPiv+LuW3NtI@xcwo_3Q5MSka0RIYS0*i2G3EZq=D196xzS z<96%(k!FZ{Q{%40ERrh@OO4=f0Q|Y|d}jFqeIcvr3;3hpi%+gN->Pw?W?N&z`o+c!^1n;Y)wqmtbJ90<>xxJ^JAe#1d1NF5A;dIe zdF@d=1`L|8wDxEg7K0`%t38H<44N!u>^N%F$s;`6G$!l_T`f3n#K|MHGl|RP=qYA~ zqyo}d!s3WXN2}!@DRMjqP$7~J_;KHv;aVHR%XDMJ_|$Wd2}AZ7y)!tn0g3WjF8 z3_?|e!H}FZcP(~~6}l-Hx)>g+2$w_{3|;9m2)iN-hS>a(f^aXqtBRp#;K3j~2k$Z% zdfjCZ-iR<5;^mDL1e&wBDHvi?0fWHfcNrieE`X3u9$_tTCWWaD9d`&LdbYBNtOaE; z$O>U9BI`j}rb@^%b)qa&DP);iQI@F|vP``w>t5()jgVz(Mp>q6$TD@KEK@mTnc7j7 zsUEUS{U{6BM4VeIc{_+D@Tg7Jh})XJdh&?rB#bUEEvviypPf81yLSPs;Lsq;W>wCv zSTeV!jLSnV&aJ}fwHDXR!bf9-ahKp%)p->)RiOMj0P{72CS9_fnoxFjmq2D-r#xp? zf9E5Pnry}3$9)!tO?qL>qrdYU$4xqA_2}eW4aQ3t0XYfNKk}SO{hjV1rxMHfa_FR& zb17us2KOpRXFI6Zbo`_jW}5WJm`ezwDU=-E-{~82jtlwP zpjZAD0~K!F9C7l=5kE*H-4Tae8YhAkIuk@cr+?j?l&=_tY51CMVTf+VGJKOCQ90jT z@-vc{GeQ3S5tm4*e;j9tjI%`QBxZbNarHMCQ;|tWy$i6GZbXS0U5SoueLuq~vEx0l zb5DN2qbDio;*T_kguVWMU=9hd-XHqXrTl~MW75l zpPKgUMkB(jFDKpI34;3K;f+2Ty_qx!S~ucW_)X@J?1h4FGKb_P z7Q?oNnJW&$##{Z}0kVG>#wd9uKhct(TeN9tyNJe-n zRIZaFJ-B`K91`;==$)F(N9mYx6V@Z=kNAn`4+4tv;Rv*RGy$e-e1>9BF{GHI$o}g; zIe%n2^`}}^rQ%%0#fqyGFHo#iyj<~W#odZGE8d~_sN(Mw`BusN{-AhBk=GUD-&XuU z@k>Ri7w~(rUVsA>M=J8(!1$ji%KC($FH~9#dqH2L^o_(g%eqDJb|U6~{8n*45%Paj zd{gm5<$tQQgA1SOLPW^RcV{3S_48^XrYN%gkq#;5DE>Ha#I2W4v_5RsFX|~yQLZ1* za{U1Lt&eh2>&kFZkRdxbPeHu&q}>vv^bpJCN)EZ%n@0cEp$Cu~fvebSYK5oMWhg*Ebp54*<@pZEf+$u_QALfKRrAy@S;^0PThtF%i3A*N1u5H-xu_uRG9iE5=%Kwq4r7*>}&iODEM`JGNEOuA5rIGa6qvWyq5UV$V%{ z9Tp$z{^98=k4(a?X~tXiTlsHa7I;E$@$Mq?PHSnJA3l(@>QRA zp|zoFa7Go&#rO}N&RYBGg;%NM+gO9`PTY{(XH~y_8v0HyXJyHfWOC6&|1coMu@VfA zJqCG)&&$4GvBU(fCHUe_CgUB3yYMj<^NHl{?vL9`hKv>!)sW#&xStS+YYzrS%K9VY z=tvoF>CQO*b?*x?hp$>r;TQ(F>a9~;?doXB#CD)Sbof6NjsCkp#aYyMq1_Ep@qU&e z-hUsN1mr!_NKps%A zL-si&Pghkb0nUTMXqT%wHNfeV810gJz-U)oIq8}JpK20qzPs8hkr{?5sYnZ$+&$8DsA-tQOD!HF{I^I;HTJNtgnSm#H|LqN zd)jj5YOOR>Yn~(?0cINN)ukRFg^G2l&q$$WU8*Y|Pv)b*`I4|$NF1q4E+omyb;)~3 zau@Of$?{o}tX`KqJ|07rQokXi1-mp?ZB1hh_m+*gi@jj6j z-7DOOv)e)!^HbrL5v|D$b_>V4%}yYieY)8NoCR;~*&e)&VW8sObpbQHI=Nn8=C|A) zoP8R$2Y*9Ol=(Y~C(Rl|ARn8vvSW`pmp#_7Wlz@#69JcBYWPS#-pl5T7RFf#ZQ#Kk zK~Z^0Ko~)B%#!J#e(wqfo`B~FHt~1x*ln2Lren|Gx-NhL@LbQ1_$s{UVBj@)BIq$~TVeQTA;2$`;1l*ra1#E-@eo+w zI4}Ya#NBn4A=Pz7M3*@sD4vJEE5c`9Oq6QXZd>uOzgz7U{68vE8>TGD)<;&i+FdTw zlnRjvkw_>K7n?%W#inQ?kC-xOHb!GP0yE(QEW<~3w@y1qF$H3hyM2^|7(|F;?pxr& zN$mDfz{4RM-J`TdVu~AXv@17nc8xBsP7ucFAn@MJi;GBEL?brhT*CO@CD%s`j zAa;kht*M0N@Cn!y8J9_L2Z@Va-sJ$dgi$*JUIWGOypV~n!%Gnucmp2ImcVQ!9$|4Y zR~Qc{2@*K=p+rg}p^D0J@h}=+yiBOq!0r_-k%~oymVxFJ$oVjO8s*8-Lt^&|2D-ze zk_gm9Ekw60rRLy0#bP3J>_ zAyw$cK!!1-ctp`uk_rCKgklHBDF5sOuAVJ%z?*Q z)c%YVH6cd(GgBUOraLuiADtStk4}x+N3>sLO>A@X)PB*FGAUYjkzN#nXz%py!o>kM zoI(t{;S4~;@sR*6kH9O?w#E~3;9ZL?hI$BN41p>jga3$QZ;UEh>|aNxY6vMs!W_WrLOfiyMezxaGT8GvRZ9RKK&v#&*^pSi?s? zabi}-td6~d1Mwlk=BCUx_ieVh6SW)S9?feKL1pzR5_D|J3UvA#F6?g;GcwKZ*tjgTS9%v=*vGRiPhT~AJb~5@ql{NVo8HiTmbC%udsNBvSoW$H|#m>T9C#mK{spZJhx>576 zZ)REMHc^fy9&sOI4jMKdZq(V%W<-%I9gkcvt9o{I<)WrmE?!(ce`3Yl=swpnW&gXH zgEd}qIBKcn^2BI~g~sa0*sZs6(c+4^V%O$w$Q)yKCZSyi*4^5K<5A7zj`olw_dw1KEWEtTji1W z$gYa9_u%dHt7N?o3%n%{N=;egoR3wB2d8FOxr>+0!&>UVnnf;FnGpBK#`e<{H5FFw zjK#WF^^rHtW~Ik&mi?8zMXYGUv-d+cPU8?ApLXK)g!WN1;66Ixn=B$IhflTQ8p@GJ zcQibo|GrKx4>Aq&4H+finTIt#zIknfw&8(~FCr{AW$2k7-}=1z#)B}AZ(v3AHMC88 z8~WCRPkpQdqmNI*UVWdTB8DQ)I8#1;N${3$dA7SIAN8?ZMjxN5J%?wNpn~o(N<6$R z2z&Kyg1&{2r9OOyjOe@8lLkS2WW+WWo(xRzjs|jPLV2zy10#1UoAqLbL-T}~FeXl_u z+Yt59F3i;PI|!RJ2wERO-%Qk>afbIMBE0(2p^W_x_2JWOMBhIVW*X*2HyLj)@0g1M zGYGn=-ZbH5ozZRdUixqPHIjymXQPhrBO-+L`+qt$oMxV)x=s7$qCxmZ8hzug0FK*PA2G2ab`aaBpEf3<1Gx`i|&>R1K z*v_>ziUm!rxRKvvAMV)nykeuS@xI)=6Gq)PS%)Ah&H7@?iaPGIjnm+<73CR=I!9Wh zm*yFWItP}X9ipV@;ddzGc%J$i0!X?9p67t=hPHX9D$Tx<`*@pvkN4k}=)pDsjbr*o z56`%pM$Gie$||^KS=sExOJ-mz4J9gO&59E9mdq6ujc&QTD>wO!X14z}0uArLO+6S} zRym5w{l6C}E+^t-U!b_2i0ZCWyi((LD}Aft-9+e_rFe$oN+R^FQ`|&^zRMMPEim04 zrSDL@pNMn=P~XH7BGQc_LZ0_6($kecOKA?qkDGpH_p*U7?x?-i`X^Lkmvi&f>^A$HLZc)5S z@dm{^6m(n*VeY4VcDSf}vPbhs*@pZ)y6xpd#Kc}`5gNof1xfvScx$-KJwj3-k zZ4^k$I>Df4DJ>h@A%3OOmnd#iyiSo*rkVa8BGNxXOmL@O6Q5H4LFIG*KFa@9X<3RE z^ruSu(dm;f`xQeU=W3Id{fa?zzdX_>Dvnf?{fQBOn$pV@*D7vSyhia?MCgR1C2{dXk4m-ynj+IN3pA7KgAOiOB9DHPF9?zI8$+s;!;KSZ`6OD z;!hPfE7mJssmT6`@^T#lZ&Lbx#fKH2QhZkNMa4fUzOKmUDeC*D;unhXZ2yRtaT;JN zrQ0g?bKVLQ$@7(36y=%@Fytry4(^k&6t6?ZGr;)i@1l@V!ZLVQ{A6UBci(h7+D z_KMvUdn(c{i2RcjX%NJIrb4k=ah~E5Md=S9w@T^r6=^%f^mU3m6|YvjUXgQ$D0i>o zgNnaZd`j_v;!BFOJz~0d70rI-|5RG~6Y%4Cy#t#mO8)|ywn~hr%@eVQVxD4=Vt+-N zFHvrc;wg%!Dpo31D@wlu`5L8{E3Q;rt7!Hw->9_NzkHX{*DC&6@n%IDP_aCZC_bh5 ztl~?GhZGMhzNPq?B8>h-Pog3=%M`k`B8*prrcsvElVY)ASaG!CIK`=oWr{T0V)`1z za}-x8u2Z~3@lwUDiaQmrR@|+)N0D}5)c=4Y4Z%qNUa{dmA#aWcGNx~( zn5EcRk(OoTpQspC9IiN4ae`vQeZ`k*{Bp$$6xS)zGL8CoC|;v@ogz)u$T$0lKdbcb z75}REH$~dAQ9fBQs2EagxGy-3-6%gnakk=ViVgPxuhsZV6=@yE^k)C>N0k1pBF*K< zH~V^js`TfI$#|tBzqw)u#Vo~MioF#FDVlw}X@AG`Ws36@PgkTR9-kwArg*91R>hr) zS1Zy^kMg%G@*4~32Ni#-_>`jAultWmoBg`qP@0B*O#d%MhUw!Kn<=(XY_Hf+5vTP( zvah#1@1ov@updQU>C6YOzvg*ppwcBo$jw!HArbPu3iI4)1|ipd-MoaNWAln!$*-T6 zFb&^?;3D%9+TlI=y<0|xOTrVwW#RYs4h#4?uMN*DwzGa2F160zvo7pxxWDAS5{xhbk6Gc2l9IkvuUT=K`@+4d{C)bC&kpC7`!oIdgEEe;YF6bdXkPAY?OeX$rr_Gv z!KoR;ha7ugQ}HVYU$A_a6=w9Udd0dkd{Ty8+pj9We0q35x$km&tH1Dq%)V9BurJ)a ziW(<{Q_Ek-{8-ZDS6vkDm-(3W^{Gf|sy~;v!0{c|u~pTk@M#&(SgSI88oK$7%J8qk z@7;B8_;Wt{NR#o~?eSb^bK{0gH%6k(AB^+YQPvKOK@?g(!kvrx?~98EL-qrXtX*{p zWs=A>`=9e7aUNz&kv!M?6XdZz5cd+FCIbndf)%yX{|lx0T3nAa^jv{ zMDi4pG|RI4h46nC$RvKgv5zNr3rT)OamiZ|qOE;@@*X1rn{XlC5YBwdK7nQ9`-YWZ z^RtD04#Tpu(k6uLX()(Wv~&420Y%$MsTKS1&)0dkXe*h)-$-VYyNX5QOT1gO^C--B zceiLl&IZY%32{CN6itX<3kOA8!^-0;H;hmFQ|*J$YFVq{XQA2Hf%h5t5I+{1Vm-{9 z)`RI5>k$@<54~=UJ<4J|1G1Ua_2cdVC08S_-!iZFz;a9c1oa$;r#835LM{f$53LM=)-3KyREwYWBcavICA)Zvc z*yvdC#%M`i*sXKKz%%H^=MZ0V!)&7wmx>U~j|gPbYH4hYH55L?L762|zZ*40W5fWeI|$lPM)j2%Hqo$|h9W*|v7oDIJVoe^ zgYOS-TayT*Bsh&QO@b4671l^_65$dFP9>~&V+p$?IE}!ziFzVDD8Z?Ohb1_P@H+`o zd&C{-oUmSSu5yTXxZxw66S5nh6Sq=J2}U6HoD_nC6G6BZ9u}M?<6)H>pC2`w%s^aFM8*;*0=?q_;>c!xJa@!3@U}IP*}94+A{23rB`2_! zUCD@{+WX|#sM4n)idiJd|iCBaexYsR*wQ~_K~tU+-}_|JexNeS&FIEmn? zE2fEOzmVTKa^dq>U6E4=^p175y@LVD2VD7VJ0=AS&h|m%#f$c_2;eH3h)~2emYlE< zOjk0}K6)U^CuvyEu6s%G4n>y6>%NN52O6LI{oVdoYBLyi*}RGImer)S*7v+~&HwC% zI3CNZ-0!fY8=jz~>YaY}yqsW8hiqp_Zr2mLb#{WG6FO$~%<9}etDs}Aj!s#~=@dHJ zIV8S++wSTt4Hd#WDgFE9cNjVD*aDQWR{@MRPZ=}JnHO@>kv7}G`f(j{aU-_vvNBjf z$@V7_inWJ2y>~vA+*;^el*7C2O~cVU@EX|FHInF^Z@H_k6)!9JRtv8+VQD9;w?Pjk z>wS%_U5q&$jk)p*-OL*s+eHu7I%(8|(BKeuVan|z`!MD8EjTvRby4Nq%8JF6-9l5b z6j?8`SyRtGWko$_EUK74vnm8Lzv{)+3+9Ik@_Xm^>3eFZ=e(H6)Vy+b``&tK3JW>M8MYkF49t*(Hf;v7WIUNV1X4Yc(% z>!=})=28(lvVV;+XFGzyoftYs%@dDcYWMwE)HT_O^lH!=a4{aq;0|qM9M*-i#PIIF z-^dPKXA1n0?CjVRAIWxZXlhZ6ogI7gBgqbiEbm9gvB!^*Jt;M3ZQ_N2bqN>6e=D22 zh`pVss}v*E+$K5b7OW$1&;$I?t?FDmBHj+;wW9l&3Rjfd0m51El*9X(xQ24%(H#vR zfd4u<-sKzSYwqG;;n~V{VSrbT@7?>9M=)~b2z%xDV!Va|>WtjEpebkE7#yH&3X4d? zI}2fa-ix^Lc$C@$Sw2zWGoa+l<6H-C(jaJ!#rO3m7*J<;+d!KzSshT}pPE8>cv}$m z=Ie){*A>voeEDF7>>Ej$^RAklMp*4#G87m>7!K~VIeD3YgF-)FGZi9AY!Z}cG; zyuYT)H!m8^3rUX zQRhg@^3pA(PFbI>;~9%O+P`^SWBa&tIWMeJwo}@x^#qdU_0kf@KS=4-LgV-vKOp2p zw%=)ZYib}{#+y>3INXllSaaW}UDa^vLmQo>I8CuyalYc&iab8bU#KW{9iZ9&F`oSq z@g~K)6dzZ7TJeD5pA=tLd`s~YMF*8keO(oM5z)M2>@(0;3{pPr*C;=kh@y(0PHBFbW%`|p#_npL(qe}Jy^oW|4dZu;&nREMl!O0Q(!dWi{vS&F zaPd>W*kypw6{TsD%;OR}4ImxQ7kdmM?@2^ni$vZBh(X01 z#jc7)iv1M_E1smtkM&GX`%&U7#VSSK=NZrE0^<3K(tbg2QCiwB=&O{Lb`1JfrFoq* z{bPzxDn74xQ1K1Lw-rBB{D&et4eH^2hsbdO;*so-xI7K{W*xgeN*5`fs5n@0xT0JK zNH;<0QxwY;XDK$cQCRIADn(s5|G1Rl<%M@*9&N|V*-jjBT%jzpsd3PJW1t8 zDV8d7(1iLAR1`Z%#6PU`cThSlJq>iv1M$wepTjf%G@?o+&1QS7E5FLqPF7d8G*imxlasVL7Acy^EJ zk8!?3?5~iXPWmfFzMHUpNk0yhbdXDDJTQ;fDf$mS%Tn%XqT%Bq(De5MDG$0t_03g! zArbO?{8_|}hVd+vyP#KO|E$7(P4AzTUyyffL5S|_?Jf?qLna=(3$6!AN85`s*j|*t z_Ts8Nq4l9{Pg*;}ft;NsS(o+*hPM0r_s9%v>bWgozh-sVv@7GPaF1*3 z+8$dI*C%b6nz=3<$V%S0uH+{pt4H8-$kVqRIuL#~{5Vzyw5kS&?`zwxDy8<6s@kx# zet+A?+hQ%rx^dyMs+LvF)<4_!A8q4n16ir-{TrHZ93E~~?`&CDlCqVn_F0+LgRIbT z!PNQ|wQ1`Uw#LgEf@yUv>RYzEXi&?{pA3QtU|p+?Eo+xYN)f=+!<(qvqo zxuY;+^q|1jxVk_;D?3ozenUGPrxPi0ySny~z+&Z|tFmu76sl^H9$>qsG|MAIn z9m_k^CvABqBN;1O+C_n^z;RnjlGa~TlDGkNy??~v(AH30B39!aTC2nU-s4JM zvjVko8{2Q{y2a{dJ-z49)8UQb)#2gcmQ|;OpKY78@no#~IHfACK2SBHs$-RJ{e5ls zw_P7ju1#703eIbwQ*wQLZL{@BTdgg%B|fS5ftjZdYF=w)bqdDUr`8S(yJtGJuDR4Z zxmNaZL7eZ6pcUKMwo}Yh(^WzlPf^oZnuEe$74}HvxZ%8pz?UH$}lhOz@P)gs`R zCs@CKGK(7U7Xad(#UCtj_CY*OK2of)pFtS+ROB1)&%uAfjfe=O`S%7EfJ$tGKmV-( zM*6a?#O}z>{~KXp!??s35beJ$Ah!3}fy5P5f4d5&K;aT9q;6C^Sa$^YG#tqAzaOBr zP9mQ<{2vA$QRN>{=A*zhLi!W=r04%w$+*Na(Bep7g2jZL?^Qv z?2B2@nIs31{294dlJp~meF@12K&Cv6Kl|rWvRW(U98&A$7;F8_U>vi7;n(0($1(Sf ztRKEjr1p%E*(BRg>n5t$hc^Osl zu{(7bg@ybX$pPeUCKq37tkjGMSqKu9#8*?B^N`$`&{wfsd3gMAE9o#3(hA6HTQNeuO;Rf6T_#OIyK*Z= z$i5_{V%}rgStO-mggl?5RE&^YNXnuAovQ96DTglPXCSTSHlMm}P7QHK!7K2zWzzyZ z4a;RtW?(B~+4#&J2z z#AdF!7S_Zp!iU48#s*os(q?k3T^CwI#LNrnXt3p3F*DU;zlF2Zys_5J?lPchP~%D0 z!YruCqP6s_wAsoqP-zimSPYa)Y+|;;Fo=sorJ`@a2?EMfw;GA@n40Yj;MhR<0saeRhB1j-Ty zwQ@{)Bk~q594pzqTTKDVg6pQtv^8MghiKwM5hh^=LyS+uPZx+3jl&yOQ{W_@K1i8P z1|+JrSRIf+ajnA%Zt_Ph6(vwWQR;9+^BY~1A}i04BkLbd@NqQ-=qclN`G<{5<>T35 z{4ob1sA5fK!rpvdGE(sy70HrCgmhLM-?CbfthiY+ePV69kE;va)HmTf10X2*uDD+r=U; z3g9<7rQ+^J5Uj-xP80#%mr$@=#NQwlfOtgl8y!Wp1{d?|Y6^5jI0tM(fDUNg$@ehqECtogqCia6k#Q*aXHBiL!oWZkvv8#>(+BQ-U z@$?9S_~1wa%1U2Z|JLV#NS0v$W!S`*wR7zn3hcm+<>?3%=RiE=S8j@e2evQx^RLqk zIYCq-piH>CjceNHZu?ZJNJ_z{$Rj;23KK5GuBeov1PasjP?~bPi9mNn++adV$ElvK zR%Rd_i3&nw!)gkUDH5%cGTjLQIypW)f|Y#M6{3W-2q>PxsPEdu;+c()0k#iIUAjEHUGh_kAXS#8XghK3gKDOQtnH2u@>!oj5 zMKFS3CU&75zKCWywasJs-S~(973QxYyiKYa%V*z0!0Z!5Nh4@5JD{=P?RvNeF+2k5)_BE_7WPcd55-L z)=*`M)Ggiw*Mf#6Vg=q*R1;geU@XZ;qSjgaomA*9$kL2avCLEW*6uXZ6!1sPK*2LO`TyK zgQfmJZVbYI*9x`l5VH1n5ia!E^H>@nTwo{Ewp0H4^rvi9(mqmusiTuMN)l@LSUGjH zc63A`y%!2YB6s@PIP|iIOID?o{P-PZ22Ba2(j4R~bKe$&AVPySB~)r%ag5NStkKPrhIfEB^(jGrrT{2~=u)zeNiJ z{?%XW(u$3p`~^G&{EuN>#`l3wwMfBtzXkHy~RapbhxF&jbk2dT%VzS$wqxAJcUHW@Hi|HRzn$8uI@MX_ zb?_zAhw$%NpzRtf+!J5@abdVi{ucfx5I(5~|95;(>cl?dWp-`jWp>iJq(Ul=awF~H z-{px2EX@=zD4jwv_FLt78*?aM1_R~}u9vb~jD5Yhg;qg`+xxB)t^Hg@HCe?nM!41$7<7`M{9RBp<&bBJhP z-bx>ohd3%v7rU}NIl{_g5ENWPd3F?Fn=>qzEX(B>S0T&_@Bb(e=?LLZ(ETXygPu{l%NB4b z&$!(QltIFFk4rG#R>8lqLcFp(J%s6;?aTACSMaG2&2P%`l(G`r$N!(;n$oqjZ6f~N zy=y&*@+m2D5V!R6{0gq=(boLR)<~IO`nUYbRz#UjUu4_%Pp&C_Ic=Meulem|dMj<) zKe?pF{Qb0DGrfVf?Z2|tqDfnJ{$3m*^S6taOx)BECR1BUYbo|*GWEH%mSXFu7&3ny zty(@*AIxM?5LK1yCeBtamH4;LwViU-s_wNfh*zju2LbzVE?O_}BEu|(^zBf*2CkLR zjUibpNG2m3;cS*~J;S36GZ@w~{F9*{J8mpPJBmkz44Ok-Hcu$Eyn!{-d&GW^I8qgDQTv>666?96Zg!|@Dxo-D4Pj8t?V{JMld zGKiDRuR{pr`F%j1&j)Sk zu8a5ZHS&yNG9`t2ZHkW zbjpXXujz=@avZ$-GIqF?+&s(lX<=!WPW`_VGF~T4Egp1=ObN(&P%!tp&mmchD1f6cvpthE|VO@P{~{F#^k;X$#6~i zMlj@g{E(+Ic_u?5Gf=)&3^y{|#Be9W?-?FqNcsUCcbVaJhI~7Qco~!P7#1XbcJ&(!t44W8|LP+Hh{en=Fp)NxrQ&7AGLq~?L3_}?5 zykg{wWwLTz#=%S;&X8}%kZ%%`;~6ew$hTuiU&rL_40ka+L5Ozp48xxo^6eSoZ!`Hm zL%uyjJik68kYA4x$hT*}W|og%pAqsaCjZ8es48?mErx~+O&QuTbYjT2Ysl}*m47Y_&oTU&;cbSQ409PiV94`` zQ4TR^sGMIJzGwJ}AyH~59V`eQO&MA;Bz_8|^Xo4HiE~481j8;2yEEk1VMIE=4kM6A zIh21oLw+4b$ox8tKw{}o`euei(;@i~!_y3ZWJtUnO6S*G1g0=KgJC|yVuqCrYZ*Rb z_>3VDf2ce%f)J`P)MQAWHHtT5$gjT$*`3LL41*YUV%U{oZ-)ID5~Yaxrzs4-U^tKA zGKQ-de#vk%Ln0v2@rN0nWO$b0PYkazyvtC=Fppso!wQBq44W7}X84BTJBEKT6sjq% zgD6jQJthpT8QL>+W9Y>&gkd;C;z-f)eHadAIGiETrYLk$z6#Pu=W*V}w87CIB{FfcnQFf)@L3^5l4UX4?67nClmH99O! z5Cx?RjE?*e7h^7RRt@{1i~8v!#|d2aj}t|1jT6`p8#^{G%xYe&%3f8MgmI!k zRp8!n!oAT}stK!Ow_9F`6S*84w+%VFja7A7H%_ou;&Nu(rnqIX;+=y1Q{(2vZs{W4 zzoknjgak)c$EqZ3w^ZG~EOxW`wzvUtx>|kXg!@C+jzy}D)zmIM;zEr2uKmGgacAAN zK5NzXi;jp~*P8D#|D}s4YHR1K`O*aGK7qrnv8s-VV~zF~TBsiwrMGpU^w1VFaN7?L zS}U-hFjm#!$FW97h<6^acEMWB<_3Aobmq;1$F69@BnpM6p%J8Q)w|-=(ob%gSS! z`}Dq26%V7lS7S) z4bN8KJZ{|0I8}s2LB9aUjT0We6W1+nZfu{pTXEBXgDR9O)K!rOVW~ zC9x_;?!-~;n}*}>#0igx5|+eHjr+~=8m=wW$aigD%qgLm-z}q!#0hiOrkfX-i-HAS zSM$|Bduv=A-xu-UkVvH%-@6JKaGv0Ux8oz*e!wq}g8m_-@rbIDUw#XM(3@hM`_Qkd zxD)eal@7_|}tsrV>0G*Y$ANNVGklh!RH z)tT|jvnd$dnMx(aQ5Z6qf;6X8*l8*SZK?RqOQ}ea+FmMBMS6!oDvH3dsv^-vN|TEG zkx_gWVXXQ{V-xW;3a?Q~1t|FCYI>sM(#beTYJeZnN$DLVsX7XzGL$De#V3JOE`?nTbky=C^bs6@Y**DTrDwl)95d zRq)HnAf>X4zefeXd^d$tumZzNa|&)-FeBFx`Hs3OeY zKnFg*KFHDGe8_;m_6QZacmw{zS(v}-Nd8Cz{*qakzuqWCJb$q)Om-oaXg(e`N^=y) z_}uh97E>bi=7z-QrT|U%RJWw3e3q0N<8yNENL+%Q3m3fFCyS+EdrZk^=N7#vs6+jlhIwvhNh}x4sz({H@=o z0Nr{&DXfol>na2J8&8GI-}tW-pc|((s`(oqjR5|sh~9&Twbk}C;`kqw z^M97v-k#Df{hZRSu3)|Y3uZgxp#Ps?wu6jrr%AMRqO|J}y`D(v`ivLP3kHl8&kKg1 zGvAG@Rs0PHstl0~*BrqY16I4gAuX9|!Ur@^H8qiphaAKb%$1yYI;%xHvb?1-0Tsgs z#eTM2t2KW(inIAUj~>$!{+D?4^ihLHpNE&)wvj3h>K_56gVfr;Em27a9MA!APDobJ z<0(X$+woWF7#r+hE)q~G<^7zC>%?amM4-&jM!%&GuQzs8fq#PX1QbRTzm3H&b+tGt z!Ad?jlwcDd97#Y1LUp7q5!fsThnvI$*fkKfN+99mNIrrclxl(o>|)TzJG!)<={TZ( zU6T2`25%J8L91^Kb%+NNOLs5|I>uwZ2Z1%6e;w;eSI5&TBaI2=2!jDQ|D zW)2Y0X+dQrP?WV$#Fs@EkNCE-h9aVMqee_guGLb6`6Yf06k(nkPH!5uuC(pbO|X-yZS)2MR7kv+wNd!TRE*Sh5}=;;~5aJvrF4@4nQowQBFz* zU%M@Jgd$BKXiFW`mfBjC+EV}BH7H8{&!6#doNzXO6+;O=U>AZOpFjb{>!ow?+j9J_ z#;zh5La>_;jw0Bnh$T462S*W{#I7mWd2NjZ4Z;e1dK7W5HFBvUk|L;j;!_}Kz|L9( z3O?pjh7eHo`&(N<2h{R8h7;6d*Fx(<4aCll5*w=oilGlu3yoJ>EXBORj;THbRPg5+ z5Xok_^q{Kqe01U+pk234``ZGHAGPa_9DWrAAlLczk72^3H~WqCm@ne9Do!wvPT<3zYajs?AO+t$0Iv16)=gPK=zCFKQTuNP<>ITL{hw1ybQJOY z?5_fZ(SA@%+O~NiNOuaLbVZ}lmW)oA&%iJ8t;jo~ecsR46IWyy*gnJO{gx45*dPK$ z-r?=@wsm3DL?|-wXA9sD8%#h|3=do-fdWa2c>MBPNBnldjz*b)o6_;xp2TZ>)JkO(i8ryML;^Y|b<*qrNc?7i-+%q2>40{+ z24$SdNA)M5YY+->7J+)l?^y8+{+NDUq&GxeY{MFn0P{=<8B`Dav$0 z<#9@Ee>~3+`2W|;37B~QJ2NMCVdjKT&&~=fL|X+|J7B?OVJ?V+7D59b;T*d~LOma0 zyxqii*yw(vm4kKIkBd*vt5*MWF%H}{>cWDBQ`iH7%h+9gaA>i7uf+eO%8 zWooPd?G`mnxY&m#MJ(<~SA;nkn!~OAab_Q3>8hUm#0UPz3+5#@`$2eGb-1c(yQ{dV zid)q5!P$my`Rr^v+1aLGjcZzf+70I%AzVCFai;ND^O`@At75h4b{r_4ppG^A+U8Cu zRwZtu%C{{~tz?%gSni5^j22HNDo9g&SxT0C>*NUq|GkwoPjeMoP5W(gG;nO&;?J0` z(0-+B#+aYee!_?Hf)o=X{yDRw^{PHQMZ3FKFu-tH1@*n{nrIzuewGG5QJ@_U|393c zp`2zBOB8>8BEn~P{7;sD+nkcmGSa+&{|bAb|6q`pUp)MO%39fMUQN5n2FlvfI+dgC zu~0>!_kgJlYy!k*^DP8y5(Jz3(KgGXbw17i&ODvgX&L-=&?WEz_Ub>YfHdERU(KBV z4G{cKpEp50(P#4;KAShu|FdSH9OFi4aFSq;u+T4lO6|vh>JMqE(tCU;Y1GC!4eo+L$8q-&!YIsl`xvN*$>OD_cU5*e2sd|0-Wsq>;5!xqWoNkQstG zcGyq(@}xk8;!K*FV%rUstcZmPl;@@Kr1G99p4QwUu7q+^rY%N9`|}z(D&{!QdFgyq z9^qHmmB%0`aK%9f+eIjY`~H?st^w0kyAvq$?MA+K(Sk@<5b=?h&I`A9>v_-ot-QX_ zqx}%4+?3^=Kt%iU&ie}lc8H_$w6QDi;}*ioV-OUqMtL16z&2&t4an`w%R+hM5l7{L zzSvq`7Q)J75ER@)d7~9-GKsBeX$ZG3&kIeZ^8M-~O?iE#>=@-eTEGiHTv6pl=c4@~ zLuI~&7!S--W@2fS?(+Yh2(*TgYKF-d^p2F9vR-{eMEm>bg8QIr zpyxs(Q{{coJ+-@R!FiNNoMq*v3=+0`T!J@tiiJ9GnY;sp%G1M6=WJizNe2Z-8`1ox zEKeybv3>miTXP&}WTV)WPe~!s`r35uZCkq!5RtTg_UGwpZCUwr`tsVgf3BxZUrO61 z5_cGg-{fX;2#h@?T^!&$ES`#pDWxj~Vjw1(443XMz86zJLy!FMxD@z5rx?z5rx? zz5rx?z5rx?z5rz28UT4B8Vj25!Os_f%+D79^791{&(9Zt%+D8q%+D8q%=2j>^YaBD z-(=p_V7QXumkhTv z+{^GN!!v|9znmez&MjmEG?}W<3*dU>(Si`?;d!V)eNY-=87r-!#VHCsu3rLi|l8 z-(i@@FqI*ZU8vl0hII@Z84~-2(%&)si=lFUfEIoKNGH|=p(#U4hD4X4cprv*I|n(8 z$sHN;?H%HKF}WW@zP&>{>5^2=B!+yu2bm}=^t~)+xSrux47W4f#gK0gk)NMu03^Z- z9e`?k@hF>z=%y1{e?-?Fq zc$DE;hUXbxW6005Lb*f(qVh8t7Bb}9VZ`(8FYqyof64GI!;cI}f##nRLqmoZ3~d;? zF!W$ZTp~IyilK5|LU$$;#fZ{JF`US7Dnnu(QTj55YZ-22NQ5Lx=i6=I5hfpJc%I=U zhD2GS{D}-R80Ij1z)(3qLD}9vXYp?tzGp~-U@A|<5KI|f)@8`|4@l?x2cRd5_hs0T zp>m!=FD5JJDU4+DScZJRfpTUrnFv>O{CLd;ajNw&=KQp|?P|lEeTU1^x z!)At07=B>*Cqpq5SIV!>P>-QGK03`Z~=!*D9YnGE@P z3CO>Q$txMIWk?(|D(?Wp;|xzTBqkX3f8KyAIVOYShoMAOX;*QbruNi(|_$Nc6 zlu^17LraFX3|$y{FeK&~MJEA;T36*D&19a2La43{NpU&+rmMV)@beGZ_{z zEMZv1u#O>-0V)3*hJP^pi=h+-1xnXqNSr~EZ5g^S^kC@EFqmN^!;TDlFzmySsE1VE zc!tv%&Stoj;Yx<6#sXC+nV;#GxK=xgM>(|7_l4$=M+AA~^->7@aX=cOQ$9OFv>i?i z-B>(7o<+JJ$tX97p>n>;7SeN&&g(Tu=gY&7Qs3=J`5|{9M84TfjweKZ-w^*WOg?Gd z{Db_$LIVPW|K0yTga(B8`SH2`^Z6>{b#ZYphtf4;1i;T%(YqedTV0ei-oLlHR_wgB zjw<6t6IACN6zuQZJ?;B@a~$J{j(a3-Fzm{J=7XM5mU%uFu<=cRr&W?`g&i(Gmz z=51dA*S~pt%=1YCZu-#mG2>PUxG$3z#dP~#z?}`65p(9UfXh2EI%ajAfcw*+e@xCx z0T;ABHfFc63K#z}B&IMzg}XN1BWCkt6;373I_Ac=D%{W*{g}~rRk-UHMKMdCsc_ad z@1nKsRJm`zc@k|tM3vL}R2_Y2n<}?^c0u&s6jjcxGC5j9DCE+5{Sy7AlaO0@`+W4! z4MNVx^Juhhs*rno;JfIx+9EDPoZQ|D~-??pugXmDQZ zFGsyTslg3+eKN|44oH)(MNH|IyKRMY0-J*Gx| z+N9079U2k!R!fI_uiYnV@^?C1)0&P^J*{-PXDq{j=gqmLd&WjSpJ2h=(ij+d#@v##U(+?Rq|lN(|GGou${kkRnCV`T`}nMJ(y@Z&P|v?4A!_;cGf{}oo3ijgi(qcuob2#$n-DIlg$uvo6v}x|ycT}ey8}0{@=Um1SQvM1=)v%3ap7E8 z{`T;gVG-P?UhBivW=3-FQWk}GSrf&%cb*ac+n#7{=iSla)|X>Azp(z{x3W8O((AF| zSx-B0=Ki7KgLGoKi5EP=`vr928r^Nex#3;86Q}jVPp^yP4mgU#RW5YnvX8zC%P;TF zMc6zIGtunHH65%8%Z=*AWtkU-{W-rk_v_x2uwy^=;Rcx83cK0Rm-}`1g|M#n{kd$z zV_{v#58xi}+8uWL*g&qU!KScNjf1$loh!nGUPHJ$`g6ld77pdgc1{el=7w>h21CP2 zwMTFdcJ&BT8#|J_X&4oD?$Ri(YPVllqIe9~)x;^R@0hVTpJ`a%pT}{v=9*!0!wH=6 zp+7tPIDaCy-R4yXanU5M&#}f1MWIu;flg%|%#KXuj-1KrkRzGS`FnC5-p`xCY5jDy z!`_;ioKN7H4rlw#=Jx-5po5S63$9P(_6{zgbGcr!bsctHjOTX6E$T4OZ9eCeHN8XP z$pxIsz|kExJ1*kfOZ#<@pIpox9vj{b{43;P{c(;Hz79Ef_9WNkyQYw&_|u%d zV|mEfh_l>?tJxtlr9W~{V`U*t56*FAMb|=#ez?FnPCXkExcVn9{r7_*szWYwnVY{2 z>Fjfr^R(I!@>=~m*K%=jh;hpePS|;7$eFa8+^XU+AwQnG#SNV?AjEp-9q!vtT|!ir z-Q(PLbqE7dsA{IVENtEKptSRvGLiF~AiI~^ zvX1E!f|^40WG}uL5@f#4K&EflBj}ebBiWgokwGaIrm{<8{eogILO#vwkzRm;G(sGvVdNP0#%;}%liEOZQvhcI>_ozYzQ2m z7cP6%e@UQU*C^TQKW7Hcz7r$+>ipP1!;sE0%~1mbov(C}X=}s9Q~L z_6LNU&ypE`{Y}8@+h52$qSpl&Pl%T#zFin_Ou9h!@WS+fJy#aVHccHJ@Mi2%*>&6g z0k^eR$flRY20XpDN_OJA(10)Jua!;h>lv`gZG-Hxx^2Mg+An2aCL08#9NZ*(xK0uv z9I;iF8U4ZkjM;Ws)W>K3*UERu!f)02yC-}nlP@jymrUIwD+x{a@9n!^w&eFa{_j5= zl%4$flD~HP5!u+KC;hkVKPEdJw$Fdg?31!pe{A*trPCQ%{oOVGv(0~$m8@Oh|JCdB zvJqXT`K#sqB>O@-%Kz7kS7iFxef?v0T$gp*)!F~;f}1k!;UWI|BX7&5+IaZy?tD)+ zpwZfYwYN-`dtTo^$0A8qyHM=EQ6p9MRn&XGqaV{{_a)E#9A0M0zR9cg(`d<+y*yCl zH@vPu_IOH~pH@||Y`Om(Kj(^4+0jow`JJe!kd4VW;rDfQwd~5?y?%KQ>tqR2w)(Ap z(japRTI09#ZL_SiaDkt?>J!Nw42! z^`;?yT_=B#9eeEVXS(K-?2o(Feti!KX3^ z%val8TmHVe);DCJo?Lpr$XC9~P=0++n(yUvCUW82JHEn7bNQ=omwa#PSj*ShpY+X( zwUb}@W3O+o6^`;ROSbyDU3ZaxxV*-9+Dmu2!S)5d>VDqxoSD;nwdeWCy}OU{U2rW> z?&s3aH{wHxyi^qHJEe2D+@&GJ_vN-Ixp|U@Z*^Hm`IVD4zFzKK07A;aat73n_D_l%P3$?p2Z zz8@>!bLO(oyD<~xDceu^=p;{(A6vZNXM@iSx%;?pe1;#GEg#)|ozHe@ygV{sk&nZ& z1@d&O89pXY7t2M`F+MXVESEof-QUNmY?XXtZ5JP(LF?q1*&TcmayQClx4nGk^xY(% z_M@H8q1>(VyZeoN+y{LtPueW?F)G_7U%C9F_m~NL8SePRh4*;Jo|zoRu&1zUG~tbWU#Qc-H&)n4jd+%nx~&zPloi*W2Me zd(REIuXLmL=#X3TQ-Y=5Ka}2;=f9ujJ#dvwe*N`0?-5SP@&V5WdEd@Xlb?Fj&3oCh zEcxZe2=CpldGaOozTO(8h4SBP9KEZyJdpcWn|f<>tdKibX?pMey;@#W`Ipzy%k}b@ zs@GmOzi5(At#0-jtIv$n#k$Fpbh$Mv~h`!BtecW+Ac>azH)eA45ey?RE!mq)!g z=kp1;dRCXOGs z&huT$*hJr@i#+@1Oiaw!Im7eQgQY6M`yl3s>In#4RqC=#Er+Ms}#KEIXJZ;8oNF2OE!*l7fuM?dP{psPlZ);-W-B%tS zzkHkMU)$udzVf@ocwvQyuj;e!F`&QM_}qN8<-s z;^4c(JzT<55|=&h?Qv^GM&d%VP98_^=Oo5;3-%}#7bd<~?CvqK?}NnnGu9r_`zsRD zO7%TvH`OG**N}KT4sJ*kMt^X>{dG%X)4b>Il@(7DPn@oI?;G$kF`}}>U2p5##0v(Q z?oLhb6F>C0@4hMa&qT-dSKT8|s3y7HKI7g|td^wy_MrRj8JbC{zB}AQ^K_FY&D!Yh z8D^AJb!Msi7bne=r1cYrxa+-fPFi}rhx@nFJ(Bu9jB+1c za~AIV2Sg^#d!gfgB)?l;&oxIyx!4>5f~(uJK9T11`J$;4~%a zht;RtCjBxa>1F1Aw;BECB-vSPcf0dwep1Mc^=>1-T#^*@%VM`Kjw_QaG-kOiOI(}u zXw*2j_fx)1I(Bi8Taw0>q*&GNZWWieC*=-}bc-DIT~gQces0Z@eMx6jo!zRg9ZGsL z!raYj%CRKxE81?SO;0Bc)Kqm_p7mqW!-+TN&T&ATra%4ndG^w z(Dn3f<~Ch5ky#jc0mJxmfc&vdoPY)R_cXPj$u!n34h*9N)%zW7zrF{|#b zef$5Obmz-R*QcHzld@j;x#p>>B_(f*FJG+nr)M8{j$d8%1FoLx`{Of59o2%<*I)` z@?Uo^yX%w|JEhNA})F5fekL#EP5sD87*~bwCbOn zuzj}6Nc$nl9vTx|4BSR0U;S!`i?;u`%#!}Fut>M-TCrl%iltr9iG=DKi}r*e9XTwIo-+5dF+zM$rtV#IS;@8 zBKhDjb?0x@-zM*V^~p&%^h5HV?XR4!p8PBMq-V3!(YKD@I_>Zj*9`5e(r zF;Y)<%6)5;GVsS=oX+>NNIBg5qEpg2+mzp49CtF)a84Psd#}^!nI0*HVcVSMX8WcL zt6l4~ARsv9$=8dVF7FRd`POfyle@5EN^JR9r;0gUQ`Ej3v zBAt5QAChu!tG`o2;HZ@Ja2KcRKaNjnd2Hcy&v9ys#UWiMm4sO-n z(dW?O6t^4C9L;Q2rktNu?-+i3T}oGn2adblzD{{lmg(qpd0WaayEw?n+6_OP@?GK)$E}Z!r`%Zkoul`vvnj8lH#v$7FQ#<+bETufxvMGH@6B@@ z-1V1~$hA`)v+M4q)N~%@xO{C=%0Wqg$I$*Rh#nUk*VW@sZA*Zu4y^GGJ2X)yhg>bWAe+CCB1)hfHIg8YVpKjk=Lgb z(?>N9qaUiKn*37au;`F9HF!(912;@3b>ZlH4$;O&sYSk59iA1Lrw$dJb;#LcoBFQo zkVEZA=hVBGb~-rPd#0Y;^p(TjM}Db4O<3U&c`YQhG9unVe_dp%kLeT#lm4AkPrM%C z(A&OyYE*t-hs$sJq<;J<)*&uqP-@+`9UL@{k4SwI@9psCigBq{gB%>}hEGXd7-H%$ zFJxBgYYQ!hUrpwv?iZ*y9Dctzb$at#`(@QDQ^(~#wqKvTK6TB_8v9=^Zc0r%USw~5 zV0&uB_H_G;U++#WU4GAg_L2jsN2g!4A2#D?>UYD=+RqttI`wk5!}ga4olpIv!!CQf z-d9rRdwy+yEAD2hvF%Fxb+PwS8x7~#&+nX+`cQqU{kO3hsd_4->`UVEQfI#JXWy-N zN$TrYUF;tYs!ToqEX@ALG4-k6Kk~6ZFry{)LX)HY)g{kUe`_$a|LyBHsqqck_7e|$ zNVRHIwg3I1AnkqA?{?RdC28u9pV}R))=KO3yw2{2_XcUQ*Clo}Cgy1~KV;fPh1jNb zQPIWb5|Jv9u7b!uFcl*|fLydA1XWUrc)`NwEz$cP-7!>$a_< z;C9-nftPGUhRM>#EI(yC>r!gk;1dUIInA83t=Zq&hRi5RQ+>bDHao36?UwU$+l?M| zX;%l$wH>v+Iqli{$+iREJWHE#b%gEo(QndR8~fTGN%@fGX4b{_Re(zRtlnX^Q;(^o zzh38K`@6nQ`n{Wuwr4jQrRV%=X1n;kMY@BBj_t&F`}8}Lg|@RExuzdH`p#z8WS?}d z>X}VRT~NBG)kB-m2@&a4qe^YA)ptsNa46fR&(!YeW;Jpf;nTk9#~g0j6fGE%zF^t~ z8`-B(>EB#9Zj-)wV*1NBdu^VY&PYEJ^^J|k*}3U^zFu#$A$)OqX~7bkw>c}*r`UdB zvwq5k^yn`p+PMF@Iep@tVK$HU?MN@s>SH4h-kbjI*v>ZBiVmmmzZ`0Ff6>YGmtt?5 zhi2!}_l$C|vAK6SeajV7oB302re|qr+f?b?PaiW`)n@#ygxbN;Br~{>D1-xNb)2r}fsorkP~yn!eP!+1onfc+nTu`+jrG zkaV78Jxb=0!Ce||9kAU$BhRj{we^?|86mr3t<8O6GTw;7tld@OGNj9Vtb3L8&RF=| z(R%fTK^YyUm|G`(H8NvJm5#OL#0eQ0Lq*mbVy0*8&wp?A(PnOjyw3}(uLO%Tx@0z3 z*)^=puv>;Ub?S6GL;n+6;V^l|H=E~KT?|amm~J}F>YZ<1 z#_`jmt@`;q$cPLbXm!=6IwK^#o0X$~W5&+WQC3HTpJw!V8DQlW`8s3f*KSsKx_roZ zWMgABs-H?``pGxz;AOY>WkGdrAKZ`tYLtW5R6OD*Gd7G!o7&apfdu{^W!>Lkm$ znd>rNP8nh8cxY4RH1mFzvvR-9jLYd_`HN_8=FW}bmYR`AGUFosEGI2Gl{xjTv*rCu z=QCgZWNB&l{A%WtS^Ab=df(3M@2+O~e2zTRzU8CE$SdiYX6H!)k z)lrKhvoy1G&+W0WO*F{*Vc9l|qgEDK*LtkCa9C=ebW`VyxU`Q zgQOi<61`gU_?UfJW$%j3Gwvj0IX}!aw~0KH^(aSXKIiVmEY~|fo8RnsBdg}e3+5k_ z?`G*AJYgQ*Cpqihw*BVwO0u$=)_iMzd_qyy=7nFHXFadTIy-ZP`HNK#vtlRCGuP04 zk~MPFG;{0Yud-eY8Ds7q`XNhY;2?9)9F^=H{d$-?PgBo6(l^H3L{&fAqhGN3pGVBH zg9mt;S4P=o-ydvmeyQ3uJAH(y`HFSE*@MPwn}@rEW{;UJG=Gs5o!v6;z1jXnaoKNH zzAy{5>zloPbE8>C){yL7dn?TPuNaf{({oC8hnuNpVb!y<^D^$59oV%n`$_dx zv*+DcW^Z}(gISQu#_WrlN6o&ty)}EN(;l;ZOTNqA5WUUpTJXW_;F0Uif3ak# z8Ta##*`9mmm|a?NB|HAcWV0QeZe>T7jx-yuF3;ZnM}ITB!u0GJcHPYKj^t-&#YLIT zon4mw^PE7lPZ4$5p8MR*mT5oAc1yH1YpDG-`{D~@v#1;IvhQ1KnQi<=kTar>s@ct{ z(wsG`-!AqX_?wuVe3fga2Nre985#4VsrmSRIq|EHnr`epEXU;j9@EnBaXCFzzA-iNoR*{A zb%SY?_1v8CTb7yj)nAemn?KjIyV{zZ8|G6@J^uPC=hmdrrf=SD&*}HmK+`jC_T()3 z*xj_#t0Or+y<$w|FHh$zJs522`TAnckY}Ez%YVC(1BKZ1(jWJ7(!Vz|%~Vaz8UIwr zG+Q$_XKNR+={1uFIlYejVY1e-CMV(jOB4Tq=A6w#TTD_rzsQkZuQus4YlsIdy&bXhx+H5CCoB0Oc|a#z+j?@^yTpOQEJ1jTJ3-H)v+>mRNH!x|n@oHDsJd^Q@j9;$x z&0FS6OG4&b<7Ko8eu$iU-!IkYx)_xyzig4c|)9W_NWng9_~@bJ-G>a z@p*y9hh1jojalYloU(m>-mi}K#!2s%=fOX1ym#`3Jnhvw#xVt3^Y(g+jc<3_otIwy zhmq#hLwP56zB2N4IhE%c_sGcQ;Dx-wA8U+W>fgxoyjEiL&6az4=f21``XoxtD{)IQ zie8tScdqf4(SW}mL2nNE^agW zb%$!cY|#dzW^>K_UeU{qE}S&X-yof5)Y;!Ee@4+Xqto}D@;@CLYgE?HJHO++Ax33& z!TAB5dmEiy6rEpa6l>H)vs=F7qcEcj$NS|w-S;!9j~Jd`yvNn3v1ELHXuOTl)de&1 zd-gIm>SHiJU&l?$=<1c_`5PrdqlUp7@-H;KH>`cPHUI0hUky(m*`05EzS%G&?r{Fl zoz;drUYyGBxwP2u+JTGtuf}H?9_{vXe!pIchC_e5pFckImSNH9w0sxm%Z3&s^7D@y zoi%jRF3&F%Cm4Q`*XQ4Q_r2kPpM)1+Vj_8+P|JEpW*hXSnH^ZGnFFP{VI7yA@o^?qfJ~iGP89UKhiL=7soWdVjg3wsr;t8+KBKRUP|<*}XNojGF)Qr?;xn#W8nSgWdQ*g=18!S8w!L$k&u z1@88r3~t|ATVNLW#=vIR=7PkyCkCBn?JDpbU2otLcd(%Uf--{)hm!??+wu&2R4x=0 zolZ3vP-pb*c( z0MDcl&&B}Hs1VP}0MD!t&&~kPun^DE0ME1#&sHDLxDd};AJ4oH&t4zzpb+muAMd0P z??xZ*s1WZ;AMdOX?@k}@un_N3AMdmf?^Yl0xDfAJAMd;n?_M8upb&LIA9bP-bweL@ zq!4vQA9bb>bw?j{s0ejQA9bn-bxR+0tO#{YA9bz>bx$94un2WgA9b<_byFX8vKrz|^J+ukMXdCpW zG1@Xcv}wg?+w{=J6{D@wLz`EOwoeajU@_W4J+z6%Xd89WMi!&3)J27j1Ac+G1U_$;D`!bi+jY^#m!PfJMVnuOwqF;0KneN+UGxbh=o@s=N0gwi&_$n7g1$o+eMkxV5?%Bu zCFomp(Z`gauhB)HQ-Z!n7ky9(`XXKQNhRo;bkRqZps&(JpH+gsOBa1u3HmZ!^l2sN z+jP;#m7uTFMW0uKzE2l@U2FkqUjY4*KW^=&N!U(9y-uL9zYk-fll%Ox`_^Sln2mNbfB|5fbOCL9p(Xa86D^}51`xVK*xCi zT}KBx&jaW_I?#bip$q9iCn|+*qzxUZ6uOc&bf!}1PTJ6+N})?>L#HZ*Zlw(!s}#DH zHgv91=w8~;!AhZvX+tL~g>I$|9jz3)nl^N{Qs{2l(BVp<%V|TWD}`>S4IQr(x}G+4 zzEbFZ+Ry<@p$lq5CoF|-s0|&l6uP1|bjDKXj@r;6OQB0@L#Hf-ZmA6&vlP0fHgwKX z=$_ipK}(^FYC|V2g>I@19kmR)sy1}iGU%?_&|%A<%W6ZXErV{W4IQ@(x~?{K-ZJRE z+R%Z^pbKk5CoY3-tPLHx47#!wbmlVX&RWo+%b-hZL8mT*Zmk6!y9~Ov7If}1=-yh; z!ONhFYe6S3gKn+`9lZ>?x)yZyGU)DF(BaFV%WFZWFN1Ec1s%T(y1o{4{xazPS{MVA zVJx79F+mx|23i;+lwqu(g)u`J#tvE-LzH7Ip@lI;ImQ-R7-N)Utf7T5M>)nGS{Q?r zV=SVDF-bYbCR!Mylw+)-g)vJx#x7bI!<1tzqlGa|ImR|x7~_;wXP#+n!-S75BHi7|5p#?G1;Lswudt%)&p1;*Bz7-LsrtgVSLcO}N&nizvu zVl1wKF?l7%<{B8IS7NNLfiZg}#_k#z!&hP~uYoarCC2s|7~@xBtgnGFeW3YzG>!AymSapaGjgC2R{CurXA^)}R5KLnUkv8n8iB z!WN+cn?xmS6B@8lRKixF0h>i7Y!@1^VN}AFp#hslC2SiSuyItu)}aBLM-^-z8nA&> z!4{$cn@AOGBO0)gRKZrF0h>t`Y$qD9p;W<^q5+#q6>KXSu(4FZ)}jHMOBHM{8nD4s z!4{(dn@kmKGa9hbRKZrG0h>(~Y&ROP;Z(tvqXC;v6>K}|u<=yE)}s!aPZexG>aYP- z!4{+rn@|;OL+Y>*Rl!!I4x3RGY)9&_AyvVaqz;=>6>LlDurXD`)}#)bQ#EW)>aamo z!xp6un^ZMyQ|ho$Rl`=L4x3dqY**^AVO7JHr4E}`HEdhzuyIwx)};=cS2b*3>ac-T z!xp9vn^-k$W9qPxRl`=M4x3puY-j4Qp;g0{rVg80HEe6@u(4Ic)}{`dTQzKN>af98 z!xpCwn_M+)bLz0sRl`=N4x3#yYaYRU zz!sOY=`QwA=bc_D1}Y22DU{hY>YLqHA-P~tby%O3L9h% zY>`sfBx_)sl)^??16!pOHp?2=E~T(x*1(o2g-x>twoNH)oHej@N@4S?f$dWY8)yw| zp;FjHYhW9d!bVyHTd5Q_(;C=LrLdvaz?Le7O|=HLRVi$&wXn5HVRNm8?NtgJY%OfD zQrKi`VVjl0Mq3M8trRxfTG(!-u;JFimMeu#w-&ZtDQvv8u=Pq|^R0#LR|*?&Eo{M3 z*o13g8tIV)gH62-wskew*y~_xSA)&H4z_nS*x>76i&uk9 zz7Do|HQ4CuV5?Vy&Atw{do|eb>tM@QgH68fuXJgHNFzz6CY-80z6`P=n8*9=-=P_#o=xi%^44 zq8`2pHTWp%;j2)C&!Qf_3pMyK>fy^!gHNL#z6~|_IO^f+P=n8-9=;DX_(1C63sHkl zq#nKzHTX#C;VV&t&!ir{6E*ly>fuXKgHNR%z7;k2SnAgzrZJAJ9Yif+X+> zJ%n#a0w2*s_=+U(89jvWNCF?yL->*;@F_inZ%G0l(?j^0B=9*sgzrfLAJjwmq9pK1 zJ%n#c0w2{w_^KrESv`dBN&+9&L-?{J@M%4SZ%YCn*F*TaB=C7XgzrlNAJ{|q!X)sC zJ%m+O0v}led}R{&%o^Z3lfZ}80AHE}KD7q;)+F$;HNe*Y1u>rnEF?^5>@I{K@lWc@< zQVbtuBYc%&_$(XYyA;ER*$7{z7(UHL_%_AxaW=x&DTdFp5x!3`e4vf+g^J-5ZG>-B z3?FGDe5GReOdH`l6~l+x2w$ogKGjC}R>knKHp15`hR?MTzE?4Pu#ND=is6%Ogl|?1 zA8jLiwPL8ljqu%y;lpi&FINnoZXuF?_~N@EwccLvDgESqz_Y6MV~J_?VmEYZk-j+yvjV7(VDG_@c$|NjJeaEryS} z3BGDEeAZ3yU5nwvZh|jc44-xreA{C9xSQbX7Q^S=1mCw9KJX^^!o~23H^Db9hL5}n zzH%{q=1uUOi{V3Wf-hYRpL#QV>tguWo8fC0!{^=%-@6z-_-6Rx#qh~D!#6L2kG>hc zdJ%l~&G6le;KOf*FJA-8z2Hlpcz~N z5jX?Q;0}nuA!r7dKm<-fGq?pJa15HkH4uSw&xD6t399qD25P|d10`7wd9EcWhAw=Lrw168S0!N|+TnQ04 z6D{CQh`^y}0ha=QKe4z4+zJsm7A@dfh`_mM0rx@#4n_;O7$R^oTENW^fuqp^u7(Jl zjTUe>MBs3=fXg8Qr=tbj4iPvWE#P{H!1-ta_d^5@NDH_iB5*<;fg2(MN8}N>A|h}` z9)UX|0*B-gxFjNQN*;k*A_B+c5x6EIa84e9dm;h{ z9)UX}1c&AkxHLj=Y94`GBLv6h5x6!&aBd!hdm{t~=P|fALU3{(gPZgJaC9foHQxQ& z$M3zjSwv!%Ac+K#gv@jHzKK~PhQt_RNFqWKiA>s}+G-6|)fO$vR2V%IMrwR>!8y&P`=^Y|8N5RF=o4 zOwUbadu+=1+*H=brp(VxWq)kS0Nqp;$fiutO=W{@$_U+5R>-Ez&`o8BY|0SbRF=r5 zOwmnci)_jm-Bi}drp(bzWshviAl+0J$)-%wO=Xj8$|&7bR>`K!(oJQTY|1d*RF=u6 zOw%o8n{3KB-BQ-crp(hVWuI)yK;2Rn%BD=zEoGx@6R!5VrL2@qnW9x}_|Y zO_{1&%2wHwvAU(Kl}(weTgqP9l)<{CES61~tXs-v*_6?`rL2}snXOyOZrPOKx}_|a zO_{D+%68e5@w%m~mra?kTgraflmWY?ESOE1uv^N8*_070Q&!BT%vhPSV>V^T%9JIu zDN|OaY?)0NvodAPY|5OKDSKv92CYn4G@CMMWy+@6lu;{FR?Vi&TA8wIHf7k#lx4Fi z(^jTzn@t(FGG*Ot%Dj~+`({-Ju1r}tt1@wA%Enohktq*T-i{oGNKjAidvN!tx$HVRVKAU*;K1CsujwrT9sL?PPJIelAlmWh@EU-nH;5*6&Ta*#LqpYw+nc+Li4qKEVzN0L$MVaC|$`)Ic zF}|a$u|=8VJIWqgltI3uEV4zJwX|neltdj$4!=zo#s@MVaz@%9dM{ zF~6s*xkZ`td&-_$ltI6zEV@OR^n1#tTa;11r>wd~ne}_hu3MC0zo#s_MVa<{%C=jS zalfamyG5Dzd&<6Bl!3peEWAaT_wk1nfZIl&RdkBzo#s{MVb10%GO(y zv9DCt-lEKXrLy-HW$-JN#kVMvU#V=qMH&4{W%Vt}>{lwgZ&8N7QdxeBGX0gx_FI(k zuT<9GqRfA#vi}wtfJ#{ai%dYJY=A{Zpi)-AA~R4aJ7AF^sFWqJ$P`q{7Fc8qDrF5U zG6$8i2NoHGN?8PpOhTn>f<;E5QdYqtvv6N_!6L(OUzWil({Nw5!6M^uU)I4Q^Kf7G z!6E~3Ulzh56LDWQ!XhJaUsl2*GjU&b!XiU)UzWlmQ*mFm!XjgFU)I7Rb8%nx!Xkrl zUlzk6lW|`*!;sOqFRNk5Y}}XKFl0FH%W@bp9rtBB3>lC6vL1%a#{=09Lk8r5EQlc! z@<2AkkP&$xD`LouJdhnRWJn&!k{B{24`fRW8IuRHCWg$(1KATp2IYY)iXoHoKsLpY zQF$P%V#usKkXtWM>Q+nkrcuL#C!mw#JaLsgkuZWNxZtZwwinDp?#uCZ|d^$B@yflGQO}cB*7| z3>ls(Ssp{Cr%JZRknyRK^)Y0As$_o*8K5dzAVVgoN;b%l5vr0EGGvCTWQPnHqAFP; zL#C)ow#bk%s**J_WR9w2j|>^4Dp@2$CaGFB$&gX1mQ^xjma1i!3>l_sStdiKsam$l zka4P(buwh0s%4)H8K`PmC_^TyS~kj%k*b!JGGwNzWv2`os%lv(L#C=)w#tyPs+P4f zWUi`ZuM8QiYFR8pCaYRD%aGBkmen$3wyI^fjLok;td`|cS5p0lvR#IZ*F#w^L+0zD z?3W<}_D~kgkO_Mz8)nFeJ(LwQWX2xKj%h&5_=mD&hD_N**)l`M?4higA#?Un_RNq$ zdnk)$$fP}#O*3TF9?Gg2GHVZI*9;l9hq7#jOxr`*HqEj)_fXc&ka>G3`)0_%J(Ptr zWa1vm#u+klk7VTxnYl-@bB1oLAIZ`gGIft+>kJvYN3wQ?%-ti|J3|KVku07ellMqA z&ydl3B&%o0>^+j*Gi3N4$?_R8eUD`O3>m*ivVMll-y_*SLk94XETH~$C(U5t3EcJ{khy#;duhmEK98z3MG-Nz$WIYX;&l=fJLk6@)7Sxalt&t5iWJGIZMGcwJ8re}phO|bO)Q~Byku5c3 zOlxFK4Vlv#*;7LXwMG`zkV&nPO*LdxYh+aonbjKERYQigMwZo(X|0iMHDp|CWL*uJ z*C(>Ch79ZzSy)3R_K9q)AtU=lR@RW2eIh$+$k0BKr8Q(~pUBo4GPX}-Z4H^*C$hJO z4DJ(ITtg=JiEOSRqx(cw*O1wLBD-tI@IH~{HDr39$o3jCzE5O*4Vm93vcHB5@Do{J zLnio%Y_K6C{6tpRkQuI(9X4c$Yh{TInc`a6VnfEbR@T^%Ij)sGHe`@%Wswb;i=& zkeRNPoi=2sYh|eond(~EYD31lR@T~(xvrJHHe|4$%3>Qb*-vG&4H@mHvf75s_EXty zLx%gQEVpqsX5drVZbQcVsjRml^ZgX;E(88l7Tk~te<~Yp$cR6c6*pwYpURFKGUQKX z$qkwEr?TaSjQLYpb3^9*sqDESgZ@+&-H=ItDw}S|s6UleH)PhI%B~wS>~*s2hD>{% zY`Y=jUMK5r$h_Cdz8f;|b+Yh=OnjYeydfiBCo6Br%-6}z8#45Dvh;>beVuH*A!A=B zYj4Qh*U8=+GWd0}_=Ze=oov1#qhBYhZ^-P|$?h96{B`;<8#4WM`s*4p{&lkchRlDR z?EnA&KmPZp%bg7V^BS-A2LJu)&$}4>-{0*MV(`zqdCFn%&;L6!!QkI_s%o^szyH9( z41+(<_I3pZf4+A%&o%h-J{PmZ;Lkti=T!!OpP=y@4E}!B+uIEOzQ^W%Yw-79?tH}H z=aKlo(*{4Ey1*+2Kd&u6lxs&~F%pK?82mi1{@u{R&$nP!D+@pG`&KUtKmVM!dRX{< zob`^e@cW7SqQ8aT*V4Yp7Jh#xPffJ&`)rz-W8wE3@jz=f{k})M{IZ4L|HRgBTKGIn z`0#xTpO1v_Pb_?1d=GwY;q&t#<$#6H)AoOUv+(&ETXfOF=dHqa)57Pk@U1EfpU116 zZB{;?y*_Vl<@36zcLyt<-#x$iTKPPmn;358^L?efx0TQPskup3KL6XCQmuR+3fE>? z`F?o#6ipO&9qZRPuQHvJrnmG5`IlTB=V-`|+n*2ee$yE1PZ&x2#n1lf2# z?9p^do)?SX8f4@75#>3`#`EOh)+sigFTv3>Z9H$DJNTT9=g<1#i)=iPHeFm{(}FXSw5siJ)WN_XFJv7dAfXxe?6YB8_Oc=@w|Plq;EZ*ze9}S^>`j%Sv;X0&*#LJ z)9dlPe)ZjwdOW}1>+(`Pp64%p_If>@?{Sgu*5i5q)At|OAI^ecpezFXqJ5hl9VZ&-?ZIuZQdNzI}hh>H55X-T(NzKJViRW6SIF zex7>aaedy`p%WW6;Qf8@@0JaCpSxvvHsJjpb}gU*?|YYN(G7V2Z~L!b1J(mBGe$IE zeGpof)`0awo7@=E!UD|;4gh$?j2COgomM?F>dZSz3dkt8B9J#%@0qc?OxnDJ4 zeKN3YUjx=F-ZPFjVEwY^zdswWo^hFWqXFw1&ujM^u->_qZfVH+XV%}%8nPbRnda7z z_0ea4c5TRdY08+;hOD2CpE4V=p1MCQu_5cLlgGw1WWAL&FtZ`+ubuk~8nPby(lM_g z>$AMwOB%9XyAb+zL)LF~pKfT#dhSfO?G0JqrGM~kL)Lp6+>SJ4{kQ6^(+yb<#yVYT z$olZD1?3G{FTVTaaYNRRql+3gVm-O8~1%S&#R6 z;?$V+`L{Xk8?#>j>q3{ttlu{!hBRh9-|AaOW7hZG{1Y0p-oLndbYs^4k&QAMvmXdA z$#2a5;PllwjoB}>8@{+P`-j@SD;u+)c*$>lWA+zYR(;l({YHUxPh<8UXLEmQ%zosr zv%fcHfAVsl%Z=Hu9Qm}YG5eRTZ67seKNIjm{U+>h2HkXR!hXk=+^GrspRs%Vny?=l z>>b&J{n42veVVXedU|(g6ZTI(j2YjA{gnIn)0(iqa_Lgsg#FfgOI~Qg{%e25Yfb)t zKbEql3H!6tyFO~de(lE&JDae7>ofoRChX@@um0SG{axe!XPdC!OZfPoChY$@H@Vw{ z{ordeYMZb>Tzaf=Q}&A;d$eiF{xSZw&P~}*J}m3ml>KF|#Mq|nH`{+Qpeg&$7aONE zWj{J6Yf@A8rwtC~G-bc)(fOIC>|cMH_i|JAvro>y(Ukq|4-xM-WxwnC=9Z@He=Ewq zYRZ0i?124E*&h%4;CNH^%O@WH*_8eBrQ{n;*-sa5z2B7m^{RRnC-&RD$2W6g|6R1R zy%YQK;3i$2*q;|q3UOk;-uo+u6Z`iSO%t5h&*x1V?Zp26*DunY*zfObl<&m;zti|R zPMilgZ(HQV`M`@B`pS91v&kPgaenY%f9jBUco-?%Sax>0%o{PENjPst3^By(h z{3ra!`p%pO?YFgX=6vW-zfR7a7daOCIdgvGcR0eC^Q6U%`Z#mGG=AVvXU?0}FHCjj z{At{wEN9N6UaD8*%=uKO`1#J9SNT1^)S2_EO?%#P<~-}I>W$8vZ&ifsaOS*gckW(i z&c7-*9dYJ7Y|Y=NojD)d=61!I^RnRNa%axZJYRk6%z4_g`x-Roe69DR7R@&IVd&iP}ryz=IpM?SOqadXZm`yXiF!g*y$c?%cLFB`V=aN#`jVK09d&NmaXBV9P} ztXykMyd=c^$r-*Mr* z_4ltgx^Vvb+qvy7oX7gseCxvb?0NSiE}Yk1k3H?e`E63_-!7czIzM~ch4bBR?>uth zym$4N^<6ptO+V@C%6agD@{X>Y4?k(_=gN8U&pr{ZoFCtD#Jh5yJbg@(E9c8G1>;;f zZ=Se#sw?NuXV%SfjHX z&bz}yesbmf+wA+hE9c>>NB-r?`FNkHw_G_dk1MHm<@|iX%eEGrr@O6a-h%V>+7H}Y zaNgc?TelXRzi-_W+Jf`=lAp{LoX;;knb3ms`r8*qx8VGK^|kaCoaZmEnAw8!{S(#C zwcxxzwQgYx&i?}&tZ2b~K!Ve{7TgbPbKTm4`-0RqyIOF6Fx2fp3+@vZcl@md_X~|Z zFSOvk;keh07TiDl+4+78?jr)cjh5U`{Mgy4CHEC;yxO(o{^BbSpO)NbwCNbslKYKa z?c-W<-|=4Sfi1cJ*zc0klKT+9rjuH7KXS5OPD}1f_SBZPs$`P#Tv+&BGwd}=H1pFZ3*s}=WA>(zCNBh>?w=I9-)0+FY7tRK?=00xS&bZdx&y~M6ur>E})3Z`qbAQ*e*QD0m z=l$0q`n z$2Qz&9`x?shWpLr+hJ|E?`-f@uQuF&UYwiQhWpU7eaE!nezdwtMjP%+qko&(hWpd^ zRy@~+`&92S3)^tN`m@_xZMbh;apCMstxzEpLf{ThWpx@^S`v= z{x;*Cb8WcKy_xcF8}4^sce&e!``+O{KWW4LZ}7{F+HxPN74^yPmG+HyaAbk*Fp+*cp# zzql>;*Vi7bXv=+e+l}kma=$%s_|~@EckiwJx-IwL!JGHDRh@7jM>JMRBCU76dCJiy?2i`$V8c+zfV zJMsd1K3~_4{J_G5t?kGYOuYJaJMsm6pWWY%yg``D@pj}70zW+8jyytm^tE>66Z##y z+m5`##I)LWZ*k|=!uI4ZCKtTbo;=1M58iK2K4Zu; zTiTP?I9&5(d-5B7=lF#kvG}-v*||u#J6{X8+nw~UygDkpVF}V z6gToJ`D=6C$giAodd7`BOX%DMZsc2*mc8yq-sP`xYu(7d_#ghrjXX?2*ynEKW48To zuN!%pe;XfhBR|uk@RS>Qn#e!@awA`p9DmD=yiMlERc_>Oa++D)$>U5fXy#5nXZ)#l z?&Nj)MEJOq-|6&rpgVbT-ap;A_K>_9$h&qd!3 zqmdmTu_Xk^EY~Z;2hrvu&vv-I07-qps;1Bk13-&(OS%O>yVy=+-0 z@`)X*R(B$=c<1THPUII?HQ3&XJY%zFdpePCOlfhb6M4rOZBBF||CrqVLMQT&P28_{ zA|JWj{az>XlK;5Xb|OF7uze#B@|4xBTY8YM{L;0P2YE}=sk;aH%T@KmJji42u61~j z&;0oQAP@4IQ*Neskl(y^X`%;t&hV4dJ;-+^9Vqr7?-~5%d=K)U7dF1?K^}D2TdO?C zhc2D}fd_ffH*&UmkRKgC`fCsJq!qpPd5|w1*!>p|@}^lXXFbTDDsJdO9<}QHZ4dIP zncqFc{x@2^&gMyeb@>aW$@e5*`?SGxp5$%&pMTkt{Oypf%RR~Cww$}xlYH*m zi642A*FER9!;}2(+3R~e$@8wV_TJddi@b67^bjxd$2UCfUgVJ{UL4>>KKZqG zMtG4|E*vqzi~Mr)rqjI0Gf&w!%Zq&T^x1R0$UFP?SmZ_idF|yly~soFd-FXn^3m`2 z`PhrRbkEAqy~s}&e6ZJxJatymVK4I4%^#oiB5yr?<3%s>*Cj)4c#+2rdvMQ-eD;R5 zwO-`4_w{Pjnf&&f|Fq~#p4)X{$Ij%tO<&*6*Qn zI@FuIerUIG-sJatuFLQy&ws4#OmFi2&6YjmP2Ru4y1<+Ke__coZ#=*|H{S8a2c(VN z;EfkJaQIVi{J_zOUEX+tnH%i*yce#c!@e| ziVuF`*tj$wJVnTkX+HRh;0A?0c#Feh=lb9;sy|uigU9&p$s0cS4EMx$eefF1*L>uI z-&lQZyAPh@o8Ub@_>P&+9rVF_e6#c#?Ian{~mLRIY5>1#fcpq*oXG$x!EjE_jsD10%ZNQ!3{7>Vj8kw`*`0{K|>j zDP8a^?K@BGf^WH-lHCRGGWwOmF8G(kujY2a!yNx-VHbSNU#@Rcn=W{pyo(3A;BO9Fj(5T1Z1p+U1)t;I|7sV!POGW6yWn@;UQpcy z&$IpmOILhPsxrYgfEe{`RlB;-9AM_@OHv>W}S5yW*pM{_Ip&yj1T`E_KCEMSgUnE1v4p z|K00~uiEhTldgEHcFP)c!(TbQp)`c-WyWzJE4~Xi9=L!ms z?}qPc?UmRK?^V)tR5$$Bi2D<};lZ~2ncWQ^HuuNEZg{buKb+eQKlaw5h28LEr?cPa zhA&$_Xl*yV*@12!cEg{|s<*8h9_{VFc6Gz2h3($g4X-xnjbq*LYp1jR=!R!I8+W-I zzHNld&2D(N-d8HS;omlWUfT^1_rePeeerR>CAs?I= ziZ9-8Z_nkv_`ku|R{P=s`@g%v7azD|*r&dD!B=fx`{D;re({4Zo^VmdQD1!FCoN9- z;tgZJzvzoUjGTVm7mv8E^(p$6Ho! zFYS)MTpIsEcRc38lS{heGjB{<(H*b3?e=@!@tc3n-qan>nPc729p5>5$v55cp5M3} z=#KxKz4Djtc+l1D&UD9zc35+{J6_c0cC$NvH1VCv?s(FwR<+&nrMBe_{P3pvja~fk zr{)Xo{qU%H)t&wDskJi#{P3!`E`|HyS7U~met6dF-w*V|w^sHT;fHsfuqM?H|JtQ~ zrXL%?=<-#RDeDCSN8-94-$`|hX;eWGFKK8={ zM|#!s#|MwkYvzv^KDWD#KYnjuO01=SN`qXB!B$!kT%o(@ys2D7y9Fy%_VdE@y=iV__9C#d2aPGe?0Wt{_ptX zqity)_~WI2ShmFr$5&r$bI2cWeWBNJfBdz7_E~>C_L0~B_Qz*` z^~Ftpy!O2_mHzncIgg(BXk^itnNWyLEksl z_n^PvuZf@ZpwHl|fG>K`Z}4;Vw>{`P=>Yd3&v5o$m!9-B zT>GeJPx>1I#zyv}&tXgBUOnk|n6ztPPx>AbGl%!2{~_OHTu<5mmPdC_=}AAtxXCkm z(ih>`u&5{f5gk66(~~}lAwyp7Nx#I-+pqPcZ(_*ORXypSaPR)Vp7c?4I9gq8>1a>-ExK(#*^|DDalQWRN&m%nzh3Q0A4YO&Sx@>g z+F!fhlfDd>nYBIX&xow5A1M3ZYT0wnf%I#%s%sNS-^S7T9)a|4Y%_cV>EqZpFDQ_H z4qHuBAblN$#k~XR?`U2=D3Csn^BE%o>GwEsZd@RJ9}g1K1L^p4v*4Kgb zlWaKjeIR`$2fYsm(qGd2`QHNRGkNjs*+BYDIt2Y4NZ-k&m;Vc-|Kz80cLV7|`NRKl zApIy#bF4x1rDPv%5=4K>tu`%#=u>%XvU?EyDigPO2hq1O{H}ix{VQ1!VL|kPj!_Vf*+ujQr3i9z(Y_(qKkqR-_{;e;UiUH<$uGl;&I|NhMlqW`5`hms)rV6unJ z3!)$9!i%p2(HApw=dvLBW4y1d3ZhTuq4WQO=$E-2y(x&k8Eg8sAo^z_mV6yVAI;)z z-v`l8Q*-jCAo^;S-aj5he@$$wvqALPGzz&KM88etkQ+ht-PB~=38MeTWB$V+`fw(% zGJ@&H`F=~IVES^R_qqhrpL6_|cEPg$ZRTI_45nWv@ju^S`gTI^2L;o=6J8q?Odrp% zdcA|`=Xs^^pkVrXPCE?`roYGGJT{m9VET^+uC5N2 z{cksCR$VatNc#sh452TngGcia`jZykYZF4BlI=jJ5c-wgc&lp&eM>=;dxp@zbU7d* zgg&NqRrV12nexBu7eZgtumwXx=x^#ZaAXL5PL3uMLg;r&JemGOIset0PTURU;y38n8VqR*sI`oEU{ zkQGWFSb6NsQ2N1!e_0YrU)a|H^Frwl>%9KuQ2NA{w|*^@elg3!6`}Nv6<4hdrGKm} zeM6}1zgy<vo6IU-oDHA4BOgOU*tUO265KqsK$(JDcElCY1iO zOA9ZB(uX$b>c64%qx~IsJCwe(DJ$-W(w}zaznW0`)F#_)Vf3s0wX8`PeQT+gT*GAl z-A?|yk~J$JHi7=3S-T@%CT zf9pLoIgCEI_m+$cqaW_U{>fqV#SN&L7Dj*E%Aou(`s6OAmxj?V=dp5L7=3f82VM@N ze{T8RrD62Zecxe482xnD`>hS5udY$<`Y`(Iyx!a#MxR~im)pbWw~PDZ>oEH6><_*R zqyH|V#i20z@VfT+C5(PNr~aqH=*zn~{?9P_^Y#{838PPM;e!9d=-2DN@=h3ido>%X z!|31paQo9R`uO_psUJ>1-`V|6;q>)QI@&T^_P@i$U){p#^BZ!)E1Z769VdLl>HBN+ zTVOc-eLN2=@WdsW^6e9f_Ilp3a4-I z-0ZAy`UgMH$P1^Ba86QjIQ@hX5p%-nE4=LfQaJsE&(K_Ntr-wOALW(aNfGo@cD$YvL0@I>C8-hgS0?&TiJ;HYadcV){g!RB zW=7C=dB#!_LI35vHFF~9!)zM-QUv{&a}O?#pfB@}(aR&~&un#LRRn#SktOd%(64D) zH%8F68L)Iq1pS+}Eq6rF$GLO$*IKfj?UdN@y9oL^kGy{%g8ojwPCrM`=b5+mLk@S(yIo&Cee$vp$E|K(=9$n=hNq=dt`@xa)nXVif z6-mG8(T^RG^qpR;>l;b`>8~jXk@TUyziD_R{iul#M@Q0^dbWRBB>kzq-^z%jPj%77 z>5=rSZtYSKN#E+$8Ksf*uP)v;He%F2NH$>9+x-MyRB>k^rU)UB&A8gr_TIPHt1KXzZl;Yj*q z_on?CNx$s!1*anEo3(#@K9c^~{YNfG(nss{-?d2kX-7A>9Z6qprdMSo{j~`(k0NFN zy&4a%i=^N7{mlAN^xZa@-872++aZfwqv*q(x~gpy{kWsncZ{Mhx8qjtDEf1E@9Z8$ zpRV8Tz$p543%(7HqHlNE-qT7iYWSqFK=2CMgOpM!MZ5=h^r=i6h%MrH{n~O=qn!D^z$hCi@&(^ zbrgNZH@EGLqTje;-u@{1jt?XpiK72FyUp<^+5gTLFP(~_AKCN6^HKCAhh$%lqCdH% z|FtOkl=t1d6-B>tuaECW(YO3+dUX{2%Nsk?M$yOo>hIQQ`kBo|jiTvm{vpOWn*L_z zTP>sMbMC&neKh^fF8w^B>3iN^?h{S_bNnj5X!@X+#Rf&w554hHcr<;{uRI?cO@DM~ zr{2-@NpJn8e>DBlgxXpxU`l&B(ni5T4b?eA!(ezih zI*=PppY?^L!f5)fbI#0;rtkXDgn7~QU*GuqrD*!FPo^)9rXTy|tIMM4%dVTWBAWi} z_zSC}>C;Xa`M+rTwL2W!7){^y7rnMb)4$zv=eB72xTC$ljHaKv%NyTB)7O3G$@kIp zcMqL1g`DZ#+03O&@q%%H?SK!Be*X8%VQ!4SO-h9V7eS_1`Ps#n5M;cxitO{q{4@9FC#ye#o!C#L$0#W8cXb`ta>vo{6C!Kk35@ zG4$oTz4dnt{rMlvyA~t+-|cGFtr+_C|4Oo4(9$ZR`%cbdhLc-<~e-(>c_Fncj(*p)7bx?_pok9Eb|}ox_uSP zJP7Bl-^4N>VoJ~NW0@C`_1XSd=0|u09FAq4#NtiIVwo?o(ep$s^Ck-3J{`;ai91ct z$1;z?bKa#`=2KY8uf#I1;;q!{vCOYHedty!^DK@8-ic+tMb4`GvCO;p;qk**=3g8b zUmMFjjM?8>;+T(dp?!lm=4IR|Y7)o%jL&~@j$@vNk59`u=4J!I&j`w%?#>xKs`92PaV}8e^Ucqt9^XR`IJdXJu=MP55G4I2@z9WwL zA5G%pUlj4{kvZzyX9P>oZ4jmoGe34W0QsbC6GUtj9P>@Cte+Fdyp!wS z&W~gM$*NNe;+ThW_rFDPvj6^7PnO0pFXh8#Z^SV_rOth29P?CczN_Pyud+S(y*TEr zG>ZNpj`=H%9UsOqk7Z}C&2h|UY1sSIIOes~Gk3%>zhz6zmvPKNw`x zEKaS7W8TesesyuozZqR^wKEUrs~;QKnUC}B;wElQ8S%+vYw_ttji z>kNFey`6bGi;_CpW&eBRH1e`DkEiN;A3O7Tyo-J9%HvJi6Xa3J;FFNeZ0~*~e-p+iWjYs;~W&eAu$R1>8evog&A$H~orL7-k zXTDHmY>J(ELpx85wlja|WM-njr`efLRQ1XfyX=3DnwC@Tvj06cyq|4no>5uX zTs!lP&TP%MGw&!PsL0Ozqc?Yy+GYQHP7Zp`&U~bkTj$xCm-J897wybXTJ`?RcIGMF zY`NIZe5FgTEVVOlX;$^?cIGdAocWfWc}#Optg0ZnlJM)?vt$)wX{HDDPKCm;- zsYUiiJM*0y9@%7P-qYHypV*oIbo_cIHQ&`eTos zc~YIbes5>KRP(}pcIHj3-Fwi^{HcTWkJx4Z17AxxW@kQC<+9^;=2cZ6KWS%v)oSNI z?98(|JNTTP`Bq;pxL{}ARnWJ8*_nSe@a`2m^RQ~Yui2T8H8kZvJM*#}FP7P5{{xSH zT49&{53Ky-o}KwxyJ{cUnYZQE`H`LZTkZNju``eB^Yl79^SS<;Z*?%QYtP#H4%z=8 zzb_g&nCBICw5fynUgs`4JDB(7Ug7Fs{#SKvD+lwyW;SW(U_RK3t=t{V3k&Md$-(@v zXS}=|%oCg7{IQQa^>8qctg3C0gZX5aoI@SVE1P7Ca4^4Y z&Vwii^UOm2jdd{J?8TD~2lLKy_V#u#|E%J}z7FQ0HC;Nu!F;qIXAX8SFU@865C`+q z9t93_Fi-7Sr(_57)mB^^>0sX4fbYgQWdDQTd@I$#JhqalX%6PIRYpv9Ft4p){R{{5 z+rId1s)KoMk5^|qWdDQDOv-UE?=7**Ob7Gd#$GRQFb}Twqap|M;bJmM9n6bs=JBk9 z`Ej$)%yG#6hdlS%^A6_Awd(z%gL!lP?k#XIf6nQHg%0M?O&_wv!F;-j)k_`BtGo67 z>kj7EHS7DPgL!s`uB~t|->&t-w;jy8tMOUmVE$dn5AQmdhqq|#{~XN63%v7zgL!#5 z3pP5KpJ%q&S8!MwlUzS-ws{@=R5gAV2a)?fdVgZY3@U5`4L z7x?P)#~iZ%p`YIT)xkW$^yCu`<_mth`*#QP2A6dF!@>N)C-cram`7;2bl$;y!Zk4$ z9n35IX~ka-<`*t3``f`h!}GoWaWLQT#}(Hc%sY(v_lAS{hXX=yIhcodeco*c^AX(+ zS2&oLc-i@$gZYV3$@d-1Q}kY0tG(^;stdM<}(ia z#$qzBar14P$^6EZof??Tb8IoBk;!~VuVE%?s6BC`H;(#>R^W$?}JCi5+mEBsC7T{gJa!({&D;Cq24^DsN!2{xIJ`NFMG zQ}#c+hn9TFM)pn4{e9vZ8gH7gres?0#Wd7&VElDQxK!15*n8|$5fKek% z=7qZXrkKnRT~$5OWS;2y1EWpmi^jb^)@0sj=IB(D`J)Cqfh_CK=IvUw)+T%YrtZ!+ICbNdS>^Ik97Uox5hdgbr}lX@Y?aA8+mJ_Zo6NVpF?qEq`yUl>c#SFhA64W3uE{*yQLnvcG9TAb_P)uy z+|LKDGnt?J`T7q`=IPoWuQz4?qel+iXfkj2(FY%z%-{9B_mL_4AAQyQ*knGh->aKV z=JnS8zQtsIZ>rl?lX<@V(mpkr@4M%JpP9`2-E(Q1Df=Hi(0zygeTSkaj{MwYKCtz* zohI{wgZF)5GC%m{{Vz@C2?uuh+LZl|sT;A&WZv+&dAm*K5BJ*ijmbRX9lz``nNR%b z-EU3i6^FI_&SZXZ|B&xZ<{4j2`oUzrag*#HP1*mLZ|CnbnScCf<$jZS$UlC3z+^sh z%iRY}+5eb3hYp#{PabpfCsX!6X7HbfP39{f`um6}`ycbmzei2xFQ;Aq*<>E`v)7K9 z%xCtz`isfD=3y6qHJRVs^z?C)dCo(R{$?`YxzpYgCi9+4KRszO|9RZn-%Z*7*q>fG zWy=1?emC>9$-HQD)E_4EqX);FF_|a*m-|_h`O;4xpEYIwV>g{SXEJ~K+>Y}m^Qc!X z{?lYW^@X$xCiAMd246H~|6}W0FPY4_Gob3WFB|X zSJzGEbC1ZlVaoo;?QZ^`Df=I{dDnj?^Sr$$-ZW+Zr;o_KUjg~@#J1xG7P=8caTbH`-<_*Yl&n9L)8 zH|MU&eDbE1cTMJ%+e+`5%r9T?@Se#$^JS%#CiBfb?^l}4JCDn|Z!-V<^1t^@=Ak!B zePGJ|+xP$Sz+_&!#ZhH4KmG70RVMS)+qJDWnXi8L`D&AS>m#mJo6KL28~o5@9{XpX zJT#flzNN_{lX>kuvL2bT|Bjd+ADOcMj^phfo6L7F%YAIh{yX0M;jto5FaBPQ$^7^eQBO?f$#0qS#ALp_(|1oy=FL|fP1%35$APD&?7x|F z^QkHOZ-zM6nX>=pi-C2f?7ulSsm_%BH+N63GiCqH4;Iv!vj1j_ck4{qf3xY1I#c%F zT)Mx`l>IkX{a$Cv{+qsk*O{{aW}mV;Q}*AycE8S){Wo1}>P*>x^T5+OQ~19Z|0Da~ zi~n8rzZd_x?0+x*`?CMN_`jF^@5Mh)_P-bZeA)kA{QG48d-3m={qMz}NA|xLe?Hm& zUi^7w|9kQ0m;LX>-$(Yp7k@w5|6crkW&eBe_m}=1$MgA?{g3DKF8d$P=U?_ep6`R~e>~q0 z+5dRHFS7sfe1ByBStElKqe8`zHGz&-YLEKc4TS?0-DpPuc%?zOSa8vj2T}9?1Up;rSr@--qXg?0+AgAF}^_c%I1q_u=^> z``?G>jqHCPoUfo=auY#AD&;b|9yC#$^Q4@`6m0{hv%K_e;=NI zvj2T}9?Jgr<@qT4-6ge_x*evj2T~AISdq<^3T0-Ufw_m%8_Ki*%m|NVHM$^Q4_ z{U-b0kN2JIe?Q)Tvj6>fAIkpsA56J%a zXMG_1-=Fn@?0LLSx?CR_h)?}``@4ShU|ZT)*rI}{aKI5{`Y5nBKzN;^@{9& zf7UOu|NU9d$o}_deIxrnfc1{-{{YrMvi}2E56S)yV0|R}KY;a;?Ee7PPqP05SWn6R z4`6*I`#*s7mhArk)?c#!16YsA{tsY%Ci_2t^_uMe0M>7^{{vXh$^H*ueJA@rfc2j2 z{{Ys1vi}2E56b=zV0|e2KY;b3?EgU4kFx&*Sx?IT4`h8Q`#+HNrtJSf)}ONf16hyC z{tsk*D*Hc>^{VXuK-RCa{{vai%Ki^zeJlGvkoB(Y|3KEivi}2F56k`!WPL3AKalmZ z?EgU4&$9mmSx?LU4`h8U`#+HNw(S2P*59)KgIJHt{tse(F8e=-^}6i;AlC1)|ASc1 z%l;2yeJ}ezi1ohg{~*@?vj2nF56J!xVt*j}KZyN;?EfJ453>J**iXp*4`P2I`#*^N zhV1_!_8+qUgV>MA{tse*BKtpx{fg}WAoee^|AX1j$o>yzeX|(PhdYP`=7x6RQ5lC{i^JL0{d6l{{;54vi}L}Z)N`z z*zd~zC$RsO{ZC*&Ec>6p{#f=uf&H@Ve**hw+5ZIg)3X1G?5}126WMRe{wK2kmi_|Cjwwa2_N3KZNrc+5aJ&*U0`4;rvGSe+cI}vj0Ok-;wHyd`J(LqP|h1= z|A%t^DEmK@^GMnMVVqCO{tx54Qucos=a;ho!#K~B{U65prtJSP&O2rQhjIQX`#&s5 z=b^Iy!#E$6{U648sqFtS&QE3khjE@N`#+5HRoVYxoVUvU599n*_J0`Xv9kZeIG>gM zAI5pD?Ef&%Z)N|7ah@ytKaBHT+5h33_saec=loape>msCvj4+5AC~mOAHjLO?EeVP?`8i-aGo#wKZ5gp+5Zv$f8O6<#R$&-W&cNT zA0Ycbg8Kp4{}J35$o`Mu{y_GB1osKD|0B3xko_OQeS_@(2<{(b|C70oko`~QenR#? znfnUa|77kjWdD=7&yf93=6*x=KbiXu+5cqjKV<)txet;3Pv(9^_CJ~X650P`?oVX@ zletfk{ZHn8MfN|L`xe>%WbR*N|C70ok^N8Ren$2`nfn^q|77lOWdD=7&yoF4=6*-^ zKZW}q+5Z&oe`NntxDS&3PvL$@_CJODBH8~G?vG^uQ@Br({ZHY3N%lX5`zG1{6z-p7 z|5Lb+lKoHNeoFQ~h5IVm{}k@8WdBpR&yxL5;eJc@KZW})+5Z&ozhwVYxDS*4PvL${ z_CJODGTHx;+@Hz*kK{g0_J1VzYqI|%xo?yGAIbfj?Egsa<7EFwaz7{gKa%@8+5eH; z-^u=u$^D<~|48lwW&cNVKPdY@lKVp0|B>7uezWW7NbVD5 z|3`AaDEmK>`$pOSk=#GZ{*UB7Qucoo_mi^!qqwh>{U62srR@JG?lWcoM{&O?`#*~N zPTBua+<(gckK#U5_J0)jqq6^_xG$CcAI1Ht?Efh4Q)T~0alb12KZ^TS+5b`8zsmlP z;yzaPe-!t#vj3yFua*5D#r>`9|0wQrW&cNUzbpGciu+#K|Iyt4%Knd@ulr!x|IyqJ z%l?n%zF78uH224{|D(B2mi-^i{j%);XzrV3|3`EGEc-v2`)Jw!(cDkV{*UIqTK0c5 z_t&!jqq)zP{U6Q!w(S3C?z?6GM|1xz`#+ldaM}OS+>gutkLJEy_J1_@=d%B!xlfn< zAH)5+?Ee_<+hza9aQ`m*KZg5w+5a)z&&&Rg;l5t>e+>8cvj1ba&zJol!~MSO{}}H3 zW&g);|1bMLhCG1m{}}QCvj1bq3&{SDAwMAdKZZPk?Ee_@1+xER$Q#K1k0E~``#**} zg6#ho@(Hs4W5_GW{*NWUAp1X-JcI22Sn>_B|6|EJ$o`Kd{~-H6mOO;)|5)-7vj1br zOUV9@B|jniKbAa&?EhHu6|(<&k0pO0`#+XEhV1`X@)@%KW65jC{*NWUA^Sg; zJcsQ6Sn?gR|6|E}$o`Kd{~`N7mOO~;|2Xm?vj5}Ai^%?uBR?YhKaM_ z@{08+5hq6qh$Zblb4eHA5VTt_J2His@V@mjwfFw`#+w%mF)j`@>jC| z z`#*uao$UVv@^`ZT6UgJq{!bvEC;LBvyq@g;1oC^b|7qm;WdGC1_sRaJk@u7RPb2>) z`=3T0Q1(BKe4y-q8hJt4|1|Q0vj1u131$D&$QR1~r;#_5{ZAu*DEpsA9#Qr`jeMf) ze;Rp3+5a^1i?aV|JX5|0w&PMjlf3KaG5(?0*`0N!kC2{hvs_Quco$c}v;MJbK2!F8B6&^O|B2)`W&bCV=al`QNWN3{ ze{hvg>R`!1qd0W~4N#t*3|0j{hmHnSYK3DdC5_w(O|4HO` zW&bCU=av1RM7~${e-e3L+5bu8e`WtCkq4IjpF}=b_J1;YVcGx5fh^|0k12mi?bhK3VpEGI?d$|HPz+5gGp zpJo3ilZTf5pG-bl_J1;YY1#kDgtP|0k2jmi?bh zK3n#G3VChW|0(3RW&fv;=a&7SLcUw}e+qeT+5aizzh(cYkO!CjpF%!d_J0a_aoPVV zI3VC(e|0(3xW&fv;XP5n- zLcU%0e+qeb+5aiz-(~-&kcXH3pF%!f_CKAxyzGBE`FYv@bn^7F|LNrGW&hL3+spo^ zlfRe!PbZHr`=3reU-mzpyuR#zI{AIs|8(;Fvj6Gi`(^*r$@|Oxr<4De{ZGdO$o{9} z17!cx@dC2{>G%QJ|8zWo?0-7GK=wZ!Zy@`hjz5t7Psbz3{-@&;WdAep3bOwh_yyVj z3_OGEe+Irm_CEvfAp4*3|Ndd9nSqCp{m;Nh$o^;GC1n3I@DsBC8F&iW{|tPE?0*K{ zLiRrcej&%kHM{%7DdWdAep8?yfycn;bB419;|e+J$|_CEvvA^V?!2a)~H zz=z2GXW&I-|1%@jSBsQ}I2r|5Nckvj0=@KeGQ*@j$Zw zQ}IEv|5Ncovj0=@L$d!<@kFx!Q}IQz|5Ncsvj0=@N3#D@@kp}&Q}Ic%|5Ncwvj0=@ zOS1n{@l3M+Q}Io*|5Nc!vj0=@PqP10@ldk=Q}I!<|5Nc&vj0=@Q?mb4@l>+^Q}I=@ z|5 zO!hwuA13>sg%^|k&%%$%{%7IIWdF19WwQTScr)4mEc}`5e-<81_CE`sCi|a-SCjqE z!mr8xXW`jo|FiIIy{}Ye;oW5av+!@S|I_ervj5ZYakBr@@N%;M)9`b$|I_evvj5ZY zb+Z4{@OHBQ)9`n)|I_ezvj5ZYd9wf0@OrZU)9`z;|I_e%vj5ZYeX{@4@P4xY)9`R>`_CFinDEps{ca;6l#y`sbXX7Dd|FiLtvj5q5N!kBw{G{xEHl9-U zKO0{u`=5=sl>N`fU&{Vx<1uCbv+TTe8{aAWpN;pF{m;gK z%Km5LL1q85@u9N+*?7^!cPg{-qq6_mcv9K_>4to%?EiGUsqFuB{Hg5!bUdo;|8#t+ z?EiGUs_g%C{HpB#bUdr<|8#t-?EiGUtL*=D{HyH$bUdu=|8#t;?EiGUtnB}E{H*N% zbUdx>|8#t?EiGU zuk8PH{IBf)3_P&x{|tPv?EehBu!{|tPy?EehBv+Vy2{Il%;3_P^#{|tPz?EehBwCw*3 z{Iu-<3_P{${|tP!?EehBwe0^4{I%@=3_P~%{|tP#?EehBw(S25{I=|W4xU@~KL_6} z`=5jNmi^Dcf6M;o;K618bMWD^|2cSZ+5a5;xa@xpo?P}n2VXAxpMy7-{m;Rl%l_x! z(PjU0@aeMuIe2y1{~Y|f?0*iPUG_f*-!A)~gLjwx&%wXT{^#J~W&d;V@v{FpczN0X z9Q?fOe-55r_CE(-Kfm@)4&Gk&KL>v=`=5))m;KMh=ga=*;`L?!bMgDK|G9X6+5cR8 zzwCc5-e2}V7yqySe=dCh`pRLN(vP5@RW5xA`q|~upP-**E`196+2+x&pr3UfeGB^8=h45Q-$fpM4Eo*V(a)gY zRUUl}`rYNx-=N=R9(@k_-R9Bnpx<>KeGmHG=h6S5&q5x35c+K7(GQ`|N*;X?`t0P< zAED1u9(@w}Y~|4}q0d?#eG~fZ<U!l)(9(@-2 zZ0FH$q0f3AeHZ%d=h1(m??N7Z82WDH(T}0;N*;X~`tHo6KSST8ne=JsyET)34Sm;U z(zl`S-c0&8^j(}uABVo1GwJ8hcXcLx9s2Ihq`yPo<(c$(=(|0Weh+=uXVUkf@BU2s zKlCh^Ngs%w4KwKn(X(PEeIa^w%%nd=&ytz+iRjrflYS9BYi8=1%Y9@UpdUnmEzeLZnne>_H*)~(pI6pn>X3}?}XWvZvPxLIDNgs-yjrsJW z=vkRhUy7cc`ShpgS(;Cuik_|c^sDGun@``0p1t|>ujpBvPalh(&H41R=vkdlUyGjI z`Fe(T*RwpIJ{LXP^XYfdvp%1`7d`v)>3`9?AfG-My&LlBhtaztpS~EqJM!s|(Yqv{ zJ{i4R^68h+yC$E$8NGY*>7UWND4#wWy_@psr_sAApS~KsyYlI;(Yq|4J{!H;^69tH zyDp!;8@>DT>A%stut4v`?s_*C(2t{cWdVITdUqDkpQCqaf!?Xz^=>VoUq|oS0{V9J z?k%8yNAKbS`grtiF3>yLSMTZq`g-*4E}*|h@A3lreDrQFpx;OD`U3iX^zJX9|3_`iiu6DWJbdYncN2jI_2Xpx;PqodWufwDu{W|43`00Bx%ek84xX3>|V zwbLy6leCtaMW2$^RNNo&Db^g(HDI7@59ZdxnOqAyBo$6548X)QU6J}Ip& zXVEXEwdO4PrnL5)MgNr6qO<6u(%N(u{Zv}3&Z4hMYu8y?!*oE$^FsQ$v{o;quS;w9Li)S3mM^5wOKbZ=`n|N)FQo5FYyU#}zqA)9qz_Dc zgF^bjv{xvkFHC!fLi)qBmnfu9OnZw$`o*-@DAb;#i}oIc^p9yTQb-?}_9lh&lWDI~ zNMD)uE`{`$X)jYqpPBYHh4hcsZbkIBX)jkqpPTk} zMfAIAuUAChoA!Q1^uK8@SVSM3_J&3D!)dQrL|@#>p!_23A$_!$ETT_Nd&?s2F@3bx zETV5td(R^J=d>3sqK{5{(<1umv{x;nuTFc{BKqsJmo1{tPJ7!T`t7vWEu!yEd*34Z z@3a>#q7P4d<09>meY96DqAyQ-=OX&^w3jZTPfvU6BJHugwbw49Z%=#gBJIJwwHGg@ zk57B^V*2^CS1+coPkZ-b`unt(FV>#kTYLLr`u()mFQ)HLd;enk|8y2mtTO>`oedPz z52&+(V)_Dgc2G=zpw1GC=@Zo1LNWb`d*+wz_hC1sgrteT^AI0<^>MW#~K17|36w{BWvyx)^5_NV`On;)z zQi|zQ)Y(cg{fatkDW-2xXD`L{FX}9&m_A0G%@otmsI!`4`Wkh1Q%rxO&T@+BbJW>R ziOzUB>#V1QzDJ$?l+gdEv!D|CAayoWLO-O=ic08<)Y(yq&X79mEUAP(Nu4c~&@ZX8 zrV{!lb@o(3|D?{MO6a51*;EPrlsc;_p|4VBS0(gU>MW~-K1-c#mC$dgv#t{QE_L=* zLjR@C!b<4F)Y(`G{g{7@US2|9rq0ev=+D$yS_yrcI$JBDUsGpoCG>6T?5%|UO`XM+ z(8sB>xf1$0byinGU#HIQO6c#@SzZZ!o;uqrq2E(yeI@jL>g=zC{!g6+me2>Pv%wPj zL3LJGLSLxP4om0{)mdUGeWE&BETvymXN{%wjq2>Nl>SkjMV8V>s5TRuR04Zr4LqT!=?1Y>a4hwzF3_dm(m}rv*c3xWOcS&O24em znoH@M)!B0?{j)lYE~SrFXVazh)9S3cl)hS>U6;~dtF!D<`fPQ!J)3@8opsNq?^b8u zv+2LpS@>-FaCJ65n|@rKmCvRxS7+z5>Ce?!`fU1ib+$g6eqEil&;CEw-aEdjvXA>u zI-u-5y3^fKy6K?oJ!HvJ8A5@AWkVSqNe+ku6&1&=C=O6WR76pnC|)N!X*!^T0tMPq zD5I3s0xj$Ld`gPk-u_;{=Z~i^!gWq^nx;v5a?bVre%^2Lb}{#!fjM{|%*AJr$BVi7 z4Dxv~SD!&%FXrwu$nV8meg=8InA^`F-xqWJ8RY$9?mvV4U(^CJ$OA@gAcK5h)Cw}l z3r6iAgZyCB5;DjWMr|R3d|}iYGRPZ7?IDBwVbmfr$RkE=B7=Nl)G9K_D@N@igZyID zGBU_BMr|X5d}Gu)GRQkd?IVNyW7I-2$U{bLB!hfp)JihQOGfP^gZyOFQZmR>Mr|d7 zd}Y*HGRRv-?InZ!Wz=Fa$zw)sCX;+-)M_%xYewxRll*4Xax%$tMr|jPd}q{pGRb>J z?I)A`XVii+$%961D3g3>)QU36i$?7zll*AZk}}DYMr|pRd}-90GRd1p?J1M|Y1E=J z$)iSXDibv-FVw0s$*V@~DwF(b)Uq+$x1+eYmzll*Pe;xftOMr|&Wd~Ve0GRf;k z?Jkr2Zq)KJ$@4~SFOz(4)cP{X`$p|All*Vg0yD`2M{O_@H9}9+3Ny(IN9{0^{BYC~ zGszQ2Z84L4anu^K$QwuPF^l|h)FQLUBS&pAi+pm_DznHdN9{6;{BqPXv&b_?Z8M8} zbJRMs$U8^vGmHFl)Izh!Lq}~ii+ps{O0&pIN9{C={B+b(v&d6NZ8eL0b<|q3$XiG4 zHH-Xp)MB&9V@GW^i+py}YO}~|N9{I?{C3oGvryCZKy5dRe0S7(v&ef#?Kg}3chrKj z$b(01IE#FE)QYpni%0D^i~M-hlC#K@M{PNae0kKGv&frA?KzA5dDNn_$fHMXI*WXI z)T*<{t4HlRi~M@jva`suM{PTce0$Wov&g$g?K_M7d(^_SP!o4YZ9I#7eALRb$je9V zJd6B%)Y7xa(?@MRi+p|5+Ox>pN9{d}{C(8ov&iE|Z9bcPe$?u-$?He$KAZf0)bg{* z^G9tzn|y!N`m@RVN9{kG{D1TUWYY(L-hgcS0njUuOH+LgKYW-(2I~w9|3w3vgs#4uR=C`1?XMKMh`;|^fF}AXMo;@Z1gzvK(9kK zeFx}$$fo}Qy%5<=K7{(U+4LizS0bCf1oTd1)1QD|ifsB6&|8t+WBb)vQ^nzs5 z2Z7#@Z2BS4E0RrL1bRoZ>5o7!Nj7~F=q<^nUjn@*+4N1I_avMC3G||5(?@~clx+Gb z(5sS7Uj=$svgxltFH1Ik7U*rsrr!d+F4^>5p!X%4{tNWNsOiH%Z;YCL4D`yV>B~Ux zj2b;O-O)>_6qejn8H}vkP>F+=< zkD5LY^!BLf_du_Yn!XS8{;28yKrfJ*J`nTL2%R9Ey$sp(5W@06PU6!cQ5=~F>(m70DP^jfLuTS4!Yn*J5^ zVyWq4L2s6teirm2pDEmzsVT^m?i3dqMA)n*JB`f~o0)L2sCv zei-zMsp*SB@0c1rWL?lprlwB@y=7|pWzcJ;rf=q#iB)R)XV8nLMo(H7^rorlr$Mip zn!XzJuBqv-K`)z{KATr&-ci$UgI+f^eK+WR)6jo|UN{YXIOvVj(2s*&ISqX|=$+Hh zpMzdH4ShQ3t<%u2gI+rgeLLvA)1U{hGkWnf^zooKPeVTsdi6B)^`Li8Lw^r?`84$T zptny$zYlu-H1z$T_fJFr4|)ML^Z}taP(wcudIdG~1)+CPLw^u@2{rTyp|?;&zYuy2 zHS`Uk_fSLs5PA_c^bw&qQA0lwdKESF6`^-gLw^x^88!47p|?>(zY%&JHS`^!_fbRt z5qcpt^dX@)QbRuydL=dVC82jxLw^!_DK+#dp|?^)zY=;aHS{f^_fkXu5_&N;^f93~ zQ$s%!dNnolHKBJ?Lw^%`IW_b-p|?{*zY}^rHS|59_ftdv6M8{4^g*FFR6{=$dPOz# zMWJ_8Lw^){Nj3CIp|?~+zZ7~+HS|rP_f&%()Q;#y)zC+U-c${GR6C+qRYPADdRH~{ zSD}|xL!T9TTQ&4sq1RPI-xYdaHS}Mh7gj?b7J6ef^kbn{RzqJFdS^BCXQ7u?L!Z{K z_v$tDYoXUxL*Ev9Z#DF9p%+&}9~XLawe)kLS65447kYQK^mn0`S4*E4dV96#@$G65w|Ak&)Eq!3<4c5{RhF)PUePQSw*3ut_USchMV(2Z_(l3TyV=a1)JD~Si zOaB;pk+t-Zp*LAeKN)(Jwe*#tcUen+8G4zu^qHZzSxdhedY!fOouT(xOaB>qp|$j( zp*LDfKN@2cUwz;8+y65^tqw8TT8zidcC#uy`lG8OaB{s!L{_kp*LJhKOB0+we-cIcU((< z9D2#Mv*D9NZ@HF!IrN%q>6=6ExfVU>?a+&^rH>B1>00{f(5tScuMWNITKenI%dVx* z4!!MK`t8u`uBGn|z3*E3@6Zdcr4J9i@ml)v&?~Q{FAu%*TKeE2`C9t<(5tVduMfTZTKfCY%de%+554_b^!T?$ufLYQKlJ`< z>Hk9(KuaGWvH@E90g)BZ(ie#AfR_G1WC^tN2_jpdrC$(P11)`n$R23vA4C>Gi%ddW zWD~UX6C$girLPd#1ugxB$TDc@GeovQi;P1XWF55h9U}XnrT-9F2rYex$VO=CM?_Xa zOJ5?g6I%Kck)_bmr-*EY78#2+$XaOWTSWFkOaCIW7+U%mkUN zW6~N~6A77<*2tbn^iLv-BGE^QY>GrbC9*0KGApf-U6JUoM3zOO&l1@diGE9DT_pN0 zk$sWqzeE;Bq7M_<7>RyNWMw4!GLfB;=+8u!Mxsv>*&2y{O=N8(WNum^dn3`mi7bwU zOin9gb0qpXk=2pt>qK@(qQ4Va9*I6rWP2p~J(2a1==((WN231|Ss;l%P-KH7`azKu zlIROXc1WT>6j>sPK2cF{>HIk4yYK82PME@wVND_Ud$Rl0<(g zvP=?vrpPu)^qV5oJJ z^s6FkCDFHv?3IKJmK(BI5`C=5W=Zt3BC93Q*NW_xgbbG(vRo2B5NkmH;e3Hxdy_4wQMHWw@j~CfIiGE&W^(6Xwk=>K% z??skRqR$uEJ_#AW7RdTZ^!+0HC(-|lEFgYf-xkOQO7sIGD<~l|*aF!>iT+?@2_^c3 zku8+y7e>}lqHh@4Ly7)jWDzC$h>=Z{=qE;2Q9@?X71>3J{$gYqCHjn!ZItLYM%GcH z?-7QkxiB8r$$y)qOaQ3>6C;F zs|&KM5`EUlwo1sjx*+Q+(RYpPt3>}bvak|;*vQ68^kX9{DSqT5`Eg8 zKRGMWuZ^s&MBg^Dw-Wu^$l^-$aU+{6(a(*nu0&rqvbz%f-N^Dv^m!xODJhj%>C>KRdG85`FE+ZcFsH zBg-uz)2&3dTSCTLiLAFo-#fD368-PUf=l$lBO5N!509+4L|;6z;}ZSx$dXI+$s=1X z(JzmzxkTSQvgZ;q=t^YKCHm-*O_%7WM^;^;uO8WTiT-+I*(Lhyk!_dgw@21pqVFEr zcL^DI1+wrGGVuyz<0WL|70Aj<$jmE{otKcISNyj4frL!G0@->A8G8k?_7XDp3S{pk zWbhTp;!DWnE0E2XkkMBlt1lt5uRwNRLWW;~EWd|B43>9!SXl zH?4yS@PN025%7T9gBkFE-w%es1KuB)0uOlqU<^Fq&jWMd0e?Oi1P}Olz$AFU#|K8i z13q3b3m)+CgJJN1zYmxO5BU3maqxh@FPH}p`1^x_@PN+;myaG$RSm<;#%`hd}JpRX5~4fpx_f#GnUuP2xe z_xbvQ@o=B7H<%Ci`TBzaai8x8Fd^>q{Q*YAeZF77jJVJD4;T{n`F;XZ;y&MBU`*Uc z_Fn>X;y&MhU{KuW`w>ivdwhR_QE`v&S1>E?@%;;i#XY{C!L+!?_cs_9_xOGX^Wq+| z{}LD&_xSe!CdNJfeSnd1kAE*HxyWBs4QF532 zD=OJJKUdx z>2inrcQ9V=aDNZx%N_3j!GO8L&jT=F?(p*gjF>z8yZ|%i4nIG@kh#Op6EJ1&@bhKM zD-Rm^c?0H5BR_w@plRgi5tuZM{ConVrjeglVAeG9^9u}{Mt+`wY17EhH!yA*`FRKC zO(Q@5z`$wb=OLIljr@EBBd3v{mv8+!tC63dVCXdR^At>-Mt;7!$0RoL^A^mVMt=T+ z!PCgkV=#Fd`S}b+4;ssUk-+R};O93OJ`Mal2h*p4pYLG&H1P8t%%28+{(}M3z~cch zff{&x07g&)j~BoUYT)q$7(xv^o&Zy*fyWnM3^nk01I(cY9)EyA)WG8rFo_y?d;&&M z1CLk0ENbBK3m8TXJe~p5sDZ~fU>r5@cn8d*+dTdO1L-!8hrmR-&Eq35l5X>O3CyJ1 zJbsD^7q@vl1*Xz%9$$g6beqRpU@qO}@fR3Ow|P7UCev*mpMlYIo5yQlHr?j&8yHTv zc{~TE(`_E#f$?;k$9rHt-RAKh7*Mx)JP0P#Z5|(j5p|o#i(p3G=J6vKQnz?K38vI7 z9$$hnb&JQFU{2lQ@h2Emw|G1XCeAR^8(9D;QR{csvWH)h!<1f^l_= z$Gc!&-Qw{t7+AM>JPan*Egm0(k#&p5%V1{R;_)*WTDN#S4W`yD9$$m8b&JQ_U~b*w z@i!P;H+eh`Cf7|KpM%kLlgH~|cHQLhI~ZO!c{~rM*G(SZgYk8f$NOM@-Q@8<7+^Pf zJ^&`zO`acs5q6X33t)!b_VmEm{0jAhZo?n15c9Z8DV2<77`3D$eH+eqd7t;GC z&rh=d@V&|N6)?+g^85u1vzt7h0n@CW=Qm)S)$@D@%(Hr)|A2v3&+{QL(dv1A1V&mt z&zHbVtLOO>7;5!Ap8`{@p66F!tkv^;3(U28o_~SCR^N0!_DyO%&(FYUtLOO|m~Hhu ze*?pYp6`MAR?qW4FyQKWJ_sgUJdyT^-L)!Kka_`6`%obv%Cs!>*3!vtZiQ@%$Ey zyE>llf_YcR^ItIV>Ucg3CSD!SkHN^RoDyTS8$F#T@u{2q+I8$90!^X~@F|G@yf z!TA6%0dH`A0F1yJoG$<~@CN4(z!1E_`2;WpZ*YDAjKLe6Zvb=f2In8ZAiTl(2rvn6 zaDGCgcDup(3NQ<=bN=Fb`IYOO&j8c#I_EdQIK0mJ4loa|bN&Mi#Os_70Tb~$=SRRu zyw3R&FcYtH{saug>zq#kQ}H_ISHM`j&iNKF7q4^v1q{aPoR0yM@jB;cz-YYA`5G`A zuXFwe49Dx7&jHi%I_G!5c)ZT}9xxxTbN&Ym$ZMPr0u%BY=ZCoR8AndF>kKr@*MZ#`!8RE3a|>3Jl9@oX-N& z@*3y2z_`4|`7SUouW|kh49shs4+9hP8t2Er$h^k+GB7i*asCVp&1;-b15@)V=hwj4 zyvq4DFgLGq{tXPytDKJmlk+O)=fLQ^%K17lJFjy74h&B;R{Lnd^t{UXJup76a=s7D z&#RpO0|WFb=L5k6y~_DPFhZ|#z7WjNtDHXsL-Z==6TuX{%K1ewMz3!DPL{`B^Yp zuW-H=%+@QMzXikf3g>gdbiKm)T`*p+aK0DJ*ILg1f&p90`Cu?%YdJp*MryeR7`C;X z&j!=Bmh;6HkZV{EDXIIk zhV>CJl51Em0W-OV^%F3ZYgkVKQ@Muq6)=`-SZ@JyxrX%@Fqmstj{%dphV>aRnrm3E z0kgS=^&2ppYgo?#)47KA9Wb72SnmPz`4a0tU_f7DJqS$bORNup5q*jEA~2&bv3>-G z^d;7lz?8nk`Vtt^msoECbNUkNPhe1AVm%5>>PxIofl+;l^(ru{FR^|VwRiR<*0aF0 zzQp<#7}u9r?*jAs66;@JU|(WA3{31xtdD__eTnrlFtabQeg=m2Mb^{6)V|328W`Ib zS#JY#`y%UaU~pe#Jq}Fni>%Lq(S4EiIxxF0vVI4K_eIw8!1TVz`W_hH7g_HE^ZO#} ze_()LWIYf}@QbVuf)Rd^^+GViFS33JhWJI+6TuX}$oe7};}=yKcNUt~QJ zO!AAYPl8c?f%Qr-%P+8g35NLv)-%C0zrgw?80QyQ?*#Mw0_&e(pkH7;6ioCBtdD|` zeu4E;Fw-xvehP;A1=dr+RKLLbDj4e*SZ@V${Q~Q+V6b0cJr+#%3#`wA(SCvTS}@x$ zuzm}M`vul>!F0dC`Yssn7g+BF^Zf$rzhJ(5}&pGWpT8%+B1tWSecf1dSfFze5=ehr5GdDgSRv_FsR ze>NER=UMLt^Zq>R-(cXMXFVKD{PV1jgOPup^>Q%t&$E6GhW>fh)4|j~&-ywT`_-(s zgSlVL`a2l>)vU*dXBJkoJ`YBJHS6_Y_E)oh4~Bm=>-k{%SF^qk#(y>I{b2rAv;GeU zKsEaT-~^~_z%fwGegilMs@Z=42SGLa5#S`K zW`6=41=Z|VfU}^Q{R?myoMS%&oCfFE-vGw}K-WjJ;5<0T{s%Y^&aoc?PK0ypkANfL z9Q!5UOgP8>2{;tav7Z7?g>&q$fMekt`z_#HILH1AI2g{c9|KN?bL`K6qv0I;HQ;PG z$NmjC9L}+y15Sr??C*f%;T-!t;Cwj8{tq}H&aoc^PKa~t4}l}%Ec->^j5y2wkv~4H zJj;F(I3>=qzXXnnv+Os4bK)%fPvD?9%YGC%DbBJ#1&)fd>{o%a;w<}D;IKH$eik?_ z&a%G+j*GMGcY*WbEc;*Jz&Oi(7&tM`vOflnjI->Q@w}OEmi;quXq;s~4V)Th*sA7K)93NHe_kr`H ziv2%ufK;&`2u_eH_6NZcQpJ8DI76z~KLm$J75j^Exp;*=`(AHhLV z#eO6>NvhbN1V>2~`<37}P`0q>BAbaGX@J-wDo>GwgqY1LX|+q2NS0 z!~Q5ZQqHhn3eJ=>?4N=|>q{PPf4$hrQ_TRz5Q^|fjIC(1Bp9e=zCHwW@?5Sk`9vnWE?B|2ir-J=`aQsxT-%tAW zbOrnW-~g&%KOmey73>d$BdCJ?f^Y^^uzwH^p$hgB!YNe2{z5p0D%fub=THUv58)uH zcmw^2a1vFpKM{_i3id0)SyaLPML3Kq*v|;3Q3d-O;W(;bzayMS73_b61F3@jkZ>YZ zus;%xqzd*+!kJXT{z*8L%Gpl|r&2llE1#&XC}+PVoJ-~Gzl4LSoc)+^GL^GG6ON{G z_G`k~RL=fQIGoDa&k3hfIr}@|cq(VVC!9~^?Ei!Vs+|3xa6*-{KNOCra`ubD8CA~y zQ8=W^*-r|mR5|-g;g~9CzbTwkQm%}l4lKtjz&YficIUIB+*^dq<-AVSR!%=sV{pxVmon-$y z9Cjz!&km>EN%ptHad(pa?r`3nWdD0os{2Xy!^4SplKt^;^3}{BZh}vA-XV zzcTjw!}(Xn{(m?C%a{j%6R?c=05}56m=}OEu#EWuI0Va>CxBD1jQIjM2FsW?fOD{n z`2#oz%a})ildz2W1UL%Im{)+a@C5SPRA3>cfj#@f_V=(A5Sp<0SDv>=0V_uJi&Yj9FZrO7lAYK z1oIPapqCrq&&`i3LKTknOA|c@;LJ=a9AE^ zo&`?J;KV%6d<-0!$C;ObGxIp}GjM1gXPyR5&Ew41 zz_EFpc^f!4k28M*2j_9-ap2@U&U_9WoyVEifwS{C^E+^O9%r8C*=O$`W4;HD&tuH{ z!1;NM`5!nyk1-DfC+IQegWw1~#=H=mp~sjXfA7$PU&f%lXAHqR= zlzBuriH|a$2uJZz<`v;AE@6HV4&xH$8R0Z8VZITL;}Yf_;XE#3{?WQFr-XS(IFU=3 zkAx$+gn3CglS`PNghRQ6c}h5yOPH^OW4VNROE{NHn7@RBxrBL4IGIbB&xE77gn3Ok zn@gDAgu}Unc}_T;OPKG3SE?q;jAuZeiaVuV&+-lv@T}8 z6^`p-=3U{uE@u7}4(wv)Vd2CsW|*9+;mj^(eijbxV&-Y#)GlVe7LM&==568J zE@u7~4(?*+apB}HWaGDn}-welj5%bP)o)tF5%bt^vKKL*4M%$s^V)E>7csvLhkFt8 z+;F-VGT#lydm;1QaK0BZ{|yIxA@ksH!WS|h4o7?;^Wt#E7cxH%hkPOP~Pu_GT#ozeIfJiaNZX( z|9&8Md?EAjoeG8*G9MpO)US|v`CG?g3z?taSP@ppJiY0ns*w45Q+ zZ*^=@$UJ_S-@PNu=l6`OJHouae&nSi%Ar=gBBhkui%g6vyYHp;J0Jy5%LVi7Cv!=e1mwmVMoY2s2Z4j zg#3f)>tl|Phw%FT&?Dp{Y`*M!guH~&;XRI!pI~3y{s?&rJ@&dDAzvZn%H6}{E!>N% zJ52tEMvdY^ z0eKqWC@}Dm9P(}fc_4$1yjDOy$omU66_6KVxSdr%eu(Lf)dl2p*EfP9g$ zBBg-5k=&Wj6p%j>+%TztJd)|#Mi-DzGQ02K0`f}wl_eICUvh0jQ~`M=+K`X}@=dDp zeG14s@z3gBK>kVJ;PwUNq4X+pEg&DIZqvPd@>1T9ug@nxrQ3y?eDYM5eNvTAzRJ#N zW%=Z-eAm7xpZt{%tU3AQu{`^dKEH|2GU8x9c`X{(AM=s@Pb)Qloll-i$1R`blkXBV z`NMqjUV3=EolpMD`7^KNlLzzucN_D`hY8eV=93rm*0@#qKF*M7xAMr#F%P|xM}AIBY;_*8|7jZnPUn%Y zbD;atJo0vO+vMkwzmwx+&LfZK`#Xp7ko|uy>*mjSoT>m7G ze4j7t-peEJr|$L}dF21}dhk*nc|fCEN_pf1P3W{fkG!A(-YfFR59%JiD33g$(&RaL zq56YWd9ev@VX+8e53(C-_9j3sjB>HF8N8B?Jne!r*yAh zMK1YDE0!M1C2y(Vlf${>FR2Qxx#Tg;ZKca4pK0rm-*d@p`ab)IT=JX5FJI@9=cKFu zESG$z1M%B)$$Q$i{+(R%pOzhbEtfo~KFSwzk^Ns(J6fGfUet$gugN7ps_&_#x#UUZ zgs0|`FEuH9b}o5SHv6<(@~0A26LQI;`e5zIT=J=|*azm4SCt%`m`i?D_Qt4O@~pl; z6_QK7RoMVlE_qk?Kk1oE{#AFUPPyb^1ubfoOFmY3o-&ubtgysJJNa4OpIx_;r`5W{ zB|G_AS2Snr>mjAiW zPQI5<|1a(2ecAr_)K31_%0b)gr62inOq`{KPs zI}#tMf$`CH^3Fal4z-hi=AYqbCl76hmxrBvG+%vZJ9%lJENNpWKh3ARi=8~RPjz>5 z$XD}QRi8uN+82JcIpnW}7oE!?kL}0Jr*p_>8!)IehrBl1t%4l#+h+V=%^}aNcDXKx ze76@P4(5>ermFohhy1s{zTcZe9^Cx3U*wPv*QWm`IpoFt=JI|Hvi}Q~rOA5oVO3+;cyMqb~J_!=AeeE~6N zZRGj=7iqc-yXZbuc^$p70HXSI$tMn2$>AqQ>b1^Pa5z(#&x+o!&@ zktf(9b+?Ut!47LbwUIX%^71wt`GXU-zhxtj@P+-a+Q=ugSvT3pD^yjfZR8ieq*!Mo z&+x9#3LE)`n+82+Bk$02UW$$UL&HlmY~&$oznx+uAMuHjaW?W2!;~X!5*n7Pt*F! zJS+K{^1Yc>@-~aor&-D0bgr3TC69Cb!qHapIS*70v69!Bn9|QmerHKVA1isDZ!d_n zlJB|XLWq^T&v`5TtmJ>LYw)m=2fF8lu2%9vZ*^*KB`lS*VrsOI zFZ$f9ItzKDlj|;9$RC~gMzw`J(ieJFSjZ>MwH&vQSDKJkWFfy)w8^uOXS(EjvxR)q zgr{T+d8Yx2gBJ2nW4}3IArE!l)B7ysqaJ9v$3k9e=x;kM+j+YlVgU*2UA)EXe-P8R|XX zLcZ(3bF(buz0Tb~-9rBBm)c1d@?g787;7OPcDL_v3wg0~ZVa%HA3M;RXdzE_*v=RW z`LZjs!!6{^>Yoa-kUu*h!Pi0_?dk6B7V>GoYUpesuXg*Xwifbhe=@ePkY{^qpTa`E z?Ys{g&E(zQe7Vj{{_T(JYt7{0ewcRNOg`?{vn$Qy<(`>RW+p#()VLBedAcPd3(Vx} zzB$ZhCU1B3P=lHL-HpQznaSh*edMoZ@_AL`elU~QyMM}FGx@#CXYV$X=lfLJ4m0__ zOV@vBChvFO%kP@W|MmXx4KsPbzwO&>CLj2D18$mKJF%;Y8Kz2|EtKlyTzyO})Yf!(^A$yXLn zwKtQuy!InEGx^K2&N!LLV_w_)o{4;BdHpRDdCh~3*G%L$U+-FDBG38g!gD6_ov;30 zZX)k_K&KNX@}Cc-6`RO|UTw%Xkq5on1RbR5aZz8|Crr+Bp@~i{*Z!wW?{ch;X zCi1S6Kig$xWKwX5Q0 zn#kK8_t!KN`P-MrO)`SN`n!i$?OxcgCDGl4tH;d)i38dBxu2 zM)J;2E-N;Yf8H~uz(^kY)|)mX`RJn#8I9zn57;b>28|Vknzfo+UFJP{*z(9Y%i2FGP`UF<@H5-uqfBO77oq@iA z-9P_npnu@o+rJvW9fPsF3_cwiKpsygy{tzM7p|MUs5*Yxyhl%1&2)30&x<@0*_ zHZI0j>FM8CdhWEIK8}GOoY2$HF>k_A{qOK~l)4t`>F?O_SDv0ekKeOxdip(rlTCX1 zKF&7Edipqx^vM2C^X#@?Pk%^8#a=yqBB9^!(bF%|d*d!W zeIqYS-Jz#{B&yd(diqGBoVMxdCwac?9X)*|y?%RBPk%|sw%7FZnPg)|J2sb>3aH7W?o;er$0qmwOCJ|%Dtlt_4KPu%A2Q0 z_J8Va)3bW|S8mBO^vM2C9sk#KJ^d^VhbHUkYq={X=;?2nZXBzp&!we(q@I44j>SXu z^u4SsAE>AQC8Rc4PajO;{RBPzFrRdc)6*C8Y*3UQ+5ahP`-ki4lQ}yrL{GoWzEuHw z`eyRq^wrZpGv<3wJ+l8(yb62h>8BZeyNjN_nmpf*dira=9n)4%pUv5|ZhHD{*6(uB z(|0q!SfQu?<}0^*I{I+N4s6iTk28E-y^g+|55B*qqd#ZN<;yzybf)yasH0!!*JbB) z^zCHquhb#?Kl$BTr*!o3v>tpyM?cTWSC8uG>uFtDsH4B<-H-wueLk6)b{+jb2Xiet z`hK1XH0qH3pFCM3>*xdes`O7C{Xnx4e%H|#wDi57b;$ltF1`JOjy|E!W`C!nU&w0s zMn~V!_{cpv`iCMv+@+(BXqnqi9sNYDGe6eRSL9mrfsX#7*(vYo=rihi>}?(WMqyLl z)X{hJQT}T>`j3`R*sP-u>C^ldbo3)dPT8oVFUjkeMn`|r;*=~MeM;SHu;YPW$v-n) zN8i#LZYy>4FFpO?QXPFvnee z^gX2>o2sM#DMtIGjy|YogC^+chq|0MR!3h{S=J~W{ZYNchU<|1pLFWjU>*HZ*R~AM z(KnSkG+Br2|D=A62|D_y(htPxko}+3ZdJ67zN%Ky5jy&-7F`R|(PtI8_(MOQ-3gqdzNQaz`C~TJ6Hx>FC!Q?bKRF z-`2U4Ep+s6oj>TTqmOICM+zPNTs@?FGJRbm7BtHAca=PGOQz4u9$zOT`#&+n|C&tS z*V&G>GW}nzoG!`qfxT5k-*^awbnf|gDTAOA1%C1aJd!|f(o_yhHnLfRzXH1vr*PD?$MW%1hH1tWC z{=JWXpCHr6ce>9w8QK4FukAu+t};xf zf6!%Ph)f^h1HT}de!_)Df0@3*zDrax{e_ubd}R6zgAaMi$o@YuXo-cqJ-abm5DO#kBE zADv|S7}sYjWcnGuA8}vM*Z5TDyMq44B^3>VKF8W0ZVCDwPrppQ@Z~1Mz`+6$tt; z&veKW^kYh0?Sj6{S3PZl{>&CZ7D1op^=OlzUvvB*gP?EIeUeVlzd3Eb5Xk8?Am4^nDJE{87;V=`?M>pbs=o`<D<)zw7piT0!4yfKDywfAvYs7WBbx*_SEkhg}|; zA?SLN4XZw7xdwNy?UOYA6M5UMbMW! z;g>lA+5eFfWYOV&&m^z}OT zo+jw;{o?EtL7(rzos$K!|074teNxc(JHl_0p#S&RstNdceMj#6dc2??`2Ol~g1+GV z0Z$0}gS)pGE9etGe0;Q^Us(C=C_&%w?u{b_vi~E*(<20Z#1mtO3;Kztv>zsr{U2ek z86xN}{ylfFpwHNQ{~$rX@y+)J3i^(RO9KS`$1NB47xW&Kli@vJp_H-qpo%r^mjiss=J`i`{a&p z0@?pz$M1F(^nFj5*;Ua0-S>|!fv&ERg*ls=wSx&>#Nl)13r;;$~w< zLBF^oYDZ1%39j{!|M3?SFn#Dd@X@Wr0#4 z`#-p_pHd+EKlt5lN`dVE;Jj-Jf$aZaZGl1{`#<>0{R)BX|KL&oQ3z!J2hYh?2xR{U z*Unc6Wd8@(Pf!SC{|B$>uMo)o4_@3`A&~taT!hvx`C?`#;#DjY1&%KlqA^ zLLmD;xW7^%COInnOByTvECHA~TQ5LR4P zDlg*pmI1iRMN;m^vYSgUthl)h#s95#mY^mO7@#clqFFXay0 z+-{0OncguHODbH|o{w3%rXwG-KfbL4AGC69)4FnU`*dukT-UTV3^#QAh4+%#k+0JN zToj%7WbDUAoy}N#;H&5|l3R?_;VM_$Gae*=X4mf6OgY2t*neN$jT^Z3i#};_b0~KxB48)aq%XU{dw@+@s%RX!reYKO;GaYr5d{MW6Wl*%z(cqg6x@ED?8 z6^bs74e-dEuzlCZw#RC>zi%DXwtrhZhy215hKr_YRLH+0kE^T>u1BW*r-N2lZE^6&-@{QV#P3@kkQYJnxC;zt_5-I4PR;Vahx0+u=`3iEHp!70SrSsHv+{mZvXVv3l9awae$PNn5czT`@m- z*2>i@7OhTMrdpV`G&Nlnnvs+cHfz!HwW>8MRxC|drL112N?kTDb-{wv1+)4@CaGeh zWBRO$n}zEmmakZz8j+eZFKt%Z^7%{GE=ZlVEM@+4Y0FdpAK$G4kNx4lF%N%^LV+JY zXZ6B`Yv!aaPg^r*Ra{KueEybm7NjmrS-W)2oHdy%Q_~}#Nag1|S>@bgYn1c!Nat{sf0Xm&NarY(^I}z$^TJ4HPnGj}m2*Jk0Ozrh&WS4L znJVWFW22m(jdTuBIk(3)6C$1CRL-lhG$YbEMCH5=OYyvg<-_x$rC3l=B2HfoVqS`b$aT^ zr74RhD^{nbEFHEYb>WaF<6;ymr>;+1uwZHGkjc2#vFW(f<%`xl_wcFs@1GVI`)}9A z#3tcE;4#F-;z6vz9rErck6*DMZDCsKYCPL1Yu2X!{phBQnK5F{_%T!PP=}3fxd^)~f=PdF#p*Txebv7_)A2E}3OpNla{hjBX?UYNddQES z{i!3SOr0}w$e3}{CXaah*L(P`R4iDrmJdD+clPiF`IjFS8{ho8Ha({+hhgKtpTHrL zM@<_)V!~9%3;ZwN-zU0n!ru?`@3%{Abf2WZpB?`4O;5-FJ}1p@ssDcS{M%h7^ihnO zFikZ~g*`!JpQe35bYg70Ds*+~($tjn)G*adJTpV+cv~fcwD-`&t$*NGklvA7b^7v3t;TKnpaO~+- zlUA>opPHV&Vs(1h|AW_BF(ewFLMi;HP$+}3=sN7-%RW9@(V}h3@vW4dUAii}DSJ5g zRJtoYls?@5w#5YuG@J}LsoS@0r|63H<}ZHc4QcQ8g3}w==|`q#E?bi_596BE569=4 zm+;nFqln}iLlHSIJzWur_h}@rjQpSH47aV|hS=n>UFJWpY})TM-OGa1d21J8N4RhW zen8W5%Dj1alRx|!53gCeV*cZ+DfmlRlLbu914XWuHkk~hw~W! zxgBrgR|qaVM*RQVj&Fm1{@llpUz(3{dSkm*xHNy2<0Rr~thnRCZFu3&8;uL^ule!z z=E??K9AC}%Hyi8!wcSQsvB9wshUV?oVVT=CfAz-U)70i)3RgDYwi?TiKfa_Mczk#e ze0+Rdyg!c5v-!ryZrh6G$A9itccr2L+wteN z!KL}QmIgJ!SU66*F4aC{Ql;8Dw~d7d4D*;d31k2W4ZZzu&9`X`{TiF z^H=k2Kj4bT?{DQ}_tycpH9tNJmYcta_c0gum-E;a&5H)y_W1p6!2R)g<>TvwOY{8| zVVU2<_ZPpyTPYQ@c%$QsxAAh?F*a}aayzABm17$Y&D)*FGG6wT%{Se~b}`K>j_tU5 z_pxQJ_inFLgmS_0)%+0n9)JAz*!~#pbJ;#>cOBQCxfQ_>Ip0^~&-7AAclV zfBYwM-9^!Kw*Tl(TogUAY=3P1(IOU{u~*{le}A=5aDUbGwSliu^Iq%WRnCf*|Jc6e z->*T__AMW7==k5c=^gay ze;v&7yyIUs#{ucTT-$Wy`!Cny1nOU|<-z{HT>bCoKRj6fmyKh1zVh%r?Svgv0hXPx zL*{oki9OIXck^6uCygwbI%`x)*#p|1%2aMbgbN!rStaFTwj*)ME-rmA7wsnl1 z9b-?&=<6869pjUZajs)r;TW}!@dL-W&oTb#810Vngk!wu7&-UK$Ki%u9LE61nBW+P za&*N!m}4Bv5r06l9pgNX_`YS1_0^8`4UX|O$Msts>)RdcKRDJ8am4${;#e={i1*cL z$9j!pY;cS%u*5$yjxoY9COgJajuB6H)8pso1%HpG_ZLR)1GuhojDe0Z+%ZNu z#=eemfMXo)7)LwC$&PWlW8`rd?>EIUE^&-293%H*ygkb?zTg-)JH|I1<2#P=W5>AD zG464UdmZD?j*RQ;nZEx^f|@*kAf#%_1ISocEgFWaq$UBD%Haq9{wK_9i5Pn zpi;&4O^S+*5krn#M(maWwtvD~3)Szqmf<#tWWe^x(G9jq23 zn|`hT+y4eD#En4;QH{%ST=H;{arp_CJ-BSgK^ z8RR57;o^$R?SW3B2A9(Voy8GcOt}1p%eMnv#135E#pPvOvIe?}<+!BaGHqZBF&dZt zxI_(XDFSfmj!Ub7ZsOhmH*s}9D^Z2ZQCxBcv=)bO`C&jC@dYm12DBAhaFGVI6Y01t z9ME3Oz-9b^4q`AaeFk(CA-H%B=p@?X;xwSMsPErJT)?HQe^*g}i@tw1@pJ#~;%i(! z?%zYa)xW2B0hf&a?qW%Q4>1Rq$^AXWi2h!pZ+~ym8y8i7AJL`1uV~p{B^vvwL~TDm zQPIy|6!!}dmVSZa4_vP=p6J(G4D1&n zV*5pkpng%py2a`o)SH$#LRbavyO#IbP%@CkQz?Q5;B45_^*SitWkC;?3lK z;`!wMVqNk8k(NA2%uXIGCM6FM!;^=K#N=TjJbAeANgg3OCyx@Y$)iO>-!Y=5?^sdZ z_X$zhcbqWyogjYiJ5lWGJ4x*9`=t0!-zj2q->E{~cbZtyce+ z-&yDvm@NYPJ}Y|mMNFq}ig=JTPh3x0AkHPFiepKOL~hb^LL{Y$14&E7mq|;-_9SS` zlU9i5lU9kfNvlO#(i$-Ph)zjb;hMBTG$d{mHHn)< zdE$$rF!3c}PTVYhPkcq}OMFf2OnhDZC-DujIdQ8{C%!FKB)%i&C;mq~mH56Go48F3 zO#D#9Bz`1<5iudD>i`U}Igf{+^SRG$37RFbKXX2~G`1oou zIR1j@6MspB#$Ohm@mEBL_-n#B{)V{O=cc&W=e9W6r%@d4b5|Jq+!w$0QOJAyILkZw zxXSPJX(_+lr(raPkVV@pN{ghK3(K7eY(j5`t*>a`?$-2eZ1tJeSG9LeN^&; zxB&S^T#$S&E>u1q*GtZe>n+Q1QSwi5G4fY&edLeg66CFMN%9ME{p9s=1LP%fgXKAK zL*>bFBjk~BqvYhcv2sM*IN2|5qTDU+N!cxKs(d$gx_mYE8M!KUrhGK^Sve;*MgA*x zzWhV%LV0)WbMl9=OXN3Vm&+StSITQ+)8(|-b@Hs(40%#)wmdvmD<{Qnki%m)$-c2K z$z5V!kz2;TCO5{sA=k#dC0EA0D;LMSCtG7akpGPNNZudwsk|%ZGkIIgE_qALm-2>~ zujMr{-^z<(zL#gl{3uV1`B@$o^Q)W?b5QOTb4d1yk>$=Y2H7>nEH^~kZmJ@>Y}qo_0ath<)QmN%3HT9N~PNt z6`Bd6&~BA?dTB4_CiMb6Rv5IIk`J944!1N11r9=S}nF>byX1`>q;Yb>g*9; z=tRUG-GPX`x;+u!={}11QMWbX7u^dHzw0t04(XOg$hx@^M%~m1t8R3JUDrRNKo=cR zqzjBF)%A=xp=%p)TBnFOqpRzEPIn$XBVbp^ez>kPf?b-(s*(CzJgPq(ADQvYsm zSN-PRZhCd^w)&O5JL(to?y7&fcTfGe-d_5_y;b@?y#w^2y+ia~y~Fh#dq?SAd&lV; z!V~qE!~5wg!UyS#!-wgu;iL3_hCiX-A3jn4Mfeo`2jNfYUk{(D-xxkezczfnesTCB z{j=dq^pnF^=tqX9>yyLR>m!i|@DG>t-NQHOTZg}_f6!}-{zk8@`s!Zq>QD4S#sGN( zeXkw*UwVC^-`nde{f=JW>fi0PU%$E6PkME)-}Nhd9nz=v(&?Y+WzvuDWz!Gom9LNQ zRj3c^byV-ut4!aySGm4LuPS|G*adxU*k%2huxt9GVK?A#8}j zIc$XCR_ItmP3QzedFT{FQRq_!Yv?S)pP?y+{h_IbFG3d^J`7!Mcq263@O)^7VST9D zuq1S&VNU3ahN+>i8b*h{Y3LvNjv*#=n;|IlV}pC>PDA_9-3F)7Zwxm>zBgP7Ibb*) z@|&SB$Z^B-A*T)NL#hl*LM|BQgwz_QhFmv{ z4!LC*5OUWL6QVQ*g|skwgtRfX59w%h4(VpR73^WG3HC9T2L~97f;oDZw+0(}U+2#|AGj4hl{)#sx1oh6bk_ zy@E50or1MS*WgXY#-Po{+Mw5sXM)}~9u0cmXb(azD`=QI0d?u*CI3cLmI5g;lF)^sz*gNQ~Q5AI2*e&R)u~ksL@qS>V z@kXG+R2}GQDhq6FDhTXoG6r@t{T}FH+83xYeI6KO+7{T$v?Vawv@tN=v@WopX>s6S z)11H&rm2BXm_`RqG7SivZi)?@X$lTZF?j|qG<6JIYH|r&WoigmZ>kMYn<@jIHysUl z*<=rR-6RLRZTcx-o9XL-k4>Kjd~SL-V2^2Yz&?{E;DBj$z;C8S0e_ih1{h6~0&J!c z0R^VyfMQc*zzI`8K!vGiz&TU9fEtrB;JWFi|1Hxc|9hr#e`j;Ce=D=izrFd8e^>L5 z{vPH%{wnjw{z2xq{lm>K`^T8G{S(bA{Rfy+{fC-o_>VSE^q*iJ?myMs*MEjN!heq0 z-#^vd!+(jnt^X>s(to}Ark}=q$#0Xn-0u~0vEQ3!o8N!Thx|S?|LC{FyvJ|1`D4Gm z=C}QRFu&~gt6A-L$h^wWU|#5FGtcxZFi-L;F^}*oGbj61nxp*An*;r7&F+5n=JtMf z%+7vF%WYLl%VkwNOQoud<*3TtVpsWEWL1#mXH~f68&#}jhbqbPAJst1tE%A^Nj288 zMm5Qjrh3ZqtZKGps%pMvj4I7CP_@z$r&?zT1uocI^}MCC>J>{%)ti>PzW=dY^WAQ# z_WjIK=DWvo*ms}B;l4_1Utc$Cly7@$pl>&;yRWCUy|16u**DbM;1g-B^@+Eh@#$wR^%-i- z^BHZ``%JX{>NCyytacik}xi#0j+N$@i zwf^c|Z~fN$j`eeIC)+mfR<<|1JK8pRceiDDd)tC}RJ=Ny!J=4~~JH_VW{hY1QYlZEK*E-u-FOBWE*9*1+uh(owueWUny*{vg z@Aau|x7TjlcCT-3Z+RWCz2tS!ruNd=R(n}(i@XYKv%QYmCVQQ-jrKZg8{k!Ai}Si+ z3-fBU`FJUFx_Y_gwDRhZ^T4xvPQ9m3&PC6loN~|JImMoFIXRxmIkM-FoS!{M=j`>I znDd$E^qluSpUrvQGc{+E=hB=E&-9$-o>@5yJU8Y%F>F4=LPPFG2 zIl-Ra=6HD?$m!&HFsG%bF6W+yE$4>E;hggxr8%cO%5#c5s&i}}wK;!z)aU%K_wci4c!b%Pdqmq8cqG|pcnq>n^cZO$;W5G9&tsZB#$&cU z#AAWo+hd8ni$}WM%_GZx-~D-eo%<{Hi|%jP%iXuxOWb$Z?e1UNb?)EUe|7)azR&%T zeV4n@{-JxW{Z02G`-|>n_H6ev_Eqke?2FuQ*k`*p+NZcX<&JT0l{?72Q*OMwdv3V9 zD%a0FG`EL)bZ$HM#9U|hLAeb*N9JDXIU)C4&*`~kJ!j`0>6w~q>A5WTP|vlw2YRY= zzwY@$?v9>Ya^LIuPVSbT+jF1q`FU=}|DotE+@ea~K7gMy-Cgw1&2-N>kD>?y(jX}y z4X*9#nm=n7J66S9bQ~ZIYnQ@lA<=T zw5VR}R#YmM7rheeiynw472Oc~7o8J_79A7MEGiHu7i|+~6|EP~D_SmIS~Oq0rYKYV zM^U19M^U7>plG`IXb}*fDbk8B7kP?=s3JZ(-gwKlG zg>Q@B3oD8%gbl@Sgl)x-g+0Z$g~P>{gg=T;3P~l0gshU?LQzS+(5_^S(6wZVP+l@e zs4qzqPAZ8LPA>@)hL`vY<4O?W>=Lywx5PuZsKj2lqC_HGU&0b@Eg=f`lzbN)DH#-; zD(MnjDrpkjDybGcEO{q*S@KL!Qc@_WDY+(SDmg3YDmf|`DA^|%E7>N%m#!DkOP31- zr3(bsrP%`K(qw^mX|zCF8Z1CdrwIH@je@XJg&?-nO^{k@E66Do3Ko_!1S?AMg7u|i z{H>+^{Jo`}{3E4}{L`hC{L7_p`L|1-@*kDnbeTOrsZ7GpEMxQM zm67<%$|iVg%Z7NH%er~H%UXDc%4&Hh%Sw3{%UfRd$hAT6U6GTXu-o zQns7dUACDwRJN8kQMQanDxb$=muK=M zGPkn)G`F$*2)DC*FSoyZD|f7XJr`fGg3G8_$Q4%PaBVA6xo#D)Tt!6~*I41tol=2v zgDbS$=n8Lca)mQDyTY2gphCc1UP0%sufTJ+Rg7`=RSa;BR&;UBRy1+0Rn%|_D@r)e zDqeElRXpTWSKQ(>Rb1k9Rh;4sRvh7cuh_#OR&M36D%W!)l`A;*m5VqYmAM>sN#^N<(wszuADWMcAWf55odQLi*u-w#5q~{gMF!Tn0>pl zhyA#+js2#wfn8Bq!G2%)mfc?YjNMmR$R4e{&c;`rXEUl!utik|*>+XC+3r=F*~+SQ zY;)CbY`>}n?9i$lc3f2|dv;YEdrnn2dr4IQdrj3Oc7Bzfy}L@zK2+t#K2;@UU#^m{ z?^JQvPpZi5|EhknDyv3Vja6S*omCyIfvQH<_o`|ZvAUSWu71g~sD8+DsJ_kes=mz9 zR-a*k>Z7db)dj4`>K&}a>OWYS)oWPutCzBtSI=Xuug+p^t4?MWRL^7`s}5zItM+Hz zsGh`nP_1XZs+O}#tKC@j)lyblwS@Jhn#1~5O=00`U>2ihlqss|XG&|jm>xCFOjS)S z6R9a<`q#W+hSxk{Ce++xX4G6`&Z{}kTvl^}xvu6Ab8F3?%zZUmna652FtG&;%o{aJ zm=9`xVZN%#V3yS+F&k=PnC&$o%r7<5n4>j-iLcc$nYD7JxYmtnUn^yL)><$%wOl5s zr81}2;+T=OV~nKQK}J?>Hv^j`%2-j`z}Q$@!Prszj&ZQ|IpbvQ1IDG=TZ}ukml;oL z&oJKB9%EG1?q@XB?qYnZ-NYEGUB~!QyPQF(Tg2ek;Iyg>I>+8^*iaI^_%E% z^=s+r^~>pV>le|N)z6`?ug{=wtDi+LsE?ulT^~xnP#-|QRX>^jxZX(ruUqYdR^=vw|fkGEFz;xS&F`9eBAWhZqnT9m9(E=Ji&>|YDX-N$ww5*2L zw1o{%Xe%2EX}>qzpzUh7NITSUns&P3DD6ta0a{_hZrbyPEwqw`4Yaz3RkXH-Uuj<& z=F!F)vT20(sWkTc1e(SBD4Nsz88n~w(`bhGfHw8Lo)+?6NsD{$NlSb0M4S8GhPLdz zh_?PchqnDamA3yqj&|bxIQ8QDVd|atz0{}gJE-s8H&JWe*HK&Ems5M*zomYA|ALD9 z@Q}*WL4D)QcaYsdqkvQlEYZpcZ}brPh2fQ9pjrPAXS`hO579Dv- zi-P<|izj(^i!=F1iSg!Xm$Ep^__G@Z_eJ2~u~<2ItwE%yR%~l+>m*WctBHhd7bC50m6Nu%dXV%C!1iQfOnW>rwLO~nOM4h`S$hz1L;E!1 zj`qpKL+xhb>2@9QTDy|?Z@V|~b-Np}qTP|$)NV`s+-^x6X%`al9ULO7gHE*UAQ7EA zV4|YqI|1n!Ap~>`5TZJI3CSH@guISc!qSdL!n%$+!uF0T!hw!b!l{n8gsUAd3HLjm z5MFgWAe499B{X*2Aar+JA$;vPPr!AaCa^k>6D&H95S%;r6BM0)63m@D2mzg&2~nLJ z3CW#n33;6>2}?Vd5!Q7sB5d!ROE}n>OE}e;LActPO8B=kk?^{6CZVD;lF-x{LipSn zNEqq#BjCFx6WCp5f>oEE;L@ccD7$0?w9A7K*yTcq?s6cccG(bq>9QdF)+Hcp=;9D| zcF_rkyU2vIU3kKct{?b^U1RwFy1wG8yZZ4TyL#}wU0wLGu2wwhQxl%|sR3{MsT%M8 zsT{BQRE(ee=?#9yrx*CRPfzd}pB~`nf4YZX`ROJ;|I=0co=+F?$3Fdozwqf4{`RM1 z_@|!^UE7Dc;z<2tTcR9zLQw z4?n9r3!mGahF{X1j9=TGfZx_V6MvvP5`U^Y6o0il2>)-lKmK+16ntejz&CfB@IBo+ z{AjldPy8&yb3S|Gtv|cs-LNgY>d#XAq|es);Lj3#>}LT!{WAwY|1$%>@-qdW|Cxy2 z^BKk;`#gcW@Ocz>=kqY`+2;XV$>&~N!{<-9&d=?*q0b+2P){R{(Nm9;^wi*-dMa@8 zo)Vn7=PfRv=QS>>=Q%E==P_XS_gu!E?YV%v(Q_8}sOJ>! zZO?IBP0tZrYtKPkU(Y_=_ntp-)ZQI9VeeL)eQ!R_yLThb(7P7r*Si`Q-n$%^)Vmay z)4K?_q<21UZSOC*ZM`|T1HGBJ)4j8C*Lst24|)@EZ+c^KRlU);mfmn&Z*K^0tTzZp z`r?n{f0>H2`!X5l`31%4z8G;+zUXkFU(~pSFLGSg7jN9+FCMu6eR0KY{o;t*|3!*B z`Nalz<%=cm{ueRs^%p*_@(Tyo{Dq0@`9i~uej($CeFPk@560Q{O~4*~W3aYw1orJ4 zf z-y3+e?2cFV@3l8nS4kz?qfwTHA!i)RQ!T;+&18?m= z3GeU!8$Q{86u#Pj82-2a0Q|atA6(hL2X5)#1^4!EhsXN2z~q5VFn{28*lu7w>^bm1 zSU<2Do;t7s4jWhoCl34y=L{@@mki8@*AC2uw-4mO2M4m@(*v3CwShGF;Xo?<-@q)m zdLRLA9f*Vb24djv15q$#FdP;RhQjuP!LavWAZ#4;ho=qt!4ZSLaPr_JIByVvmkyfX z4TE}k=b#ooJgA2M8C1YG2W9Z%K`*#y&;za;bc5Rmo#DYjNBHNU6s8Z^!jd6t*lEZD zmJf+xWJm~4AL7H&LmYVa5DT6=#DG@}QQ<#^$nc*-MEKYc9=M7z+i*9e9`1rB4R=5@hFhVy;T9-!xCvS~`~g}$ z+yHGEu7e7OYoL?ERnV2;3h4fD8T5L%1gab^f?9^(LcPOppt0ds5c%s1i2wB&B>nmX z^7{G+GJJgi`F;Ht3jbON&H8#5%Kds9TKe@SwEpXLXy@0f(BZF_p|f8vK{vl%fF6H6 z2Niui3)Ou+4Rw4y1r2^Z0sZ`X9Ab1rb3=$Qy|@#FEn**G88s82}&9RP|g?v{W@lb){U8<9b-o5(3k-_JEn(j zjOn1qV_N9lm6(6a9mXai=~cQJJ2y9hdmx%FKLJ^e0#u&Y1R@SP8J zVTQl+Alw8OVqvT%IFKtwJ;8=1VP;IQpmSu??awqo{A(4kY9YZEl+0p`C6 zDpZYW#q>>3pa~512N@D!95J#VB*=^jz(oHbLaCU!nB_kR&>xsRm}8iWKk(39%nM8z zrtt?3`i%L8A^yZcT#PN2L-)YwFjFyMm?TUN=2t8qxel`fa|m-5a})CzQ-rC*bYKQC z|Nr^_WbOYawp=jSlY#&y2osCRz%0P5#xfL}F$I_tm@An3nAeypEOFU_`GOh8P+%M+ zfbm$e7!Ubij2M5I07YU_Fmo`!!9?hH%x=t4m;{~2+`&A9$xtcgJ?0ZkfkrTR92MeV ztTFCbZBT>p#bQSxm;@XH%Em0lF`>1XZCKpoAm%g{PrHtJgvFoUVrp?*s0}lK#nOIY zku*A<4~g*t$O$9I3n2unS_Wcb@M0(pFM;M^R^lz7e7q&J7jqnM1zo~hLxp%7=q08C zZwocy?VujK6dJ{l2=)+<-~ibX93fAvsj0_IB{)Oj1Q%!)!4=9SxIs$^?$COI2egym z2_44#L-2xb61<@&1Rtn~AcN`&a;Sr#fQAUzQy{9a>ZKa8Bx)cRq83sSbr2xxpv!Di23e-tTg@#G9A()&FvB(*aB{>UnC1*n_at<_!oCgJy=Rk4fxljgq z9<-3W09s962yG!Rh6>0_pp)dK&=vAB=wI@3=rwr-R7G9|wUAdsU&#N1#>s0TGG#p^ zplpPsl;0t5N2J`-_(Dh%hU%@A@vdTlKKRypgx0|s4t)%>MLlJ`UWD=-aEm6v=7iaS`)OL)&d=*wL)iT9nf`J7xakM z4ZWrHKsB^4P#diu>Zc7t6SS`ojs6W1(Z?W1`gcf1{{flk5FCJ&z@z9yIE7Azf1y+1 z-{=f@Bb^2BrgPxG=zRD*T?pT%i{Ynq3%G=C4L8tj;ZC{~9;Q3OFvA&UGTdNGh6n7z z@Pd^L8P@Stz(EW(Jd>e?(;0erKEnjBWFYV+#w2(z!xuiz@PjWg{Na0yK==hC7%pdo z!i|h@xSJ6Le`CbJ1ZEt}VJ5)V%vrEIGZoe_)8NU>OgMy@4aYO{;7sORcp-B>yoR|5 z-opG9E?_Q$Pcm1)SD35e`^^8rubAuMO6KoyGjkK%!`uRoGPlDd)-IUG+5_9N_Q9U4 z1F(*D7@op93Wu`(h7(yQ;cV6!crgn*Pq8k-TUl4&1FY-tDb_9cD(epXFRKuK!+HQ$ zu^z)MtY>g9>m}AQeFKxR<~W~S0^706VNZ4ytY_E4Q`rr07`qWpWVgWC>^67_yAxi^ z?uNIqd*K7@e)tr72)@Q1fgiBP;5Y0ExQY$qTG#|!FPn@TXVY+G4im@caBy}UKF*UP z#_2egxTzc)To^}+OXN7>vN^7}#T@K>%JIf+<;ZadIBMJ}jt+N~W5oT-L2<7+lW~=t zskmm2Kdy%pgd5|8;7HtX9FH4~v*pI(Jh+KCEjJnG%bkr2;b!9ExjDEj?k~7S-1)dQ z+(o!8+@-hz?sD7-?rPj+?pjBd-HL1E?!a|(|HO@O_u&Y! z9OuS6g;Vp+;wJGf;DULVak0GXxOCoa+$#Rc#ua8Z01pTZ~N z=kO``WqbyH1D}K6$rs=c^CkGRd~5s-z7+q6?}&fPcg5H6J@Ku48NQFN!jJQHc(TBR z=L-PdPA~=UDe%YZ1VQ*If>3;@AQGP-n2FC4B;XeblJRQ`uE37aHcguRkp!g0v};eup@a7Xf;@Kgd5izOsty@XC|mvD#!5+QLyVo9W0 z*b)U6jzp=28_~N9?v7CXQH+5wVmziD^Y7Sy<6XPF5U}+)79?Sy_^%SxHF|R?eg(D-Tk( zm7KKLN<&&>Wg>01nnc=XqxfNe~{d*w~^G=yGg)$KPkxi2r0(;1S!?}ENPDQCDJnM8>IEtcS+l= zACeAOKPR2CenYxqT|z3ft|Yy%t|ygQHZ4*MiY7<4i zZxc^`X_HJYx5*%Xu*oBL*(@Lr*(@dhv{^-_*{&xGZ8wpnwmZn4wtLB1+k<3Z+hgPz zwx`Llwin20w%5pWZSRnOvwc9`VEc@`!}bmNplu2Hlx-FHifseA(6)vA!nTuKYTHY0 zupK6M*p8D2Y+=fTEtx{GV^a8be2T4|1;yRYj-s}6rT{xnN}!#R5^blaq}ZX9JiDos zU+n@ZYwf})TkU323ha_7f7_)|F4*NzZrjbLJhA(g^3HB0rN(X@<)a<8+S+ahWz=pj zg&;jdVN3s}SV+%OoTQg1GU-i44oKao6H*^4*ei!wueF62l z{a@4v_9v;Y?9Wrn?XOWk*x#Xc+CQWY+P|RwuzyRXIFwWQ4s}#phbF3$r+$<+y?7;<$w-cic@gIUb<-IUc2jIi9A) zJ6@z^INqSmb1bAScYH$I;P{%h-LZsrz_FTk!tn#`l4Bd~j^k(AQ^!HtJI66vwIfV# z!Di2Vc4X5>97S~4$(Bxca;A%%yy#LV72VUxNY^+`rURz{dZ1G%J<2JDKFetqJ;y17 zzQ}0~eU;N9dcMNUw9cL2q>`q|%&q_A{g|#~2w1W}*!2W+wd;9izUwvS zF4ud^L#|Jlr(EAKFT0j8@4D79pSm_P-??@%t6ck;O|GNNPp&X)$d$tS;mToA+$1cn zo0Mhc=Eib%le1)QdY0a863f@kpB3yD%8GHD$x3lcX63kLu@{ zAFP9JJ6I>(3RoB2jaN9pElyhr7G66WrzO40k-6!J>g!+CVM<% zb3ER%Ej=pOjvftcFON31)}x0FJcilRJ$|qwJV=~G4;ClGL&TZuVaHkK;mTR-A?IxI z&~x^9OyV5zn9e!l5ze{d5y!dfF`M($BbW2mV-csyV+E(tV?C$SV=HICV-IKC;|Pb~ zd5XjIyu=ZE-r`6-A9CD1UvgBQB^{z2WK4{ns;$`@%DpTkM(2t?|s^wsQ%>$_4>$7_3Gy4dJSmy~zR%boX+mx6cA%g8JAn!{y}eh{t0gn{&{Z||Ax1j|F5?n|Alu5zu0>w zzuG&6-{hUc@A6*AAMjqmAM@V8$NOyKGko^(g+535Ha=(hEDd_K0?8EA6r3zkDK7Ak3w+P$0)esGevOM zCs^>rCtC2vCs|PLlP#$CSs-ZjSuXhOvtBUlvrRDJvrj;h9Tl)-X9Z%}HGx!CC~%WK z6Ub%n1O{2PV6v=95Gd;sM92mO39|2kG#ODiN5&E^mPv%GWcI?1G7sT4nOe9{h6w+X z`3uj;!i1M)al+fOG~pxJ9N{b35@CsKjj&dhFKm|W7JiZ)5)Q~t3ddxZggE(aAzl7h z$d|tnTFEPfPV)CcFL}FAE$ihh-wMXTk0 zqCe!Jq8;)$QGtB6=%{>-=!|@c=(2o`=#D&J^hmy2^h$n6R3bkms*zt7HOcRYI^|D9 zee(ZAqw-1-tY{Qb6`dlkVnAe}_%5ijx@pOe&9IgQ3IK^~v zsv=UHqev7lP-KdKQ_L5yRV){8Qmhy6Qfw0+P!x!dD~^f(QJfQBRooEYRXh+sQoIts zQk05I6!qd7MVq)u@kQLJ_$KaC;3VG^49QQ0NJ3UhC2XaKM66Uv?39SaRp~GBQHD#j z$^;3j%#ch|&Xa^Fmr0_P>m*6at&$AoKFKf2W0J+nbCQ+H8SG~1}Q&m}{sG2OY zRi7;8sfH|;s(x6kR#7Z}SMe>kscbCws$4A(s}zs!+>&s(8!Cs&va& zs=1aWs%4hds&$r)s;!posshU%)p5&V)p^VBs+*R0^+QX#`i&(|U14dV{$MFpcUros z2Q6jl2}`Y-Y=x@1R(@)0t6;T@Ris*Om7q3R%~tza<)}lg=BwkZma5aO)~M%N{jOeS zwN1U=YL9xG)gg6()!*vBtrPVuilU0TKlU2QX*s4YS z)9RC&YTd6ESbtO7TK`nLTaz>@Yo-RV7H9&jtuztV4w@uucTJYHT(i(xr&(!@YJRu& z)9kVi)*P~q)SR}C*Icnq)f8H1X`Wlp)s$E-(bQS5)U;Wz*L<Xnbt0YYaB`G*fLJYeH;ZY2s{(G-)=Knz=R&nq@X0HS29YX|~(+Y4+QU zXinJt&|I`3YVX+4wNGt$+IKb<+8P_Fw#CLp+hgOc{br-q;%tptrtM^{#5O?dU>l6Z4JZGYANV!KMa%yzwYz3pc0Hrt)r{k8?#6ShaR7i~{! z@7SK#KDE87Ewa6%t+9Qe{b>7K+iUxucGR{^i?^%Mvg{hQ7Itk~C%bN~%x*wyu=}Q+ zYWGtcYDd(?+c9(*c0AoYJBe<&oth2z0xPTW71c;^U@;SEor6hv9wPG>7eeL zbX148|EXiy6ZIDMbiI>3PcO5V=#BQadOv$7eVDz6KEYnD&$QR-7ucKiEA4&tf7l1; zciV^PkJv})&)Uc9uiK~SAJ}K=-`MBrEA1EPo9vhByX{x$zuK?Y!w#GD42K20+sKYgVyu%%RhQkB>e21s{6%McUe>fEDcRN(-k2uup&pI^e zuRFBsA2@v0zi}AQS2~R7n;a(e-41xeR|l#Ac4Qftjsk=2 zazmJ-){x+6GGsYUHY{}XH>`3DHsm`-81^{E8jd<98O}MT8E!ge8y-8(HN16PWT! z!>>-y4QrkLGi-G#G3MSyPIa?WZ&QhbVv$HY8+0z*3EH`F2YmD=qjmDME!1#x=pK-Typz$x~ zP~$(&QO29jamGi^$;P+N>Beg39OFmlxyD}SMaD7bWk#aQDkIlrozdDQ-{|JD&8TwO zZ3Hd_#z2?D#u%65##EQn#$Q~{8<)9UF>Y|VY24v*&v?k?q4BiKGvhUv*T#Qcij1#a z%8eB+HO3~F_r}jIEyfX-b|c=k+sJb5Gg`V18=YOpj0)GEM#Pn13UH;GqFh;~WLKUk z&sA(%>S}FT=W1`-?&@MX;Ob#Ibyb`0yBbWdTv1cGtFNii)!)?Z8f^ON8fL<| zMVnY|@g@tmWRtU7nn~f7Z8E$4VhV6uV2X14)s*bE!j$K>#xzG=JLHq$}3 z-KJA+`%G8e4w?RSJ8F9EcG6Vg_K&H_?V{`<&sAmz&$VVB&y8lI=O*(s&u!)i&t2wOo_o!?o(IfJJdc>ydLB1#^E_of;Q5dF zl;=hBRnM#De?4!SUwhs)S9<(yya_xfy}@6~5s={01|_xfhu<27zR=JnHj!3&Sv@ggJ7yy!@Y7Yk|d z;vt=0B4o(R5`nyJ5QeusBJp-coV?u-xwjW$_Ld_7-fASuTZg20n~*u)09oeki)`?o zhV1m7jvV%$ft>XYM{amWA&3 z_F0A)d{!WSK5LM0pLIx*&+kZ%&n9Gv&o*SO&rW2U&!5Nvp918x&q3sx&tJ#`pX10I zpOZ+H&sn6!=RDHua~T=)xrUHrHxa(q07JJxH^x59yH&BBQde2vI(Y@Z{eS zTlr7KLykwaauVt*r=lTp2AUveqgiqux=1cW*T^O47P%F=Uv7(@l-r|M-&{4S-B`ORkPhm!F6#(^6_@Y{cA38-5fQBjp(FDZ|G)obNE>=XM z|5L=ETNQEWenldBQZWm?sz^ouRivY@6w z)Kj?%#d>n+ROLD}Ot}$FROX{O%FXB!4%8?nkdF526p1N6`P2 zN6~8K-)O7y6xydegML?@Ln*2Ys8DqowO3t5y;V0*qv{qqO?4NIP!*!dst0JE>JhqB z^%UKpdXDZ?y+RMG-k|@e-l8{E#pq*IDO#keK$Kh>k?G4(ilK|O(DJx1_cjRU1> z0(h?`flq1*_^PG>yoL$b8aA-faDkhK57ZhVn4}Sd85#=^r?Cc^8e6bXBL%B94q%JM z2^45tz)6i8xT5g@_cdPNwZ;cjYUH3rqXfMgH5k)q0a>dDe60~kwPxU@MS(#(3HWJ! zLAZ7bn5Fdtxmtg)R68B4*9L)|+8N-mHWZxIhJ%~h2=G`N1&XvWpiUbLI<)a%P@4dL zYLfs%mkcDjRN$7;H7REDAz3qO}Z7}vu+g_)vdu^;{6YB_3MDGem(HeZv;C1?_i2PAB5>Qfkgcl zkfYxUmgu*Gb^0A(yM7lqq~8tB==Xpd`n}+hz5u+_?+3N|1E5`h2n^^CgP;1p0NrpD zNDRk-li_cmFq{C0;Uow&oCYz5Ga$`y7R)o811k;ZLB8Pv*lV~5jvFq6ONJ}pp5ZEZ zX}AW;4L3lO;U@TOxCKTHw*k?32k?w{fvvF+cpC2mo$+5V)%XB}86Scq<0Ft`d<=dy zJ_YNH&%h4jb8yJ`0-QCz1UHPYz+>ZU@Xq)K)EWN+?Z&rY(D)8OrXs*F6$1-X32-)* z0;Q=8VE-^6$W(!ia<2sGnE9qEu*y^oHkoR`K2t6D+f)ZGo9e-RQv-N~sWiO@&882a z*VG8cOih4nZUTIBGmx5FfS36rFkt-5tsuhO29nL~AP=+5+yOS2JHal@5px$fXZ{3k zVV;`1L5cY@Xux!td%!RThx7s#@&#C7T#-JY#!N!`!3<11G5|6$i;zLE2D23z0{bzi zkYR8Q^8on@{=-xwBcK)2hnYaW0V?_ph|p2sh>>B;=okpVL}OCXaWEIN9P+Mg%`umfx z9{(h)&p!$4^-se3{gbes|0JyMKMCvoPx}8a6JvpK!6-2R6NHJyWMCFxR%13#`Uwg! zCooqq_c5@CftPw-3}}+I+u&0n88IUO=DH1H>4oDW8EHgG}iLfm1$#7);ufE--IO zCs>KepV9&LPH6|nF_)&afx;=R;N_H$paRo0r3Lg%X$GTHngD5P6W~p41a?zD0MDuK zfqrTOn2HIXS`TJTtpmAJYr)c~HDLYJYOr%^6*xS#68wX?Ikf^jnOY8trj~*FsimM} zY6%#cS_~k+BEa-}2Q2;G0vEskfXeR;0DiAQu-_{X>-Q35_`LuN{GNl=e$T*Wzo($U z?=d*x_Xu3^dkF6PJpix${sonO_d$zaA?WqH3&#EK0P?ikKrrnVkWRY^yr-=H|-)=Hthn~Fzr0pHSHWYGVLrlH|-3#HSIKbI_)GVo^}E>O#2&j zPCEvMryT{b|6hRRe;8Q$9|Era2Y||dKbYiS0D}GZf;j&@Aj5w*Sm?hCtoGjlw)k%c z1^!#XN&hY2ivK3?uYW#x?f*Nd^4|zr{MUmo{_DWF|Nj6vU=0uitOC-26~H@SIWPn) z1JeSQf{1`6AUR+W$O~8qmIcfQ8v^EnT>-ylt-49%zJ_Qs`_XRJfPXZOwQP4Eq40@&;!RT~7AO&gxFHj9^1C_utP!4o~K45B~ z7YGaV0EvNaASciTED3Z1>jE9X_CP5(7-$R51X_dZffnFVpcuRj6oQ&SK4=T%g8o1@ zmavL0y8YP-Soh3W7_~px|P3 zX7F1yJ@^eeKll~8GWa>VDflV6H~0~HJoo{6DYy{57kn3e5qt|R559pm246+HgD<1s zf-j(i8Rt;Wj5Db9j8mxljK5LMjHBq}8As5N83)n$8T--98GF%%Gj^kEX6!__%-Dt& z%-D>coRN=SnXwVQKVu#GYR3Q2${DNB<{8V;o*7Hg(HTonQpiG-7cvjE4Vi;_hUB8U zkSugcNIDuCl8PpV%tEt664AvWap?a-u=7+%Bzhnu3_TSx1HBp&i2fTAfW8UwL#sl3 z(UuT^_J)|z@el(_4%MRkP!(zyDn~s-y-|Ir2Rb#>6%7k@LK8#n(dree_`19G^`vs5LSYm3VVxO4SS9Ji&cnUhdn_m z!yY2dVfT@qu)D}u*iD2KehuM;Uq)=h&m$h;XAy1qNyIn&I1&>67ZM+S5XlNJKo*7n ziL43ViEIhqh7^QvLQaJLj$963hZKgdL0*QhK+40HA&udSk?!yX$Vm7v2q7XD;Y4I2 z))8rlTSPLVjz~ZzMZ_Y(5m88NL^zTjF$0+&F&$YMF%8L&@J04S0OVMN3AqrVL+(VV zk!KNdq$I)%X^3z`IwPEsp$K~fip1^_k(P)gQiM1~@(_6>3o%F1k$^}t5*3L@QX+qv z=R}U1mqmUvZ-^W+?~Lp-ACCNNJ{#F-z7g4KeiYecejEATToYMqZjG!o_eGYP$0OgF z$x*M({HW(iuZcQt-V$}hyf5m2 z`R}N`=1Wn#%=eT6F?_R44BPA;Lo;h)Nao2gICF5!gef*=)RZ1GY?>d_ zXIdHa+4M(Dhw0ClkEWwBA57P)v{s!UH}N=-#E?@V4^0y>g(m9E zTPDHGYbNQ;izcs`|Cn?$PnxF8JZcJ^dB~J7bDt@5=5Eu%ncGaOXXcwW&D>zxJ9CZc z_{0?pT)L4TlG*)d&h?SYLVm(X?V_i(EW9?0wVy#VkW5uT9u{_g-SeEHdEY82^krZ#)`z+ITMRxbarpVdLYt0^_^5-Nu@@ZN}EPeB+n6b;j|y zRYp?$G9xd3kHo&kg$$9vO}&6dEoh+%()txMFyk zaL!PaaLQ1ZaMaM2aL~|~u*dK{VTXa7xXHjv++eUxTy1bq{LP?FTx0-=a}7a>*@l?J zG(&1)l3`9_tYKMVgkgPRuwi?mzu`dQWW%XMli^CD)=-!zH@rynG?XPe8{Q|{89Ea! z4TFgS!_P#PftExy2$S#zY0`w=GigMxO&ZYqCVke=NNU%|CN=5PlIrzylPdMUB^B#8 zCcW10OnRz6l=MJkDV2-Pn#8`pF1l=|J$qp{f1e-`W>^(`h&By z`ct#y`YW?M^o6sW^e<-F>Pu%y^bNCk`i@z2{lF}ueqz>79VK~G$4?&A*(Ues+><+W z>f~k}NUqlfCRggBlZ$jI$***I$xn2@Cg0bsO}?euntVl9ko=GC@8lD@3&}@xx04HW zPm*`)-X(9=)g*7weN0}h>rGy&8% zQh+WYMX$?9QR?QWcC%UYu!>GXqBmVv`FezZ9wXIZDi_6ZBptHZFXvb zc2Vk1?W)wx+Wge@+CNiQY5z+7ReLsdp7wfbj`l(7Z0)PmL~VI$wDv=4h_*8|Ks%T^ zS^Fc^sHMzSYx%RiwYIZev~IJdTGea|Ei#*@4VX>WM$RT`lV<t(jTe=)9(V3Dt?9w)FX?{j(R5Ue&(Nxw88Wpb!%gjwAys>2 zSg5rbJoV%Zx;iKWua3_6u1d}rR^?>$s1|3mt5#<;s`4|cRl74vREINOsZM7+R$a}w zrz*_2rh1-nUR9iNLRFh_SoJYuuc{|wo9bJ}?)Sw70A zS+2@=S$4{*EU~gFi>>^WMOF@F{Z#zO`lg^{_bIs9oeHb$CWUi$jY5`PqR?l*Qut;+ zQUqt;Rm5apRitG9qsYlVu2__PK(Q)&m*V&AO^Th_YZV8xe^Z>yUZA*`oujy&ovL`8 z9jADc9j>Uzp04et@XUb~nw(KNn$sr_ z$mx`a=QPO^a%$umIVJMBIj`i)avsUo=G>8Q$+;}wlXFJ?SI$xSnVbUo)tnvj!kjFGFtNoIEjiOqP*5Ae)=}NwzGvS++K}R<+>S-icfLgS)ZD`qdqNp1wP$*+kJ-eHu_BDt@0twS?t4}ljkFuljdVT zC*H?%PPmVH&U7DS&Lp2{b96rc$J%>`MRC1h!wXCAf+z^$BE2bgqj6M_Dou(aMe5So z-pfpEiNAiQ5-kVhE>~{uN<1cT%>-+wA&*i=M)8;v4&YYQD znHk@d)vJP2ELTOPEMJwBqQ8onqOnSpLR@t;W!m9%%80|olpcp4Q<@!qPN{Zyl2YvO zA|=ZqBjuGtVagv4RVnu!no@2$bf;W)7*09oFr9MDftZRoXryu+^iwGgCaGixtJE-u z)u{mvn^QdfO#_Qk1B?5k6Mwr@`T(Y`13qWwtfY5VEaqxM7!coGza zX|GR7vR_V#vbUrJ+pnhh+Haz`+V7xjv-hB^x8Fmtvk#$|+Q(1~?2{?l_AH8$y_hm* zca$<_cbd{?cahR+cb!sWcaKtR_lS~Z_ZQ`rT^i*NyFAK$yK>46yL!s^cI}iicKwtu z?Zzo$yEzKePKlair$vpjTS^VKBT;?qtf?+`j?~ZXKBKO)+ex*t^Q4mO{HaUrLaCZ| zF;oS+6zYsEi#lQ}rgqyNr8e1~qE^{{M=h}Zftqf6m-?6OL+T^jKdE$Qoawb)Q-)ixYjkqu1Cu=$Gi!sZO^vCT!=U7PE)A8hW@ zzO{KsJ7M#jb`U%;kY|%aqu7+t$Tl^!P@87j9-D5OyUh>{vYDc7u#uzN*{IS@Z5GiD zYz*mIHl}n18yotJ^&0xH^=I@h>z(vQYY%#b^&Wbj^?tgO-_*5~MA>q~T|^$mKW_0RN3>&NuH)_>8xtTDQibq;;AbqRg7bq(FZx|wcd z-A!L)Jw#Wro}|xPN$F!&%8XtsZAP=zQbv{43Pz!o6(ikh72_|fjf{s@5aYI$E8~il z596Fw5aXCtI0LpKGgwxsj3g@-BhpI5*lTr!;bnD#;bip{VhG2?v{I)kmY{nddou69i<``1i~Gz>izmz%7JoAzTS%C9EOMAvElQZ@EvlKv zESi|GMJJPGF~Cf;7-vRU%rb*4h^*Zf>a3j>x~z>BhAevv5^JS}HOs(aHA~ZCBa3JO zv8K#jSZ~d}SncKktUB{hR*88uE7LrY^}?LSdTh>R-8Dy8SIxgwx)VR-pNFmZ$k^)^_tO)&}!JmYsP8i)3EUT4LVHQaA5q$(awcCd?*T z{bo{DtCvkD zvk-QOSrpsPES~LRMqzI?W3wI2L~Kj5!)zn7ui1;t&asuuzGu&_yv`n8d7Is}@&UVH zQ$w~)~-pchHm6cmK^QJpFqo(ehZc`slqiGPQ+%$}n zV;aptO%pl4n^HOVOgWq%OvRjUOb>I8nSRX?o1Wz`O)qj1Os{dmO>c1mOz(5tO&@c% znLg*NHAOkrrkR}OruiIQ(=v{-X$@zN)WjJfb#S^!eVhi;2&a@Z$;l>3IWI|y+{Yvh z?j4dY_X^2?dyce%`z6VODu;Nx@uma5-&A zB6GD#$y@~zojbLH%N<++bK6!N;nuA9np?QyEH`b%Med&~u5ll%xXJx##n0S}D;{xA zu6V{hxZ)+3vm%X~ydsAixuS?0w4##hxuTxCeMJj*{faKG&58l8$%;{~-ij%%$_goW z&P0(nVxrFLGSTHVm>BR%O-y)MCg!{sCbqmsCXT$@CL4K|O+M$HF>&G@F>&YdO?-G1 zlR#dKNeD04B$DTC6325gN#<=dq4VraxIB`Hn5S=Yn5SlPoF`p=hBvnS0UcrB3Y{4%xw&Q0RJMv!` zZ{$BR-papiypw;)*o}YM*o%MI*q_fc4(6vAhx4P1WB7ZG6ZpH0Dg5omO#XUfKHtU| z=9?HF;p-Y7=PMhZ;m;a<%O5hj%d`Qb**e1D@(zN=9mf2+|D-_htD-`r@1Z)ijiXc{RA~DwdH1xyvjB=rTLOlVy&AyUR8Rt}NRkIJ<1S;K(u;0e_jNfU?Y2 z5WOr=uy5IZ!R}=df*s3Z1?!h33T&291k0B(1-i?40;OeQ!HnS{!CS*)f_B4Gf*Ql~ zfO!85}ff?o{p2(BC67kp#*NN~*XsX%1-w}5W=T0l0;5QG@!3VaNU1kQ%# zf=z}s0(-+Z0+L~?V6kDRK-I8MFlR6%7%>J6lVVgm(Xx`C?jxq+7Ofq|~@ zhQU(d1p{N@aRXB!Y+xy57}yHq4OR<74b}^N4L%d@GJu4e4V;7y25v%A123VzfuB&# zAV@gBG(=`>371=rB{T$ORo!^m);U?TKcole(A45($Xiw#Y>+FRhPaH&MkQ@9A1(k>{yZ` ztXonjELu`3Oj}YVe72-ccz;Qw@cNQA;WtaVgkLS`7mAh)3295lg|SPfguzSZgkDSJ zMLU-$i8d@z6WJ`$7A;?*C(>QARHU@TSTwCq5)JB`i(2(x@cJ9Ivbc<*19obW8FBhj&71z zK{r)Av4}42Tf`DKE#isG7YW7LixBaPMTf)>7kw$dx#+m~;-XXH6N}D@kwxE#nTsxp z;}=~Phb;O*?6c^G*lE!%@y12>#I}p>i%k|i6zeW}B34@TR6MQomv}(urMN}swYX9z zO`NNfC4QxoD}Jm~Aik|rBEFe+Pd&1ZGHHZ zwgG%l+X!ZBo4^U$rf`_HIqaux1@F?fg*R(Ez;@b>@Cxm9u%7ltSXuisct-1Ucu;FQ z+^XdSS82Jxd0Ou9D=km>v6eS{Tgwl=q!j?4)Y=Pwp|u}oX@$WFS_j}Ttti-6D;9Rv ziibC8CBb%DDX@ta71q^az)D(dcuJEC4`>SD7ELi+srdz*qj?B^sd*HBqaB3MdOO^p-UXMa_rU4ueej>^1Mq$I zA@~RN5%|3N7<^QH0_Lkv!KvyqaHRSi9H>q}+|}igt?CNMYIP;VOkD+8qOOLhscRy0 zYTC%K+9ISwO%JJ2(?<%_mLiy%A@aMLF>+VU1i7q6LQbo#L=LH$BWyKGBvH*82~)F0 z{M77`U23b4O=^yao!VN&L~T8ytF{qQQrnD7scu0AR6j?WRUxE8bqA8I>V&*dbw(bl zx*$KPx*^}GdLYMDcOzm|Z-l1mi^Qt#L4sBNk=?3+$adAe$XeB4#8Nc`F;opjG*!b9 zIn@YcOeG5GR*6RHRbr81l{h3#B>{P+l8F4Ql8jtaNkz`7P>>@kG=!(ZK$2CMNQ4R- z@mJv@t|~m_GZg{ipdv&_Dq>`@3W6xBe1Xg;A41+LA4Xc0k0MpdUn056$B{z{J#SEVP&XG(v7KlOf!kd&SwihY{rQ$0jR}n>C zD!xV@DPqV?MG10IF&+6@F#~}WGZDIC7D86cMuHV{5HH1C@Fxy=$U4P*#8R;US*BQs zXet&V1jS-xOrZqnQYc0070Qqzg>ocKp#piTP>K8ua8;oSIjc~O99F17xC*sMl0qGF zK%pMlqtJlt0@$qZ2C-9UL{=y?A-W39h!VgQu>~0*wj#~MHlzX|o7j%LAa)?X5j&9^ z02hc|$T4C!A_AZidyp7nFR~ZFlh}uBBlaVX0OrI2WGR3;aS)l8e~XL&bjS}OwEzY3 z!w3fOyZi`p2jH^&C~^wmp!^uZ0!WY_M?wL7Bga|MpH-+>9 zya6bcn?^DKo&(&Mn?bGvoCo+)ZWiGKqyR($1jx-HZU9>VRsopG%_EBeQ~+iO^T=C( zR)Q3%0>}k;3GfKuCcs62uK{2HIsh3U7+^QRc7U}2mH>tTngHOPcF3qyigW?g0Tcm9 z0GW-1o0~v}0NMen=iVXt0O;H} z@&w@a+!*pbz{$B$1OZ^qjUaIVA#=lsH^7d$A!I#()!bXe2taFY5Rso7K*nbWke=Co zqyeC0whu|4?M0pe{4(2vT$}Ai&H)^m?Lv67ok%i3#B2xRKiiJD%(fw)0oc#BBBa?C zL~phkQ3jZvX+j2P8j+TnH%R471Cj&qa;6@6I8%rGG*gRwH&cTgpQ%Q~GgSy}rV@z- z*f&#w?4Bt{pqVmc?Mx|RF;jvV&J-gWGvIicDMUu63y{v~e57tV4=J3^MI_TX$RE?$ z$i3+-y%kCDHp9wEO?Jw$Fy{fbtukkgZAkVBKF5%%OsBysWt5;l1p@tZt`I8T0wY??fZ*iIfsOePN@ zizdH76ekg6YC??kPY99b2?0_*!9%hqxX9lVY~;5ICURqffn1oNA;%^th-e}ep-vN8{GWt#M1_;R$h$EWq<2gSc{8Sfl#aw`VUdf;tiU9jU=J8VAI z3LA_y!|G#iVCiT*JUUtfcaB!Ub)yw<(P$|w87+pNjuyZ_kLJNwM|0q_qnYrL(R7$Q ziowaFC>$~R0`?#M3w9lS25%Yt19ljF44aNVg!M-sz$&A^z_TNF;h~Y+aQnzlaP`P_ zIDh0C{Ceav{AA>N_|C`$`0~hk`1HtG_~6JXm_70}oH%j}4jVZN`;8oeca3}jZypiD zb|V6K#RwPH8)3uBBMf+YmhffZJ z>#5-Ym^JJN#}9kMp~IfA@31@UJnRB*9Cm_jhquEf!=J;t!=J&5!yDnLp>^=UkR#kO zCFmp&3jvvy7Lx(hApCMJ)X-Em) zI7EbPhooYYp;@u+(3Dtl=$&}#?WlO*?U1K-y?rgVd;3zn;_Y8zy|+)rN^hTt zrw1R32M6zqTL@p}6e>TVyI}EbKrh{~`{$Q$DbudXhI}j%x8i*0M4@8J-213OJ1Hoc! zAW;0rz#j3v0dMiu0T1!n0ax*n0VgqUV7oYFV5>NCV3Rm-V7=IVz)}49fW6ppz*=lR zU?DabFcoVIfbS6l%fw^-OT^v%y5fd@EpbV|x;Ud>S^T`8D1Oi{75&&hBl@;~Qgp0; zOeE?b64CnmMX~+eqTv2^kyn4SXh;7W(fa;ck#&Ei$hf~$q|;w0QtZzaP4#7p2KpqT zmcCb_%D%ruxqVMXulgQ~9{2q!y50A)=u+Qp(W$;0qJw?cMC`sxqNKhHq62;BME-rJ zM6P|uMO*rgidOX<6s_zNiU(2F#l4ZD^xja>pS}A; z4|)ScKlb{HzU|#DI@aqd67@QXXuXh#+zbB0x_6_&y?_9&$#ev&yetJPrvX;PnVG2 z(Ka*wp1DEboGZIbEL#Uv{k*KJHpA zyxnChywqhWJl$m~Jk(_@I+XOZAlXRhE$XNKTT=WD^0&c6j`I-d%TbUqUB zI`0coJMRdhI&TQ}c3u^Dc3u>0?>sM9*Lg}{-FZx4+<8c_s8cLZ?BofiJD7sO4vL_y zBT-P@5i2O@h!9{M`vp%s0tG*J_zHgL@D!Zya1ngfv0WhQ*dm~HY!Hw;90mJ3>;yg? z76PXZl3-JZk-)xViGb9hBhc?q7pQh93Fg}gg3k=y{=xQ4KBpbyC$+!eN3=iX2ev=rySM+s-`0Mczqb84-?IHO z->CgtzE1lYzC!zP{#4r${$Lx-Z*AlAtJ|3Tf;I|Y(w4w~+7`qAr7fI)y)BskZJR&; zSeqAL+~&q-wC&`_gBuw_+cxt3+8p^VZFYQcO9+2e+X}u}+cLgE+hV?En-*WLO_l$y zmB{aFo#QpPPVg#QM|gRy{XDd_llOaTGw)t&J?~m;CGUJ|G4HF^T%NEsokwd$dF0mT zypYx>Jm1y_yj`t#c%QZ2;5oEj;jL`Fz+2jShNsbboF~_MnD?$l%Mr>Cu;eeM{n84i)(S@g|^u8{94R;E-fa! zEiDGT)h)U_^A>fUVT&S9t3}EswoGv+n@70=%>&%l<}Pk^a|^eixt=R&uH-&zF5=#A z&f)&poW{M-{F3{1^D{2e{D{kH{+XNDe3N^i`5HH{`6Ab&`79S|{+he4`3Tp#8Rjl; z=5lqL>0IUJWbSMenLFHc06exbnA_0g&n<1*&CP0Z;l60v&VAIhnR~lwE%!>3J@;&r z1@~yv3a+5ZfJ<%C<&v8;xFJo7T;C=sXIIlC=d-2}&g!NP-wnmgw)A*cI)cBZ_-guw$yzv(2*T!p{n~fJa-#4D+oND}7q*zft7;`evSe^UVbN`!_@E({Fm&hu^fZd2brnlsA>^m^Vf2 z{cm#EK5r!KU2pzofA;2g_Nq4z*ye9;vkl)|XKTOto~`ia9DBOqYxdiQ!|aX*5xcH| z%`R!6urnLt*)JNR*pC`Q*moKN*jF07*ykEt*k3k4Y*E7|Hlx9j9p7NX4sTe=_HQs^ zyEiOmZ)?zGuWL|Z+cZd7CJmFU#SOzO)rMY{w7!)!USH4Zt*>A;*B7!X>$6z-^{-iy z`sb`?^^aK(>VIMVRR0s}V*M4?srqkOhwD$Vc=cbhDD?;{ww}w{Ur%HC)+e%D>Z4g( z>qA+N^#Lr)dM}o7y$fqmJ;YM3-^iM)Tg@7+vu1VIkywp&hOCM@T~=P5I_q^Ek@d80 zmU+K!oOz>efO)a5lX$yBL>ne(+==2$J2*;|{yY_5%DR@Lrj7S!%xrqy~fpVvAuf2-Zf zyj8o7dAZh}d8XE!d9-#pQ&_9dq}OUP<7<_e;kEOOfZ7R$N9|k2_S!DShT3L^U2P4+ zw6=t?v^JNaSu0@>YyW0U)jVOmt@(w~S#y)oP;-S*R`U%br{)9$tvSN@qejH|rG~}$ zu_l%AT@9IWvL>8ys3wTPtMOq_Yg`%R8i)~EvyriDr*E&`L*H2KLAS5oNnct0 z8QrjY4ISK|PgkrqrO#Fw(nqQm(Yvcu=}lE~^vbF!T7K0KEv>4X_PnZ@_OPmkcDt&C zcBLwZcD@Ru9jkgyL#iIq*j0CFDOJ~L(Nz~|`>W2-e5<~qxm3Zl&#O4JwN(_FO;sFi zMO8R$NmUR{qsp5`ta71ERenwzs$5U&thA@SsWhimR2tFpD)nfRN_E1oWeJsCnL|yf#HcZqe^U2XKBW3p-le)#{y^PU`5kqArI>0^$)ajircf0tW2mzgq14d|e`-&KC$+g^C$+lbGiqUlBNe=ohx(#|M14}R zlzOj1i+a66iTYi|9OYESIOT9fKSfZ{PN7%SQxYo5DG?QUl%R?-g4QcNmNQ}ip2QZy>W6k-L7GF_fb87_~ZbeD%vn#%W3D$6}61?4*^>E)X! zf0wVOJTAAS+$&#Bxn8bM`L0}pa;lt2IZ{5IDl8vKWt8`%CYCp+MwVBn?kg`&^(oIv zbt!+9`g!>usq4z`r`nd^Of@aPoN7>hE>*kySgKMvk~&w$NgXSrr1q7OQ(MczQftcs zQcKG`Q*+98roJxQocgS6b?UEWR;jnjOj56u>8GAA(@6cgjF@_`Y&wNkHk?8&>rRO) zYf1?(t4awfD@^e!%Sdr9dy%rG>`BU+vY%6I%6?2Cm0e6(T6Q``tL$isQkf`au9TTF zR+^O3R~nVlRvMgASL&NmTI!mTTe>X;yy7h7&r-XThoz<|cS;RXu9a%1TqspaIaxZF ze7JNhSy{j|mGE{m$d1L8~WQWr4lPyZmBpa80 znY_4EoUC5TOeU5lB~O<`C6AN@C-;>2BsZ71B-fODo?KG0E;+lzCi!&L|8PYA7~K zswiHTR8Xvwlu@jl^rCn!@%Q4f#QVj)i8qT|5-%55C!Q}ZO8mMwBk@r2i$s3$<3xJ# z-NeM=9}=UAzfIg!vj#^)8@j!!GR690GMx%elA$Kvl7!tpl?S@Bm2lj6TAjEp~7xHtYtp;x@9&?%l> zxH&$xa8*3H&^$i8a9RA`!bR~uh05`+g>!M+3rFKN752nA7B6D~KSg7X*_lsyEi{D);HfX);)iF?9TiRv0L)( zV%O!9V(s&n#9HL5$C~8J#V*O8h|$jPk5S2QjgiZ*j+xFYj2X>KkLk~Q9@CNcTTEl# z&6w)EOED#Rr(<&Sj>M$p31VL4QDgqdBgg!j7ZP(P&oAbBo=eQ7ysa_k^BiMN>*OUwtLBAA%jX3|&*ZvCkLPZS9?V@A-IZ${-JEL@U6-pD zU7o8FU64B;m6bah^*XmZ>UnNs)RWxusQbA&QMYo@s2_5FkGhz9FX~+G4^dy|eiL;# z_gIuTR~*I3Wk%6*6QUAx!=qwy1ERum-J^nXw?+Bpu8nffwT^PiT^_YHS2t=yu5#3> z+_^~W+>uDr+^$HY+=fW~+|o#`-0Vn|+?SDZxsM}fa_&Tq$k`J4G-q|>Z#m|X_i_v)f6CF0ypp33c_C*i;!Mt9 z#POWAh(kFw5u%*J2u@B~1TE*!h{T)+5ivPGMTF;EjM$fRGQuzCV1#E5Ct_Dlas-qU z8L>GhC}M4nXM|nO_6Uod^${y_Y$6PEOd|Ah^ddBKR3emf<_^f^j2xKB?m94@-Ed$q zyYxVJcGiK`>=y?bvL79&%D#P|B>VD#yzDavGO~{xK(qM=o@Y}IJjsqZ@E|+*z@2RG z13zXv9k`sm@xX;_y8~ykNe7N+>mN9rt#&}1Ee+>pkA>5-d%}~m8^g)j<>3+8+2JAC zFT(?~ABX#7-wAimz7p<~eKves_R;W7+5GS|*_3d*?3i$i?BH-xws-ilY^U(W*&D;P zvhBjbgSNtn+4|vgS!&@ES<b8m{g#yyb}uU`>}J;9uxnYn!@kej9(F!!eb}iio3O94Ou`Oj z>4u52l*71Lv!V2?q0p49j?lQQy3nYsqR`N+^w7Oo&qMvP9)#}Bx)JJ<^d4;S^lA$oBWafr6XQCnXnNLD0Gw+6!WL^o$&paEFm3bsYlF1Kwkx2=8mKh!L zICEdfgUsC_cQbc{+{j!Xay8Q?_43Oc0ZiizMq#_v!9t+ zxSx_K*`JvCY=3O#FZ&}hukR1Z{APbp=CS>LnWFu>GwJ(XGs*jRW`^wlJkw|YrcCGk zYcn_PcgVEgZ=FfnZGm7@E%ShY1I^)@1+l>2rEiC-{&>4QPd>8(Kx>D58i z>G?tB>92!|(|-@jOTQbGm3}2CE&Xf|ntmkc?{r?!)AW>}$LWzlzorKU{haO*bUS@p z(2wbBg07}p1bv@w81!wrX3*JmxuBEj?*hL{?+rYh-WZ6amjw#bvjVy4e+M$te+#6h z{}h;<{#{^v`ia1pbR;k$of#OK9v`?bJv1;N-8axT-8pb~`ldj)bh|+3^c8{I)Aa(k zrYi?-N}mZ>mp&A*I=wx>F1;qeD!m}UEFB9VrT-CNoPICBApL5<;`FltI_XCOG}3ti zD(NW!is_L7a_NBqb7}4YQ)!(d(i zYtl;mE7CIjOVXbE7o6?x|92YA|If5o|KHPs{U4@z`9Db8 z;eRh}z5nerYyTT*#{SpRbo?);DfoYvHnHcMw7xxO(wg?1Oe^1WEG>J_(X)*eq|dLCFMPoJYJKiX5`1n+!hC*``1)LzIQv|cZ1TAzvGe&(V&e0yMAzq>M9Js0 zWXk)5WWf8lq{aJ7Nu~E;3Aj&A^3ofYJn|MwZh7-07ri->6W&Y-;!TqJ4#~XeL!OD9V*fB-Y-$`-Yc2(3Y7GD?U6Kj`AW*Yyd+s(o|3=4 z+$6twxkzq!IY};f?T{Sz+9nZsZI#fxHcMi?HcIw+t(WZfS|i!+wOX>)%U)vXWh+_c zWi8S4vXIDmnMuZXlO)}{O(YGwjU^?!4JGNjmrDNJtuML1TTk-CZXL<_-CB~PyEP>I z-Kvt*-O7^4-HMXH-9!nvk5RI9_dK?G_bg_%dm3A^dlFOI{SKS+9L0t`hp`UNw^)tm z09N4Hhhd&Q*zcZQ*j>+d?6PMocG|NUJLK7ju{|5GM9(@b%(Djb^Q^*lc~)SXJj*aU z&l1eUvk24mEWngJ^ROw89BjZN3v2esz$!e_uxt+ud*Oj%4?SLCKY6^szVrACJMQr( zCiZxW(L8>~Vm%&X!5$B>-5$ST+dUp&YdwC!EIsaFh8}k?O^@4{oX1UU%>4$|?S37r zcmDw^cE5_HxnIVfxqpxS?0ylu=6(S?=l%_L#Qi+Rb3cnEyPw7)+)rWt?k6x;_v6@S z?#D0(_b)M$`%!GM`(aGk{U8SJbH(1e!C0%C7^`v>7@xyM|%UTtl#5T=!$wT!XQ5u6wZ~u0a^jH4sa74ZtE?_hA06eweGPFZP+M z59Z+Ng^^r$V~brqF=bZ|Y{tbM8+38QT3uYQN|#+&u8TAF(!~jT9Pa6=&~LA z+GQICyL^t(UAAImmn~SZ%V(IE%Vuo5%O-4{%SO!7WdpX%Wj&_pvJNA-ti{H5t--o> zIb!v@R%1oGR$*zo9I&Um?6IGB*2<&rkK($5;o;b!UmjIV9m}ZScUU)EF0j3voZFYvk`W~c^P&A;Fz-^ zCUQ2wr~om}OR>GqOE6D>ZO;0bqw`|S9AK%l9;Oa3@1%>3I4#0D0BW6dumS+gNgMke z;Et0Pb{XK5lO}c$faRotB>;pvsbf9>PEKmr1^^o;Rm>Pb2Y~3Lf=%pH!FqQpV{ZUT z0Wx+fVb1~X16<#!h@A)c5`e!`0ZRdh1PB0d+eyT>0IUKq1z5aO9#a9B*&&a;1!x7R z+98MK0=xux1aK4JBEZ)G;C_7!+_#T``}Z+$A3p}}=f}W({TR5v9|QOKW8i*&4BYpR z`4I^OdGK_90zpA86bN#|z;GSl-$h6cXf2 zfUYD28bPT8h=`IY5MiY<@F`Xb0(Oy-C+MY6=@9S=2+G@mqCk`D1%BlqyoCa}J3v-Z zumyfq9fhA%@IW;o_)xf|@))?}%?N7WaG5 zp+)5ukW~~`0<(skDv;%9DhtC2yo5>o0~EO*fm<_xAV*hO1tbzMY2j^_V=OddCD=?G zZ?qh9L6`H_a>c!@1~6hgO?IgtstdEa{h8UN?^>*3F_()FoG$&gh15V zqP|t#Ll2Cs%&r0wD9EX+1G}Oeuq#=EAY)B1{<3IAJX%E?WWfbhy-#A*G~Xu#A)D2} zh*%Qv5RFyfdH)L)DOzcG=q-}dmD{0o(Mbc(uBk{Ms^C?5=*fe|X#o{)kxbS8L++eZg3J%8`O>Fpk|XTF=|jMt>mR*9&{+rw!0AIk!2bj|0gpVv@jA}C7J~g;Wx==^hzrFSe9A9K z3hxcz6HoYQ`BcvalU<&GuT1jbZ3~*<(2@f(Xe&W()vDEjj3^2-j><@NWm95UiBt-c z5VIwW#-PSCqEbn5i78|z$(px$qfJ;mg-v2nsVPiS6eE>HPK_qV#*$;h*00)3TI;xG zJ$+pmuscww6tV+3DmpPNkrI=_jwOesM#Ur~Qpo?8(-J`2-~aP?Uk`x*s*hmA#jzq1 zDT%BI`kD=^V(?x@#FFEp*eR?C7N16Du1dfMkI9M!r!F9aRRP~DHHwu0G%%pJ76V3s z6~SaN*fA`8gN1QcTo`jAcy*Tbax*icfJD?FnGxwEOEXIq{nf;zRmANiQ=&hKxb*C5 z;@(w6JJQP4ME_O9)g)pPX*Dr!714-9X4p z_^cwXBM})u3Ry+8A`v-2ie5!rK?0k~8-g9T&^Ww@On$4ImiAuGt8JX@T6t*w_^EPJiGh zL?^QR$s8{-C7zWaQ^*V^*)=69-v9lm{BOl;)@~-SKmt6mzc)2DF)oqJATSfC3>Jw^ zVJ5~?$gw2+`hdxbVzHT@?j2yE#E|hG{L|n7j}Vs#Z;t@bdS`b6&1s=Efl<*ZmnH)<}dSh=>QKOw;->b{saPO%@&e1J`VyHR(WtzAb~KFi)^JQ`7x+5WG0i! zVA}j&m?(suj^Hd5g`X-2a+W|;bbdc)yd4Qj8p__Pa=HqO<@Dv25)I@G<(A2r;Onmj zfD{xtg1kseLzA!==pPDx&fBS_azy?#SoBvh`Khd^XuvGSdrtTufqBUytiop%VO2Df zNmvCY`6}GF>i-y5;G^P!Amo!3^xwvXb!j0>EIFDT57yT>DyU#Vj*5;3N67m+-dj?r zF`ueY;4q3O%Ubb1;{UXy;O9?SM$iqysu*f&DjCd`RbU6LieiD|D4Nas-z;(9{7wEa zHvfOv2n6952KW^WUe>>-kDu?aIS2$(V8P?SsdJ(HI}Z2Z#R>qwM*RPZ!>7T&>;3fl z<-=8uJ&02U_)wa^d;i8e{7rax3=X_LcK|%!hxXdz$_@Zo`H(Lh=>HS96Bu^Lf&hJp z;{X|t`%vr;5(t4GIt7d$d<-Ce+P=*fLHj@>@b=;D`p~{ZpKJp}!WT!tn0`p|iSOG_ zWIXQ1QSgSq|A;#ae4o~P_7Z_m0^;y`)d4=#dlSf?Y))|eia;O%+lTVOhhJ!ZnlBQ3 zVZ|?6@qFM5&c}Sufc#+_AQJpQK0NM2`QUp344>wseUeWb_&&5R2go0`!E;1{eEFXk zK8P8>_i4T_Kt6nY@%AkO_>ivx$oMw+{KAXfaRMO>cghOx!_g$;4{=|edjAGRS=d4h z9@h;3c028ZeG`};PrbPQX-jbZ(;9JIfuR3s0-zfJz2Kwm!zjHs5W%X1`~N9Q1fvhi4!q4D zR;~9&B0>3I@yh?~gN1nI4_hxVabX7iV-5t8zl_4h-;w=eym0LJyMf9do8(ibkA{(V_u&LWt{e!PNT_yY3bT)J@D{69~*|8b?Z zaOwUZcKj>If0#eLhX3c%dg1EuKcc~9;D1>03-SLjgA2j`u;Smr{=>X*&Gmjx%Yy}~ z1dJDW0V)Asm*l}gS%Wi}#0@xuFZInhFDDS%aW;9s-pH>Y5c+YQL?8^|Y)T-E;k@$w zc^bShb>W&w9!wW}oxmACu5iYWd3jv|!2)OS`wxEp#O?T+g)<~$XBqp+I7r6PGES5+ zMaDuIACfUxRu}St)7=7JmGNB}wv(}|jDuv1|4@nNVaoWDjDM5y!mr-IUm(-#W!x*{DH*GPB@WMH zCgY7VcEVW^{0=5#Pn^L46fWavoWXXfGMypQzmV|>nfczt>@Cdt@A#`uq}xZh32V7M3BkFUUZKQv?vy0D;=WNa>DI~lK* z@n5Lkns^2ACvJJ8H3Z^LfkbO-;nV= z89$KmGa2LS9iCSr<4hSB%eY*|buw<0akq>Yj!Urps7#-bG5+fso>x)E3-c7{Ix-#q zSsnLV%Gg21Yh;Z7u#WrPWb7kje;F^#U*J!W=`=NFr@o zvuWMh1$(3#;^O7{{%6L8>cF9Ey>RY&uYq%zCa4q)(fS30H8__W5H>$mFxFR4F+TUi z#M42eHFCM9hsw$*h0FC%TIpY`w1~X%#ZCHo!UZR*5|i35R_e-KaMCXMV&!?j#-(yA ze~KhnL`D(p)Jq8~31-rXd1=Cv&94+b+DA*|WcHD+FC2)aI~F`0T~dp-E}aFrsS9)c z&_2N10bai>ltMF7DR_j66zT`OK2Zu)03O;Xg&qTbpdf{g0!}EKhkO8A{5TJ30d7g1 zhcf1+=(Vl$5DjpM>O7XP~iBDZ0928k!uDqJvMSq0wO}`s)|dP}`6cP4StA^4>~OJL75S z?w}Oy>zRTC15)(t)PC3Nyw%}in2y0pi50sbdzKPA~Z_T>C+QXSc4RO6*d7qtdpV# zZ6~0~8Y#MK@*T9P8uUNw9h6cjMGL=q2VE?eqUWRDL4TD>(csnZpweO~x_oXNYAlqZ zZF%ERYrYiy<@<4{As6&BZX7DemZF>2k3&x~r6@su96FOOMRSVBpeTtHJ$r2ovVAQ@ z_a~1*JujuG*=J+W4}VM10i`j>=9`DEh%c`JOZ7&Aw_$2MxeSMr08Fb!;ryM zDSG_DFyws+?0>;9#QP46qx&#)=^L;g^@pLyXQgOy+Yp4FlA<>r4?$^POHnp51iko5 zih6j1=S3d@{WThb&K;DZBi(PIR2cN<*;{CbP>NnZ@)lCzNl}jfTPT|a+Ch2?3F%VQ za&Qo`q)1Wei$N$mNs87SAA};}rReYb2BH2KDSFys5TZs((WKDy?NZd) zu^(b@1@+GNK_@p!QMH0T=<+%#`u0*EbZxa1t%&b~F4{@azc=(jUs-{E68oT3GcYct zy^zNWu-~rtLdHf?G&HprYF{EnUAFc@H+7|`qiQb{tR+Q_t9u}#8kiTid!Sp2QuH08 z2l`wN?2jEiQ10wJTA|egc~8!x84cY~_UJtN?3Zq6)7yFU9Vhh&=TREm1*w+Lqw!u{(E6fzG;&!N6qGxU?(gn|QZwe! zz-OJ1@bx_Ed!!RW{+>s@{5v83(|Oc`)Cna%nn&FSJ0QRN^Qha)4#?pS;IBI%!VTaL z?tpTy%%i(4JD_9Vf$hiIp>5~p(SWpesOJR8f3_Vu@g=D5Ks#i1a2`#tZ-*WWL3^g# zpbeaPRFKmKJ)+H{N55->Oq1u)bFppEG4ee6!`e2eBVr!?mCy!l4w*+^7PUf$0_V}f ztF4g4XC7@#YK11;=h5NKt&o}XJgTVN3b}5ZN0(K$KnFI>qib%qK&fj$yXh?u!)_jp z+75IJP@g97ub4+K)Hg$}hV$r?pPM0by?L~l+YC);%%g)Y%}|=sJgT+089GFmM_0Et zL7S)N&^?cuppMZwlnpmQ#|GxmOI}ToY1bV3dRY_nxOook>TZNK*3F^Xe>Or-%IDC} zjy6K(g>xu5pbgZQ^^pdEkBp@Xm9Kwm$ZLrqS;feP-=p?)E6AmZ&g z^sx0C$nl3c^!d96DDdJOI*`!-QO?ex7T+{L@bNh`JgNaYdT0*4xViy4B%DLb=D_Vq zEKqMjJ#-*-4)wlV4{eK^Lr*2tLwXT&XyL|ssBzyMs-svB{pdG`29(!9`#nJWZ`47` zPIG7zwGMi)We&B1>L9mub0}S-4yv)6Ltoa_LXqZksLIc^&>Q19bT79S+M_>*-gc>l zUTV&v@APURW2HINqqPQN&d;LP9@RkDyIFJuu7QYeXTfn@1AX2#i{3D*fl``g(V3oV z=zR4oy6<^4^jq;P`p1{m5SBfQ>IGFpxvytYrdc(V^XDvDIa~$3dN_-2#;Ty7?}EIi ztDvv1&!P(9RZ#TBSv1A23R-h!7Ok49gvP&|MR(>@LXY5C^tbOSp(xHQx}01IsZeIo zQ|l_BJLFkZDpv_@2?zO0Dxi$OS+x6y3TT(tEEadwbz3!Gn>rH3Te0Dh`FaY|la_CR(S+rQU9O_Y;MFU&PAl>;HwC-UUv}Sw; zjfTr0xBeNl->VD?Xq!QKMrBY?-3+SOTMBuX&Y<5uFNL<|%%B!ul|rP~GwAc6Qt1C- z>Ad4={Qv)NkL>J`y=PYHoa_0pNAb4z$QH6kHX%hwMTuyjM3M@jb3Gf9Q7SX55M`yb zm+?Kn&+qxyxt)YM=en-f^YwV#@7MW#qnyWee1gYU%K1}XGThFW^N#3bOgUZ7jh&NG z-KCsEERzxHP|o9f>A$|aoU_Z5@O@J`AA6L9t=8q-?^F_gFD&O2t0dUWEa%-ON%%6p zoV!#_!uXNp{PbBO0=4?DpG(BwzUAC&eImwmE$7t1i8$E0oSo|?f*Y0dh}6gUVNlLx zfsawQVmXIye~hlhWxUG#F$VoCzmGN@B1T?u<#yzJb zpzux^=e0{f{IxQ^^D7=F{ma-cG9I(el<`ETco@2taqD^Uh;}IB>>lx0wyTVz${wN8 z#xg$f@DWZdFXNRSkI>4pjE7l0g6EVnZe{Wa_07w;w8|swL>Z?%dx+HjWqj-0Lzr|c zu}>OWK9YbiGw`v5Mf zrCg(h{XGQC0z9RF6zE2;g;9$LYq*+!}j0Bf~XQ+HTf=fTrXjdc6V_kpoHUo#o+iE{r5-4 zz{RE8c*7n@Pb!SSlgt8120CQia`mN*hJyjpJE;}Dhl;;i}`fpC>;D; z%sHPUQJhlDqpn9{ZhSHO?2kk^7xVAQk*FD3%yZgDVv=7m>v9>+9>v@?nsM)NG21&c za(Cy@k#|Ah`WD_fc5<%zIiJG z)$bJXsDlwWeWi$B&4@rp?;>8^B?1>*invPg9kjG7Vz0P6IA~METK7B1T3*C&m)^ms zIYqo{;2r(vNfdFrs&|k!xQHJk=qpl>)%t}f*C3gH+%zmRVx-^9;}g?z;4CJqfN^fff7Vx(D*HPhk0dMYe9qsK3c;mk?Xg2GgCxyX$SpjeN z4#R{Q1-y4{7{-n%;3I>>0J8!;AeY6art*X|C|tt zH9zyYX4_D7P0Q!5ze4ajF`vgqgQ2e$_@UQeJzW3q8eRkU&u5GDU{vpz&rYGi7~LqJ zU+fFU?kf4*W=b%w6y))$4#7zNoX2YZRs49K$Ms{c;&*HwTb;OyDmU^tYROgodY#8c z1FxdwL>}*|dKI7T^7!M6ApM-0$D{p&;Ikx;Lu`VuZmRzKMh9Wgh&(>pGzbO#^SI*I zD+uV2$6Ib)!Q_T{oO|#J3M%FC>RDHCBrlhLcDsTW>AAeVED+vLa=G|XAX-M}@?OtC zI944#+E74}@l_HnuFGvP9> zXmfc)+sk;~J(sh7T|$2ITy~GXgc>#VUw6HPMkT+vaM2|+{ql=1_rHV&FMsi(Dwk04 z-Y+(K5rA)DzxbVB0OHR5VlSHjc)I-J#iIkT%=Q=eY!-m7YkzUc*NgZ#?-xI~eGx9> zezB+HMGVsZ;`MVb;(51UJhtaWST*~_UH|waui7uJndpy=g*p7w%O5{Jh{+RtV zha-phBQh$7uQu>U%itXLPWQu_vpMV*=7(E{bJ)qw4<*}j*m0U4jF;;lJNv{+Wyf0p){L~-czA(G@ zljr36;CSdy4vF!>XYZd}>x2(_9sSA6m-=AU_MiOF#0S1sKe<;8A0$rw$?mVckvH@w zR|@n-?LI$w{Z4Nfwfe~!`p>-SRP!g#ZR3rOMOmEk%L^?&W%0BaFH}#?;#bGL@LiY1 zmP@^G?@|_jHSvPGdlql5;RVb6SzP_iS+ri4#b*M~;`!Vx9=Pi)Y|XRy>G-qgXp+Sn z+nz;a`z&sncLo#dWpU))Gk9J8gRR}pVCL5!+|ud{5}*IzB-1m{M*m<3gER06`oY8A zd7}K(53YFC6XWcEaO@sWxNZ2sM<#jVh2;;P-oX>K$Nu0>g{NUQ=m(d?orYxx{lDXJ z8r$mq;7cn{<4E~;KB%0A+n4XWvabH|*>|4!;S`QUerHYSDeMUR&fV-zVZq7o+;aLU zDEq&2gRZAgZ|!%kRpx=$v%hoA1P`1ZrGM__fyw>!f4HxhCz0~u8&A4*5{nYPv9;q#d=CG{hvuHdyz}2Uu=hzMIDO+M6;7i6_HSJN)EzEM zzj1dzcVtfd#&fs0qo4APUCrIGsmnKh+|nIa4Zm@%Y&X3B^OeU(xuN>Euk7aPhR)Bw z^7|!jP$Iu_??G-bzxJXFPaX3VO;f{^;U%&E& zFJ-zS+WianyX}fed%tkhVOPAe{=$S4i}94p2>~IyWr^aOupOJ1qqzVTk@Sz`BEnLiF1bW@l5{V;f!g!GWm+N zGuB&W@;W%fZc-+jHPC-nq&AbQe?Ep|oih3L&0{!NFO!209mAH=4E^hT43?iVczK^= z&_2%Kv6YUYes~7=d*Ot4J{jCPzzIH%8C-XV6J~G9;L78jP|Grdf46nQ_0btzntv2i z`(|)?+)?~!k--&DAH}vR8C+}iQT+Y+nOh7wiXADRxp%{($d3BVqcV?R*5%K<==Kpr z9RJMwj~qdxU7tB%{t+x)_L-mbJAx|{KJ&k-NASb+GxvLa8134B<`q{CV|=a8d|}UF zY%KW1pC=!NQ|c$~*7-1e<390*Qbz;^f8xjlM_lpv#0`8L;kWk_FW=}0x0Rpx?kGp> znDU7`v~a|1NF&`17JYLE8rANky4d(7GWku81g;kfK0 zx7lp3|7@#|{CSK$l1)DH)mHY%X#J6Q{yLz)j(_Bdu?J9;{eioB=%2s*z*SZqKn8!{ z%)tkcmWP0H`YI| zWrq=e-t(FF`|<7bdkzTO5Br4oeA{6^nqPmMU=x_i`G@!5Ki5<)8n6c~x21BWwB7hPKb4y~?1tB< zR5mrgtTaGi@hMz%i+5Y}kv^epWC#~NKY=6t0TW-bd1#h|Py)9TX>Mdul*@A67 z-}1+1Td>3Mt$zPwgUuyx_{%CASf#z;(k3>T82g4BM{h>o%Wqh*-i(^gZ+KPX&3L`} z4F^PSg3p{c{By-7%pdxOsnI4h>GFn8@v3kvYfd#>j~ZsL`GmR-D_g(j>C4yQUgg)^ zq2W3-`Syy-)U{ZU_=?{yUkl%`SA4(WTDun!(Z~6?N<2PCpU%GEQ~Q>p=e`#l*Lx{eEqlTL-Ymfdix)i1 zVF{l1e!mc09Qd_4+?&?TCf=+2lDF|5|{%WzU$;E`W2|Gj_IEfN3$$cunzq z82Ufs=@;hX{-I}VK50HSt$xO1{#v5hq-Q)i&=NNWJmY0EEn(j58QWL2#EbH$92zMv=qV>!%|)}-Pq|;?x!5@IDf`6CL0tc*++y7v zG-&#iy^ZEzYS|Muj-QQVsZTh5>ukhCJ>d+!s&Zwq5H}w9N1?T z=)Wgyn>rJtji2!R!!u#g@CjR(&BTbJWFGou1_r%O=HYHL&`y`k6Nk@0W$$EOkvko! zdz0D8dpd%bB(wV8bZi@)%%$bipzWT_gD+1*QSD@QnK=ysIZ2#TZ5k#&P2!n1rlR0_ z5^b}(+B9kK71k$HA&?B zya@;^dCX1DO~8vck9p*z3HYUZ%(fLKppy4vz8^dub@o2y=JUs+{^G~HrS5nbjC{-= z)&KCP^JAW|`agWF@t9w?`VaBn6L?v|IQS_yDTiQ_)R=-E;C0-L_BZ1Y!17#@$5L; z95wgEv%i5k4lIc0#5?*=r5zg2m959XszW>vZ#f1DRpR+r{AhH~e8gXOjK-e(k9b_q z(Rdv2h}Cza(9rP_n;jj6N!E`zhDO0*+#{ZmJrXy2J>p`ok$6}45nq@v66HA$d0vH) zFnscmJA{lt`_PA6uy6#r9e>DA4fT(k9&*Ip;b=GQA%|=ljs_+VIjqxglr?$CF)xSV zP4NSM=P(RmuO4t^vtc-J`vIGLAByp(AFz$*P}JY?fTPC^#iKb7xcT29*r`0=UBN@p z#pnTNFBpPHf9|uj;SelMyUzu82cwkl^P$ayVds6HdvqC$8n*ZO%`50%hx>fO5hxpS zpXVrmRlED#C!3H^@jln{A#_iVvpNMg9BW zO>z{E{MsA$f}_~yOmAFrisG7+dc%Ho6z{Iu8*|4+am72m(7i_#JFn@5Up1q+SBG8* z{u;?CDLr9zKa!n}^h8ttNS-{jC!*{kxkFJ8ELt4N6$5+V_s~ern%@J*+D7u{Mm?af zk@)+=?(j}!{=K_9TI!fv4d@P+)6C}Iy5ZkeW;?HLST=(>eo8mo8_3+YW;Yl$V&2PL zu_jODUmLq3JXz({-MXUms>(%aT`=IN%12Lhft9t&eJ#4+xVg%o{&mK!E-L%p=!}>qx#3)I_@yM6MoOpao^I8$TrjQ_~4Fs-Bib0 zmg+ydzA%EXw(JOxXAzwDqytumMDU1%9iTlH!ROHd6<0@a{lfN$wuoTI!1mbIHG&Nn zwMVyV5qz;(dp!Gehs~4P>DS>qTza4#>U-beaHSnC?7YMK^4p^C>^nUBa$AHCy2C>j zw#C54cX&Xvwz!gan+GPffl=~p9%0`G2ZC<%LTZCAj<@-6L2C?Kew$+gTf=SSZLYDn zH9oe#&9hsyMwbe=IpT>CmZsj~ZikF;=FTnl8)Ag`lef4}Nh=g=y2Ww9t-)q*r-h_46l8Q(_Xg3#Bbqz#i=Ex#f9_1Q7tj$d^oTF+X5E0;k@Ez3wF?gH`p`22{y02!Hevg zpnU8N9z;#Bqw5WBQPdduRc>&t;Ko?`@jBOB-WW;hb#BtGG5Vjr&VAoB!ZDlcJo|Vf zWK6!!F5?U^Vc=#ZckBn@9gbSg(>R)}_w+-dlx9dYU zHF08d7JZNNHv~L>1VgKsjS>83KJ9V%#@fyF}R0nM?U*lbU>LB94HSYJlHYP5- z#)TJZBTc!+_vhEf(q`BAVvE|y%nxSw7qze;DVSZIYvI}DVD=hY3xn;0IlNje_$>_P z_t6HZOu^iEmjUK93+CCT1_;W(%0c-xQJi>{>jl?@_VQKUv$7_(9=OVXI@QG01y|Ye zV-2KfuX3leHBi0jRZf~&1Ksk1*r8DkjCdTxQ=^_T7jJQs1ourF7rc&O4t{5neUFMgj$}LIlf{gIBmYn>0A*86EAa(ofTo* z{W2?N6_HcrGVdv>fEn*E@sqF$xPAK)_gh~9jZa)+-`*9lV$CHU{OTXw8gq$fP5DO^ zI$UCx#J@E3PXK>0|4Tbl0(kblKXff5fPW16LurQt__XdfRb3Xq%S?Y$=OF<+@p?H8 zX%WCPjmv3j!A0JErHmFPUgYSmWwbotBDeP|rRDoBvTwUmS~T|}5B4gd=_VJsv_%OG zuYZxBo-C&B-~IVvqhd0M_2)E~BKmaJpX=8vqVO&LyzodN*-i52$Ke(7siUhO>vrc+ytN;{|D8+LBmKDDj$EqJ#*b}Ff00MY z1^&C`7j=4ifln9Z(3QXoJauyp^*nHadlqDqzvTt)urZrjm|kGhyr1OI-~wB(|4G^3 z&$Id~izdXL=U(fw=+fEq9Fg;b{@9%7pGapU!0D5d#1ex932S$V!3vLTbo z<9+qVaRycK^W_JdGN{^aU#?X2nJUll<=I<4Q)wSxPA>UG-)i{svhAPf=|>-K@jIPv z-tpm|yVB|SaUV|m_mNhv^5N{gA4xmXhg(%OOaTm9Fa)5v?4H%B#0qmli*`M!H9Wf*w#&*rJL`;!;S}Yzo;KpXKi6DfFVoS^oU^CAIl@hSkY0Y4Pndd?V!ropU+EkLSLi zH!IF?<@D!Nd)OJCZS|Z?Tb$vftYl!CTCsBaoX>Q*=iHpbYCeVrXr+C4;c$#K@ifb*2 zr+V#9amtTJ6kFoKF&iJz#$*qET>g-Z0z9~Q|3iw}OPV>7@hG{4HV()kT^QNbvVHV`&F80d4hM119T7Cd za?ohqK$2t1bZOU$ZoX4%ZP4}~0x%l@jI(^rbV-DS-<)>ZwYLi=J zyxx`XoDZk`(XRTuG@P!rcI5$lldK9|_}ti=)I7n3jbGoOd*@s@ZP^X7-sZwV1=p$e zL>E4@?>b%V?7~;-UnlzG%&A^s^!S-G_wE%&GcG%`cSI%vvAkEhu<@GNv(_Yu3JaO4&I=lQRPb$7dVK~Yg4ql>rjgIn-=9eh>`w?yy7(gje zNBFFwe{?^>!xAsjvsFj9#)6CVaM%(4mFrJ;njPVi{r=>aeVE%f@u$PFhj~?iA6cJ1 z%&#4snZ{-n7T! z5YMpiruuCU@r^Vu@+>^a12%e5+xUb0qPiFP`W)nwXUO+9Pm`vd1CLETMfOGZ zJa^+MdK_=h$7-IUy1w@O$=id*+Sv0<6A#)q)}C_`PSTCG_8hSIB&8P~;QeLpWDtLV z_qe!IPoD#PwyQf?Y(Bv6BHd__#Q~-nZnU}00glQ(L3Rapywv^#9errWJzAe27cV<* zc=I?N+i1r|r5X44^T}WrqBHy1VvGwJt>4dW zJ~~s$$o<@8yE7%W*w1|$I+J(KK3;j{7+J^e1tj?hN8yq!5cZP~S@BOO?3%Lz9RQ8kS%cbR;M_SLmzzn=#w|K1)R zdFUX$TC<0%cREO+P4{qClmqRL+RgcM9mrzEZf;p>Pt^@~vz41YMcmoN&->cb(#5-Y zN#X&jQhOISu|7ba*LU*w>IbOHyq)~+EM$fJJ{s?esZ0@gHP<-PsM+? zbE6jfY0Aaz93H-p{3dMYozwPFcJVe|lE0UF`E27gE_-Rwm~HIYXD>PZ+REP_+tM|U ztvqLyEj<{rm2(ViDdp=H9yetVy>s5e|D1QzE7L7pl(>uHKiF{pI=d+Bpbg&`yOWL^ z+we}u9kl$_WQ;LL7ddYrr?B-r;@Nuo zK3ji7=EmzuskEN2&0I$ZF0JDv&$V=K;yNDiehrluujRD%YpARDT25THn#PS<%T)qb zk=4&NY@1_E+fJ8shDSCGx2)!e2Sr?6q$m z%{y(yZxiNH$Pg>GY&w_9GnaAKMRQ0wx{OVOX4B^W%h;)87F~F~lzWVrMe%!MVAmOBuwe-=+B}`=He13AW2R9JUd;aWrcv4A#oTw^RQgnNvHo?L zLb1V%*r;?ec}`ozyGBo@mE{Y$llvqx_Fc$^851dc)IuITU?QE*TEKVrPoN2|3)ueI zc*+~JfRA+;Pe)Vd^M{T9QJekqd28%Ay3}<(&uBc3x+hxl&Shii+(t|O7H&a?%`Mrh zrUh-|d3enHy>k{fHy=u&?Pl?H zuOW0kZYH}I45q`@Gdap)Fj?21$^CqxpDSnZk7A;RvuAMDc%nCdr}F@Rg-)HH&ar>A zG-1qiJ~K^Af3l{rE=WTcT&8h{Y8o19GL4^Fno-J|sr)e9l$Pw7$~798()SKi*=gk< zvU)Iu=f#@P+f`F|V`~!{(_jie**cJdZcOH_&jwJ<*^_x$?*U}_cM_jD)SoV&o5U?M z`srWiN&In0KkEKtBL6zmmn@G>-C|uHWPTm z>fZGI?s)DS-;1)X#bGrF~mR@$Lg{=xNJQZ1BA`wTv9e@5i^Mxr;{fpD-hG zuR4;KG&iCL0VBB4o>r7Mb_CbXXi1H8hV%HbEvc{TaDElif<_D+&R3eXph>TW@xwjM zY1)oq-1|#2n$mh0r;cw%W1@%hi@7 z^6twuXinxJ9?+r&*&Q6j{T-{*#qNW6XIVA6n_$BAtgGqQ8x#KVwkl=TH(|s7s!~?y zK;FkyC~N9KR*b7qM$rHsa;`GH^cbM$uq#uvW`LgetVDkA`g8TNinMQcf9|)sBF${u zp94Nrpe`}}*lKD8%30ix)1Ch**Q)m8L)-o;)))J7?4mzPYxBN*eB5s({<|?3o0Kc- zj~H`KyE3JIZ)4t3r&I|@>cgI;#foNqAD)<5q}(&?!>67VD(2UFvrTk?5;v_ke+|x8 zl;U2TsueUD2NJ&STqtQ`U~?&g~k1RnBH~<0A%NlvsQHV}(p5qf0k# zSNvJ|`=Be2&HkhquI$PNndwTKT3y*P?W5A^N*5lJ@t!EIXcHlr9NJF+FW?iQ_dSN2DWL)%TofCFKo#DaxW_q?w*epNd`U??+d$9J1Ss360S~vlsMJrb&lPw0E51AH^9&C^rDv=9Jo4rRB{ZTQ zKYD&%={Khyf5|_m1eMk0GY!uv?N8U`ul;=$SF^gDFx5x-{i+U+w((XLZmq+!J-n2t zrggYl#95_9cx^UMJEN?bUYk2qI-{tCwb;G0r&8Um7WLQ zS0y^3I)|sZD9P5Jg8 z^N14JsVWa|bws%wTZJo)JFFaAT!m-vbX3+=s=^jQhm>)?mHAoPL8bkW%3M(Ypi+=l ziLVZKP@;BK;)+}Bm4mG+an|JnibX_4UiaQksWH1EA8ll(M3q$F;THRqO(!d`tL;9e zy-5Y$9==!cxb#mg%eGZMX8u(hcCl63cKoXziQKJBU-(CD-*1<)&+E7P!*7Rj;cdB^ z*%Etwy>pPy*X!s}}9%D=jR4sx^b=DSJ+5 zsbyw!m3J?HsBIq1R{Avjp>CZqOW8KzyISSz4CT(@Z|dD`)0O=DU)7tnrz!2Ld{t9@ zrYK{Ed{GCPOjefd%vA3sOjNet%1|AaOi=6#KC3(b{HGi;{;c|+9H;DG^+~PVf2^`8 zAYFA!GFRq){;1AgJw{R5epIK{9<4N-`$64%Wu%gR^1b@$zY$8nvov+vuVKp4dTHv2 z6GN32V^h`PW*^Z%=CJp?*G|O33>foz31Imso40rs!TCf z%qKomzgF*~96J0|eWdnMqT`;ZA2#(=aw|Sjd-m#~G^b=WD6^Ylx;05%?AukD7?!9` znAb&Fko{O)+oH2#)$Oqw_o|~}wIo5E-a!5UT&ns+`F&-?cGW_T;aZ2vABiupEgd_L^W5c+uTzxIW|*nUyD^I zOmC_z`Egh6-l2(7z4KjFo7+e^zaU247u8T14iyA2A^`q3Y1M4gI zEh1I5W<3Q5SZ(;ejuNDQ>T{#E(zr~gEyM?{=Uqe9?9gKE(?ucbd;3D|!!y@Z%*)r_ zei^LRGRf7Rs~@b6ZjhrrU~yH=&Cb#;v$X9KZl0enoJyV;|H&Fet z>$CQ#^<|Z<(zRp!E~yo#e$f6-3s6IcrD-oW3s9f-f2W-^`J%e0%NuRpVSja@(JSqd zdwyzC*IMYY1*6D$Ehl{tGXB?+ZedMM7H#k;nTE$CkJ~2k?L}%4$i=(t@HfPj|+gRJ> znx|^yqSIP`JFQ+0yrT_he_Flx;Fk8ooKtG!uQ#;~+&t9$nm4qRcv2nKJ50N{`bo9Y zv=Ht7A?|Ab-N9O~ZEouGOF`Pu&=YEAN}wL&Jg%;+aasGQ<8jqe8=y^`=c>l8^VcT0 zyQrQ47qqcS&g!T1bK3A4&g$7VzS;{zkEstVy|st8JE=>3&T3bO9aT4e_S9Nr9Z~bU zpVqePbVU8U(LJh4T!GheMOuVSMbj$Wya+Pq11Ft^g~%-o=+Ph6tyJ!8Gv zao$30%Kf$KxHXnq8`CxF9J@K%I+s?dle}kYPdB$#dq+;wwsBmc=6#y1JzZv{o~<)c zTibe>+DCtO*}Pq%URrCReK~fqY7j75+grC#osuy^yQ}8{)vn7hZR{CK^~{>V+Ddii zsb}vf+CjVKs0V6kv`c=@Ql~E*q&+lmrdn4wKaZwTbHuoV+H6^Z=9+gq)pp|p zO;X*qYLsKF=F6_u>dU|=O=(su_3lfZrs~|5>cLvKH3sp`)s7Z7GzOYxs)uWcrs}08 z>gUfvn$o6?)#^hpYrfbwR4ZTf*CZDAia^2iR>oj3CN_4S1S8Bdx6zD$gU!rMzH&++x zWvMas$<~=Zo~fC%>xXVby(yYSv%l&VE&Wfka!`h@deUgkibm8iejWjOTV|2~e)YA-diPVjcHPF0Rt<&jGD$Od3+qw^d6*a#*-_&j2 zUS@Wna+uC9HrK5BhhW`MlW%7GbXRoalRlUgd0f&xKK9CN$!33Du2VFdYbO~&n{-clPBoj ze=#zHEv{fDQ1|i(nA9?PyMJ=9$eAPzMqNiL42<1itl}N+h2Sz9d)jouFkGx z(^j)P==R4%nPxRG(!KEuF}?Y)iLP;%^QPPP*4GUf!p>~Pb;sdBCoF^ueTzvzasC4BJYnP@0TL)pCa$4 zBJZyv@3$iFzaqzjBFBd!$BQDzk0QsDBFC2^$D1O@pCZSjBFCp9$Eza8uOi2@BFDEP z$GalOzar;@BIk!9=Zhlek0R%jBIlPP=bIwupCad@BIlyIMWBSo%Hid?T0xqc~fJyYcRrpWb9k?Wr# z*F#0Fk0jSilIthQ^_1lLN^-p=x&D$|k4di2B-d+_>o>{uoaFjWa=jkG+xL$dymtVbm46Ull-vVM`QXC&(z$$Ce!{*kPQBnq86OS1lwtj8qlGs${QvVN1S=OpVp$$C$+{*%lDB=Z5uyg)KPkjxV#^99MgK{9`k z%p)Z83CX-dGQW__GbHm3$-F}{|B%c>B=Zr;yhJiTk<3#h^A*XwMKXVp%wr_;8Ogjx zGQW|`b0qT}$-GB0|B=jtB=aH3yht)XlFXAN^Cii=Niu(u%%l1a{D1Q)$-GK3zmm+e zB=arFyh}3wlFY*-^D)W1Ofo-{%+nU1(N*($$o-le?hX}AlZMA>_N?j{S(Q4ie!I9vfm=vf069RNcLwW`!$mN8_9l-WPeAp-y_-ok?aRa_J<__QEO{WHmanq+^i z?@0f*-zM3AlkCSy_U9z~b&~x%$$p+>e^0XCC)xj#4nMUO|#ykmMO8`36beL6U!v45CcUPY2$k>pt< z`4&muMUsD!}X(ag?N!~`1zmeo|B>5akUPqGOk>q(K`5sB$N0R@M z5yHuY}~6kUSHTZ$k1;Nd5`QLm~MnBrk>J zr;t1qlCMJYR!IH|$zvh;EF`am(3(12a`7k6ehUCYPJQSmUJS4A& z7wq{{ZPDApHcSuYmLykUj&_Z$SDE zNdE!pLm>SKq%VQ=Cy+ixf6tZw^(&CR1=7Dj`WQ$*1L8p^ zKLqKEApH@fPlEJIkiH4hKSBB^NIwPXt04Uqq|bu%TadmB(tknvFi1ZJ>B}Jf8Kh5x z^lOm54bs0s`Z!2G2kGk|{T-ywgYLHa;QKM3gyA^jnwPlWV~kiHSpKSKIQ zNIwbbD@sNHV($_=!dq|%T>GvUhKcxSM@Bk1#0KyAE z_yGt{0O1QDya9wifbaNB`p`Ap8V` zr-1Mk5Z(g9UqE;a2%iDrH6Z*3gy(?p9T46F!hb+`5C|Uv;YA?)2!tnr@Ffu51j3&{ zcoYbq0^wC4{0f9;f$%L5-UY(HKzJAk9|PfKAp8u3r-AS_5Z(sD-#~aA2%iJtbs+o> zgy(_qJrLdp!v8>cAP64>;e{al5QHa!@I?^b2*Mvhcq9m)1mTq+{1Sv`g78fc-U-4# zL3k+r`~N>a3c^c4_$dfa1>vh8ycLAMg78=nJ`2KYLHI2Q&jsPTAiNiZ|AO#f5IzjT zi$VA?2u}v#%OJcNgg=AuXb?UP!mB~}H3-iJ;oBg*8-#y@@Nf`54#LZ!`~TS*5dKd8y8MsFgYbC}UJt_WL3ln0-v{CSAp9SM2ZZo}5MB_%4?=iC2ww={4I%s? zghzz%i4a~9!Y@L2MhM>s;T<9TBZP;9@R1N+62ebHcuELg3E?dv{3V3Pgz%XVUK7G^ zLU>NSOZq>)6T*8!_)iEA3gJT`yeNbph47>hz7)cnLike%j|$;aA-pPtUxo0j5WW?{ zyF&O^2oDS4V+z zyfB0xhVaA?z8JzAL-=C|j|}0HA-pn#Uxx6^5WX40J45(q2oDY6qanOBgrA1+)DXTJ z!dpZ5YY2}G;jVQ2oDe8;~~5}grA4-^bo!t!rMdmdkBvY;qxK9K7`+g@caP!UkD$Ld@BjP+h_3+g7a%?Z#BYH34iNtV z;zL0E2#7BM@h2cY1;np__!bcV0^(yp{0xY%0r58=J_p3_fcPE|{{!NKK>QGhF9PvL zAU+AiFM;?b5dTDfude_3C=fpd;;TUX6^PFQ@mnCi3&ekc_%IMZ2I9*={27Q(1MzDh zz752`f%rHOKL_ILK>Qtu&jay$AifX8|AF{G5I+dw3qkxLh))FZiy*!c#6N=gNDw~> z;wwS?C5X=i@tYvN6U2Xl_)ri(3gSya{3(b}1@WsOz7@p3g7{bvKMUe(LHsR<&jsv{CF-5YGYPJ3zb#i2nfbARs;j#EXFV5fD!T;!8lh z35Y)d@hBiZ1;nd>_!SV(0^(ahybFkb0r4;(J_f|gfcO~@PeZZJ|M?maZv*0QKs*k` zru^q~K)eo!-=Vz!`saB-d=H5C0r5W|9*FYIynj9j#0!D=ArMal;)_7M5r{tm@kk&( z3B)Ub_$3g}1mc@Oyc39j0`X8FJ_^K3f%qv9PX*$uK)e-*zXI`CAU+GkYk~MJ5YGkT zyFk1bi2nldU?4sW#EXH||NR(ei6hog7`)d?@0N4|DS&Z@sJ=s62wb__(>2?3F0e3 zyd{Xg1o4<4J`==ig7{4k&k5o?LA)o3{{-=%AU+hti-P!35Kju?OF_ITh(87Ks31NS z#H)h%RS?e#;#)zyD~Nvu@vtC17R1Yf_*oE73*u`*ye){o1@X8bJ{QF6g7{q!&kN#v zLA)=B{{`{DAU+tx3xoJ!5Kj!^i$T0Gh(8AL$RIu$#4CgNWf0E{;+sLdGl+i%@z5YX z8pKP3_-PPN4dSaoyfuiw2JzS+J{!bqgZOO_&kY{`_uU}g8^nKucyJIO4&uc@{5Xgw z2l3?~-WHLHs(1X9w}^Al@Cszk_&q5FZcX zd=Q@x;`KrNK8WWB@%JXxKZJOQ5FZiZB|`i}h^Gkg6(Qat#9xGXj1Zp@;x$72Mu_JK@f{)FBgB7% zc#seu65>Td{78r=3GpQ%-Xz4Igm{#SMg7mGgm{$@zY^kELVQbzcM0(?As!~g$Aoy9 z5I+;*X+nHWh_?yxHz6J;#OH)~oe;kh;(0=RPl)#k@joFRD8vVac%cwK6yk|Od{M>R z|L2WD{85NU3h_xHUMa*cg?OeA-xT7VqSycZQ;3HO@lhdOD#TBPc&ZRz72>Tz{8fm@ z3h`MXUMs|Jg?O$I-xcD$Li|^V2Mh6GAzm!RkA--$5MLJJ%|iTHh(`T zwh-SI;@v|0TZo4X@o^zuF2v7;c)Ac@S20)rdAksQ7vk|kd|rsx3-Nm)o-f4rg?PUZ z{}_6`r;y*(?XowFD@uDGqG{lpJ z_|gz>8sbkwJZgwf4e_cWel^6i#=QUg))4O+;$K5NY>1By@vZym5#>4)Mq#J~_lI zhxp|X&m7{LL%ef{e-81`AwD|9ONaRB5KkTAt3$kXl>Ogdhj{D|pIv#J|9R~Yza8Sa zLwt9L_pV$=^Ur^Wc<>M(9^%C-ZH#|@Jj9b%EVO^VJj9zv@BjPr5RV?>(?h&^h+hx! z>><8A#Jh+1_Ye;s;^RZSe2AY9@$@0SKE&IH`1=r#AL8>vyncw^5ApmVzCXnKhxq@H z9sr~d0OkX{9(UjgY^K>8Mt-UXz80qJ2t`WTR22Be<>>1jav8j#)w zq`v{_aX|VUkX{F*-vQ})K>8k#-Up=r0qKE2`XG>A2&5kZ>4`x4B9Pt)q(1`bkwE$+ zkX{L-UjpfwK>8+--U+0C0_mYZ`Y4cI3Z$O`>8U{aDv;g^q`v~`u|WDPkX{R<-va5m zK>99_-V3Dv0_nj(`Y@1Q45S|e>B&I)GLYU3q(1}c(Lnk%kX{X>U!(kd|LfU6`Zkc> zjnX^Rgiua-2UIQLf-%TR*>Emq<;nJVL|#>kX{y~p9Se@LHb&d z-WH_41?h1?`dpA+7o^_>>3Ko=UXb1wr2hr!fkFCUkX{(19|q}(LHc5l-Wa4m2I-MO z`ecw^8KhqZ>6t03g2myrG?q=yOVV?uhFkbWkl zrwQq6LVBB!{wAcy3F&h}dYzDdC#2^I>3c$YpOF5ia$e_O4;0b|h4exp{ZL3x6w()k z^hP25QAm#z(kF%VN+JDHNY50~H-+?0A^lTG4;9i!h4fM({ZvR#71CFQ^j0DLRY;E& z(r1PAS|R;bNY540cZKv`A^lfK4;Ipgh4f+}{a8p(7Sfl6^kyObSxAo-(x-*=Y9akv zrA79yXA9}uLVCB5{w<`33+dxRdbyB(E~KXm>FYvzyO91aq{j>C^Fn&PkbWFr+7}T!;OyFAV7oL;Ayz9xAw6+O zUmVgKhxErGJ#t8&9MUU?^vfYVbGZJ$Zw~35L;B~C9y+9t4(X*s`st9KI;5`->8(Th z>yREhq|XlNwL|*tke)lF?+)p`L;CNK9z3KE59!52`tgvSJftrV>CHp>^N=1rq)!j& z)kFIAke)rHZx89+{muN ztx7bgRAZBMC8j9jyP!&3Q^s~FmC#YE;rFl-!<6xWh8nY!@t1`fN0qV1WHlZuW6TCM za+UGIT{X&-QTh5m|NGabNfrJ#J)nCP{&)MNAyxR_@^dFv;eYGzORU2GwwJNG3jf>w z&%;&t-~H*{s>1*7-|T%A{tjxRcT+W#2JW|8*z6Q@eP{a9)1|Qs4BeZG_W-HIp;-)qD z{*D^^&1>-0Ej4aB)L=%s8XtUW@Yr?b`I}mUORlQXd~FR*xU5F6qcv!fsyq+3Yw+s@ zH9~S~aPv9kc*<+g?u;5c4Qle+Ni|Zt)MWB;HC_y^$$CfC`0H7dD-NkqH?Ah@9#D>J zMNKB|Rm0{$P3G)Ue(tW5 zX0;mM$7*uj3N@-lYVzn(^3ozTy6)EGmHEo!m8Qw_bJQ63LX-PvD#x3z$z{{k zn4?{bK}l+CG^$0Lc;#nTRg1M^)VMyX7Skh?{SB$b_)s-|%&o=t!D>|9rp)(OL;rj& zdiW~){kRr$z16V#QHvp-%JW)Ni(kj7F}{@+$Ba=UxR(}FUDTL1LW|8tsO7wm!8vr-K^Zl4>)ktr~sS)TUi4H3lE4&F0ON=jB#yerTwM`}^A5 zuBZIGmer=Kj`FzbYqNT7H4;p&6E3tW*Hq-x9 zV&^_>TIN;az*TK-`c{eKue4e6u@YwswCV7!5|?!9aMdg2cSDCdeEh5uH*M-r>ro{# z#?)btdzHuxt3#Jtm3XwE4uh^$;^~e$j8Cn^^NV#j^;{*gp4MUP$x6J;tHa4hD)FjT zT{`Zs#B0O4G~K04_pZw-Tb0qNE^lp6mJh7UxvMMjVpd(MmMPoYT$f)LDBC|>mkVYq z_m^3hZKo^u|FtfU#w+_%O@}?BDsi=$4v$Q!#Kj&uGz_T3>7hED>r;uNlXUpeqZ0e3 z>adqvCAO{AVX|{2)*sd3IIZ>anO!CA41G_*&!=CDL;f)Gd=IQc#Y6T2y)u+wb3TPSD=lo+8_-$368T*y(kF3w? zJC)!00rlB!a|L$HtWW1P6_~fFK7*H5Aox^$Ce5qBi2L<9E4czazSL)Od<7a+)ni0N z1xlLe(LJaFFS_edHK_vUhUl?@M+MeR)MNH&Wxo>jnBrK0QLFVh#!gxPupTx0RY3Qq z9uM@cK<-;T4mPjA%@RF6?^JM z9J~7HGcCOw(JuPDm0FI$!TP*;x*QGX==0*?a;1f<&%L|LarBHn=Wi)T(gS^Zttp57 zH+`BdDThII0~X9K$J=HGJd#w7y*&&#A*viX zYtH3Zc*KAM9Lh1`mI2TAFNgkn19r47$FoucF6ds4bqyNwqj5RhIyYnw!*Vnm*pP9J z%aJv{Ay3yU$J(fd{93adqn0$JUS$~?>}g1|f->AsYskU5Wtjh>A)Ru{Fd)AnU9!qh zS-TO3J}ASfwvA|gvkbv~8nJb18H`*SvHWBivVt1%?twBancax1wwIy*mPT}1Uxvcd zji|Y-40|#g@z|U)jQOg}Pbz~}wZ{AwQHHZk8#5-L48G=#Sw5i*`VNg5LyL7Q?(4c`!!+5qEgt8Z9>Z*rN|9$LRC&F7A!uvDu@ujHHKpG2QiP6Z%FA;~q2=F{lM+jjlH8Ql z!b@SXp($7TmEz9vrflwB3XhDY+~8D-{0~j3YgdYy6-}9dQs_5q#@FVh*wLjKEsRTH zKDZfYwk*YEk7m5ppcF%6no&cm6fc%4w^x?Hdv7zk|0%(b%gvbZr38^#&A9A!3Ci=E zapS`hBx^V4rt}ijGHT9M7fP_OPjk*VS^^!H=JeZDf~7&t*?&U`>d$UY{iP*Xwz)Z9 z%`8#=4sFi$u_ah^zd45mmq6=FbC!6OU}lvTTtB)5m5p1lyF&@0%~~+crUZF*EjXZO z2_|{9V5)HmUdFXxx0WSvT+xDS^-6Gce+w4YEJ4p}Eofg>j9sr6uoH+oM`C{zNf)gtX+sJ;m5GrzKZxEJlr#mRz>97y)Nm za`wz(JjiUx(3oQM{MwQu1Bpqtk&_)TmvInb%rzNktJ}y=q0>-$gJlXvMi7iZHKkYZg5# z!s~XeIqpsox}h~sUn)ZKsMgdvR)j~vtvO<65!%dY&82ILFl9??USCjzi>F)jcTy3w zGF!7jSP`7Qv}PxtB5bT;$lk6+_|VvpHbaVFVrIxbP}Z|EWEZm{oS0z9Mn**_j5TCo z!y@!rZpaL+BE;-7#f1nWh&TVM4r4aUkZMb7uA(CdcVY8Wq%KL&goEue$_b1vg*RK!_?zZ8ev4!aO zu?^P@EksB~8)mZ*8x7jBS@%NRFl|dmqeA=|*p_h(3(?rUEjQLG1f$yWcxeG9E^f;! zxdoWJt1WM27hwM-WjeC}cb_V^UnxNDPi6TN1<=+sV*IWGbZlir$F&6*U}?nW^9$fU z+=$un1&H=F;+miWESYM=!5#(JxyFb;91C#nuo36=Q?{3G#1=gY@aByXceN|PuOcIM zXjA}oy>{GGs{nO6wxf3GUo^34$B^%T(blycZ@v1fT-V=@P4E3h&$;dBmHHRfDeahY zA%KxDD^Y#q>@kePhwr9ueKgiYW zz!&%a;AN{0oSymzH!VA`)sa6qF}wp$r2N5V-wx!mKbSMM120eigWxqCXchJc&WAg2 zkJlgcO7B1&r$1==rUL`||52_B>A*|o%Jy_RQq$-U&bIH!!TNu&8XcLW@du%!I`Y8Z zeAowfWafu_w3*$J-yi3rcvDA~U(3hclO3sXG9M{-J1YNQ=OgrEM;5QlN8gH${4g^g zx(3F|`_z2A>TJxEiTT(wz?f5>e3&Vg7GueK%&)_rI0*NhaL#;5TMAHDR;MzhTqego}^-Mv0vXi?;m6(FrCT zz2rB1V@-G{={K4$GhtcKZ`|KwLXQ89X=x^mbNG#J&rNv1`Zu!kO!(O3H|A@0;-4nJ z(X&-2*3weuTXdp+@h{98)`^Wi|3arpoml_rFWgV;#H!bSA#_zIem(vRdIvl4#mvShP3gnFV<`t1@MAY#yv#OxevZ59b3-={_nCRx?dGV?Z8GZ7}8L?s+gfZptIA z^RVx>DbMNT!SKB@mjA?>5@r6kpQv5G3pYRiiG+?_n0(_Wey|J2pZJNfqr0%{wx75e z+=azUexm#AE%#AbKe1S^ zE5qykM6PjH=9m9~ZQri+`}PCdUAywhvmYo6=}N2XKQMAmSFSkr1G_hO<%ccG{F7a2 zy66WS?sjEh+z)L0(3M+#f8c9bSKf2}fu0SzF~9E*Of~7oI$eJty>BKAycUoC~$IOl0>E2e^&hhSyt@j=KZ+GXyvTwNXPFe5sH{2;!#z)`q zyq-B1rhY?CdvnI`|At(ZIX%~W!yjjJ_L=bw#R2B57xE2d$>x0F_6_Ch%(;G$GJV9H zBfBfNr<=2COJ(_NbMDqs)-Nz;|AMc`sndhc-hRdNwms;T@fCM^_uz-qUvXhX502md z75n^pFk|soteM(_=5b$BC++rq0pokpVbvGtFYn30)4t%- zo}RP{P?k^a$+n}uVAj)~tlswvM*Qf>tWIChOv8eE8h$}eQws)F{erz_7BtTNj38SJ zzJB@{T|6u}=kjO#j8x_y_>BDvEqHnLXZUWn;E3s;(fXVPp9g%#vrG%RjQWg)pDlRX z<}+AnL5ohG@k`&5>kK|)OD9YIQGddaewG~g?Gp-JExG9NCu|O}WX7dW%J(KLS$*#( zeBEftZYw@v{&7pXBz}U)ZA*swe!|VSmYg}_6TFKox!C#>D(d#)l6If4(x?~b*82ow z>t2j5`H1r)deJ@SBOLsC(faO3yiDpv-P0eH?_u}itCWxUy}uVz=6^)&m0om<_z3m$ zUetE~h~&IpJTdqqs@Jrlv)M3#~Y2-v6HQPJA$L-J7++qD5>I!Q% zHF^&-{XU#s=RGEv^x?O^@36$C4+p+}hjXL)aP9SX_z>8K?+(60?HPU8X7xLCUDtUyepf7e?yjZ*Q*;nv zpyFx+Wx7kk#F#1ukuo|dV@=;;LFx;u;U46Uh56!eFvxKWy8NJdGl#D>>H6aE@h*GDcO5x zHmdd~eHUiqg)6xrJR66D$z5*QNS;Za?VAm!_2ku#*)TjpUayypAJ@rCg|BhyC3*Pu zYb5?A*IavzzM3|S+W#8WTi9^u^4GZ9-GI4D`=mzA>O^h+1oaJkp2ojZ*4gC&@1Q^+VJ(tS2(HNm!sleVT@s4 zrcZc>E`(osXF}_Jm@6`8uepr=a;x?)sOLdFJU{pA0vyi zaARUW`etXLUtB*rUdzJyCH-i&FAHWn`%!CY7IvQN#}|=VXqefLDPyxR>tjE9^v%NW z(td2%Aq#H0{duiU7B08zPyhTEXm8!0n$KTgj$?mry!Zm2eEQRP`wR4s@6UsCUtrTx zWjgo;{_a$6cX|Q4^Zi-N>IF7rD(km=fo~uC^Lq6cuq^A(hF_l}S$6)z@b_2Ne z_;cu34`9~X=Wui!K<%XGSn4x?=HAb7H*Nr(Y@egn(gE~0eGZ$Q0~o9K9FgY+D4#b! z!|wY7IQ7*tJo_+!k(ZxAqjUhhcRfSbdIM>@;2B064P^T%&yZj>kY&!#uw~dlrduh2 z8}ET!*zy@(#VE^Fe}=+E%I%+@LTCFx?#_6Mwr2*?{^(O!+#Se|tDa)u`+=%4L0ob63B2YH zV$P-~aN9D7os*wn*oi?5ob&`Xw+3;C{S$P{9>m98o}l@kK`hgIf||7kvuV*|{AfOy zW-lM(f!Sc%q&`-z(-_Rb+aF{3*ufk)=P`n(45oF!V+@`(m?py?qs98ctY`ihzYh)O zkA{zN9z*T8Hp#@4RrV~b zlZjV*?YSrKJ_cN}=g5cmvG1Wh|DL#yx}WX2Xw7{DmD#gZ+u-(d(FY21h5gdv>%=^jENhw#JAdpJLD2(kYjG&T?6(na@RcWel=LhfPV zjUjA4@*Xm>hHzAmd(g@o!g&qvp?`G;9xuL&xJC|ql64n{J2~*zg}Zo52Ugp17dp-k ztULWKdiyz0*ZVHK5*%22;9bmJ>cEQjcd>7W1HaU|i`!=$c;{ONK4&;^S4IY^ymMge zp$s%CaNxkD8R(=nl(oV#VBJEIK%FvRXEu~EJu~1ua46e0%D}iWLz!ND2NQyZ(mU%8 zyr&Ok@%cOOS~Zk2H{F5z-l5c*dIzH~4&^M*J8*a~l%;*|fFFm_x9uHtEg8xTjXP*w zcNk4R-9}BrVVs(N8$WssC@xXQ%8_d6rW8;Q#M8It-jSs?>G(Ruk-moMh?wcfjH>AzKmH<0|$ zkp?qvpyHz=oxN`$s>G2C`rp9!IwN?#?G21?J%TyaZ{V)^2v+}a9ae)!u=%y?*y=Wd zraP`fGk63oXI_W@^bxe0cpbM^j-dH~>u9%U1lt>3N7DHbtgmq$kME6OLC!UFct3&< zu3kfQ!3gf$ehpV^jbzx2YpC0NBrUzK!Kv#=7WBJ@)%`|tf17J~>N1jU)viHr@<>*B ze-#c1BbjpfDw3CsWUsAPadO*8-kf$7A5V_t2+ym~yET#zc@=$Ljif`XtMJMj$xD@2 zFsqsqjkB*P=e3-;@ZuF*>FC5S8?WG{wG;a!TtWUYC(avt1vR{!c(3;r7(_ZzyXh4e z&UIqHlFR6{!HH9zUxwKsC$2qx89gpL@$_nCeC))`NM-(KC%$vO43knPelx#})^(kk zt9Ka*8uA7@^ZMa5Ja={G-oqmd-&EA- z=)xtfQ<2`=h0WBLFng#Ax4gcDzMjhTg-a+2bK$b}mvCs73)L}~;IY<)lSW@c-Tf}S z-t!X9Ty$Xz{Y&u7bYXD*MQG%>@O0)y>@09$$)StrS8Eh|EV_u-O-Io;;36VSM{$MS zMQGZL;+YN?v3BGrKCN*P?R-WtH|GKlMUP_H%)%9wNkmWM~NeC!3B zxIBtKtuDay@hHA%bOGBwjpBuZ^UyCD#kG&lV}|x<1|2<*ycVNrz2rPbcN@*BLFaLy z-)LU8KaZ9!qZ!-rJQ93I)41k&JdGXAM>*%vW#MS$|KW2;-aMMRTh8I(ksnx`$#;mGIF>}hZge@aI4K>k^n*KuWw%(Dn->B?CL&tgwESAL#<7O(rc zvY+2s)N^s=s)1(#Ust|sa~6|hUD=_^Su9)NN}tzfaB7n)H=a9#XNO&RXU!S>z3j@r z5ob{Eu`3&nJcD+hT-mMb8CVs&vVWa3%Jqz6==k+CT$+!e%Z<};?=pr?+fQSn%^2EG zJB>*r$0+9|PQz#77`E+w8lF*OsL|*&T<4DAn?I-Euzn1WXP$!Uz!*+FcnT($#&F>L zQ_#;;mh(M@vUg*Y^B1R->m0{0u=Ocis^Lb1ij!F1$c<-SoJ5$h8=X#_gsrt3KQBLt zCPUpA8FCU|+}&6<&@h_;KV3yvlWBkFF=Mpi)_1`vfd>$MVAGXE%%smedk!-x_Jzn4vl5Tj$>$-HkRqr zj$!WuWxL~!!6aua_w_o4J%7h?nf@`fsX30Jzm8&Uqj4OPaTMCd-~o`Dou^G%+1d&OVHh z7|)#(4rA`{@vN>ojPsu3Ikxd(d<`AXgMSXeV8(bB-8+OnE63A%-ywMJ9M70phcNxr zcpmaRgiYz=nQeUtr=O3fW}`#O`O)z-&OeCk((xQ{_aJh$-8p8@K@>H2r|*n|s5EtF zi2FfQqcU0@L{&$3PS#iEd%AOc-T@Sby3_9V0enw(XV;wv@M?uS>rFd=+dJI(+3f&M zoOI{eo(Hi0hC65J9zfzVciMm7k8xkzS?k7r<^8`qQ&aXsr?v;D#P7%ZrXFlIYCn#5 z^5CUz`!ThT2ghpfhwV@g=6~D=9d{2Vr|rZ2U=M0<*oQ?^J-9e>A8eO;u(snqRHk@v zn#n#KJ?gpA-a`0XhYk2aE?Ov=h@MM*? zd(ox6C;L|H!Bq=SCOq2%m%*Mqa&!-JMtd@Q!5#$pd9uc&J@^yj$@VsT5H-(}Hcj`S zV4WwO|L(?=eV&|fZ#TZ2^Q7ON-57UA8IyM-o`|4e2saz3wI(io(hD}gD$Jm7%?h|O=X&3ZEl;vvdg2&Ve zyq3KayOvB~^qHObx@7{5mhVKzBNKQhU?(P~O<=&lo!FQ;ff}uLBJ=G8t}WhyD!(VN z-NPL)t?I=C`**;lz85>s+JQJjFYa>RfsJNfY}#uF&i3`O6TCS5 z+IEzNdU5Zj?a)g0Vnx(;G+6G%A&%S8bek8K8*fMRV_tkzZ9AG>@uJSFZD{n+i~Ub- zgU)*|hA!TQYWZGV=erHRt9tXi%{IKQ@6BgTw&8}MH*@p1VxO5e%kFH&{J!4Q*tr$H zBfMENX{+L4c(eNGt%@h)&62KL@oAbjzt-A{<4e8y@LdWLQ@nZVTnhRf@#cyZDbPss zrhi}xuH5&g^}rM)yivB(GDUHPym_Z^3qDqQbKd0w+@M!e;!4SC(738Ho!fvVG8IXlAs0<({eNP&rRf-!cACpYa(mj--P-vCNgCACd~UXkq@SBLS@lJc5&T=U`-#+?6wIn z8~X4`txXuv-iNK;ZN#3QKJ-4j5n2O%xNX@+Omg;N*5r*y^Y&q#ej8yB?!#V9mHEj& z^vK_U6U%%!_4Wo-r1)^%whici#D@ptHYnF~`|$k84Y+d8hgVHDp!~HDZ&cfW?mv8Z zC2KtDBnVs!8I(6E|B7rpgpV>^jmzO7T-y-BQc zWgVO*P2$~k>o6&D5?6+Q*wlDRv*Pv5VU#>m520F&RY`ka< zepvc)#l$tZImnlqeb!)$i!Y-ZtU=gBUuJ(>jlSW&?0aQ3>LmMe%evKgwA7b{Q&wa3 z7GDmwT@9B*%KEKVL-(RDUlguF`W;_3xwi^&S-y1MxeCT#eK|K_6&@G)^0d<`#MkuW zTa#62Y2ZhVYO8Rutsf0vtb}WKKUy7Gi98!W4wb?@X?tXOdxe^-!{pe9= zCECRMacs^C?40LE$8#%SwAPO{%T{2^E{4QpHJ{%)5`4!fpLzj^4Kv3-?Us zt7%IWFK#l&j$VqKYm@oJbSbnRO{Sg3QgnJZnP;+=z&39(4UaCtgo??WIcEu?wf*^i z{1VJ<;!o=yOR%bgKNr_tg3Ues`SR^zZ13;Swx^YGgg<>2Ek=rmKeu@=#=0PXW?3!9 zqIiGS(OrzhdH(G6X%YO__|xOUB8=SW&*{q-!Q!|-H~B3>!^{3Wjz!47=g;f^sWKi`EvpKr~!9Z?JnTsHYKsJw>iw9!@xqQf6<$H#K ztZq0L3nBs;QaDHPA_Dp3&K&ey5=e`!bCmNI%5qV2aBpuQzYLiJgVTZRZ8!&`uLW{; z;cTpY5XifCX5(IVAa%CRhDL56heXXr&yqkU519?Gnn66-dNvj`2;%#KSvb-p>~K2K9V ze-CEFg=zS$eBi2HIt`021ap?pG<3KX%$mKY;rx?eChJVYkoUp-bA2kF{S4;w;HmH^ z3+Ay#Q<0+?!Wn5v@X`;V{p2LPZXH5Ry(Bo9hVcBkL|nHDp^tYWdJGDoMx8`#8X3Y3 zClXNIBZM8sCtzYg2=~=Uz~$%=wmB4!CNo30d{jJwmxi#YG7c9vhR|tu9JKa^aOdzi zIGzmQ&!SkYyc|N)lvq5x8$vJJSk%o5;o@I0=>I8%N7lw5GCzbjZDO#kGK9~*MB`5F zDSWjw8owG&;qzY6sNZ%9@4StIY1b({J~v9a4t@$(bc=%P;3@Qb9tmHkDeO5d5@8-w zSll5Ju>n(f^nL{5qo;5}bOd5&Ord702!t=0!W}ol;kRK5dj*GM%DIvra1G_O_d#eh zF_cmBf^ab;l-}Kf;29sv!OsFwIXjewNr70qJd}To0%5v2l;`gR;L_eu#)Sna=MzKO ztw{hLriJo#sy{|$DBGRnkEhQ<*}Sel9CJc>==fx${|u$2+hkakhVpck$=F>Zj9qs7 zLAQPwHxBhfRP!)a{p*XT9l|(%y)U|(hw-S5FXkhRWuGP?+b)cK7EOYwOBf@~Cn4H1 zj5}WV;9@`+?@aZ9Ix37`+xehRau_S`Ohn|OFxC#6s9e_`MxDkJk+nUHwJv$1=HW1w zdV9n4To`k-yy18~jMol(AviOP8(h3F_hlIU%O+s+r!aQgIsu1&hw_<3F?zv;FT{yReyJNa@ zIQ5#iW0FTWV=s-zApdYa_a2Wnk>Tv3H6Eo?!lhYAB)_R;hgrx4SUkUxnYqTCfo_Z2<3g{XiRS%!3J)lQNL3JvnoenQ_l#l+dc|L zHW3_dI|{q(BUq8^qFnzLp}%nI2L2IzmgS5Y5fPj?)fvB%BKWPXGe*yg zpzlp5oLdpWmw`@bvN?jb^_>vDCxQo0j>N5F5!7-UiKZ7L=w3MjlhPx&Z`%kQdJv&J z&LfcjGJ+=G9bxuKxqXQv0)IttzPTf|l}7N;v*CE85y{)}!%?MPB(qx$hjEige!Dsh zL)%93r|&QXnkv)U!!XBEx&81^Z0@Tp?=%!ghD7pkkpnKeL~_9f2i)+8q$eG4XL2Mv z=M2H^@JRliJp?xrBYDVV2rkZvr1w3099|Yl-7tG>+7QW;2KJb}Gm=hc?cjenQn^mq z4nxjHGP;T#+Fy-i#dcd%WJEGyuq`s5M)J?M!PxvZl3t4k!}puAA7+CUS2L2vPX-~k zB9h55gRn<4iVvF&f=B%*HcK4{y=GAy=QR*%Mp0Z{V<7yyMDgaH0jSq2iupqZ;Ben4 z8szoIkReetTizeoxiWPfpP?8eGCk{67-5153c~o4>D26O2EG|Z|TTf#3jVOM92ISw5 z;^sKO{zVk+TL2s1NAYK>3g5m*ae}FZb?&#m}O-^OZR~ z-$pYz$sDF%qv_h(9EHE5+2KleoGXoHo_BY|R*&J48r{*OP7DKfo8g;&3|rcnVMB`; z<@5G#ux%g1(1qRbyGsmpyL7`!%NXvt-xcOIG3*`M758jocwMh6yhp^)?nD>-9TUSF zE?qF)D~5fFOraGJqnw8}#lr9y8uT_*{LUE0yzGpbGh_HTp)*Pr#?ZKBXZWv*VRULI zJlzz-RL@RO?TTU5YMqdBD2CSCO;C9%h9Lt?Fgi6x`96d(4y4EM+H7N#-;d$P4#pV# zJcgAwJ7V$M80z_VMCO+mw$kp1y1!%C?m!2nub_-WJ0PxVEL;6-kG)#4)LYUXkL$-$ z-L*YRo5b>CW;--%6U*zN?a<34mRt1N!LfTR!;TxlyLT+jAhK`7I4dqRnFS9K)vU&Z2hJ=(%vZRPi>B%&#^q*syX!Ylvb@pFpkTubl_GI$MWZOF-#+# z6JqK@r5(?-Ms?9qFP=?L*MUxxcm|KEgS=MpyjY-(JMH7Cxk?+`P2)MFhc=>m#B=_m z+8AIR&#PgzQMX?_i|W_LL)&<^KdPm4hvMnzsD+`U;u(=wOZlE|JXb8Pg_GX#JZM@A zUjFgCoS})@q4CTN&{RI>j%QYFO*kaQ^UdCx_%u@)ZEGTaK|Ei4u7Nts<9Tm(4Xjxk z&(wA`&~i&W_g&LK%FcK$@zy|#1M!?vO#`cs#nWMHb!eT9XB(U9h)Ip--|TA0xvm^v zVl~)i#B*-*YS{ZQp7!UfLgPg|Yq?d$xHrn}#Z_?Vqq4tis-Wz^vZa)HmX!rtC7G_6Dm}e+6heFT(0t~pTHe2%Ty~HCGbMyGS!6^3B2P{ zs>*Jgz|7?(s&eB5X524U8FWkFr8>nbV~YgtvMW;c>XX1(vkO)I`z6rrYJtkmHi7M{ z6sYWnCGbn1zp6pb3EUd{N2PL0;D}TCs&1YMEXx0_YB?!^E4%(yX$B^+W8g2<_s|3$ z+mold9i2e)k3UsA6BD@K@TV$%Mgp6<|4=#1OW@S?xvIuX68P%rch%dK3GCY7yK39| z1jY{krt(Zl;JF1~RZVs!@K5>|)!qFGY@_i-m3TCPwl<$t9Zn~3Qo<+I-3tkfJM&Q$ zd?kUi|9()_zL~&z-9D(c-c8_)z#LVNM+uDB^Imo3c>>3Mc&8eYoxnb=->L5BB(TA_ zx2j=Z6Zn438`aI93EcB2TZO*~^r@GvI$Wac=aAQ`X6gjqnEgtXtdU6nD=$?awGvsQ zGE3F3ULx06WvMpkC$dfC3sqi|MD959T!mJNY?1p+HPJ z9G-PswK85=&)~Ld&a^}}cD$vEnx$-a{!Nv~{6t>4o~|0OB#{fMq^pcpCUU6t4V8Lr zA~mC~tDbC1)&~-~-Sdj7@<<{rH(XX-IGM<6PtsJ8 z=al2nO;eeqCNkYVRrTU(A~EZdYUa&E9!$NcGP#>bgVGDC+Yb^M)#HN7_h};U2cK6} zW+l>a?>W`dH;D|)Ijd^lB%b(8qe>!>PUKZ&ZSYNt|VWNY$o&5+}?&sPZyNqS8)NZR(OljpF^PN8OW{ zVYXkTVVT550sB;D)=9M8xmV@NB$j9IQN{O9;=aavRBHz(am2{os-r`an7?3`>iX~` zF1)@|_1Gzit<^hJZ$>BaV6Ppj4`Y+qGiz%}IAGfNW`6h8^%dM(g z0ZDA&nxZ-tlEnCBTU49Fllc1fX4UlQB=*wStn!Rc;$qb%mDSWFW=CyQ>HLqpFM+S3 zI{Tiv_a?asA%uMkcp(87GYFw&y zt+>>U%-^*xb;GUI)+#P_tF~&@y7N8Hng86}pndJz_IrO{zjuCj?*BYzn=@z5%$zyT zwz)BS=$_r;2eC2wllOLs-^a%2b^UimR-WG&-M`?HNWu2TXvYh8Mn1T(F&cUL;>gvP zG)5PvT^yNvSz~nb#ET+7F@+Y#Av zOJnru_dVPg zU4Hv{k+F|8MyGvnZsdk18l(M0_^Zb=jnTgze@^7I=NhB;U37Nj?H3!PXFjtfGUa9I z&)}BG6|Xc#Q;M4+pS{)?ZCQI(B=%-wbj59FMz+7*814P1Ga@g)*BE^;_l!vI4;!P^ z3r~;C`=~MMyKr-4+g}@_yPw(=dHD0jXnyLZ$X~yd@`s-m$@#W1I^~p&kzy+zy`VfE zsr1LA+xKmVtWS+c&njLY*`6Mc*59@+a&=}rIy-l5!uM=g%bE0X$JDkHro z#G@MytBAZ5iANv4^n}Q^iSekf{qd10lj6~_8x}-9of3~$eK+@#q-m$jFUVa=c+jM0zcaM<2O#O62s~cyx2-#puZ88{^R#HKQWWZHhmAhQ5&-n&Z(gKgfw(cx61A zvaok#-PLhfSL+#BaE;*j9+9y>m-y?uMY67!eBHZ7Ub{ico!L2Z(@pW{zRx;FR{tU% zy`w5SQnV)?-S&J|iVNsV9^X>3ml_`rP@!2=10~_HAlp z@x5`;vq^~rS|t7V{>T+hJc_Bl$k_Yh(PejAk;m_s^PKTrc>LRkKP{q zB3${ac=WwLej0x5k$AN0Lm!9dJr<7^UGeAeQ;)}^vo`!G9DYKs+i~xPfBvMjW9%Qp znNP=s|My0C`7_erAHN!Yd|y0z^wYl&AM#v0I^xEc!Y4f+k7hN!7{&|n=o?kfg;ReM zkKR)JOnB;VW!(3DGTii%^yk-)g&%l19)0V#zY2f$J2}rg9t`KbBI9n`ec@yN5RbmN zyd~W5sd&qi$}j1cxU+5{qg7-{@cTkz8;UJ?Y|}b@*DB!rk0z+Z@(Fje!lbi z@Soq3@w)oj@W+3QN1vE=b@(rD$D_mYuL!^UPCVL_aas74cV(QseM$Jq_hcMBbW!-u z_v6vk%{#)E{wW^4`_!%B^&dz*$DS9S_hCFbeAL(G(S${UO&z9AI7{%l4~I|qx!?m&ber&?;Pqu+ zkAGS4kq(cKe_!zTPh2$qbHNuoOdbE7;L9BzA7@z`qg6wm8ASRXrr*o__pp8sC<$6of&UiQ~s_TOIiXAAqch5g;a z{%_&+XyNr~;q_|a^=skvY~l57;q`9e^>5*LXyN#1;dp7`_-WyIYT@{5;dpD|_-o;K zY~lE9;dpJ~_-)~MZsGWD;dpQ1_;2C;(8Bwph4)Jf@1GXlPc6K^T6n*;@cwJz{n*0$ zvxWC-3-8~SJokQX;r-ph`@Mzte+!=nEqp$-@Oja~=SK^lCoO!wwD5V;!sky5pGPfx zKDF?9)xzgj3!i5#e7?2tdDp_{UkjgyEqp#Yd|or@gIefo4eBU{I|2cdgI($Dmd|x_ze>!}hI()x6eBU~J|2ljhJA6Mod|x|! ze>;4iJAA)8eBV2K|2v!yIML(H{J`OS!QuSD;e5j3{KDaU!{PkH;e5p5{KVmW#o_$L z;e5t4(D4A=U)!zV-DwM4(DqQ=Wh<@ za}MWs4(EFg=YJ08gAV714(E#w=Z_BOlMd&X4(FQ==bsMeqYme%4(F>5=dTXuvkvFC z4(GcL=f4i;!w%=i4(H1b=g$u3(+=m?4(Hnr=id(J;|}NN4(IC*=kE^Z^A6|t4(Iz0 z=l>4B2ONGMIQ(94`2FDUd&1%Og~RU+hu@O#GL_l?8v9f#jP z4!?&SejhpfUUK;T4%b5*u8%le zFLAhj;&461;rfch^%jTgFAmpZ9InqeT(5Dse&cXG$Km>p!}T7A>pu?HgB-38Ib1Jt zxPIhtJ;~wvlEd{ThwD!c*P|S+PdQw#a=3owa6QZ6`j*4>E{E%14%fpRu8%ofFLStl z=5RgD;rg1x^)`p=Zw}Yv9InqfT(5Jue&=vK&*A!>!}UIg>wgZ{10AjpI$SSwxPItx zJ<;L%qQmt@hwG0H*CQRSPlD@};QA%Fo(ZmRg6o~&`X{&^3a*cW>!slODY%{ruCIdY zt>F4AxE>3x&w}f<;QB4No(rz;g6qBD`Y*U146YA@>&4*uF}R)#t}lb@&EWboxE>9z zPlM~#;QBSVo(-;VgX`Vk`Zu^94z7=b>*e73Ik=tF#&x7mr;QBqd zo)50?gX{g^`aj4I0QmzTzX0SPfcylIzX0+ZK>h>Bj{x}-Aio0SUx54!kiP-)J3#&i z$PWSeBOt#7@Xu3&@WF`7{2Y+K1M+)7{tw6x0{KHAzX;?X zf&3(pzXbA|K>icRj{^BqAioOaUxEBAkiP};yFmUI$PWYgV<5i_i~{5+7q2lD$s{vXH>1o?v?zYydfg8W2~zX@avE69%p`LiIu7UbW8{9KT~3-WtG z{x8T62KmDvzZm2ngZyNWzYOx5LH;wyj|TbEAio;qUxWN?kiQM`yFvap$PWkk;~>8r z2Fizl@?xO;7${E$ z%9nxiW}y5TD31opr-AZnp!^yr&j!l3f%0yk{2M3_2g=8R@^Ya394Jo*%GZJNcA)$n zD31rq=YjHip!^;v&j-r)f%1N!{2wR}2+9Y7@`9lJASh1=$`^w2hM@c*D31urCxY^d zp!^~z&j`vlg7S`_{39q23Cc%;@{*wZBq&b_%2$H&mZ1D4D31xsXM*yYp!_B%&k4$R zg7TiA{3j?63d)Cq@}i*pC@4<~%9n!jrl9;OD31!tr-JgTp!_N*&kD-7g7U7Q{3|FA z3(CiW^0J`(EGSP4%GZMOwxIkiD31%u=YsOOp!_Z<&kM@;g7Utg{4XdE49W+C^1`6} zFepz9$`^z3#-RK$D31)vCxi0Jp!_l@&kV{pgYwRx{4*#I4a!G@^3tIEG$>CE%2$K( z)}Z_~D31-wXM^(Ep!_x{&kf3VgYw>>{5L2M4$6mv^5UTUI4DmJ%9n%k=AisJD31=x zr-Sn9p!_;0&koABgYxd6{5vQQ56Z`b^75ekJSa~O%GZPP_MrSdD31@y=Y#V4p!_~4 z&kxG?gYy2M{6DA<0O|*T`U0T-0H{v@>KB0e2B7`{sE+{ZCxH42p#B1=&j9K-fcg%g z{sX8F0qRG9`Vyf21gK8|>Q{jJ7NGtGsE+~aXMp+|p#BD^&jIRpfchSw{s*WJ0_ul= z`XZqI2&hj2>X(4}CZPTasE-2br-1q@p#BP|&jRYVfch?={tKuN1M0_s`ZA#Y45&{7 z>eqn!HlY3usE-5c=YaY;p#Bc1&jafBfcie5{tu`R1nLKY`a+=o5U5WC>KDm4Hu^@O z{t>8;1nMV&`bwbw5~$Av>NkPUV+qUZDOLs1F9}hk^QHp#B)BPX_9jf%;~k{u!u`2I{AQ`f8y58V+~$ z*+Bg^P~Q#Ie*^X5K>avSUk=ot1NG@Z{W?(J4%EK`_3=RcJWyW`)ZYX3`9S?XP~Q*K z{{!^_LH$5bUl7zE1oa6){X$UR5Y#^e^$|h+L{MK5)L#Vk8A1IMe+2a*LH$Tj zUlP=x1obIF{Yp^Z64bv0^)W&HOi*7F)ZYa4IYIqSP~Q{O{{;0xLH$rrUli0I1@%cm z{Zdfh6x2Tj^-)3nR8U_P)L#YlSwa0)P~R2Qe+BhnLH$@zUl!D#1@&n`{aR4p7Fj14 z{aa8U7xA#s&js~$@kTGBzYFT~g8IFnzAvc%3+e-d`oW;SFsMHa>Jx+d#h|`1sDBLV zBZKQjUI)u6sLsDBOWV}ttHpuRS! zzYXehgZkZ|zBj1<4eEn~`r)9yIH*4k>XU=|<)FSfsDBRXql5bCpuRe&zYglNgZk~D zzB{P@4(h{$`thK?Jg7ep>eGYz^`O2zsDBUYG!tJp<6b0ch_4w0{8FLjdg~fc6qV z`w5^u1<<|%Xm0_uzW~}}0PQn?_8LI@4WK;-(7pp`?*X*`0NR59?L&a}B0&2QpgjrD zz65A*0<=E?+M@vNQ-Jm=K>HP-Jqysj1!(UAw0{BG!vO7Lfc7##`x&4;4bZ*@Xm10w zzai_~#vTV~p98el0ov~X?RkLqJwSUOp#2Zf9tdb31hf|d+7AKkiGcP+Kzk#g{SnX} z322`Lv{wS!F9GeDfc8y5dncg%6VM(CXdeZ%mjc>P0qv=P_EkW8E1>-q&>jnDp9Qqn z0@`l@?YV&VT|j#;p#2xn9t>z72DBFg+K&P4$;di}u`dJKn*r_5fc9uW`!t}v8qj_X zXwL?;Zv)!90qx&__HaP^IH0{8(0&eRPY1NG1KQgG?eBp0ctHC+puHZ@eh+BR2ej`4 z+WP_R|A6*@K>I+Ty&%wj5NJ;bv@ZnO8v^YQ$^6jRBLeLcf%b|(`$eEVBhbDPXzvKL ze+1e?0_`J#_L4yRNuWI?(7qCAZwa)&1lnT)?K6S)nn3$apgkwhz7uHg3AFzN+Jge^ zLxJ|9K>JakJt@$>6liY>v_A#fqXO+yf%d9E`&FPlE6~0bXzvQNe+AmZ0_|gg_Od|x zS)e^F(7qOEZws`)1=`~R?Q?J~!Ju%R} z7-(+{v_A&gBLnS|f%eKk`(>a#Gtj;nXzvWPe+Jq^1MQ=M_R>K6X`nqd(7qaIZw<7+ z2HIl-?X!XQ+CcklpglLxz8h%o4YdCT+Jgh_!-4kVK>Kl^Jvq?69B6M2v_A*hqXX^J zf%fV^`*ol_JJ7xzXzvcRe+Syb1MTC1_VPgcd7wQ#(7qmMZx6J;2ioHU?el^5`at`A zpglj(z8`4s548UW+5-gb1A_JfLHmKAJwedEAZTw8v_A;iBLwXeg7ykQ`-PxAL(sk< zXzviTe+b$`1nnb&_7Xw+iJ(12(7qyQZxOV=2-;%=?K6V*8bSMwpgl*>z9VSw5w!mZ z+Jgk`LxT1qLHm)QJxS2MBxr9Ev_A>jqXg|!g7zvw`<0+QOVGY0XzvoVe+k;d1npyj z_A){HnV>yQ(7q;UZxgh?3EJZX?Q??mIzjuLpgm8S{~P7`=+41Q_%h?Xb%;%j|$pL1?{JT_EbUp zs-V49(EciDj}^4f3fgN0?YDyVTtWM;puJbn{wrt?7PJow+KUD4$Ku87jXhb=zAR{O z7PLPL+M@;S(}MPDLHo6!JzLPeEoko+w0{fQ!v*c*g7$Jj`?;V!UC_QRXm1y^zYE&q z1?}^K_Ig44y`Vi`(7rEd?-#WH3)%w)?E{1MfhO+9L+-6NC1O zLHos^J!8_LV_<%b@*b&>k~rpBc2*4BBr7 z?Ky+?on`%foZ5Q^?LUL|ph5f4puK3&el%!L8niDB+M5RLPlNWTLHpF8y=u^YHE7Qo zv~LaCy9VuFgZ8jN``DnpY|wr-XipoouMOJU2JLTy_P9a&+@QT~(0(^)&l|Mw4chw# z?SF&zz(M=qpuKR=emH1P9JDVE+8YP$kAwEeLHp#Oy>ifgIcU!uv~LdDI|uEbgZ9ut z`{}YZAwS)HCL3{3?eRt5_J81tMvlW$A0M=r58BTM z?dgN|^+9|4!cR2z_d$F7pnZPOUO#BRAGGHW+V==)VN?X9D^+ z0sWnT{!c)ED4>57&|eDZKLzxs0{T}0{jGrhS3rL(pnn$7Ukm8J1@z|v`gZ~Sy@38- zKz}fxe;CkT4Cp@w^d|%QmjV6Ffc|Gde>9+f8qi-2=)VT^X9N1T0sY;8{%=5kIG}$V z&|ePdKL_-u1Nzqi{q2DMcR+tUpno3FUk~WN2lVFy`u73-{eb>|Kz~4>e<09b5a>S$ z^d|)R7Xtkaf&Pa;e?*{vBG6wE=)VZ`X9W5;0{tC<{*OR^NT7ct&|ebhKMC}w1o~G3 z{Vjq1mq33^pnoRNUlZuR3H0X#`ga2TJ%Rq8Kz~r6e<;vj6zD$+^d|-SmjeAwf&QmJ ze^j7t7* zp9}QYh1I7XqW-%;e_o(}FVNo?=>H4!2L}2F1O0`8{=-0jVz|upF9!M>1O1PI{>VW8 zWT3w?(0>`|&kXc$2KqY#{hxvU&_MrapuaTGe;U5)Vf?9q{?$N#YoPx%&>tJUg`odJ(4Qgb-w^b72>L%1 z`8VSa5%iA;`b)%j8ODDi=uZ*!uL$~E1pP09{un|3jG(_p(0?Q7&k^+R2>N>j{Xc^K zAVL3-pub4ae=5CFsu*^lu6Jy9E7Tg8nc;|Cpe^ zOwfNO7P|g4LI0YdzfHX2`ricoaf1FiL4Tc~|4z`KC+Oc3^!Ew+{{;Pkg8o54f1#lN zP|%+!=wDQx8=chODCmC_^hXN%Ck6eLg8oZEf2OjIZ~U8r{!T&vr=UMn&_62ZFBSBk z3i?w8{i}lhRzd%(pg&g7KP%|374+W<`f~;SyMq2+LI1CyKUmN|Ea)#5^dAfQlLh_D zg8pVf|FfV!TF^f&=&u&^Ukm!P1^wHC{%%44w=z#M{&0mqYW(AZ{&GS8xu8E?(7!I| zZx{5x3;N>){qutUdO`oapg&*Gzc1+T7xe!N`U3|21B3p8LI1&^KVi_nFz9a>^gj&x zBL@8wgZ_#^|HYs`W6-}b=FV2K^(0{*poe$)G=F(7!V1ZyEHz4EkdR{WF99 znnC}~pg(7s7a0G}pucC(|1;y()wTypo&|f&{KOFQY4*C}d{f&eE$3cJOpnr1EUpeT%9Q0=n`Zovt zoujAg{~Yv(4*Ew2{iTEc(?NggpnrAH-#X}j9rVWz`ez6IwS)fKL4WR`e|ON|JLvx% z^al_6hX?(|gZ|?|fAXMzdC=cH=zkvcM-Td^2mRH9{_8=1_Mm@z(BD1!Y`3EwzdnF( z*4WV-1b;E^uI()kD02$xCToWY2iTHi(1$Y zW=Whc7?_~d2LjES77T2Y$P_zJV+SQVwU1C%>PiX5Q!^ykn0l)GZ%92LG3!$ysi&p} zB)rZ_yIzRED*FXVPwTAVE%qZ44hFhNdN8nC!s%TCX9j;EWX88b1)72y>C3e;&XTym zmf%)H`Z7LJ@@$g+j1;ZyoM1>|eYt^*?OOKS;6OtLGZrg(9;?dCxLwKfNoHk0PBrk; zptix6n{A~XuE|@2hY6kDBaoRMmh_BYYo@I9Yb8xv^R(vaknT1vkWnIe1KH_r<5Dw1 zn%a?MTE=CX+KFX@8QSlG&gpJjGc)d2vJ1)Vj9Sgy)g089+eLasJ0q=^#&%1;S0ETD zk+!t6kCm{!Dr7E#RAHT~f3n%%N^)kIWuFw9A)$~&wZBUOc3nu%M)n9U5DfJ*I7R5Bmd24D1M+)3kf) z8T8a%@2P!l{-jt(dq7s_cK)p1S#wggDcPxVmGZ21?GBen8Pwe@f7bYR6WUGfEOjwH zD2ET&?b=CviY@V}gCtWw}BEb{hL&^#P(?0q{%!-n{yna6)R-7tvOy3G`GZacWw-P5htIC7Tv5MdW!>`F>J^I{YFDnPw-%3IxTE+XlXCGO9^pqJ#2uvw0J*L!JRNGKmeR6eOeRXVE<RKL**P!; z8&=o19R8YHP`|m6Z+F>J|01OIK7^g%;OT*0D*k z%Eb*U>k{oLG3}A299_MlWNo3fY~_ljp+q@-=hxS)tZQf+&YdutP=@E0LPnj{B-RxD; zdaBxFujfh=U1v_~`{(w9H_hGJ9|!XzX+%T+iS#4!~ ztz5NXOP27yA68%O-v1I;RoPIPpslj-KE{B z{n2)`y7y#a5Lsq?nK5UsV&ax4n=@(Bl(I68A+4)UhQAK#Vl&Lmc$hSP;iTzhQ_I}{ z^0MlN)vL=!NhVhfjW|kjayJ%tA%GGs?s}~+IX_6^y4wY!632mO6L!FB?UP#7PgO#r%!^&S&UvK5hK+V_4 z{O=8YNn5EIC5w0A4vr~t%U4w|TD?@BWJ^{`)h1lIXpxNXAStM+>4$Fa9$(f6p#ugo@z z=z;r+wyb^8wPL>z&vA8->uFo0o5u(B3&|()~hJcqf&VwoJmw z?OQ1An<jKFqUuKC-^XT@u>|R*u$0VPQU2oI& z>Gp~2$>))m)Gys6%{vc0r=(_EpGtlHqyuw8HvesXVae6`$MmA>*lu(rn|HzO2{w0HC#}y!MU<`lKv_d^fpuP_g-5v zW6Nu>@~V>N8{P}7;0hddjBZuVv*t$;xwWzvuOMY#KlCt2{rx{Vk1%eM&q{;FD3q* zcn5JWQJ*=Q|8e3z;;Y2Bi0>0WBI-S^<-Q^MpT^Ci9?|2CA&w_bCLT#N<4W=^AiapVglLw4CH*AQrxN4DGl}}{)#F`2+(|U!P2z7P zeH-yk;$y@oiO&;%OMH*`A@MWfmqdLK=y7#LSW#XH2D6Fs$~JUwqPah14bSU8sm3i& zEMz{pG?q^uMf$AOdJ~TnU3^$MJTzid!ML%7BS()J8wwSKbaVel6cmgZGbSYIV@A0# z6&djekdt zpR$6ZtP9dEJS->mvOsuP&(45@*D`gR41{5yi9(tB7# zs`ot~J3D4wGN`6Q&1tczv7(#m7X;M=z(OCZ_r^V81igKDvoSoyQEr!c5L3_+Z8hBePryR zwf0c!+2;L6#{9JzJEz6AH9c3OXTPz=-sEmR@#ouF>A=8eMiO?#@cPYF_x7xCpM&JY|T$9^;sM|uQueA@!svv*sA=k7ZsXNdIBwpjm~sWBauw&^7uFPmdMawbl;&G;Ev zv#6$LPRiEooLw<@V;>{5*U3RhvR771_7CH=t*H1(X|ev=vq{zXuDYi}De7`#Q?2T!L2ZNb z)>5Wx;jO_B1k#kZ_KmjYL9HSy{brNNozDty&23yDsBZ}2t+}m94eASAcxx`17CcnT zc4FCJP-pAHTib7{$_)0?vRz1K2YYC0S94J1t)&LEGg5TIEWEXK5>B^Ym+I~7BxqSN z^DpE`DV8xwDEs>T51Bxso@? zpMATQJxmhX-)rH?>B|YqY96w5l_eyfH!MNT~gr5^w)fQ#UE8?{t^^wUAbp zeWcc%DzC`D3Ejc;Oq#9PJD6?>+Im7AOrNB?@uovE+_345c5c}8MW)H0ZB9PRaEG(a znKNuoyMxJ}ZO)ltbHdqfesi`An^R@joJmKwtT_!gEEoDLX}Vn{CnDb^^4~)yY<9U! z96Ac;v|0nRB*wk!OZH2qhm4U3A9g@fb!yxkU$r$pzGN})dbkPaFt<;J;bZsZz?Tp*D%k|KNP15YDatK4GM zlHAiL6{iMPX;4Q+FYPJa-jaQ;w9RmA&H4XSDAOZ*G@yO-wg$AS?D?jXrf7n8(y0=#`q;mce|xBH z>k0SWFGSy~^e+*TEERG(_6Xb7Gi^;s3h7;F9b%s>=V}+(c2bI|>upW`=h@cD4C+*m zL7kS&pboZWP^Txjz3mRoblKAvx$Nl-GN6@NEGN@0iIm>R6sEBTf5YrlRB^6d{a{HrxB(JUD`>kT#-?vyLr5B zt-pH`6TF9)AcM-~arerXO52=XZ&RyYa8sQG`Xm}HT@f}c`o1pX`;fLN<`T&e6ZAOY znQpj?qI{BQe4e7dDE!uj$0XRg4V0iPXDVpA&)TMM@WeJqLc$7H(6_(eI>~OLn~sMR!{zAP*kR zs&JL;(n&`vUh76Hzy#+j+;4(26`nJ}`3k==!I=v0o8Vl9&t>;n4T*z=g`Q@T=P0No zi{DxuXx2bq*@eL`(Pq=$akG{wNRqZ|vZC8jrAZw%*%Xn#QrYL4+%pyCo8T-3Jt5mf zNWiid%I-E_^O@~B`Pc7l84n8XQFK~iHXUITWtUN5rRD~kHSD%;w!(JVGc{?0mD*pP z);Y}@bAjybHCAI*S^bN0nlv=RZ7Y{ZvuTHx$eyOP z$pMT6h1;xI3R*f-Wj99UNrwKcPcKVStQ=iq+@mDtl}NMcQ7UBDJ5W(iEmgv)xq-xK z%J|V!8*i@D27@|^WtiTriymfcz5K^%>|yhpjjkqP{3@OtXD}Jqu5_|xnXANK+*8gOk;LS^RJOz@=r{T(+@IfnS$FT z$9bvR?i$(6<4=p1NR@`rfG&?ppD1XrnfT$TAu|6f0j15?`Ii<`!`Fv+3uBpeN@URD10EhoZ*p*Zu$8Ny4EH+6x@+L zUqNf_pf}Cu0k`7xq>A-~*U8?tZ}hAtN-^^&)qvKK^e9d4WzBoIBx{c=PBU4{6tq-t6g1s^1hgj0%gkh$r4W)`ufAs9$FSmWWS84W{u5g#3CZwP zaE~x2`3P;N7TrwVG6l^fM>sk;bL%ui61^M-^|+E-qs=y1{$sMs`6#S1!37F+Zmhy% zZmj&9ZLa+51(Y%hnkrS!R(#S#A1D82(;i4Vm#2j^!&C!$Yb6a+&HAwHdMa9r_N;~l z%vK=(g|bTzDKwd2Vmyu)GGe026nK_;a%Sry|86_XRnvHpid4`>`(=*OtO2)4iRWw% z(`7(<=OzPkeX};t&8j_Nw!`FK$CHi*Z_^i`Zs!u;a!K=FBmc`}*C%d+?0Q@47*F2* ztMb`$FrO8Y68~F-82?rI#b4NV!@wOmhxWxiT+O0dJpJ5;D`Zz`$vz+ zeQA5&{<(c}Pd}{xm0O19#s=l|%bo5&rolfte{=u71xx$;(nMs@qBP_?BAI@qN`Q((#U+7zcvz!-{Qpq+9R2$pw5r&Z64j0p!A23%5<%6y4Mj!mVFsc8+(wwrkEBz~%Lq6c#Z4}W1cee9CBhRU< z4sY}3S32X8W#z=Sb)fq(sqNN0x(8*SE_?EMtQW$Ykx5cwzBX0D$@K*fwXCfYr}b$c zc=c6DIJv%{-<_*UwAnPDZWXd8*SAyZ(^;R^r|t6U+a%$n)3ZL1`cCyaJt?hG!pZgN z&2YC=qV;9S?$vjGQW*(aOC|Bjqyu=tD-OyRl$-ckt%#eK?^2#HfUtdPX%d32E7tM5Sxdu1eOy(;xh)Brax4MHc^ z*Ch2-NSxN!QFgDs7bNVJk)YMLyYP6tL7bGfPr}Ldy({(gkT|VR7QYkq?U%6Dr{5*I z750?R4!L&TrfItWiRk4E_HpHc4$k+fL`d;fUi@0gH^L(Xy|(LOsTbDdnvIX^+ z$@T3UU|IJ`oVR)Pd9;Vg@&69_P;E===Jkpjqw=6_)01vE$)fU{ZPWFRZQZ^v-zhgw z()Q2DcP15=<99V#|!Okn*U^v5LEi&gJ`|awZ6Ax@1##WGkxT|Eg@Q3US6r{8|6#t zS1+;>L}gV~E3tgFtLt^pYdN_(x9)?druvrt(K)MTIC%Q5aRGzXU$M^cBhP195X}&e2n@Dd}l;dAP`dURPZ~8~_-$`2UNT1wRdT06MA*IhL z#jZr@3L`Ttj~63*s{N$tBZ)=CDa50Rvxp}UtBEHPPa!rE&mis~?j&AK)a#(f-AjCs z_&8Coho-+s{G4b+g(SX<^sA=l5(|mN#F@l$;!5J_#2v(|h$@7k_1#Z=hWIM+L!#g3 z<})ivlE1ssDe`_G9-=5O_`%FKl5`R264FPIo<-Wo2upnxq^p@;Pu#-z^GWX{ZDfO` z+|Nnh#PmB!?A^kVfw!ED5yiye3O8{ zNslHyiFgcAMb)&PrNnxo8gkJ1bBGrcuO{9?+)I3v_&o77;-83L67@wZ%RM5h=3-D$ z+S`kGh@u>KFzI~KMWiF7k03o$QR88dMchifP*KWVPWtD>J;Zy6 z4-%gszCio~@onOViqf8R8H6OSj#?cGK;+C0Ccj%>!uBhIADqt##@aS&0aX(nFZ z$9g|bCLT$gN7U!8rY|NgC9Wbi5H}J}CtgI<_mh^tl6VdAE~0s!N&17NA0fU(e1-TH z@m=Cyh<_!j44ob)jcBA1gx2?u#(Og6hmamf96}sP97{CpD=DW8KP|7kE5#Ya6Nrn5 zYRW^?mlIbL*Ah1p&GS_9okRMk#EXc#i25z1^<6`}iMWS&7jZ99r35v|B7hqb4zGlcvfsr>`3fE%pt1Wq2?FO~ImCs;3gS}Y zPl)DuFZtJ!-bg&1cnNvlv7TsT`X#=R^d{mK;(5dih&ze55$_~A z#0Q9v6Q3f!Kzxb#8u3ly`@}yJRd!d~+kx1P*o)YYIDnW>EF`MPua=)eoKBoYoKHNS zSVgQMs-&=%Ur*djJd3CT#G1Z~cqQ=~qRJI(`n|*lh`%B}N!&+N@ng;ZCh>jZpNXFl zza*+&o#sy^s?@U5J&7S=9&spfII)NrCQc$AK~za&owprFTtr+#TtTcOt|K-QRW@16 z?;!3XUQSezWlg_>xR-bz@loOv#OH~>CB993pZGEHGvYTyUFg!|s6e!0H)0=RKjL8G zFrvy$YyL^Zqlm{4Rg7BG7ZXn;t{|=^t|e|Ho=#NhYb}2<@p9r-#2bjeAgYkI=6`_r z81YHsi^P|SD$A|;KOlZe{DP<=-kP3C>`d%VRLO5mA3_{K98FY7a7~{|oJpKbTtF-* z))1EwRe)T}$BAbW&nE65UQCq7g3%pqGe4K{9@2QJM>ie+^13l7m*1e7*Gs%9C)kDY zAw_xi<`D-m-mFhZz5=DC-ciJ1oaddYDCuTiDCwp?S#b8g7ba?cp(iU!zGbA%d|&eG zqE*%6hEe4wjU4fh=vs~)H@YxnwjbBE?9xdNCR0#tvn7m&p0c|5(JzmQ#bWp0(G)wa zCM~B+j=yR1p6r}0u`i8Y;e@9CIiVc8pK6N@pB8C~#cuAguIXmW9>4vz?N-U;DU$<* zxj8*+0ukRM^J{`5Yb!1)`P;MOn`9Y8a@d92ttqh&=lyYJVC0UHFP|-{?Uz$xbjl_) zO%%Pe*6;$$*UzPjMYpmfc2st5MNw0~KEXnJP~UyNqK&t0w1Nep9$jmKg@5W5eBd;x zEz%UKSy%Hw_vgDCowmqS>!A+qCf$5n@L_A%$ItrrWEx$_j~siz`|sQz6Sij5%q`5h z2aH;heXLB@T1-USKi@S%`+93X`R&yHO62QbPRzsvbyDp2r97r{+&((t8(6LhzO*{M z`hzrP>`59ENcl|54bbSK%_b(TR0*>zHT^=338v`UlRucYRDham{y^Fk%~mK?`3Db{ z1fMQc_=il;U^_|jkD0AOU2gFgFVWyf8Z23(!Cjhn`q>hcie_rOZS=MLu60O%AfVHJ zq4aAZMW^h6xc!`DPtniW!0Gn80;z#+a-7t_qY_Tb4jdD_S;(M%w*_VeHBz0b{$NZ~X9sl+R4hpbzmr^nIif?TWH7izQ|Gd(%-|VX^RXnetdu6r zJuf(3qEiF+$$vUqaz`*j4&%1u&O}ShvFazmy_v$=AVt5|)t(_9Up0q z6(oJZm$a^x<}~!2O&6>Z1r@h{^;0Ks63NV9H!WLdDi!rXw;lEA{Uwvzj%KMWHJ}$c zWxF=HA^k>)X=ksO9QH(sab-Cb?GxoOs%9~ZHK5IIf4k(hOZ3nymAqdIO;Yk&A+tm= zZ;D<$?avNxXx5mfEtWm|Zq0gxl3A5q;!$pOPOVl@RCoKWJi*8flZUw$p0+W z;_A3bQuMQBMw^tiree9xI&WpgiBfiko23}3GZEZzYJ-eho z?GedSC9#2S?QC0{;wIlJ32umaR5>-^Pq*z?G>`d9R3=A!LyxEzv70?ZiU#`kw(X8D6@q&E`mI&*W({2D z5_Yo&^sSX9wpT9jjmT-%WBginkpx!IcZo;{+sztqTR%se@Ro^}+BD#v^Bf78t%v;g zl3mVO!7Vkv^(^O`T=M5N*S6+qtEb6s1k5#{%@;Xe?<^!sg~Zgz9uyWi+Hchdn>FB`YFX>4 z&aRMH_f*AH6#u3Fsj4HnV2?zeQR)@e%N^ zSbKfQvXATQ@00Ie9P;-G`Kv?z-l2#;bBTYCeXr#U*aP+NaQ&O;PY){|uYWT}AAh31 zL&M14{nb)z?tnFs-Wu)iWwO+2xR3rt6QR69{|WQtd&#!-17@+x2PA}+V#)|GL7;V$yeMLyhGL4=2Wqkr`f9Cf0%;{Db=-0YZ~e;>3bPL34vtoW;00jn*6wQvKfL#Dp?w(Ls83{8$io{ zPvH3Pi!LWUC=ypXxd{oeWI2wxGm^xUMb^2V!t9IPkhN;+3Nno7@bUjDw(?0O( z)5(fgMuOHLNmO38w|T*8iSWXjwjfP-b@IXHZC+ZXL?j>g3z_Tgmwb9$jrWe*AYreJ z1g$+8mKD+fH!p3K(8=|^Bp<@r5~uZvcyFSeGDQ=A~_xh~)a-O{!1C zCKB~sB4MwL*0EpeQ(n5ac|l#`O0MqC02%vqk)QYf^~{AUK$l;V4chOhZUs* z^(rY3LvA(8mnDlPM5)5iJryN!fTG;|Ll{4n7$#07&LqwuE+Q@^>N8D`vzB-!@f_k# zqJ9f&zMF~q?X9#!e2n-!@m1n`#LtP}5(9E!wY;vID;k+4L1Q0N(8z2GPG));(a0W2 z{7IxY5{*oe#9vPO7sRKDe;|H9{F>NJZg@TZP~v#vRN_41QsT+PGl*9ZA0$3Y+)w-q z(a7G&aoWp+LytF@sQ!SIjws4Iv6whTQJ&w*4pCgFDEXF<{t4;Tq>b#2nr|E9 z)wq_@H z2F-Yudh|Zk`t+Hqm_y7X4k8v2&3Kl4lSu1aLd(q{>I#q23yBp(UGdiVdg3~w-gg>* z25}2<2k~O!wZt2Uw-SFzY$4uH{5A1u;va~w6U}`f$NiA>XT&dwJ{^yeo=WUM>`V+1 z^N2%NBofZDe(*9!Loa5+D+TliP)3ahj_5;-ZaL~AkHCr zvUQ}TH+?6C$slc(mRNr%--dsJ(<1hNgph`_bB6^AbK)D6S1 zeH)K!@)Zo(7#Qh`SciS~?1Vm{oWiE!*p%2MB^AYi(V4lolvEY(DA`>cn6#iIw`9h? zNwEo&E=`;E?95=Xb#6?iF#RUS-kN8R_(u5cO(kEvR1uqGO^&_ZzXeuF%(V(zA~ijK!ic5?*}HGE$4%JSk~5^q9`}5&-M8g#42<@T zvIc+t?8v6^IaXfB@<3iexjmtH(&L>wZuAveLqAR8yIZ*vV-Fl>kN>jNH_{sN#k2Rn zBa7g1{T7p9BU`}UBG=8XlE0HpMB6{#u|g+!@MZ{TmnV4e=4EKKE(Xk#cwxssq{GpS zwSgy^m^AZ3&(%>hcD=>~Qf|>I{-}RN=bM8(vcDNFDu(OsA23|0cfi)T#3>+bl za<@bU*4d8=q2@9Fa3`%04oy@MK=;KAo4c<{AayibA$zfq1E z=u7r}ND2>ru_pI7Ja{?$RY6e=w}R&IKT90m9N-$0 zq)cdYfNRNz4+hWC*8JQ%fSgc@L>P`WdGLA`DI>L2*BNfTaK2wk;=%te_%2WL_nPPT z2_AfzR{TnW2e0}dfj=;FF!)PNeU)dO8Pqpj;5Cxj!KXBJ{}1xuhiN^pdp!7E2_F2V z>0Wp1TRLzVNuRM-9JoBegEzg=+?EG_MS=$}MXkV<2_C#@$5lVfga1ggUQHgnK9TLH zoP-D@nSUWy$m36W@DnwlQ<(O@&|JmJ=xyb}8 z;0;UuQ6XJEykW_!7?aC~H>BQl9Tsa@L%t^=!-qdo`S2=Ao!wWCpfbl_3L)+zeW%F( zO!>F6he*n0lA@B(UW)MHz2uuD`2k5PWbzuxG|Lp!dqc&BOWUNZH5IRsx9}sZSSvN9 z>_V+nl4Q6wNFl?A?`)5d)&`ytKD@Ry(+Y|ITYPoviJ zo*1CtuX)U0qB1$6YQPvid{=vt4yMk+hyTH&{lCbEKgiSOKgEalIPA)L|9hS`+cMZS zXBU_CE)ol^{y)`~rrD&&<*o63)_W&6K1We+49PRd6yTqh7uLIiJ_3X@tdJ$U%X-(4 zmXn0!V)E@QQL_|QnczGHeT7J@!sTwP!c`_XTfsfVyyQd7YSu$!n?uY}(1)jRr4`%* z&y<3Rt&fC+^Q_=jc5HHGrKU2sn7$p&Rv`a}%PuTkg^99@AuPLD1G8n9c!fz*ko-Em&@=XnI7hPv_RB8AK;doKWqA0SHQ-+0*$RJ_UF1&`1SLzIuUP}`g)eRG zsAF{yyB&3k5i)Q)N(W`C$U7u=jJD%dQ(xJB0rzNSdWi327ekfu?`}B)J`)Turu9AN zMd%T{O+|5kgNvhj^5zyJ*yUVncL zEfmvbiovz1ze)AC%NMDDP7VH3!v6G-|CD@xyO1XO)AJQfd65>-@NoSr8JUziUBgCZ zU`C<;=J>n;Bl;A|r=4xPf&o7)641(=Pw;D%$8MHD+e#RW7;R#?W-3&I!7l}jL9y1l zw2FV7(SOp2(ZaKB<7oCDV)rM$Nn4rm(p-<->zXt(jW?}!S+%Y9v$XBs7YFz;0e~Ol z9hF=y8S=i zuvl;DBYh+5!i;XCTuA)8uk-&Id4c4qfj5CTOj?qjXpLn(+(R{9T)wmB(LE@;uJI?$ zEUbA#h!k>S^U@|sOmcl6NPYX{B)dzz_JLPlnS{ME611u$abQw}7py)g-`Q!R+b5rk z-sa`2l!)Zxwn)ccAqUgrYP@&c1_^s*Bxo%cmTE`?+`KfMOefd3FyyYQYJE~9QQuh- z_R2`mI!_X_HNeeF+bnc)eak|v^|hBYuYH$D*efGJ>oTcNb?Uv%3tlJ@$@Q%d{bTY1 zHFB_jL|!1;@%#L9J@!4aOZRK{m~D^b8{rXxUi+R(3TyIPlCMYu-1K~PdtCP9^Ef@! z+Am!s&FdE_uE$Mm`YHRn1l4+6J-&BOY4U#gINd0bG7SGqd4USaP^AU9dFSEL9wx{C z-;x&yB@W{Cl6&<36nOz{zCLSIJ|NfYI6=KH3J#(VKZri+AUa7tz)Zhf7p-k33`!(s zI!d%oZkdYpJVaT{?b@jq1#;2{|!dVbFlUnhP<{NEx6aD_bBl+SN$Bng7_LyFQrPm%sT zalfLxd%q&~l*fe9BZ+0iQx&CrGttV6DJXMuAu2Nh`I=-^g?0<@xLn%u$AR5Anqob@h|mXOZo=ltwc{g;6Bn15r0j5 zn)o8|W#YR;U6IlD|CRVRqFJ7icu!6sQ^&2)y7Z%{90WxpHzVlT_{nGdSmFd?2{A^T zPMk$No>)n&Auc2ScWwP#&T>}~uP3T*qqh4F;@w2EoFwr&AJKGWdMLh1{3Fqm6ZnMm z=frP`o}55XUesDXlh~Qqo!FPypJ?QPB>!;IMZ_?15>YvYTCZyKD$XV@AeIxC5Iwm9 zWi)F3^~5IPxx_1oKO=%@2}zY#@#QkoYN4S)dxPyg9`bVivIz zu_w`}QcJ!((ngV5=nYi_?Pg*{CDIT zMvN>f8f!}YxI9CSDn!dA8m0g8rPNQnpJe~icT-+dN#*2?{!2IZ_ivnBVOMUqc9aa* z*X!kt{X5w^O0xHD?7v;G*Rvg`S$Uf(I!=4ABA8?K@0nxgs#bo*ZP`wHlaeVZAHTD4 zXv(wg44onLd+!{`d*>ZlVw93Wpb?mT^QeB+GO@mX(8kKeJaU%X=8M~&mg-`jZXxOn4%W224N?fh!P7vJvL@W|PV zHuNazup#fzyVlQ)9Jjup>5EghwA+5_F;5IU^=D7qx$dRxl65PZA6wgL{j{}L-T3I5 z!6PTGd9C{$rwl94J>}Z0^G|Mf*r%(HcIK=;{ju8`zAkImFmOqE{m)Zwshc|PtCLcK z#U~wDvw77SJ04issr>5|)7~st@ztS=mgkKA>9S`#-TjlQ$6q;dTIIL3p*MTh-gsvROzpU!XE+;Ln{pzGe%et(rd}diq#qI4+C_mnwdBWJz!;gP5 zWAMUfMrSYR^y**dpPTiy1jyZGlrhK?--=uxT>q>Ud>sP$< zgI`4tYgcplZyrdC4DNf)gy)7$9KYkcmx^MSuN?bwufUj@n=bl46kK^YR9_fwAyP@D zl%=$%O^8&MY$b|TWl2Jmq_WI%w}bX9DYPI8X_qA>OGqfP3^T@zWyWBbVa6DY8D{zY z`s@4W-sd^bx!<|p`@P@$p54`~O(xz>MMf9uY<8`_^mnIp-{~FKT)MW+&cCqL`NqJO zi5G#Jzd8(UJc|$9@Y!Zy-OIuYYjwK1)~v2~TU{92tUGFaNN2pEd=)3aWTo=5w=3>2 zbe89L#xJ9+n7!2TWvE8L-9GilohKKWeym55RX0^C<3E7pn>U_n`-&UnK!&86+R*9m4qX0`&z9<9(3Xps} zS5e!m09y0kDvow5KuYB+#hnfX&?BTOuC*z^OT%Qv{3Zq1Fc_~m^;-enq~B8<|EU1m z-J%upQU%Ccgebg<6u`75LJ^#+042yZ#r8J}V7>dYB0WO^YKDCkRZkVbIm=6t^zi?@ z_Ha|Ix~l+ZmmX8NVhX_cb68;(p#XtVc8bO;3NUJJsrZ-w1c8}CPL`N*|X2}8EHYN|H$bnkSu>5D9`VZ7o^F7 z>*ZE?d7K=u^#96l3bYUBeU|G$?|A)k9*4qhJmE^l>_12aW|{DhSp{Qde-eshN$ z_=n`kFY3yHY~xG0SWOO|bU&9{NMvAFa-!U5PzD-~#L1~-837 zz#^iH{QN>0DBR^Je<_jzk0Bd*B2xw?%&V zwiJBC*2(j){{Ot0uDt%V6wK!@mnT_DLGkOw^2Hma;PSco^8E{?KzI3E`Ks|5(EL{_ zdqbN6w{D4K?1~w%&q5%hzMcVN;~W_wW(K_b&?jrSJ_G#xx@AqLXTWM5s_f>z8PM6< zBIB%{0nZ=Q%Vy1;0cUN0$?DkCVC8hV>_GiApyz*+1$~+Z>6i0m4hhr1ckKt+zkiuA%4^<4Rcb=53`YHw) z>?1PeBr!nJ56Uuch=G^e0hz!>3@p|6$ws${!J1kVS%#_@C}BHfvj(OB*L1UNS=AJ1 z<>|}X)22Y#Yi*emVG6uCrzyLBatb6ZSC<{!IR$Pus>qsDr$G4a*)o+r5eT%LkqXL0 zz-w|s8uLU1PJH4^sn?i^bdMs)5S`o0JbV_?AlfXKTA{`_BA03*d1@9(- zjqJB{W7H&YDEcXNJUa=FTrHF88BT&z8^203l_!CB_h%`sa{>eR*VAv>{-(6zoTGpiDZWRd=%Ub z9iQn97zG_$c{BG+M!~i|_DrvW4&2=@Bzm z4Tgb3;$tez?ow1y$T*(0hjXg7jt|6fFXV(lfV+d46ZJn9+9Rip4ZJ4RqI0Q7u z^=52_2Eoq{S~DT<2SK?1l9?mHgJ6r^f|<@8gMdjhE;a z$A0i_QSJ1{pnmYEwqpAJmVOWdzE7`U_W@V)!s*jleZXYwS ze7ar41f;DY)A@N!K<^8jwhUr|!L$q0(0V4AI_)`qxr+hR7N4G0O=f_#zg?!?k21ji zD933JWd?BHXFI*9yazzz`=@V&_kfI#rqg$}^?=_O4X2N?y1~S1gXv$-y21L6b<-m* z-Qdh4-RWATZV>OZV)}SV7x+C_V><3y7noN*e;V4*1srj6r&rQCLA;?%5*XJBs6!%2 zpiL*(kSUO?nxumWPp$-cO9%Cu{gQ{Cbg-_eTXIUB4zRmaNqr>^^c)~bgrPKGJ6$iS z-#`QJ3V%sXws(N#SAR(2?{@rO=Zhtfc?Xzcy&KzLhH^@RfTJ_5<0F9m$LNR<50pa7RO zVUmMC$bi=oEQ!2A1__S?Bta|5V9yaB$-J62z*6>*oV?Kn9#x!_9M@|D_Qa!-S$|r= zjPW6fPk1Z%HgZ4`x~3K2a`s6~>q%gr&u+=va1xlKy;IV-ngp8KHcPU8w}AAxwUTY2 zEgJ!=1ss{ZTypeRGcYJsmkb3r1GNZMiOKS2AlNxaVpQG)=tDDNhJO?IlQ}8= zhj&Z8M#Zj$ji6u!N4&(N5#+Y8#5ZUCA0Kpy-{kxS1@`S?^vGXODsL9g6*hq1#ec;6 zpEdwmXtj8~MFSY!UM?z&(c}Vvf}> zFsX15zw53BmrAU~I!HBOgzptwYF7hKLlg0m;-8?4vt9h;^iSZMy-EC6SOrGB^~GNv zRsndGw)p7wDxg7LCVuj}61?srP0Tz=}9A z6-4?01Sb5c&MQB_8~)&wO!)`!d)GVFl2H!U`*%*A-dheht0_}4t!3Z~t!XOsN*TD7 z^m|H8sSLQg{+v3QRtiki%BEa)mx84=MN`uaB|ro|Po42A0nB~xrY=r@2ThZiQyU+B z2S4-Drk-s64oa^)nJO+X2H!U&OvRim24%hXrxtU*fnRAiryQ_vp!EzowQboqFt8Lq zRsH5GkTqVPT4eDR=-dyQQfVmymiGQr|9YJO#knbssV^Y0b0OG2Vn21=rVwCntfuVR3P7!|`Ba^M0nl7+JSCmX2Z6M0Q+;>xL1og$DOf8X ztUk78>erh*5Vc^{RK=b=z^z?66;$^bxJNIXs&o4c8ZGBdQ3i6sL5V_?9G(kmzeq&N zb8~^y^>NYWN1p(B8&5R7`V;UUWQ)MZk6=2JDf+VaBS`k9i&ASp05ff}$mHY)&_!t! zA&mFn-lIAZ{>po>?`V~1{nR@!rdleBjD81le|-_fFM0=VMCOWIp1u7aN4*tQta}So z#jixYAK!rP!c@^0vp3+|wPexW${g@y+e1;v;T(Vr-V?dCz6OC=(IURbYv6t!5t;X8 zgJU{4k@1ymaP)r=!4PJFV~LkV7Espz-_K9vJUa_qT5wLZ;9e#`>fA(#dM0>!^Oz_- z=@lrpb`phZzXFUIJJD3eOR%8WQnYj9OJEhgN3{HX2Egw!66Nm907WBPMdE^VF#UFe zXu>QVI9yyUdRg)UJXyO^B)5D4c->1xi>lIqbIJlylU*9fbyF4{s7(bcmnkOw98=o;3NX{4vOPi=TAd_ZTEDyEmEi^$~zuq9z|1KLVHI z;7RM;1mNuyF_~eI0NhosP3C1k1fIVxO@^*{2>haaC)v;AL8z72q?%?txHofpvNtXc zWEZG#3ff%TKo$M1u%OufmZ-}gZMd9BH_*7tyc-jd12qPyTBU2SrF+g&j8RB5vQ)g2IW zT0U`7>kb%PDxQdoy$vq4j7<<{-v*;`!xJlTw*cxqFyYU=36{=hO!#}>1i7^x6Pm5j z;P|c93A{rzn6ddg@t`;gd{ER(xNeIAe&rPtH7_E;TKM|}Z*e3TG%uW}jRK%h^l{?Y zI0o)~$(e}t$H0ZqmlH$^3T$_!OlTcM!8U&4MBrBhXn%~GxU>lY8bNm_be_OKeM{5? zrVN8+Y-l1W90F^y!zVoYh``7vd& zu7CqGr19c!L11Y~!}#viL136rGk)RLWzevvV*CL2(*OHbJl^7Z31k%&j4v$;1S#Pk z$Cb4M!84Pb@po7N$Pi|XD_9r7r~K#R^A24EKd&c_SG@NJOvAWw19g9(JbGu`{)Qhg z%8eXfLiPm#SE2ETX1*YEd-!<8vkQPde0BWgv=1=)5IAn)&+*{Z z=YbvP)c7*s4RYVQj9+1#1NxU7$0MxIfz-{m!*Y&N~b|qpFmi1{uGGI;tF?{o&>8gkZ!O9KZNnD7141pEf26SEM?DrR-SY@YrF1<=hd5 zIvxfS0g=M@>5kyWW=NPcaR^Keh6#5$9Rj!CUKOGl2Z7GzKp{Ng07?ul2)7+}02jC( z!q^w~V9AG5!i+IH@aKw)(9gjRJlO6iq&>3*CwVr)*&{Y!L+*Yd&B_MILrjJKj}Cxd zLqp-qK5Ot-utgYWY7Hv$*9o`ZwE|_Kx%S35MlU&;c#0-DA}g zW}v=;I`+lZ4D5^|jh#s_{hzmJ82iw@8)zuijOB0L4dQElj9tQ;faQ0K$4J%2;I(7H z*zjUwV5RnP?3<|i}+mafmo>@5v}L?dym{q9ciqcwJHRqGBA zn{;PPU3UlYb&DJ;y0{(Ku7t)GeclGP(Zj~H#9M)0+SRev16zTXci@=yeFLDQe_`w# zX$#oG@)+B(Y74N;J~j5)XEX2$a2aF0*#vHFaU84TZv;hLo3V4I8^P$u{bR4;4Z!%C z=~#NzdVm=jjvZE656I(N#&V9V1IAz1js4>`QwX|a;qCfh!TuFv1KRrFwrug3>iIQb ze&zhJuFTaSIePAxFIx|6bC3yA4D>*~%9P+{ur3I#7YJ5=)&U#hxPqWDZNPBt7hE#Y z23bpc1S=y}fg2R6fcSMKaC}A*#7=2}EuIa6W9C|5>FOFmEnx*v?)@R)7cU31vx^0F zVojhDSRgoIt_fCb{V0gXF9U|W*8=40QgA#kL!djk1fXHh1y@a$fC95b!Sx#&U`!G# z*!X!d*js)_5Hq3S`OVD?##N#bRr%mEp;_T<#4g{}-csWmq0bV7>7cXCJP-(6uFm_7f=s#Y& z_xz5AnB(uTXEz9oDN;zW+}xjgJjE;PDbhlgDZ3m?^S9>IdlLq^M>VT>pX99{gL zi#`5%VN{dG!HVvBj@GMiu)ZUwM~%&fFrB5YqeuJ)v2#?%QLV>p?0uT;Xi~)hHrv-? zw0fi;^WAJVnzgDQYaB5gH9Odc*%cU!LZK||7k>Tdwe()h#ZqrntDcGV&(RvaKFPpt z{?-_U^%>ZXc(qY;=N^o8QfV|Nq8od)O3ts%=)!^+Q~YOtIx(x)V|?9lI;MMNn15WC zjwu@r@XZ})m_*dWCtdBprph|_x=*OstedU;l|S0CWrzRrYX>Np(c)VErbQIYgHpja z-%ZBiQor+OJle2^3x)g}QLWgrEuZ+$-jJ{Weh&Y7V+;1{%S--@uo>F{r||czY{p*N zB=I*|Hest(=CS;a5xs#`**8RjH2F>`_ZL6>+xx4s~Zzc9KVk>`7bOk1{*uc+u^#j|lw3=UAS&rRn z(BeO1lwr)rOZd9-Qq0a{0sn+iTat0RgrYl4uc^Y|M^ zw;tiu=YGW^RoJ|(4MmtyGlOS2_yyxVq48$V{el&FxA6$83$eSK8hJ1G7GM|owLIul zKIZbZlBawv53|Eccy{+cW3~ss@JzCDv7_p_JaXA5%)k8&Z*%)c>~{Jq-uBTCSV2H4 zuTSLz#@(6BJEH#{+c_1_3p9U+p%wRdCdb}l)VtBVg1|SJZl{wpkD?ipU{+*jDazsGoQSH8qllAU;$ zc4T0bbM`!%O*-~zlNC>T`UUoAbPtboISq>{HsYN{Q!zAZ8}Cs<3Ukf%M8jJcW5<6*KSENk{GUXpqeHd8Md@miCJ z`6P{xv>QIgTF&uC<{Ws09oalM!gNi*$O7ib_45z0z!LgMK}bBNa*I5YgT`S6N1H~R zV`H()TE9oKQev>}y;UP0-#)-*-j|MC`g$Lugcpras_$WCR-Z>ETkc}Hs_#Z>yYFD1 zS~Euub8lnc($YpS(Jibo;K|6vIkzyuu7nZAqMO+2nfoJ7tD>>9H8)2NZHU6sAEG0o zosrm>JAUNfJj->%jgcTb3@hOWjTjz9v0dN&M_#)jSm90Yk*4!7X5(^psonY z#OwwUyV>VF5^f2sd z_NI}0*`e6wYx*PE?{8qC`?N>G@~&fdl{H5uimqXATNaIME)BujQ&mP5R$j#x2hJYJ z{1uG38P5z4|Gt8~l}!w@{{~?yfB3_REtj#-q@iJ9+a-*9o;5s|8i<8#>l&`51z>U! zWq5zrMJ)Aa^RRD^KjsksXLvu;51VnX9;&)W@WOsiEcpAQ;Vpe0SmN!N;mKZitoHcr z;RxngY~E@x{HEs&c7jV7e$eHHef%0atWQ6UExj2$e2IDryX6`%>`Ok0De3wQtCLP( z2}AC~!Hvf;gQAne$e&|aQ`FI6s~T4<%H_~-L8S|JK*wg7R(cd$IJ9p#|LYN~|I6-S zi+pFSK5FMM;e!)a=CWlt@bzJ=RA=3=YK9~Bdq`*4^VuP+w`lpW&!dBw%FV^YS`Qqs zy~pMcM@8FXM7_DgX^+ibCX@H zFjamxx7*$l%POUEsrxK2=ldk?&0YI3gZ<)*S9vh6&~)&fre88e>@Pb8cUa5%w-Ak$by%7uM?= z$ECeD#5Nn<9nYI7{X$(583SIl(*` zdK(Jj5J*z=u(LmBPtgpjqwCH2H^-hCIm2myB`Bx-IOnCe7#)ar=4`Q4xc@aUT8DsSpOEH+1cAUw(rK!iHJ=c@qGb$;*dVaCukI{SgFl{ zocQQo?lR7ytvvK+=^{?;{1MbWPK7fy#6{)avpMuS4w}DfX6Sm(5E?dTa_IZ5LDY`S zA1d@^qib`9hI|eTpo<8sp-R1eRK=-lh&0VYHFVpDlBvDuW`6U~f-g+;NadfQ-3bgd z@^SUh;@}?ir+@j-i$mS$(mmgXy4H1}H|FOLHOc5`Pv`rgunrpPo|`>XU(kW}MWzq6 z#ZpoDmQK`rdV zhMqvp=svBhL&hhX(1YB7p(uk!^g_AMQ1q<7=z|20Av0<{`qS^!P}-+IsOlbTGeecB;8qyn&j^v+NM;4ez|2jw1`%X+MhKX%KY>b?b~KBG!#{ZCMebo zu})W_&TYCwaRwFWiZ?5UhNb1`D5NnYZ7xHpE^0#+nWbpcI;ElA5hW;DBpdWP^c@|l zpBgkBpfBk-n_Gmf}Zg~6z&EeV)?(s}Uu}Z5!>G~w};uG`1u#v~8XRz^L`j zM>gI^O;^tzR2#mF7EMgEk-R&oL&F3+BjPsN|BBDX?Yo605{B4vc{F<5mBrpy9fhvh z)WzO%HxiXfDeT`)0Ohnbvvt+~KhE#}u-B3hbS$cxO;3c;MegNn2R8^c-}Q~{yOM~8 zs^qhc>3FoP=RLb96^E|)n$6~VMWB&!>Fid$a8%;|jD58y6pglc%q~g4@&CTYvP-w|Lo zP1({P-e^dU~I$t@gnk-Jq|#iDiLe2ZPuJY zdq`?*PRD7K{9cJ|_xKb_yD1+y=6n(z^%4(gD4jqx&4dHdWyesb#Ulf6!d=maLjwa~ zy9+u{#Tc01e*|?-r41ZRbw;bg+Xl=|IH8AJ8V6{qhtclMbptyq4xzVZR}Gj&97OkZ zmJEz-bwFnqeHrj(+MylsxdZ=mS!l(jw*$wWY|wAEnFCb0HCmyYHn6zR3Z;sY2c`oo zQANwcfoIwlsQHKc1DwWvXw>bS10#`pQTlmwAk)Mgwcm#ySim+ze`wwq*!;{CJvmD|bpmGx9-Z5TYI&R(*rslXj_*D)fd8=rWiCEAfD74< z_HeBSwyfTUj@0ZOh;1}L)n1tlWFuS9y~vJ%kZqe$;+f3@!?ca)ALF$HI`=l9Th(<2 z`uhDHw9wmG-Tiig<>(7Q?Wd$@qP3o+{#6dkP{p2x{w1SJ zP$$ir{?ca}=xf1`{(st~C5^@XTZR{*@$U-yhZ7f~I(I(y`&cYMOMG+s@AuC~FI&It z_y51B80e<-kDI8VGvdVl-JQy4HzlrrOSBT&QgFAwdCOds{4lEDpm{dR35NSkBW9uM zP7(c++6u&B^R@m2iPEMJdAW_3gi?HiI0i@ah-jN|1&$w|>KuV&n{TtY3dh zgiM@q>i?B8iDa7E_iI~CAim42`Zx3n5#zBv{k=EG5Y1+z{^Oejh{~sJ{b9fPh+53X z{=-2$ME~-de$s*wb^p6Dw2M(yf0{mg3ye=^--RZkxfhU`^HV$kXvK# z`+hZ(kcpP;KF2F9NJL(GADGvSs3tt?yZovV`E>1Z-#m-Ih@We0pIdu9veocTpZoPc z$lS$|eT&t8BRoE_kC0W1aGS#Vk}PVFsobl5ew1oN>tSHuz}26KMTp+1t$m>vhvBq&N))um}j(ati~+RPM$ zuJM&MV)PtYGX9x$rRpi-L3zh|aqJ0F^eu~ZlaYk1PkF)82}wjUk*BNx=_6$K`A4ja z4-ycnO$oAg~+_YxwJ#JP?vo;E<14BD`iU!zE7 z{eD)5&0Eiz`9tEN7CKbvt%c5NQ|W(%ds;YiCL?~I^-9I zyii`kl5lSzRU9>zcj$FQ+^EFDNkR~t0(q}Z5S>?5 zy~Tyzh|!(W-aUKHA?{a-dV@+lk)&gvd;e(`S*GuLITdFSTb-<4Gut!B*I6%m&3>Im zEZ9$aIS!`~azjF|YuyPXGXFvEWyj-))ze$OW`A6f#VFSM?XU|n?n~(9{yBnh9YcFt z4?80hJA-?J{~Sg%mIw5fI65NMGv|9t>kcB(z3#o&4mu#zx|6-sUv`Lf?$KVMtu0cL zbf~wg@&Mup+w|I6StFba`+EtcmdFc7(_SBQ3&hXRuvhwJA7Z(}px4=GFS1p(uGi($ z9%O63Zm*Jo8DiP6qW5a{Zp5!ZqxZoY6C~}qTCYcn5yFX7>h00og*aZ4GnYTyiIlpE znF`e%$bmgV=8NcU2yOKUlRsmC+@8l~j)!kS?D-7l2i_)RMLUhTB48sTEpKC*_N+%H zUpF#U+}0tpE#$^f8j~`A1)@cI!W3be2NeB8MxNfka>OO zH2in30rQ_;bzuE^X7-c_UaGFgYzUZyPl#7Ab138R8)RySud*q;Vq&GmG~ zuT}l1x)xwe;p&qlL3!6S21jAyJ4@=QpT%YT`(=Dh*6Y6hl3t} zW*|y5cro^lF?E#+|Gu2X*hHnk$){g1R1c70oXt~4`rB6c%8o~jAq^57zAA<>Kxl>^ zDc@!!GaKQbV;E!l&|g@khrn3+xgPeZ4`p;O{{uIE4Q8A}>R^|w07e3<2JV08!@xQI zg5wYmhDPpB*!l7)hWD~6Sl7*k;X|l^=h!(imUoxKGDBMiW>W?)(6eBqye@%vsF^XY z%>NGiPZ=^sgTKL_`wbYIT8d!x*7b}fCSTy_3Oz<%asjONNsBR8oCoKmEMd&|_zb(< zTfnF;{RHdalo>{PAK?iJDj_~3-?)%_vBl@fv0!ydiWXtGx)lLJw1vn z*iWsuC;EIQ{7p>nq5pUZuNfrwu=O(FR7z9NYvcvI{nziFm7S?Dso-ai_1+XX=2cnG zs;AFj_k^!KZzrC>79g*OdpsH59rC`1nVSe7IG5e?Ppj;6B)w-aFab{9|Exz`9S<|M zKJF=B69-#q$M%@PF>wC;J3YSb_hEAh=&>`p2UCWKJ=B;x@STpZo;B=Su=DS$Jvs+& z!kdZ%d+MJ@!OP!X=-D~`zl`FUXOF`X3^uxVx<}(R0-qu_$9oA7es^@ig zzVQ)wF)5?_QM40WQ2o4nW2++^^fj?NcZMI686Gbw&%JZckh9X%+7T8 z8koUkgX7%;5xe17J?HMUDr4Avi9@%_A|u#B*}8kvSwq-VV%|NSxdXQ28FxqVw!s0t z+q=v5Y=tu@o4P+nZGm}z^t=D*)Q|tr?#|KN2-g>Ab{Cvm54*on@4k_<7M7=~b`S8^ zz{Lr3x)<$P4d1&h?Ha;#;j56Si%_fm|1t$#Ws6q91b=Q<;VCUR-Mzo-eCl$T?$Xm$ z(Yp-ZX5Z1(ws|R>xVN<{^{NKE*zj-H%0hKGb3<*Hqj(|gq+Qvy-Esk}s!`Ha6Ez?1 zQvTAlv{nVKnfcVURBawyGxnyd?x+$>AAHrd>(LxoxhJ*jD0voqkeuALT1x??)j#aY zc9%i(tL}Gor_Mkz-)?p_bW5Q1xoB7L8Zp$Gjqm#DBZ8i!-st-KY680c_)1p{O9=Vg zzt|;PHwFbnp6^=kHws1J-Mi$OJg6|_WLF$(7@E0ww5w$;7ji#$sH@Ir2x>fK(-oG% zhRz(>-__dP4^7#bcCmH(pilb^yK>!oA)<*v*Vd;D$ZOmBE>ChdbZWg`m-Uh^$WKSB ztK%pgy0>ge*Tx4O(9Z=6x;E9eLz+s;U0t&&5G<83!OOH;kmF);@6)==$C{COY3FPzi) zcKKj}Y4C0Eq>7L3clsQhN zA2x=ePyvZP;!T9k@*3!NF?h(0TSIsK76AnfR?rvsg+a;v-|4s1L!pk|Li(q@*C8Xu zC;9{b5a?Cc8@lepV90>}ithJ42&$u|(!KjGK`14ezGzV(bhzyy{f5~^Xb0&&{lR%Z zXiM`=`k8wdpnZ)fy(8~DAEZQXIng=%e5Ej!>(tc(O>83 z<2W}cw%VPZ_u>?E;O9yD+FvK21yx7shq%Wee#IgBS`Ald=!XqG&-5rHDc?^Y_i%>R zm7CIs!C~k^nIZjI_95tdsR3Q`+X32Kx}L7ivx7dB=+XcAQ=Ce)=x25xfE3@C&|}V6 zK@Hy*(8C}LDEGTEeesKZ(7W#nnoor}RQg>)3+Oe22ELEe*3LDBHkI&b2^&lxP%=m> zbTEQOOL}Rs0frF1w3D{#?ha^08HMKYW*gL4)=WEAX8@&^|DnyWH$zcBs%f6{HbGcL zIqllU4Ny|$H`*Tibx>thKCQ@CA5yOVKpTu)4PE&4n%0!A3z2IwXqU^hq4RamY2Rrp zp*epNX+K0Opz``S8e!RTDBN(M1#BeUZfxtl07pm#(LbrKVIk~{RIG~yAH zrjBciJBWJbzdLa2+KKZle|8v~l8Gv|WgVG^T8a9HzIOa}Zy}yIlGl-WrHPn!{C$TJ z`j@D1%kGGXuO||{(mVcnlNS0u>(I%oC4LKh+<{g8BEnZ=JCa*|65Yb?boeqWiO$5x zj=s?!#4`ZuSfwZK(s& zo)bfq=675gdP?+PIJcu}ESVUtDWf(|Clb?ir>KwTJ|=c=7^A8!Od#&rF-$erj3>S` z9iVFK#u9g1F{t_L9}qhZ(x|en_lQZZZB&KP9b(YgMrx7yE#lb=byR)pXyW;xDyoe` zBoTy_Qdc-*#BWFu_0=(iIRDOPD)Tf%414&FO7S2NMbEOR;5?3)nE8U*;}=eJ{P>hA z3=Ac%`1*)idgVG%S`kCtdo6@0{d1cd92!hqP6pId5kbU5Jw)ml{u1%=P#D!73LuJv zSE)x3e`2UCkgAIL5>-`wsSxlXep~8AeHH0V1bS{%G|G$Uv*j37J=%llY2rjZ9etJ< zVr5S~7VSn%Ic!Cp9es+(JY`M|j5sX6$A#CtjF)L9Ys#HR(SRER+ii@t5L+%5weQ=yiRcyfxqWEe2IB0f zckRwP>xh-{S?zwy^oh^XUbGu6SWSHJ{%QM{Il9E;;z#Wxl2yc#+L(5lK#M3L-)=_+ zmlKcofp&8DGUCrMVmqsC3DIR%czgDr#YCZcNV{IeB4WPYrFN$;3yAl(`?l|RH=hXa z_iC?sp+datEsH<%0nd2V|_^AN$&Tt<0W!6pbCrzrNH`w4~aW0V)^EW*Q}VakU% zCgC9N#5N~=p@xq`qz>PSNp0c z9Q9Vhs>xDH)=V>jIqxfF=};q~a%CQ654nL*y7fI}Y2_b6i$yl&pC?h_l1>?XQbTaO z@QlKWswR90drV0Tt|Dx?6HAe}RS+tl-JxjOloLWfL{bK}mJ%#~K$Kw3?}QDcaLQZR zSHgz=5K0RB3&DEo62+#ufDo?cM@j#dN2u02N6E{`CG0RdLm}M#NXWB4PMHjOPjEf! zOxfc0mY@>sKv`m$L!bj|%IEdjgu2ANl(}k|gyuIUl!bx}!dU4JN(1EuVQ0%`igjr! z;a2}zO3=&a1d&*Wa`^UBLiC~)6vpLb!j^R!l&vm_1df>+WvlTc!Y^kf%Kr^Np~Oc{ zJ|c-F)JKTPAzcp$lMjSshl+cIeJ@AIq*r$cDMf6u=B-5c$Hm69I2#L%!MQNMO3` zCwsj)Nbn0ZC37R~33DP1$%Y=bgtBJ_edh{jT_o7rs@$6 z9j$2#y{1Fp23EA0*{veHjsD)2w?c~$^`fwi!OVbqdPP+=>y4QoYrEir98wj`B#t3r>6Ny;cox`&U zjL(EN(kca>-4NQ=%8}w#hl1Pgf1k!5R0?bp-4o+8^e?onIxE62v-E5eZkoVnyPs~0 znjFKs;#}Kmsz>o!iHF-NAMxooC`7p4V^t-~WO)LABeAr#kWDDVlAGKWX^pV)eH7aa4R=yJ{QZ zECo-WnA0X**M^_JOx9}3Yr&r~nrhwntqEV^I@a0{`4?{yGTge^sUF`MJJ7m!*>8OE z2S)4ct{Qw$J*^dFSL3}nZLME|tMHdqn_4q=SKt#j|8BLGmf<@N{%n0xU4q{mP}Z9D zpcw!4_Se>c<6rTvuk%{}d6AWB-nTC9$;VUrvs)*#KI4BXWwa(-{)BJX@Vu3^^8;RB zpV-PBe~UM|7}xr)I0qkp`);cqnvGY?Ag`}Pafl|Kb>f`I-7vs+kB+8WmP=B zL2$5jRp=Pu7{-M=CXZx=hObusxm z-r@5!DdkZJ-mi6n)aeq8PoLzI+7<-iCs%Svk-r1+mo5581Gg^XCFi?IiUWT5oSRfq zi_`~y?G1@^rq~;Qs-c0D9Oi|08?7PT-|2ymSXN0g;he$e?I|H8Wu3;WdVL{%ICm0{ zMCOtRTF3E=UcV(tTU_uZe=mU1x;Y}*I9Zd{ zeAtLzc4IH;pAVh&)Py8lx)$H`V+Sdub~TEQO8?P%UdSTEW{hGleBcHs^Kl2##@Nr zRq%nKyq3S0mGPfb23y)!&BZUP?rnMcXBIwrxU)r_D97#AY;UpHBE<s!ihPvI)!f3>LZp2W3&`_Zz3CB)6?EpDMa6yT1j6}C8A@^K|5pIY$TVVv!`H!U7d zIk>?)uUaPT266B6Qd{f={kZ$|6_>Rmu*F!|jEgyYq2+aIBkti%&z5HU z23&sb>6Sd+Z`>HowdK&0TAYirQ%kbdFWm24_ASrZRX86ntCrL86}aX1%v$DW(@^KXj%UeQqKjZAm7q|3R zeZ=*1=C>>ge2>doq0}<6;4LoFPTm}y_ZkOX7dJPb%ECQ}I)j8ZKsIZ}XKH(&ecj@xw9s`=TWGq`EgyqU;4g&WQ_ZeD_(z)dr@H~VNE z!);lzxj7*JC@$P~ZS#6(XIxL1PV>`#M_j<`<;_3QgSds%#m(6(>~SrsYR#6PZE%JA zl$zrXS>p}DOZvt-ISuJ-><*m`+c78^L(H0^Lf6{>zzB`6ZfQO(hwf+9cS@) z+|XpcD-J*Rli@qdGj7;(#PGsrTU;V$&_L_l9JejM*Pz30j9XxMW@!JrKJNFdM~2Ls z>*5w|Z!yeWzb4KHtut)Vu8d2+TVq%j;Trd|U15-nI>nuzEiueba*SK=DKIRtbch?r zlo~o&ws9{C?i&t!*~Ilf$~T;Cvx=K#bjtt-FNyQ_x@MR?ZXQ=cOgBVbTomVAa?yaW zSs178N;0hF7{{G9J#Bd4WfXUOFWvC0Wlr2-Cefe)%!-5a&<4oI8RneN-9GPdsSmh>W2WshLA5zu#2Ce&P(bU(zeRVza;jHKFs&Xx}ch}?gU%P6UTd1w=UusoMHn*X@ zAf$@v{aVvLyHm#eY_Dv01W1|MG~1E*a*#%F&0Q_?Ow#bf&I zDr`spEoJhlx7#~XSxm38>+N4mA28p%$!Kr9Ud$|Ck=7outcZChI=MZ+AfH)sBcVOd z$-ON!8m4{@yd2)3!T}re?|NI5GwQSIoo#IRua>-+OmB*guqOiT-1K21ak!EFWQd>Xf)FD=zca9H}pMI|`-pres)O@FnaA_x# zx*)gBcD5(;Lh#kL#DuNP%CyUEcfM_68aJl25eOd4Q$~qxlW*LaCV}y7yFqK2%8S&t z9nV%VGj#a2cZc1WXXc^Wj_aJ6nE{YC=I#~D>WeXLp<)N-qJ|S~uh!Zz&l-icx!<#4 zE($u>wtlHKvpQ{m+ne+y%nZG6+lg6=nP(R4YD;7;Vx}M5+6H=W!c?YjXq$*NW}39E zZVT+3$2@K3(sm?x4s$`MLz|iEFGF!{Y1_q(GmJ}57Pm?6|6;^jFKoMOJ;_LmoY&@c zX@Vik`_p=DYMf!zJK0)<|H@!GerrYce_|LxKD7=V{lKU!8ELiGzGI{g54JAY@|F>| zwy#xqe~6KW?`mCSImnO;AGNxqyksmGZ)yE9*2_5U+0e>_b~6^9*0hQrbum=cRjnMq zr;Myw($)y!W5&4vVXJC+2jlu>PV0;GHioXPq*XB4%viRhu+Nrt zzd%Mr6~84P8pCj%TiRk>AH}dZa=#^LWdviz?fjO_so{*HgEw2A42LrAt-sc?A?OIh zA}+nfj~&8zpuO0#aQ*>Cr0KbqEMy>KedOtuCY?XS`98g++HEgm=SN}-CdHRQ+KFjt zd*#j0r@&f9_v~WqH2_=G1)hvvyQr2!zqT@NBg0y*MQvsis#R_b*~U#R$Id%5V$Q5a!kEycgg7^iQ~Zuw^ptr`B^Y%W;9 z__6gzbGOla#z^wlW>D;0#+2cG^Ih32hKJ*DbGG>(`g#07vk&Sw{byBobBTJAp1t5{ zv)1|t{XmqV`8NI=-Id+cyt?iyeZ@pwGs*Td-6ufZOd@@tC*;VRSL@!8 z2W{my*OG?lvr^d259(jh8y*)o@3DPJFLTLnzE0?+OQ<)S3$-ukeYM%mQ0p%G8q4(N zm*`Gd2hvJ^c!J(+ENP0OIIG##AFfo zQ~K2ede*|`rmPq7^i$yarh~3bda6|2RD-9{r6!7|k5V#yK3LrJWQIULE#)^+gK%{7 zg{4i+SJCuF@cpKqE(E=}GQa8J3K+e>^j6b9Z%PTh)&vy*>A&RZO_ASE(n02_O>=ig z(O)CZHDS)5pkGm)Zn~roqla5En!skEbYCo~=}Y)w`XMc*X;Svl4eVT~KVJ zKYHfbbmaGDy648tO&z`)>FPB1riIDt>F9x#O@C|G(zow&YU0mbO?SI)*JK&!M)!Pg z)wDIunU39W-n3BfNY@lBXv#Nspzr!Ir)fCYmi{z!M*r^8Qu+<p*V`Lg z(BnWK^#_8?=mqk(`pu~e>BCC~^^fX}>Bk7Y`gL=R=r0?e>3#OjpPvilH2;47elnA7B}>XR)aJ&Ayfb3$V*ypQ<{G5LYgY}KenrQHf zllt1w2HKLvC-lrqb+p%nqxzv54Xvx?fPUeRYTBo@`}OZPC~0dh`RXsg<+O{#JM~?6 zq_lYfTlIq-6|~Zl4f=o97;*L*{i@x3S|r3p52SEuFpY!$2#Za-vBF0Gv-<(i9?O4rRlWE{{BWn_a$1{o)?W~rm3{#drunCJ}I=*v)UUIi05cGq58() zqO-K8b+wJ{hSRj2E2|sL{>IVNsj^0+O$-`(MAWE=rP8(?ENgVTN}{=yu^JDk@wA<0 z#f@t|VrV4t-A0W)iq`Zvx6$GtoEEe_yU`&YLL0etrE%aPh*marp%L^XhL!_NYRvr` zMZ2dt)tI^=f@W}~H*Pu^PIFEpHs+*;(r%5S8$}g|X`7G08q@j@(msiRjW#C1w07&L zM#%O6+T&AUjd1Wj+Em}6M(6ZBw19mXh92iH(B`%MY*_o=iiX}g-jHOmg!cJ=a4la^zJ2w13;G)`j*)}kj+^0IREE}F|D54%* zV%9JkoKL--XxvZ*%cCxQKf56y@g}t-a$0xg_6;hi{)g^TMK;xU^O(-BHIwRJ_(3Or zbA^gu_*VCFCXK3yf2GseT%@`W_vnzD&Qoi{pXoHgNz}924qYes3>C1kMRz~`6ct>c z)2+*9QZFsk=m=~Y_35c99Y#&228~E`E1nXleGx)k_IoV#wvMB#nuVsuZF`_Av_Vi4 zN(yy88=%w&OY(HN`(mkIlW*usBLP&{*GwG)A5EPCU()?L7eSSFoY(En4X5V%oz?B& zgi`a$<8&WXhpBZ=R9#HRL8`?yyzb)bAnJu#C>?rYKh=Q>(fu<9pAW|9fcAT+itq>> zX~S-6O?{}&d(STF==KnuHq?{q#SYNThHj+_mig&^Q#VnAuXyQ1DI2Ipzqjd}a@JAb z5jN@$JXlTr`f{yqy~K^`80MyHs&l52>m7B@PaLUlJ#BSc2kogT982AwFUzR@4raQG zf2^r%uNvzb7Fkla&7Pyv+ApTU7}NF4wTr0okstMMJWZ%u0Auy$`{z?189vmHht8$u z`oFEe2AoArl)b7S#mrDL+rnV-uipdr4(4UcYO!&A*I9Evt9|g zPk|b2;6%1`Rb`d(ZfW$OKk`U>JriYvmdejnuqh0ekPvMy5@D=j|2wgdP!ehdIFV_ND3*ARYyf1r#v0M zS7(YiMuCv;)&ULw5F zq11y;*9ii4Q=)t6b&h_!C{`y)b-`Yql!-1(oyWGVl<5=jy7mp5DC;^wb!%5|pqveh zuG{0Zjxx~^UT1E*nsW2#k-EDUZj_kz;JQ}}oGC$v{p$v2IZ~oped-D)?I_tHJL{~! z+E6|=Z>b9!v7%58Zm8S((t=|D|0}oWsTt+{0hcR$@qbIUeA-=G<~c;3ig;QZwDuL*_=Taiz`mdC z6VqHPT+~Cp-B(|m@TZGx3$Cf1{k4;<8C28;3_c=fAtbf?p0tzCj|ggi)wPfdaGYAA zL{EPCsid~xfsPzVEv)@#i$48+yVm_e4f*n^8@1^)6&XIAS^E&GAS076)g~OPBxlV_ zsWtTzllv~8t&Q?1Cx@HG*Mc4RcswJs)HvdD%|Tl9lPzLSrteLhq|<~l=bTOZvc z53m5Wr_}{y-}RBTL)<%Lt>{?of?K!9_#KC8N6+VweX0X%FA}ejxB2g_?TyYNhc|iG ze%hZ-zJ1iQR=M#KdG51Kwf=S&$u|M+wP|zDlS5yxtiAXtk-Q1(RJ-SS0(r+*yIP4h zo{WgMu6@I0kQIN-YddaG$=lORYU9q3$X#ahYG1(dQMms>7F5N%A;sfKE{Mb58psj>N`BJU9^R=8rNS13jO?=X|C1MS|m`my_ z;cI@yvq_=bOEtcs4@mDD?rV0hE++A#3N#bb1*F@fw>0?9J0$Lz>zYFDEz*!#rsjV= zh!jwqrr92Gjbzw*UUSDii*&BxjHc@E6;gC`oaWZkG}6fr6wM~?1=9H>yyik;GU>?@ zlqM%Ekrcv$XuzuyNbh$6G$WI7Byn4WW_=r-R027salc0)DZhtk-cpIAUzYbO^P%?3>xtMJzRkGzmLL7p0SC4_Xjca!FN28fhRao0$JF(i%ADou_@6v-yvNwe|K zanh~L_L_@^V@@ z6x!8UQxmd=H0%G911?)h>NaYwkq2YqZuQ#9<7qW=Y==@$Fntjnjiy#KrfbYDTgBM2L5I4S919vFF+0nu;G? z#Iw}kn)2#SVz8Ni4KC#oaW~heW-z#&7!|m)#@eifm_N9sX5N!VqDj(*8quwK;ywE{ zHMRgP5hQo1@o`cU15PZjaU7~5o*1*KQL<&k%&SXktZ@?Jr}c|!)_I7C@y+vVet+Q; z-O;ma(#2e&k6B0XYLS1%Lmmb zd~OlXse08WGdGB*V!G4`>TKejsYhx~VkU7YuT`DndxaRet5NMWlS&+au2mOSrx4}w zDs}albHsQcvqY6#q~0=hiufz4Or0iY5@C}p^=&4N_${wkjod~i3cT{wU%%ps z*L!ZNcM37Y!ZX*@-gqSO$?^>KKTmpdO{zNnO)OCkPF9PG0YvodGio+4nz;7?Qypy^ zLG%x#s2e-Oh{O?`di?59V(k^Ay64~_qTdFHI%(bk;;K{M#{qGel%hnQd0QoafC@!#*&OJVbgBG#Ac?aSs8s}8-dUflMV z@L}vtb!qZ6A?SY;V|x1(p>s+>b`<;!m-SbYV@%$gs^Qb)#YP?211l_6f>NUx|gc@gFb>Eg3gu$kV)klY( z5;jurRiDj$OkmmFt;Pfz2!VCE)fPWm2#fLA)p2Y+VUBfrbzY>7;H17-9Y4R8fJB|E zwvwp{U5gW{2}mV@T*atPv6d6I!O7JT^%8=!8Ls*bRYce+M^tZd77*g0;OgB5F5$Il zO!e%uY(kvuM0M)A2ZZg=(CWsndjy9?A=UL43J4qI0o6&H?-0;1zv>@-HwkTK-qr3` zZV&)f+pGUs;#SB_)vd2G2tO>`t9N8xCd^T zCY(+BqPqP8PKem>UiB&&LWu5pqk6j*L`c0npyEC{N$B6vqjEnLNr)YOszN&*CoIhE zP{A5Q2?PEuDtqE#!oZkLm2Gv9u;9K%Ra+HE03K7SD!~4Pp1)ER$jFb7QeLjo^L7(X zfO)Dfp}Ppj7PC~H-?tOuHTP7^J6i~P@?F(a-;D%+=bNgpgYJZpN7<^D)HMXz`E(Uz z-AaOJYpP1x=1k}uI;UzRI}%)OCa7*&+7s>sF;y;=HUytZvI-YvMKIyvRMe@(g#Z0X zm1q7Uf{QssCG#;M5VbL?iGCx(5G_JAmNbWOVbw7e&*?Az=!+1Qi}p8ue@37x0y2q* z@7b#go;86NjCrem+#AEYusl^Tzt4DW^k!A&%lG*7Me9|J#1VX=c9qI@`5Sxz!&!Ak z`3nDLt%K@rbU!|-f2k_|M>l@rx`oOzw+pWhGF9QWbmB$7ja12o4!l7$OLZLIhF^;M ztsF9L#$R^$q1^JY0l(_;SEcXXI{c@!56by{HTVIax5}(|6@GgBmGYk>zn|Ny>=a1x zmEbPrjRO_8MO-_rkA?w^feB?!Y&1vr<}q-HN~S)lB(2c@zGoV1e@f zk_~tjZmx2E$y&VG+CNomx30pc4^LJtsB^(@eekWSG;9TaKJ;_d+(CQ%Jg3nrN6Iq% z#{QwIi9c5O3;8drGO{f2b7Q)z1{}=r<+e|&#<(W-V!In#=}3#L>Zo!5lpx!|k3vUIrNA!OC-rM0-jY)I9?A~o(M z22e#>t;7|qkE{w8%5Z6)k5zs5l;H9!4pp5{m*e^vK~=N>KJLJ-eN{(WIk;E9cUO&u zv2YJHJF51*xR1-Zu%#+Gwg@K--cYsi&0XAt>6)rq>}?$SkxP};mmHkY&E-{YjBB`# zlgp~cCNpsjD=e!L6R+Uj4lSx`nw^H*#x<^boSK5;ljc<2F*%0|+diXMk#z>=^6RGp zW^oGVs2x`za~Qawv`>mvHdNf5!|xOi^N2V*t0Bc}dmOHzyI;{?fWj3Pzfjy>0ml`i zI~5kiU|h#WgCf`kh+F@oN#RoxjT5Wu6<=H}TCooN)5Oc{JxLstP@E#2eX) zeuu5N7l3qy_RdBec~zfe&J_35JWP>4W{eB#Iiw&E z=HY&^f)vwlXW=@i`xO8B5s!U+6j8lX*uN$_6>bqfuqV5=CYIEYu?Z^)`YcZv(qR*pj>_pLYq6v^L-KVU zYHYUTrTkui65E&3E$^(7VI#ty%Jmy1*xxQ4@>37Wv4*i``RFn}w!Ky-x69;UC$DSd z#!<&c>P^ z$d?mVW@7X0Zpp)LUB*U#xF-K$oQm~VXUI>UK94<_ohCo?J`r00Jue3W60nQ5pOsIy z#$mbh}n6w1>;Pf@83~_m9XuWRY0YxC3(kmB+E@kp1#yH$t&vEB46C{vN`Xe%mGgLpXrV zXxb*X=n25)7jBdf2JOSXpskZrCB9hy11sfPCvR-Gqm$f_u>)K5%}$>Gb1U|0v$cFJ zd=r*`Z?T+iSdab5TqsZST8kwgHj*EBxDspaHcS54+y(n~>X*#!v?F%-(S+<@JH(Rn zRW@?i28%!UK}L{SV#gxi$|{@|V;^oDlvQ3f#iq{hlbsqf#@=|@CHsAH9=2WfSmskV z3+tKPCi8Wl!L*?DvYG2sn9RL(vc#zg%vn3NOa&RolPrPk zTkZ&ECW#~4_{EON=EVts4BR8Ygl&nGUGw2#Ce6ZR=Zn~w_V$$6}WL_F3K;|ejN2Fi|a&2W^^+^~m-AcC7^$g}-xVg-b9FNg$F_G;Wpkr*7%#*=< zDVUpI|5P5zCt&tJnyUOhiNUN8eXj&YA~CD4eW|=Cfnq?E_mu>TSWNA);YurF4CeTj zSCyxmBQchiy_LnQj${6gKdVeTABwSm`lxb4{~-*#vbFO1t^=5!TaA^noB&MHsoKi? z&wDY)VyY|s4*Ft_`N%6DKJdaMtq@o0XFM_8vjmm@x-qb!(#oS18!`5cC6yHm*JJ*2 z3M-$&*I+C&^D5=lZkXfboXV3+oiTOcS1VP-<(SwVS1S7(Y%%NXFILt#F2%V1Nveb} zmtYROK3!ScW`-$jWK_O(TZnNgBUjcZ%*Q;+##JI7&%p>8$V%Oszi8D-Nab+iZ}h|- zKxIScPju|c$V%k8@8}7Wu*!y{uW03$LzTmwAJP10L6r?_N73!7eU*sBVf6A6pGw{1 zLG+!=J1d7)y+j9+w^r7l?m@4J^r(cjccEANuC1(f?nDDtx>XL+JJ1@_6_wh?R&>}m z+e)xq6WX%ZsQmAlPn(krqc(hVuFqSvEZ%rMc@N;>i8?E{N_Ehe_yXOYB(Rgv9U`k z+n$F$AbKqAx{!;$cDGF`dvXnJoT`^bx@4gRD5EAoNXKvUKG5NpwTh8EH#V1llbyPD&gNLsx92N*`@I ziY7V}q~8-m&{5`S>Az+WWg03yyJSCF@g69B72}8A)Ey-?dbk_?R39#V|8XapBRe9! z=D8isV;zuAByL9c=I)m+Z`^?1eQ}R;j`=!t3*Ae~jaY@g1m7;TD0D&7k8hIhdgF+` zy5C*uyxt!DV%sWd6V(PCw$fR;MPZ4aw04lj{4qxxjBKO<0jB?dzP6BlzG93v{$F*9 z?wE_lcF&hyu%3nf-7;HBJ~@q2RZmMCiY8G!;ZMn>*WXdi#p4p`>M@i}&L_!z+$YqH z)OV6l;V8=Y)R3fRY#6l+|5Ea4`)ia_Y`3H@{v|3Z?5QME*@LPH=#b1zccD(~YLRU8 z>qOaYXplIc??5eb)=Hk#wW2mzt0aN*no#E#$Rwu&b*MQrA_*}~ixQ8ONnG^RsOxXp zlH0~rsN&xHlJ?+ARR5y_N&Te?)WOEvk~4Y%YFKqc@@+m3C924htPf(Nc%_#mD^p8Q zorM=9FYAj?>vNMNC+Fm&#ObFcH}~E~Vb3!p*OPKkp{K}_qt)3c1Q{o3oytIQP)Lc% z&daFfu@K1u#zmAS0w8G;C8Mq%iIg1tbQX0ZFieuZ?lh|2_pl@r$wayB2$qE2r=r9g z{Ux1!L=<(6uf*0ChXOnAk~khmq0ZWElf21-p_(l>O7KmwsK7<*B$7D*)R@sq$-iDy z+us$E)VSlQt|>c-g(wtd@!eVi7(IkK{dutj?Ro%ZIl55d2?#*-3>iu4bM~U1yqqPm zZ1X`)b^jK-&htVYd-g;8ZMP??>(Q7vmA(a)+4fQVg6DyvHjRis4z5F`>0XO#ZC9gO zwEg19P*>C*^$W2ebp`5mRj0T?Wsj<>4)IDyc*!}lh)U{HP_{yePs0vn@mZoOY1 zzW(Pca!K)RapjgzNSAvz#QBI(B%~-y?0~|%xfM*5(_VgJAd~heF~GrYL6bI zcj0L<7Tkrz6f(qJ*Pb973(4Ynbq3^#BAoc!cni|<9#Z_kO^^J04kEjs+Ho9w3|pfwUangavjOm+KaPaWg+3Z zrQ#E2>BwVE7UEv7G$gUjRP2gMK`I~37q7pOgxvXTw)lrS0Xf_~U6C>xhpc<~v!cVA zj(jvUUh&e8j5HtpR3XISk!L@@tMI#tM%sTLs`%Fm8=ZPtQ924nj{NPeNVEbXZRS6# zu=b5cG8a9nAfiqn=UKH@T*^L%thZ~dfGZ9oCC;@Kvj-0#JJ(cKfK39CZkyy4$y@dz zAMOxWz)t!iBlZX?jLv%@cLZ@N@ElKM@X-eq*E_Z#uS6DAoc`f~{2hCz!p+egS%l85 z$lkviNu^|0RAXI{jMM2AyzCW7PD*M8pwb?>C^NaDy~hSweDloziApOZ@?KoU#LC4; z0GC?9KWK_fmJljdk&Kbg)#wWFjk!or6RZLt`-@oG397K|`Hh(AkFLm@`H5IMdc30B z`G2zg`_YQN{a+E!{~oL;Mtww_Hw~;9yT(KG zeEuqWBV;4K&U_FpYA-?TG#e33ye~osm%sj>fXYWiZs-@8t-g)e4bf|FSQF*pCvi?s*|zjzLB9<(`Oh^FgAuhAoINhiH-6um>Vy^Knt-?{$du zz@wrSma7q8V-AW!*194tQ36D}_N+jJrum899k)juEbtb^U~Ld|v8O0I$r91gv{{sv zV~zk0tQYxl79t#etQIM&=OY%Hxr!zq%|WbR=_s0f^9P=|+g7BS`~{zV+)CuP=qJ1q zXD&)}`UWpZF%ezc`UNhzJ5K}*`~aU8|1EzXH3E-o{Z;Ob8-lyMnJ7PUb^!io`fIuC z)n51_n~&wnd(YvAH;t5AS9HSF2VR$Nsq27)Vg2P6ovrX~XJ3?84C&#!ZgrL~{az0z ziwxzv=V{^3Tbj#PT35rV!@BYgHwD~hmbTn?n-uQlpeiT&i{R)TvT{fmAFdCpC|?TU zz@v%$@+{IrxWkpwa{bw2_+nN`xh}l`?pa${elaf(p4FdMKA)WnxA~P*9wNC0e{7Ro z9;VHNtGA_>JG5Vh_Z~|vFYUevUr$Od|1q2l=VzQLUod_a4(7&{_syJw@7Ghy=_X8g z%rK$+Un8(&E~b3ci3nfr3NP2YW8wO}vE^7#B>W-xWO=(E6fQ|RQU3iP2>!h=w7mcL zNjOR!Ql1WqfSdLQmQSI=;Dgh9%hywmz(<$+lslb12zT|}S>AO%5PlW3wftE6KKS}1 zkMgV=zVKf~Ys<6ldcl8d+{!~sJmDJ$SCl{HZh_~_u`gd<;Q@DFxwL$ZVjcWjphfva z%_{gOv}t*2gA3d~eSUd&t0Vjre|Gto$98a&j%i`j^QG|Cv7bUj{}Q;?`kS!+wHf^F z&d5Y&&HXEK=G9c`on1L}GdxR#xreHVUKNC*POu$CW9}D@j z$6n9TeVQkOIp%A0Q+dCBbUT{DgmIC&1Qqc?-k;Fk!k`JB0uGkT=$E5$^v% zgk6u^ARHXS!gS}?2>m}HVe160Lex7bO#8x7cytH^OP^;aoa{ddOWSNMM7@ZBRRR|a z^PYskY_2R6X0;uG@hXjkfsF@Y)Yr3wT1_Afw|H9cSFsOv%jc(Hj@TFW9Xl@2^SofG zw?7HO9(ckIG`tfO=5K-R`8FgFIF97rLa`*Izdvz5|{-}E#Mt8gLT|d2=44NfoYp1g2OwFU~i^` zg1QZ}VY}UVf@zl-s4j*jn6jCICTA22l%^9$eJ$a(h; zN;;M$fc3qBu1mQrnCN%~wUJ&B9Io$!?s%IdK*?S}uh=FC0=Q41iw`jc!}*V(O^Fo2 zp{s3BsR%D%BsW1jhyI_$&~(sM%U}WvgciyR0SPWfR70Uj(SqtA1@y4^xIn&B3dOxW zDu`Pvf-3Dp1XH$r=$_C(!Cn&%bmGEZLCDkt=zwCkz;^T=bo$c{0q;dV^pM+D!K|j+ zP(!qbz)qe6O})BS@ROAdCF$G*dAS+T^#7wdGbxv#-J9(NtEm^DK=e|9CHNfFvdBVE zfA|da_fu2BPVac=vPH%M${IQpwSSJ_UmNsY!VG`IY&^6`JjoY*LP0OR|Hhx}hCv^= ze&Nq;jD?QJyytgT#6X!j!+dmMBy@e-D}K%8aHzFWAHRow6uNoObG|Y*1ezTGgbz9x z1f5&a&TrV}53PFN#Q*BJ2l`;8j{nNo8>)@f@N>pIp%!^Sez491ddR$j zA0$`@^*qSuFTAx1di-K3|3;Dv^r5DN{}Sa0UH7|?|M{pLba2NVe%+3x&~{obAFyHx z^rJAFFE=uS?)i|;fAMhvRJSILU)?zmnuIvdM=EDQ@%PX2pA=6+GG4~>=U$qG3^>sF zQ-tpj^hpw59R3yJdK=5%yz3*x;u(TZb9@KcW(DD&n)3#7B@DpdKQaKZycWrS+}aE2 zFof|}ik?HXW=HtDb2}k#4ja);)X&KRx7I|Xt2G*C9{2?O$eb8lI)hyod9 zbd@z+B|w%}Juds#g}pG-R#q5-fH?X$m2FxJfqYD>FS}#}gnVwTDJyv$1zEL3S(c(X z4#|$JEL&3$3fWj7D!YE_5MN_~7^+4I5jbFhzO9RTR=6?h)h4__y z?i&HO^1REkBtziJ3D2_eD+A!Cd$*K1KzhMzGB=c&?060qK3`K-ZQ2ROxw(~X8#I7p z$ScYyvKDZX%C3x-(FlHSytHf=qz;TYVNs^rUISir-?YryLsjF6)Gs`zKgHk;+V{LCj|#xo&EN7u9^`@D zL4&-@%v|tfSsyPw_!{{4ujjllyG-!bkWSvq_m{whcMQBu)fd2fMw@v-S?9o(UJX3g z*fZeeSG2skjq%`<-74PNzcg^$Iyvun2MJ715c2}=;lQD-0^Sq}1qLtY^5}bD;D^MA zynjvL6`FfIMc+wqn?*h^r7Qwm4!gx$k`M;gORw{g2abTPjI(&>Yz~5RPhRH5z6k(_ z@GtP@i1&iG|4riI&-s9lhbQpv9^M7!u$a87cH6<5rzpJOx0}G94-jAMU(+ z&0k{;M^^KMH$KL8KXl=x0N%ygPcP>!U->3B`?xLd%*TOP?=maiJ!x;O-Q2~z^s~=n zHv$&&yaGC7<0VGCa?_63AEvW;qfc65xrk}*=$*#c8|t6ja!6gQ$mSb&_nMm6Ih4=b zj89du>CL0u5=muju-h;<>2yV`SK=$Klb;|K`n;EWX&yILz2!Mq()=*?Xyy|x`)YCQ z(vfy1YzU<6zvVNz^^j>COVNr=U}JmlWo78e_obdNj#2Q@ab_b%60L5$7cb&KnjfQ_}e zb)7rC8yVa9Et7k31`^8;yUcxD1B`7fzrYcyYaG z*0HA+dvd9pEMg<5o4KTqrm^VG_1vvoPZtR9USFWk+U(moWN3QeBUm!im zjyrwl2k5QVnp+ex4)R)Q!8Ka)8B~ACl)J5M6qNE|K6lNfVNhJ?9PZ%0LC}543302XW*2 zIhl(!p!0n%IKE9vP`3Y5jx<>Ydcx`8jO`MG4lQowOneo9elr_68V(oqs;`z43SohM z?N@VH%kF~?l_@xNh62!IODTtyng_a?P|i8(lM70I&Eu%Yvq78?Hs>2B12kW9pYtX5 z66lUYA*aIn0tkLFkK@&n1cHCc;aonK0LqKZ<`iy^1I^Q>b54&^L9BIY9ETzz=xok; z&V_I+D1GKEM?4=1YDb^qlqw;hpeJ+=j06Pz@F8>FxI}|`OK}{JXU9Q5EK!`@mqS4Z zlAs)m-G@LOqd*S-QxGUCBAR1f><>z=Jp0_S;za#qjt1}(fB!s)H_1QpK@;zXgh zfC%(`oV&IjAkr%z&V%N)Aoh`699qIkkhN+X$7H=TsA}yd4zkw)lyl3Sb0uRL=;6H8 z9NKOx&)_S-Q{s9q?rPw^FHU2sq*UrSubK0H_zf zFa5N%7x>8OZE0m)7jW_Q!BT(n6X3-;{iU}Z48To{7o}o-GjPe<&eB|Z1JFIXqtw@_ z7I?a)rIg=N4gBNNSUSX10ISMtOJ6xlfqYkW>BH7?;M1Fm(oJz?z-=bd(q!jSVAI+1 z(mO3Bz}&CA(o>8g;B5rEbj6Chz(+6cmuBd10{xB@mTD;1fy1?VrAoUjVC|0F(zA7! zflte_OO5asfh$}xN>5lN1B-5@mEu)rfDwyQN)N!}f&M9prJokkfvCw-rO{#%P)lNz zW}L(U!-mPF7iXb>c0hb-U?~*1tP@q*b_4`G5&|o={~irg)PhPk-#q~Yc%3XY+j|VS zz2ZcvX!sCt|GHzP4jI9~^!tZOk8Iu#G`0^e4Sco-sJh`_YLws&WSQ_5)u5)7!?_S4Tep>O>#e%hE>xH6HKSX!jw&IB$r3uH_{F zw03|E!u0_DuzJ{Y7j^-jyLGXt+{b{C`;Xb|1ML70=XQ3^*3ZAXGks}s_+K;#M^AZ{S{Z)UMU#>*WxSeVaH2= z9+y;hbX5wV#DQtTVGBCj&kDJkaQ+s$eqp}Ur7as1SIxh4FMq8jAIi| zVgR2dNOr_GH~_K}%ATJE1}szo*-5JbfDe13*=kKBU~c_!wk|px5FQ-L&KWxb=xz;R zyQd!n+&&h>zU&$Z$b7bsEmZCWRK@tR3&MQ>j<0sH!`|-%R3W#s4d=H3GCpi#TiI;_ z+@h{$JB!=_&ws9Fe-By>IF{tfzSi#om~FIz{hjUz7|pO_J1?{YEU;S2wl1~?fbUwc zJ3K7_pIsKQ!&^-OQm!$(5Mm6d*fN(bnwSH4TlI&Pk^Uzp-2WGAqtmaLA1xECJjq1N zljCEomf*3N;l7WohTe}cn^5mqm&os8D!vS{mdt$-Y$E>G6{VWlT^~qIy2ZFbJU}mRY`A-iBRZRa-)WroBOq_^S8AzrX4Dl<+|z^ zWsICfP|9P9--=mR4@qKbXhPPhK4FaYUoLAskr#76gT-RauwqcQ_gN!Z_haC!0@j#g zVa)BVc`ThEFJ_)LhZXCa8^bx0&8lt7j=9*I&iV+*h`EhTV-37diy8WPo^|(hN(?G3 zk>$7`DaK{#X%_a@=@{#W4A$9|%ox9I6jrpD5>rx#XHEMNVtm5UENnYEX7Otnt1t#0 zV@Hc+-5rUI0nCYEff+F|&u&DpKF*4ax$G9k^3Mv3p-B(3Aa+M$asv;rf>;M)K6mYB zO>7Q`IfwCM;j8^(4*v3Hl?CsPIh47BC4RCaCUwPDRtjiq%ukUA%l@55%>DiASZ8Se zF?8ntOt^6XFNLCXsFYL^N-5@M!_3BJo1HfE)j@|Or5uGyMC`ur+a?jwp&X?{QKCc9 zA!m+6u2iD(?Jszq*YkOPcs`%c^Lf7=A}+Mq7U+N5Mkr&g3xpS~BgTHOFTgCfib&;H z6ugpJL;!8g3)XKjkMO88E7(!(M)P`bYl=q-{4C`%Z<`nj1NN`g(N zzC5#ovZU(0-eJ*uN;jree}wgh@^#>)K6%wkip#kseX#5qrEK*R{ijV0lmn%8`rv|x z6g=#nK52U`<#b22KDMli(w2NnztydR5^r{0f3>ol0xB%k{{fXy0>MT4)ej0OS?~4w zA5a}-=A=fid7`3RS)$OdM9C=pOJY6xg^+R+%-3V_T#8vcOTVF&LD3}B^w-IGlq1V8 z>X(1GK#9MSsrQJ?pj-|*tGDk=qpa^et?%5M^3S}Hq~F_ff>N?BL0=RdPkCAqr@!;* zFl8e?MlU&VfKoCVtq1k*r6kh#=!*~jM>)AILf_p_q7*$2({~-jQ`YUr=?nYOl!x=s zdar{BicSUB^ZKBayCA5(Vn3L&yxm`~=><|`sX%>LlqY4+T2KA!E*DC~Z5REzJ-aAu z(k{KjM+eHE?+$u{2s?^SXs6e`wWegcZ`PZISy7~|R(eQ_1!X9Ct=lO>`gFT3JHqX*cIh~Rci|jdyN+S@ zCfx0Nn+~7%B7C{DMb|U`S-73=Kb%B*eRxvOQ{8`455i}29_wK7cf)J8KhXW^uMEHO z;*Kt5&&}}uq)Of0wz6=n8^Y`8!m)q z>!M>*!%IdobiJKP;j@Btog*+;pe-;b%7R_Gjll;NWrTjv-a>gAz(Mc*18+Xv8{`C}7a z%h{=$IJPky>Fc0#?p_ye{>4`3jawaVF1FU0K3pD-f?DYcU6zF3o3PL=)ftD!sm*mD z^9A8J^fI0Mg}>y4Srgs#sNkX*&zAQ z!SDIvji1OJt0wYSGdszwMv+v2Ll0N6h$F`E?wte}*Z(ovszUj&zfi{s@Iqmro z*Bi*YJ>KT?Hr0_24YuS97`5ag$@BbuzpBV~A&vPz4pxvq%s$R1wUm>emOjWo?^i;e z+-Tv?S5SfeA4Kf0M;nW-W_K2@G?@>NQHy}cygE?h{q>MYDRspF7UTwQ+k zP6n9*Q|G%%a>=2;Wceuu7szKzMfurB&yoA1c=`XerIK^ku=0QVCzJO-qvjtjN+6#; zpOdd#d6eAXo|&&qk0E1*&*aDSMUz)(Pv_5|_K=s7lk&qWDCEGU3Hj$Ygpse;#pR#O z!IJ+=i^+HTiX{61_UBg+gUSC=yL_WN5b`y3M85SFKXL$>ly6A$CYvt9=U0rok(V`~ z^LLS*$*2rO{)xLg$aS8u{ERJI$w}kjd@|LBytfFHKQp?4oE`0ze~7q_{As;gzOrf+ zIla{>U$t>L8O`32AA7-+OhIhTpBpqHs~2p^--|LJZ>rsxPb>dJdVkt7|Jsdy6JHJJHTU<;kxZkWD{8>OM&3LN)MAnkV{T^#C-&T;0 z{=KjDwvdo)YVT+TDSVRoxk_zSD~sgkcT-#BMkDF|mT3?0b4ZYTSF~Ry&Xd-kFVy;A z&ybt~bXwxoQ=~HnYOT+bMAE`XGVPbxW2A;0k@jFiEU7M-r!BTUNSay9(pG2gBgHjQ zwZhK-NNd-QEOkxG|X2 zv*oC^HX2B>{T!nmyX!&9FWIjhUh6`NII&lIJ#i-q?eU*B@cA}U>MTjC-eybcxsTU2 zWNjj4T@2M$eY7O)L4{~jJl2qsR|aYSvR9BEzYEY}hnA3VYG3U!$Rd)(A#W{NzJO%0 z(_L#a^*0PR<*cQmX2Ke39JMX_?_ntywrK}{kB3DeZMF5pk+2+dYwd}W!LYve_1b|2 zpTf@REwo$z>kPxkn`>RJzYmM@Sf*XPxHas`oQbx0@5?Z!r$$GaA^-#A)c}p8Mdh?^^mx(g$=lORU>%EdNAo`7FQT__N$PjdFo&swmTiKi9BTx_B%9GQ~l@<@vTjWrhmmR;>Zt}rhU&85%erT zqqs6ge4_T%I81*bvXZs<)YRp9M30TVYDxG7;>zg`b)x1RaeK>qwdLnj;@Of`HPb1X zxFq+Lx+x`r*cR2SetS2L*baKCzPd1mxPI+pH5M676o0#~zQ^57#Jsqp{`EGT7;vRh zJ#QnCcs%!}x@A9x_?ibO+*j3|qbCg)MR3F1osBr8V)+l3ev^(t6^};VgB# zbPaK^@toSEV+Ap+I8AM6y_7gdO;I;TFCzBGo>WH_EFc<%98*6S{7cxs{fPRj!wg~8 zPiQ2MOiuF!lWty@bRQIQ6aT9fT7EwEFb7 zcZ6bhgxbjM4Pnz-n0jB*3&P78u=?W7M#9|=kUDkhF`@sym)hIy0Rf?RQ$I?)Lm0|+ zR$JY;O?VvVs0L47Cw#e1sxgu834)u*oN33-3ktD8sE1XizwdVIT_ z@Up>N-Fa9<2rXTvmR{l#jQOVO&3z2QpVP+bNu+c zy-Ou*Gykc2xGI@|n3+_q!X*$2dPY^Q%s7JI(=Vz`PY)4RUK>!oT^LQUk@l)0!Mh2^ zGCNhJ>EVPQu^&{=ZV?F8_%>C=L@1#O*rGb>7()1N`&>1C2u6rEYgB=?V1o7ZW7Y0< zAYpm$16A;9PXe&%uIf*y3qg0QN_9SOCm~2%p?djX8^NA&O*JyJg#bBKs%mxJM36=o zspv;72|GgzRAvRM34gq`s@)yS35)ENs?^m>2-uZURW#a|@aC^TwKm6qAQNapr;qbghC8P4zBjT}qfuk{QO$XkUh)P1fptc;^+i8^!P(QQ6vH0;;kYCf z5BL$kI3hune&Q{D5PnqUQrLo@=XF?B@v#|C+Im2>aOG3{=QaCOn}Z+WZy80ZOwZiI z-~T~YJuI)r8xImxLH!kY+FOiDwC)-n@dT;5i7vrItAbVP%tHK`;y@LtA|F34_gA%l zR^l~GplYX;6wk=;RG}~ee8mY@l~)!Uzy5%eYT_mhe}}X~b!spNFGFlqHLlCV-vn(@ zeLue&y*+yhuiCO+#Vb3BkGHT;S@y)^Q%%iP$5zJTAIzDlIDrT7K~pBG^GSR0 z#zRIbP(cKKVW)xWacdaf@AY4$l@Shq^T~`7?193^)c#Nc4h7>$Hz$?jLI^&qcvPAG z*cbmr^F{e)$_vjH4=881xZx96y~%SPrEwZ_!Oqm_PUAM|tg17eKWELvr zTYLwucBM=SI`5R+~H3M<-^KTIO->u(y;p^Ztzopa<%a>Tvng2a%yKRj?(X~)DREgjt#ggEz|en z?hOKz(b@ z_L0Ifb3M+}d`9s=zXoTu_J_jq$qJnBhDil@cnMBnGpcYmTZHr4_C@j2c>!+4t^q|J z;V*WpTd!gu`4=|br&D1rnZlX|d{8W{9>cy5Zd0_if5G;JwkYEN^kW@K&lN2jd$8Ab zH!2LlAF+uC>lH>(Z?TE-4;8JME!gtpTE)ryX6*KJ)r!uCPp~}~Zz(K3)nQxN*A<%< z+`}46uPVOVRAW=}ixo0R1$IlxCB>5Ha_o{@`3iLA6|C!hl_FAe2`g@tDZKA#vEHpB zMPG*kYthY9?D;Lmx(%}wmn`{M<`0_Ut``eyYmlqZlBn3}r56;$q-?B-Wrm`an}Ma+ zrz`Br(y;w5rxbxr$=EXgM1|8(0`?Z_xZ;c9QS1yQPLXI6gFO=yqj=^QjRhs|S4>3g z#(H1atLRS&$0l~)VyIgPm29tJlLJshJfjN=+Rn9~=VlIh>YFm3Ewd3OjKGhSFNzq^Nqf#1I+k3E@#>3nxx-j{P8bA95fe5W)W zQ)hBT9#oQoF}E$0@49yaqw&?r`(GW!#F5qVBYiQLq!V)ay&ut-J9M%9)1p0?fJ=ON znR+hF$nJRrw^+JG@#9VLG{ zxfV0!vRl5~U=_v+N0HmFScb_w9ws-nHo@4kaPs@R3^7KfXnCOTJdAx4LM}qihF%zk z$tx*8LN}R0WkoLRTPtc+p-@qWjLl96J#pu~ELsv5+R@u8Gt?dm&0X9gJ5>}FdffTBtm;~1sF2(!Ypf!N z&Yr86U3q{HRb6^0i+qX>J^#E`*6|V^s{K(d+xadqbZ+Bq8M@0aR2h6j<~!gWnwn51 z`#s_o%9mb|(Z4x`jyx=sefhOL^uj;8__}%ap_DZmnT65j&|_eQY{1ki^uZB{EPI7T zC|)R#O|DrPYIC0>bGBL<3K(X{{5CHNJ-3D`TW`N0)C7_vd%FEEx-~vi7Uc8`-6=gQ zR}z<;uLxCZpqy<{1T_z-=VMUZ8Y?xLgaVr1;_O0;wYDLWc* z1HH@&E?XCQ75x|qm5Fv2p{vrtvQK;T=;13M+5CNK^zM&dveA7q^wOp7vXUqv`nNAY z<`d09Z$G|MCW)q_#To}$ee^|iV2hpXQFJDH=RzA9Kl%)s?TbevpRbgS?LCY>K7X0)`JVmg*KVe=bG!GTlMfrq{{BZnlNAeOmBb;OG$E}=`Vg`eU0mKF_3*br`wzaCrU5sgS8Z&QvOU+Lw}rJxlU&Ww>5S*n?M`Os zrH>k=<=YpdJ7?>q#`X)*qpo$*U7P1nzDMs#?X6}|&-69Y(KX*u(vI8G+!f=ff;Bg# znoJiNu@MkVM#llR-%$*G^y{y8>p1Fi_&A&S5dcDUXUKY zUWD?(WJm*w^{8ojy0lNLM*VzpN*XSeq1=s!IHaw z7>e5E>n5G*@<(<58;%})?SpE$?ID`A$rM2<&yN>UZikmTYI5)Vc_^6x~OGNJLABph)axyJm7#L=r1Is4xu$t(NINb7?868LHzGJEun zgtI_}+~!p!DVmTVmt<8)_+5OYbJH~mrkRE0uemDesHP%4qKYNJ%h||vC6^>|!VDzn zXTBspD-EfFs3qXz$w)9uF6sL(0crC=EQx@}Ap`9Nl6<#A$byp`NsV}P=Q`xS zlDQzvfj~L614ng z2rzS*#PrA25P6%aB)`2V1n9U(V){TIvOa5}1a?Us0(v)3f~U(u6ubV2ofCv1zB#|d zJw#5(#*g2{`@QKQ{;rea$_*DoG_+B1=lskNNbeW%+y3;BEk1+d{HG})Fy1GzU+IaE z!Y^IoQqIv3MBqp9Xktu=qvD--J~=uB^Zku@z5-d$X8y0*uTs&L@=fm>)5M9&Y)D{5672>=!<0H zUW{qT5@WIWu9Hzn#R0xJZrS`0)kC)U%jhiPi8VtEdj139l94BlDxE-l?8p|A86$`W zP^Nfu+#q67epY-3(TmtKn=0PEtpj25Uy3-+=pEu`^-1x$z7~Y-`s3n%i5e`X(YHiXvV#c@>dR7bY%yUWCxv z;l|5q2i=mHKP7Yh?u`$hNwaZi?jWOh_B^=V)%LvB6<~A-1UQous978!&`C? zOFw#vvrEq-0>SQLUS2w)S`QE>?oUCSSiDQT)$au2$g%C>t80%Ubg%8j#@`Mhth~2~ zU7Mp2Z&jPbE`^bZmW5X01({^T(zvza!U#Nq_G*=QqZ=9#?6pFCcqtqKQ!f=~4?qwX zj7-Ey_k0lxjv0wPBwmQRRs->~1Xsi(-#L*hVi&^j(yZv%W(P!`*|aGA*A@iw)RZXl z)kXxSdt9`vXdOa;_$m^fUxiqAV@NbgUWVwl8W62_GC>Sp>=juW86un}Iz=NN=irt9 zwTrknX5d-%Z$*n~-{DNa8xe8eIQ*UBrRb!`F#O=6W>NH#0k})jQ_mnDfsN#`Z- zE1&oxF@X=yBXC44dltN)jv*rd%7ZU-ql%`UU4ZNLIidrqbMTziS)#&(RCwXVbD~;E z65M1uO>}wHad=tmDbc}!Sh%1)QS|-x0eCe!K}4bMh1=XeD&j;$z@NFqisU=O;5Q2n zic)`L;9YB@McbQ0;7GXG>i_Zj+i~w~E zze0(wlgfcflr=%SG0^Uk4KaOGSka&x1RP7mMcq zcoJM>Yb0`dSQmUtWgr5uYJ(T9n-dyER0Rw8v%)gFo52UoriG3ZSA$Pcr-Wzk6a_z9 zI3di>)dxqN|0)xloBhlDX3WWm_e1484^g5aX5USaxmb};Njm+;{kTJYx4c422w zPOyL6J7M$k^TF!RZ-m?rX~Ez_uY}w5$-!ItnuYxMgy7)lM&WCZxZslRdf~v_!C=DP zI$`sZeZip4d%`^Kf5C@#-w|3wkb+x2RtnQ>aKRao6~b#@kio}3UK8HA9u%yOyegEZ z1q83?C>Dl7K*5i87YbV!dj?l^>V$w-fZ*}H8sR>PV{mMbLb!kL*5EDsr9z;+P4LEk zp>S}-DmXHRCycpa5!^n+5>}_K43;0I3;&70gH>a>!Y;!_!M!K5g=NnSf@6N17ZSOD zf}GOM3Y$sOL0*4Tg_c&|f-YpG2!TCcgO(X53IUfs2X)Yo3uljf3i`VIs8H(E8RRcI zEHs^Z7u2%;pb%gAI_R=CN*I6UdCdJN#hD6hXLd^ie1-3asf5kh6oc@fUiOt)Elb6zh>`Lr~+YToO zDLl3aT^$mFhz~XiSAIDX6pFGE))yZPqP<-!42|0tv}pfo;guc#1w9#EA?z3q3u;U= z6Mnja4Kgz}71qWfg9LnIVf>Duph)Y5!pSe-phKnegdxSipld#V1gB#?g8ZNS5~OVd z1T7=|5a0%P1a0n{6#OZ$4@y2cCOCUwbCBu$5kZTMRnRB)XTk68H9>zi_6ufID}pFj zdj!uTmjq4wb_!A~jf37be-M0oJ3nY<_geu>_#3u&^tB)k{}X1I@lp`8Y!WuQtXbgM zGy+?veJc2vHwe4vQZFFEdSUL59tsNPcfhui?g^gWeG7~DTqAgx+5&r-Rw-b+H^Xw5 zRtQ`s8eo~4Yl7mEhp<}LtAZafcVVE0V!_%im9Re%g#xqA>#(^|o#2C{6b8MZ5u_0= z!+4<-z6zz|fH<-uYkc>>4S z3$WT(;?$wgd>wQ&++2?)eJZcP)dx_|Hdhx^FRT!?cHBSh^5aDsUCJVCJCb0nP&K!WpRO zxuYPkY6?0U=O8dWHU_0GwiguHe1RS+v=Lan?StmPHVF>UyP%&xtruMI{{UrVtretv zdjn0{yjlP*d;wM6Um@sdqL_2KmLcUql?qFlT9*yc2-rmdYHaxNL_k@k+i&M5)9d}AxW zw(AJg@!>1JH|HRfe&{)$3f>3ZY5I&`J{|#GQQp8W(S$+0!yfU^VX;u%%zeK7JR}sO zxy#p=!Jz&@)%@u_VCadFTl~#tK?N){Hl+J(ALd7 z{wmr$sKYZBKge@7@IextkM8>(DBqaJ-@zRZtbCHq@A4fET$`B5Km4UXux7(K{u5Dm zp!P``|1YFHurcuz-(;*c(0x-9|Eug}U|(YbzaZ#YU|UK&-)-`7pvjgae5vw&VAhL6 z{5SBLK;JX_`TgH20(~6z@taiTf$43Ld>Z0P;M@fYf8$g^;9~%ZPgQ9GUv%O5PvP=F zD;9>|Jt+*l0z&bd6`a6x!*D(~h#n}A!T8(9a{|92Abh#>d?59gAHN5Z9(cG2$p1T> z97v;h@h1ccf#Zwa`8B?Afs$$f|G$BQf!T4p_${n`f!D2e@Hct>7ijx@D<9Go7Wh8H zmJiCs2EGDp<}YzV1}^E_z`xN33#5xI`G9laKor7)pJN9M#Qiqsmo>QuO3Rk>%M+ag zZTBzb=UVOv{IASGh~f3?~AzzNrR{NS6b1Diho=3yh22M#NL z@to$F2BHW*c>M*2ffI|q@lGJ;1%9X<Wce}yk5GRAB5&gGmd5Ob#L}2N zm2m_l4Nl`3T_!?a80GR{a17+|;|sjV&j<)K`#cYk2ZihpJj*lN<_~EwNaGbZctheJ zrtmhzxIx0R5_vI3PLPX`1YTZ&1LUVcJTDV!3n{6K%0#5z0;d_aO3lU zkx6%6QT3C6O_eUZ$E3Od(OD;6)#Tj(T%aQ_n^hTrS?s{G-+m*2`@)W=d0ZM`A+q63 z?7JM$6S;|J`8z*gY>O3dy;vCl9$w4)29N}_USG}2Z{h`9O8UYrNWSR?Zv5pzJmt5W3eX#h)#1{g9Y&ci+;^=k1JvV=G9Ge zue(PF0GZ#p&!0yI)Q~5+4`Rpx=WNEfjCuHgoUg-NCn+l6W#wmX5g<5VZ+1U-_Gv(X z3$~YQ7YzzPSaor?&UggqKeuz|@c;pkig(;=4vqnKS*={32lfFdObb^YzB%BQ)eG+H zvGoB5zdYmiU0f4Du6)96+OQ&kb@4Hmanm$lQP@MSEz&5UVaq+Ppl4pd)5#j{$5XT5 zlvrB(~W!wtxH{CcmHtIUJ&U+Yq22jR5@}eKyGQWiTY}+)&qI@H%5EcTIyHto@L~y%nJb$6w9j?i!VX&t_$CGcpC>SEMuC!c}bW zksYbr%Y{^M@VpdmrcX9Fru8J(rTHAVsrWee&fZjT%K4+*4U>uB{iIkfIr|t`=y-@5 zyCxQFx?n$-czHkg@%z2pH9mX5b>)%VYtO>LMtKyj?QQ~?xtGLE7)67_JqcVv1{@r- z49n##4+Pf?qPPdOzF@+A1b3y27uZP}#1%hu0b@@Fa=+tuf-8_NxZOMp@V6VT+|xEI!B<(%+@Whrz@@P}xh?_5;Bn}7F5%^T@M-I< zT=d@G{?OlBxSK|Q_!Hk*a~sc0_!r&WzzsDW@rQ6Nxy8}}|4qj%xLsR&`~#4yxFZ$q z{+G9{;I;&|`4f!HxZGDS{ULoO+--ZG`KLTI=Ke#+`;TfCa=TOS`xj@-=NcH-_+Q!c zmov++@SpIV?Lf)i1%_P=>|l*7Tx{IRNk4dhON zKOo~XC+7&;pR})^1N%YszZcNU>B!9XKenZdgISv4zt8X^M=DA6mkhq++}V=kZ{FC- zsl0m3|4m5?hv^;b|DOGV1AMgK-zKq%^DuOe|25K6&gS>w{ug}eIT8B_{_>4=oVc%O z|DOi;Ibo;Z{^G$qoOSa9{m(X4aVluO{+F)Z;%r~#<-b~dgOjRs@qcoroTIVd>3=`E zl%p-%>i-8`%t`aI@jvHO$Z>pN=Tssr{6qg}I2&44_^bMq|I)rC{w_^2&WTa~=g&`&pbj$`L-j={Fr4%K>A`{5Fsdaq8X_`Q<|Pb2dll{oI}QamZaNKL@Mb zoR|Yrzv#sg9PAL^uj>biv+@|rPuoY}T%FAGD{8@VY)@VA`+gtINt`|Fcd;ylBhNVP z7pV&7C>JF9r7)qK)4B0}ZD#^Fj;4qG(vSLaYFN>J2O@zSo0Yr$_$V*V0U_DX$k&~d zu@>)lZ>I|=uw8{+k`=QGtiwfqom#udM*T z>bILX#>G4Q!WykOqdV;VJnpXJl$Bch{a3z*6XLYq@3GFDbFX~0U$S^PXQ|6_zgYTG zj>ioXKV60i$J5Qw&nC&3V_q@m+kbc==ZX7_@96IN9P+IxUl8Fh`@YAR?+f@Wd%^7? z-$K7>_9o9h-$!oW*=ufh`r7Z9WDj_}_kF&3jLo_A+P8Y`2z!;sbKj9=L+le3Pki?q z53q~e>U=lN^|GsP-1W8o*~Px(Qt5kW>?8Xhl+br_@IBkqxzzVzcN;tVYN7AD_pjM+ z9rJx%U%zB8yrS@Z-PFuBbrAbj)i<)gUgG+Gy5GRQY|HQ^)I4JQ=3n$(bn5}TWK*W^ zf@`(xABuEeNJ$NQon?w|Lt!P`LY&~s)m5-Z&EtGE>g#L`=b-O*c^TVr={{e!q=e0* zM))2R7O`6l!+iO?OYD(MjPGx@j@|PI;d_OtVV9>ueKm9?oATYy_XAbN{+QtHOUVSqH{=S~Ct`N_ZoSB5XZ3FLP03-gkMG{%Ym!4}yT9Au+n1fkZo{wjU67N*#x<|> zJ)D!pz7)LFcjd(lcH0AE-}$*`*xf(_Uyr<0_M?j5pmORz)uGc*Py#)XZB;Y@N@gao zIW{Apd+d02r+ff};T>TcukHca31ircS?wUO<`#7POEZ~E%?|s>?tymzhZXdRup9eZP=*h;KTmZcrbz`@k zKMUgi0I)rOo&vG|?qVm#p9H-&a%9s!9R)=$b6}@aVn93A+Oy#=qCnuyTiBz}NRVv1 zH9Mi21oCm)z;1HFfj0SBvKJO0K}fg-dyQofs0P1^y?_k{o!q^G-M9b&# zRGw(c{xaeO^2}JohD2=#Eu;7GeYT1;i#$f^Lp=^zJ&4S^004Ghh{H^3E^T z3%wc0s__R4v34+cya`SpJ!Hj{Ft) zd}BY$vT+dDy|b6a1@!{Ge7jhmu6_izqCT>gZ+;7`jC{{p%YOyzIoigWUDyP~o_)=# zN~s4LvR<+XpYH?bRL!ggN(~TP-pI0eRspPk(7=L#%7MJsk67^1VxYeN0n1@y0dV$b zEvuiU21-rtu+Gj&foC>UvBr-JfR~(avHZGOz}3(jtixC;u#sHOI(q*C@Nrxz3*~$c zXmq}qWvn?3WC#jbs%42lN|BzmJR=@>v{uX7JsJai^jgKbxH}rSe@M=vHAMo0=OnCH zAQ^aKwTNX~j05)W;IpdNqJRNk+?$unDtP4%+^NZC zW&1k=11d9F{-xW2@|WjWZI*UG&`>%Hp0^2TW01-ceqRR+U7y0Lja~(O;Fid$dtnAl z4NYL_K#PH=4#%_h6fFSW$vVRNvHGu1urh`fn>FoYQF(xMdvwxg*Xt-&PsCRr`sg0k zVEv%aE|W;sQVD>PT7qURL)H7- zs|aCP+`jLFejUu3-CX0dc>>C+W>ok9mqA!zKg)dNJN^IB0g8PBP$1UECcV$DIByo( zL+ukq^I(xRQlAe+t}H(jfsgd5GizQF%SSb|lcns)^BG#agJltX!6$wDHdcK3Sswz@ zj-_6A+ULYk8&*lyNuM_6CYEUUsL%N_E7pI+7@rfb*0KKFiSjA>wuY5v7wKcaW)-)=t8 zW%F3%15Q3uu78-^XAVAJ!e^L;F19{f&;4Xdr5k;UbW_a3^Vaz!KAm8$j4}7g8XILw zo0s~$TRp=3?YhV(2{gn6$_#wS`v;h$d4Igm(>^gn5B>BWyVlLz+%(}`@VQX7wrGw#}{?Ec31v~>tFB!`lU=B=b-m{b20PjHIQd0*t6XXehi zcuVWfGSBbZ>HTdgjT!b}tGB@ZG;@)Sjdyx@GEDB&-z~ujZ=S7*pGAX-Xdu?(KW&W;d_S$|3$vk8E#OtgA z&U}CRq1T$%FsAw2J6=B)2Qs(2-}c%N0A_CFU-P1$_GONZU-9xU_hBkSFM0WV_GCJg zXuQr^yED0qx7YUf=FB&H z$zF!5SNy{mnre;792+r8fYnPHUkZM~d9(~R|_8@*npePxq;XE%0b4H#eX~xPWLea_W$(!;nm9!-K zwE82XF@%MX1Q$x4se80C0sdc;OkBm2rz|>Yxz{6LJXRls(-dOm8;k>=k^K5Vv zBQfidCyVx!!T(U}+235x;JH+JX0Ld}h^O7~JQDVRv9-6ustSIiD4tPhxH{D*VNsD@t!L>O@>m?PNLQ>R0-|6TQkf1- z-9(yavFRm-5j@#bjn^@PRL4C(NHvTDQ?Z_rJxa#DkOQ9f4swQ%W{;=m2?=BJd$=dN zO327X5W_@vt5i8ngWi1}vcxdV5W(HLy)iBzO9Ocj=%HwI5JwvVs!jCM_CY=tiK49_^p zFpwVE@ z(J9-@fOqwHm<>fTR=Irixab+dsLgKkfL$arg5JLLK(!JXB@WL#q_%j*c=}@xrz8x+ z{P{f((?=-AD(h+wr&S@0Zzpbg$PNTE%ImIrpevvZ)aoLSU?T{l{*cZikL=IbSgG__ zQ3PTT7fU>PX1p1(5j>AyC{IS@6^2K++KsX6_eGDn2>_!LmFe*n>clvzO!u&nI5OUU zP4-X^J1}hh5??e*9V+QgW5j^g3ZwPNU+2_CTn z>lk($LOnhJEf^P%!aaE0Rg6b95D(tq3P!Jqug3@9WsFXWr$?+{38V5dzymzIm~rCg z4v#$$W5(hjdyhxbg^Ub=wZ}j95#x2QrN<$}9NieO+C!)PO<$L>%wy}!FS<$dVvkAu zPx^byg&u|_Q}o=!f8F;PPSRK1nsztXJ4Vk~@XdXsa)kaA`_+A;`4{@6a?o8IKS-bX z(&J8k(ns(1{OG=KOE3LWcAIy)-n)jA|?AAT^ zJEL#tEQ4zIlgJi2BlM^y58x_a2737w|5=zBdgrM!!FVTuba8Ax^jVjXl9Xn`tnSA z3}k`3L&`Zioc71f`eQnMQOi%aJ&;uT<#m&8eV0?{TcbwYZY)cp=Ug6edy;a3{&1|@ z&A#&(z00HBtqFFN{_$+9TXktH{r005Zey!s=!vF{Zt>?1(3j#Lxw#HT(Q_rWZeZ+Q zdQWGio3JX9ZfSSJ&2>uz-6gKnZ7q{b-+ryo4fc~rH~6V_tB%6ct3V33Q%x8;HcRAo z#uH7iZ{WB!=7-R&meSq!n!)Kfe2yC^4MsmC&TxwwfYAT@nCkW%52ib9PI9|&&zJt` z;4!!JjzD@!@nN@msTX}{G}?_|>_G>)?snUj>`Fg=itGmaG37 z@1Vm1gWN)$9OyqTg5BsUdwOo8kJ}0}Tl(};cek#yo9PIgliSqSjr25ugByO26`l5W zi`(?`b@X)0jcx<}7Ift9wQd`(t)kEVn`n^RtfbR>m$*d>meFTzjolKAmeNCF=DRhV zHle2#&AJ{MHl|aDzq_LM7}8UBj=P?DWk81?|Kj=$I*&eG-sgIw@((R{veUK3ahCSe z^}Va9cA6HH{MuEw`UfrLR_~Krzl}8Z&p21X z=_j--k3+81$;UKe@;=x4qjfann-Q+4!TU7%B++$UbS=#cfN^#1sG&t4N4T=Wt7ziV zKv(xSw`f;}eO+y_H)&c2FW1PXYqXRY7uR-p8O^c4(N+JrgjUyU?|KtbO!Kzh?7H-R zA&t9xy=$3o0qu!wwX3uypVsqsnd>ue4ei4!6IWQJl6C{P&~=fgoc2%V;j-$Mgl7C~ z+9k$aM9VV%=CZJYPwNc)>hjr*OS3#b=(47QMf14R<3e|5(A=imT@bgZw3TjcE?Cc8 z+RNiFT~w9XwBuJAT|9iUXk!D9T-MylpkZz9x%l~={kM-Qm$Lh5v>TEeE{6k8({8;k zbvaU>Op}^jcDad2r0quJy975U&3AUw3xySmn)y6Xt{{VcYv4-Lu36$z&UB`23^j5YUb~BSHfNs8G5rqO-8(Y?ptA$5>)R9nd&i#E zvwaLu3b&;_jv4|GTQ}3Fl23rZ=uI@|7af4KF)P|_!*_t$GnTYXpccR*leM(ilTCm& z$!eP5ayj3Zz3u!UdDuBk^fTj$S00Q)L)SEOOV2Ar3YUw=&V0ZltHFxSF;2n9I8t9M-Pz-&i zcJEFH6s3Qo?%^c^=9W%SYwM2#l$ufMyxCYlw(AIWi_-zX&H5qgw*7kmJ0k|EX5w(b z*w;R4Ya<>oli5qn_=^Id*K|`Cy95JpCi$KOc}-d7p}YJnLMCt))8u{O%k%R70KGHty_~RYjFWd~uFlf17H< z=yUG4d6UYx+vzL|y-s~M`p#MNxr}OL{n~jftCYGL*X*3T;R@9#tHJqjkpi{ zgiBQRryA#lV>+t+s$0(YXZW?5hV(h(x!z}{1gc- zB9W;4+<(63-1FXZ?s@Nh&wI~vpYQXr_p=yK+Mm`E<7v@yWp5g%(A{FxJ1H%^eT7BP zL_!)mwakKkG(Ig&-^N12Ixa0?orT4g*D+~!B4dlW{n2TkE*V(7)!Lf2=dF$f;Z9`Q zCo;=ocVu{)t+kqk8F_Qs&Il!oMHhq9#D~cisop_p;1=FO{bxX0$mpE;WPyL07Gv66 z%fT)&O#8C0-TY#LQ(DQ{7V~W^hqR^78qIgKEK94Ituw!|-8M~yS7q))TaxCz zuF`x_qg7hMp)&JLQI=_Y%1@a;RkBD6c~M}VUT>Pl`p6@ZAsn<|28x5vKbb*6L!VzHjzn{aa~C-5oPKN{`gK_omsqrY`9fLY>+D#FtWe!Bw+4{&T5^cZJ#hzNgY1 zd(N6U9epg_wE!nr=g}bzY{@sfG~X^Y9L_QGxqeUjN-@*SA+Alj%~EW($Dl>p7XZwr z-!)5ZQVy8iIeJT)Sej(^YRye)Zu?F%OJalc_}6H&H@E7fhp3Te9eb`x-E2b4i00MO z$)Er;>Bto+Dq3geUwTP8b9RkcY;dL2=Ygx)6UGH;##cwPeb3KHD^(X{mCUo!OIB89 z74B!GIUCK)EM!hggAN&);cpj7$t8Mb?1Lwy`E6QeU`c^gVVGfN`t!InLY``7SbbDl zY^q?kb!U#W(MQ&7LU>r(w0qw4-e{)uY~F9v!SZxz?2Sp&HCv@pR_`~{zXoDy<-cLm znL$LV!yPbPeioAMb?!BN6d{t z{H@t^*dR%2t#ZTE;!}dO-Qt>QYk9nMx$kAu)7#^u`Mb+a?+JHEyN;bPwH}L>PS>3@ z{a&?I`ltK2>5tt}(xG36O`VoRNUv$6o4%giERC{7O&gj+q`w1GO$Xsk(!>MFrUCAO z(q|_UO&N*-Qq{&-Q=RAQrPlAZn(oT;l{(CYnJNVPNQLZ8rW0H*=^s0PQ|`zbX?2jd z>4_^I($xn%Ohfj#OWO;bO=Ij`rA9ZFo3_X}OXGT$n1(-clIH(fWV-gKgS3ocVhU}t zm*!dXOy~9Oq&wDgOxwRMks9vSG<{QNExntgZfY%BEOo0=Hhs5hk@V72InzfpbLp?I z1XE(4iIk`C*F;)wEVUKV8VaNkjgD?H91_OE+zTgGI82Tla9t- zH(6${Dy>edG7-ruOM}l>nkc_fkbY||GZ`+YNW=S2naJ-WOK;8F&NJT*eoPC}ORN&*+F`V~U$e$E{Bi zMuC$__K}a08#Q(&C!+==PEV{%CYQdKTpl(vIj;1dbt@e%X&%L!)D=W#X8CB4-G=a;TnnjY>n`4OO=F6yDYp{bVZ_L zTrQ+0Uy|Tfo)Mn+tCX|{o)k*VFGzML92ZtAoR`R^9TpmnoRu6bNfUm&dq(oQ9ua;! zb6UcDmLgmsDwZt&v`_e7@JY$4zX`(oc7>9q>aoHZjeLo+aH}xs*D=Y%6=A|<-MNzJ zjX}b-bw?y)JJ$=#k7Y|XVqQYeq)f?$ldFWw*QZPRs#gm4SxF_o+USD>SJ{w`j z6e8)Jv=9zVr{jN7+ixaB_x1YWimzKK-2oJi%bF~hFBP}n* zcCAYV+Z&&Y!=70RGV7j+<9ba5vsa&p!$x_6Yn6}0cGDa|{kezYSpr)?I@2ybqe2&) zF1jZ+*HRH61#RN9MifEKu@>>Xm5jh7=eF2s#b2ZGtS0ex&tFEkj7D*C;Dk|-^oDrn zmM=#0SiN{n!jRDzQYThU={FL<*TfCdH%3=LwRrWhmqw|gtKyc^PmBsvFN--B+l}T^ zE{eBaZ!xM$sSxM3G#Xt@DHor6Txawn<(##b1QL=vdZKG12CLQTve` zG0`c>$o1G^@n?^nM#=?Q;s(EHBfX*waa>4*5mb^Umfsd^Bs?b(XYJZx#Hqx@zxMkW zZN7?#J&@H#pKBp8l<8u0`=&^I=D35=`#UM(3#XPEt!Y0f&MUVx!av<4u zBS+;Jana--egQLDoI5wox94sZC&*0jO@vWm8-*|Yt=19ZLD~@ii({C0pJqS5adoJ8 zlKY1L+CNylLGY5lJUmEz#^MQoEOw*#<&t*(m*frNuMRDIOKiRPn`F(XTn8{ z9?0Mix75^;W#?K8~ zB9@#D=Vv5Xi}4kk_|M?Q;;1YB{Lo{I#8<9)^Ov4C7r$@t;DE$te^7=ej(aZT1Ezskx!aH*Ez}d|z18IxIda6LeimPIy^eVE zpc?;Uw3hhvuoC}wil(^z3z;8yR73pwJDx9F!4N;4oa4N8Wvwl6X1kKc4j>1@Spb5AXXbig-%#1@C7VS!}BMnCG%zR_v*E zpZ7jTM(oeH!)vd^i(OeadB5A{F>S3{-rl~ySf9=np5w1MOw7H&^P|pU41-c$jc^7# z%q!vryG>)`MtMBn@Sm8i@Cfhdp&!@|({vuEU>rluQQog>W0-hRDo?ZXE4FKKGEe&T zGv;ia$XiJn#s1mE^4xjD*qNnUdHK#mn2B8&&oX=vJH0H3#}a+O=Iqz=))e<+P7YqY zhmCz$tm7(P&f9kw?6i`1?)Mu^w8EYz%j&_lthC`(E$zk@J6rJ1Z+wM)b~fe>C%?eb zTnuEJr{-^va{rE|3ydF5S0pN<+#V@0!J|Mx14=G0(#gMJm8a;P!vUv?S0 zv;2~wPsBwm$^N{dQd$K@T~=a9uPVp#ZBH0RzdnbNmL4-C&!5FYY_bi1@Jg{_E2*K8 zcL{di5;m;ZcM9vVIAplDqzHRyw%73R!xLD&$u7gm9|ag<9Aj9jm5m9mif9 zh8lj{brjpIztM2-$sFt%$JfyB{$b2WXRYC(i7adf+s$x7D-+Apa5Ai3m5x=??F^fe zq}TF_x`jW?26O#X=R04A0HN7=xl`*w2Ts23ak`D1Q;=OkfyVBPm$@KNUmY z>Vug29L4Zv|9&j!myF>~+f%*?S%y{`C1CG!ZbFmQ`?2B50xtkjrXr8jh z78~grPwUj4=a1stKS{X!6c8n^?lFlV19Qy z^))|gVU$}P`eu4;tm4{T{j4w+w)kSRzU^ryR$khmZ}A@;lRZ(Rzgt@!^US%VPY9u5 zBI$Ykz9LoZgs4PcrcW6w*?U5NkG2waB<`5LRj2|M6P2y+aGHXdZIbFAdr!vt*1`JL zdL(SmszdtvQAF&Q!(RR1^8_r)dYAsd2oAd`jM2X)oJZ%lQTm-b|DrsNP<`8)Idqxw zM*Xp=-{>-;ul|_r3~DgDMt@oAG&=L$RsU7%PxShbqkaQ<5)FN4t3R@K0-fkwtiL7q zI~sT2RNu7c8`{;#*I%LY73EcP_0L3oMkCI%^*t|+pwg3ceU~4f(1If>`cm5=^e9Hr z*MJ7mvZrBT~EG+wxBI?(7}C-#?zBIyP*YbN>A6@S#}$JpMvU%e>9=rcBSf#I^9BtB9rwB4&Ou{Y)I5Q_Fn^<=Mk&- z&a@u&vfru~pHhcTn1$(iKe>jAxIuacv}@2Awe@;G;;PVKl9%4~<|}CBjJw`_mCNYh z=M{Q-;TKW4zGZqHH5DlHnYCUs@d8S1G1vRI;XFEBBhX8)C`0d+>FY)ODMdk^ww}cM z49b%-^?sF}M(g)e^=hY!QOg|)dQGc~(86F@J=*CL=x5J)?zPDRl<)YPTe2!2^|n~p z*^7^(5!`Rw(8;4{7;Ttq;gO4a$b8^>oj!tU|9s1>pUOsiKfdC|t<6HkT~E33XERW( z`wzIyvuSA2^;YgiUnweAev9i+DMmNvU*{$eF!YS1iaQ>JpwISIavQHf=7>sAOY?v!CusRdOx=V zO+s6Tc5|KIC!*Z0IIf(10=j^~!bI;}65rZi7)v_hrO-KZ~#dr}{b07@;pdsY)797YP zWJ7LhNeEgzrNh<31*5%#8eCdf5K4MM<9grOh-%+a1BpAGn- zLus>|b(eR-*rI)pJTDPUy#qYEJ1_2edBl zB4>Qva&$Lxj+0)$4At6onzKvW4y_3;oG~A3^fy<+AvG*U z9h4!?4qZz$e)a%|EwMl|KP7QAzMG-PU+m;W1el`f&C#5h+d_0lWd!G~kukb7FPKBg zH9{w#4IFfihvvokaMo-wMEQZMIh&v9qh&5GoZGf~sFH;PC$@}(MzWW3wy5Z$Wh6^Z z+($;O?sw}R8J0!usycOx1Bj^bM2GHlI{}qP@9Lghibr3?HS1^&2Vsds??`;1@FcsZbaAPb2o7M|IO4{6u1I zWa;)Vn?$fui7tF~0?EpNbQAQ)5h&@P?&bV3Bs^q~uC?Mf#M~`jS04I;jGArN6;6*L zhnbPOg*!$NJzR*c--k~~>Bt7%pnxGn`MHnog&<4aN8Db7xY9)TbKzU$vw)}TPkV#hqH=VVGF~GGf3tLH#BPND zK}|O@gtV-y(Ge`Wg$R~j(#g4T6G_!Muj9J(2GT+-(Q&J< zN5(%F>KwDVjwn7qs$+J&7Gcz9=`d`rAxgy(9gpkP$OH`Obl6lOtDZuC)p`zzz3QWr=URr8 zA6u>S@Ifi^Y`=@nsq;g%t|2E8_=lm6 z%RnJw_gYuy$hHFHeIrXp@GTEXDpAu>-hCXILX~u!eji05x07{li*k|Mo_L*fQVt?# zIj3Ekbr^AEOlgzp*+}F<5a*4eOk~f0BiaoH8OWa20d1MfX-LHRUhR|CQp7&JTN^Zs z5#r8H?HYFsxw)=G+u}Kbgj(O#o(qDJ?;6e8YC`~t`%|x-94|uN_E&2g&!!+&Z5OqT zutUh9^XIgaR1YH6X{WUni}oY0Vhgp$`N_zT_fc)V+P%m}%Pj3PPJ56}x(|R-JjgTlKTDuW1MDgt$d1(owg$V!2Dy=-CF%ofUrIzOz zBP27!Udz{kkDQCK(JFgqh+Oip&~n~yfRq{;Yne0k5i!|7E4q%01b))i8uQ~I?0ZbD zmLEFEqq9`44>{UM47?D0vq%e>36s^LJl90_IL@{B=LcK1hJhe& zzp{V&(vh1DpV;O<)sXjj@7eXoX~=ZaTXxnGD)QU+75nNN738bMQ?~9QW#p;y19q>D z5_0Nm3;R=x0utKM$hO}ik0@TKW51W9AQjkE_VY_*#5%Hqt-6kcR5_hxpPV8hnmWbo z-6v#_-E#{RK1Tx5*mH!fFoZ*X)TOf@rO(66qbU2e#Xs0&S1Nna>p!rCXEM7dWe(Oi zPGmnen1yA@v23NsGw|!dE$pJ*zu^2ko7wx=)394{AiJdPCp@&@k4=xAgcCP-vU}8j zz~3$1*&|Kk@FtZNY}aky;p<=Q*xyyhVEh9s_VCTGuw9uMTe#&590`orO)8(^gTZ?2 zqMIWyvQ&%xV9PMPU!B2TLHz`89#>(Ln?Ax;k11^S_Cfe}xeWUdeE`0U{M9sWeGmI= z{-tRc-wzMkO=!kx{ReMhe9Veyl7n;Gy zYj`^Jv1XEGH>_@VU$f`KD_D9R=CCnMWp_#Vg1+4Vwnr7ETCp>!YvSxhgGx$0v z*WBRu6i(Q5Ml+rG1lG1Wsi|=N5nQi&T=UJg4tV94Y|SawL-^J`sb=Woc9>TJYpRRx z!=eL+G?|w7;0NpXYDN#W!Fcmsnxw*3SU`!SL75M?0 za7+_yl(0^-RQDD~nh zV}^<5&8S+qE{do5i+v4dJ8(3im(_3rQ&TfAqYA!0uBMsld=>usP)T!q?lMe0OV+Ha zy#(_Q;WeA$F2W}Mb1Wvm64o=DV%>g!0Vb2bv#y;ehkN@+Skvpz!=()aEKKDb9FWt? zis(2C|JmNn%EU_H+?Aay;)*jclik63@aHs~HQB~`*Ki7+eAL9U+fxjCl-09_7Zt&W z4^^{1jGch*t-r_;Tq=aWn4DuZZ!drevZq-k{Ct?wSHOBUbR3?q&1J1EI|dJDX0n)( zN8#ouG0RLZ7Z%$C*0B#qUm@9oG9&t6{$+8h&@@ipD5z8;s>$(D>M~1?I$-YM366f-kNp(rDcr2^%r-TRunP=wob2y!`0Vne7WlfvqoJtnveRz zZB33E{SoWnkX&00PfH(obn9XbIf6I5Wtpi4^@S(=QiZP(UAh)t^pT^XxO)u@HE3%5 zb6yR%W~yr}r+dIZ!<02TKDomh)^ZwU4Q{Xrg`n{`-4(X%n`5pBae)QZQ%s_TGfc(4 zGwCub;m;dKnY+84;5w5*rcR|JybIUI)JS!JwO@5JLw%RSx5_)2lRSHP?ZFOa*PI>v z#Op4T_}mtD)N5u!=a<4~r|Ow&4=sUb9#k`BD0W zRxu+R^k9dRE145VxiE;cXFiVSz_pGx%&9fH@EfWHbBB=*JU%F3n#*d#zw7jwP6KRs zQliZ)xvdHJ2QrzS#Voi=CA zSc>q=zxNr{v-0ror*{~qdMPlq zoPu_*Uc=~l`x8PmT^X-iCn51?2Zq7LAJBoDOBu~aC!p|jOUC&_RX>#P^N^mU_aBs|+eRN#?StaSZqbY8-$Cw8*XadgZy{P{75zis8|Yb3 zC4K*s9!Ml8qlY%VhPX4u^xVtcQ2qUUy4tBO$l-Vn{X_OE=tg7){h#P1#IwZc+Y??u zVw{N1jeHKhd74b;`*%XR#fkKT?$4lr9kFz6yQdIryOpkJ`UEN=htXGa9z)e#f%Go5 zM^NoqKl)X22UMBhN$;L}03CC5r>_}rhjuBgpeqgChaBJ8(RJS5gYXqr^pt0Jp}M_h zbiutgD8$8xuHV=S%~18|JE~hCaX*`mziuhpgN>mZxd=jwO8Y9XyPkJPLKj2(5?T% z)z#UhP*de5bxrmesCuuzdK|k1DqQKUuB>$$N>cVv|EqNha(=r~-A<<%l09#)eowau zYD}ZCP%ieoy}dM4%X}+wlsa+*kVQe~k(tMv1n%vPnLay@RP9XPyWBwxOzP zE`jB@C3ngfj{KhqF3JH7po@2?GN3g*d>ON)=1(0(5z%vh~f;IS8y^>KSPQK=V?vw%vj$Sz&ZD(ytbv|c zaA@6Dt06GMqS>tTfOvP*XhU(UpcaV|Z6Mtp^6@6q%r3Y=T?{;}{hll2_1`S@%AgC> zbnYkhBi;q##Ens-InGeB)ds9NGqm(dawkN_sOSLf3blcGIFR7m2IGuzI3XEr8UGaL#dzqt)P1`snpT^i=n_p z$<$@1ETMs43DgCa85GvMgZgmT0(yyVp~@;*K=x}kQ;%4hLno+#)aZ?7(6`sV)WfN! zkoBpxRM~ST(C#QV>Vro@=)AEL_3aO1=-Id})lSnG`gVOWb!3GA!ljr}KW;aID9(JU zc`hF!Q@GRzH+j(9Q%&mCK||={F?H%kMMJ1HNSPXKV*urGd~us!$GHL6#wJ*fc=eXCM^`j81}U#nEL znPEU{lFL-T@)*$0<)>69{OOQ{P@w99sY7|~IjTdoYS78d4Aoa3Y0&X?m@17M2($6#Fh&HF>Wpw5lXgwWM4H(%cfO%6y{?eGqI_?Uh%CPLFL?9k*A4e6Izn`o=3l zfA;yQ5=s@IllGpfxGs6f0`IQsDJKs#-g8nNSw?}Dr`xGMkC%gLy{uFzWn_q}W~O?$ zhXkRo`KpmhB&hEsS5>@H7BUTEtNz+Ygc1$ts@E^eK$l09RqqZGp#Dp8svJ!ML{1>6 zcKF~S1M5F3Z4w-`^!JpC{Ox(Lvgy0Z>FIyK85&hV%>Dse*FlxKt$zWJ(x+m5`VWwM z(yc=5nFAk=bgFQvbD(;Chf3z^S+G~*u8JG_8(8)>sdzQdfRCpaph`2pKvrb6ipSz# zK*+zSV!v}5-1%Ill3FnZ)?PWKqCE5yJWVK2`O5hT+^lm|SRs?3b|ypRSm6)Ax`nBP zbWeaiB9Y1-wF%I^a=*$upK+i<+O2XV`#bP@7^`yh@fbidx2k-U9|Kpt!&Fd@Z{RsC zNF`DF6@2dUQ@PUq1^h1XRIw$00rQ*ORcP*?!JN(t6+6i&82ezSQq?{JddjR+lH^7} z!!|P&iN`QFY;2@5lKu&VeB-JVJRSnvDz-|V@(}1vq^k`0d<5CnDk>>Q2Z7CuoXXbj z0nl}mpmK^e0CuGOQ8ozq0Dd`6E6$_I88OGX=_Kx4Xb#WUlfkxeIg|W-3qm zyaLU`nDUwvFTtq_k@Anh7a(cJe&u1)7ht97Ze`=-=Yae@R{2(ICupnMs$8tp3HBw1 zDL>x$3~;T2ls(Fxg4QWNW%~FNu&Lft+1&mK_>%0dTp)c6675zfdv`qo<8yY({(6tV z)+Q_EiuexjBGpWJZPP3VZ-Qwz8GwFSG+Ql&(BHeILZTswmUk z?t^j?MLFa6J@C4npzJqv7yOg{QHrs?3$#{GD?P*7fThB?65QPioF0!Vq5M|hk~OIG zD!BzXdG#sn?zjW2R9-8EY25+3ot;WWJ8uI*PKOe|r5W_DyQ?&>)(kGwnw9w5n!w(d z^-9GzZ-FJps+Gc(Z-MXYFDfNPG=kIgb4p#;ZvwaOQ%ab^O)!*KpoE0o00#qdl{)Jh z07WBHDORBY9PYuCHiXv$#X^x%cKvk#2kuv*D_;j=tldhVqw0X)+gK&#TeaZoiLFYI zS}l;-6sELt$2H*14pLgzRs(Y1`6*px*MKJ{J(Yr!ssS$8T`Ay66%cBzP&&h_0$#m# zO19uCh%T~H;=H{A4hEYk`CDB9n3j>!o9xR#(#uu4IC=>{MGLZ%%O$Won69*}s zQc?OnR|y<@7wDcFD}hE4K`Fep0({=|M^S-V0czRPiZVMdfW7a&D|$RC2j(Y775k0K z!H1wh#a8iokfGV9IQ8)yFn!ytxYzj{XfNzkbS*6dfq@;0k@zw&sBu@ZGwdwb($l1v zaiKzvAnOlYrBisJO!aBycz!t2o;}}>Aa}|%K90lYRY{k_>x!~r! zx}vvdF4)?vtXNu?1LRZW6kT+3K>0F)qP64*SUx+akTiZ6JZhLyAOsx-zWcr_^tWY$ z7uKT+@@Cm!_0ItXv>*#K*7Pc@mB|7I3Ec{jJ2QdAyi?)fn+!1U?V&=7YX-2s)TRKc z)4_t4rSL*K9kd(ODTGUtWfX<0iXO56$(8N&_#_^ z7`*|5=4V?JB*ri}owZpZ=_CXWtO-=8QiK-f%}>ED6#!QIS_QRn5$H$V6qZGZK=Dc^ zh3Z$Sz#nI)u-82m$TlxlNV}N=t{ya1_-dL0d~Nv(MQ09yv1yJ%5&aNAYBd!;ryT^; zM0EwpzXPDaTv=iF?gN1JjjV8aWIxEQ#4Ff@?gz5bbMgxd7bF-?$uC}=3|XTdYn?<{UUHWzTf|*1R>|Q0Wo0tfaiIwuxUlKre%UOBNtqGtwwOBs;{Vs5B zS-!l_rd^n&iv1zEnhJ_?L{#mV2XjRL+EvlPo)k)UqNPs*f2 zBv8{EqYzpnK+J!`lrYx_(0JklMe$)cAO*am$a#i?HELZH-{)Z3SQOF+UtsczMtQT-7hFHANSXVy4%n?BQFdgk12;)HicoVMSls$s&bGn_l&4I} zJODvDfzJn)etS-FBS zyVY_vk6gf$DQCIMkuD3*Z@Jv`oHIDIV~Je$F=w!rw@7Y}sWV`HFqXS`e%2A8ghomRshwW1UIhF zkmFA}f`Eh{eC?$Jz&;I;*Y0uv>Sy}NR!R=w)TTG&n9AkAfbo+2-F-PY z*ZG*-He?UD8TZLUh&?E9y+aPrvj+;en`CCoGLU@p8krZi417qsOh)JJfU9{qdC_S* zaBj4OZ0cwS7V;;_`}%FchR|bVRbUIsHL}Sw99!`7g@kP0x)j)FLFBr~r2x1eB&W$P z1$TG4N^>kOKo31K&OR zseKD>*OM^Bt)LfJ-G<*m_+ikm5YGt^S`7gpDn<2 z`Y)2kQ48?eWrB2VnFXl*_nB1u(Hvwod?a;cnS+SL|41QA%z>pz4{7lSGw^TdIcZ~t z8MuG?5$Tb&8AuPjN6LP03Ov+qlS(p7f$Wn85^jkpxFxP3T^%q1@lKaWm$OZP&g?nT ztepvXcZfhd~@EBR~KccH2l_NdizX8Ad9)ZUmT@gGeX08iCxc>q(;wBS7JJ zk;LtMaOjOYDKVK3zT~bTT^8^`z}jUbn|D0WydX3vXYqjEZ8MUMBM-pIMx;vVw3(QCYh=Jy5Z8P*%NK5A^Z-WbL=<0mTp9ve&frz|s?)vJ3q$ zAl$D*_HYIlh!yY3POjvF@_S9P=Vv+KUTVE;c?}2jFR7NDiQ$0h@k-fzeGZ^hmdT2H zbpb2#lx*8^UBJ^SkoES~1?F8jvc?o$V3nOAyS_~a*tlb|Pf~P%HBKaZe5nqwxRES- zaZ(!?B__&JuWAD=VXW-E7;T{Raf|E&o;H{(+AOO%s0BXx2g){{)&ftI{bVl&Yk}(a zwX&aCS|As=$?kj22JyB|vg?ntfyWP9S&<(ba4s&Eou#tD&q!0*woXlOSBo$E?yx4v z?c&I;^wtEy*_yI5%9=pmU0wF?Qx+JWSCaKP%mNo0$g-2(EU+a3FZ)rI1vtiYM8g*v zpl9GGvG%A2$UHel%=Om*4(msVx0xE?tKtB0*;^*azxR$vDrN$w)Gi_?j0uKpo)M+` zOd$RKfao;L0Q?KBM7N6!a5wB0u_&GaLRi;{PF4)?^Z8Zc;@@;2O|KyCX{G~~^I0MZ zrGxUhBH||xIHfR0aF96p4(js-WGKL=0Z63TXf4WhQW{VAJ*AGOwPhfYP0l zGUQ?v@QL?LM!G`<81;XW3A9rI+w$MbBvVvCnfF_nPd&<@pZrSZ>;+}Oyz@lnYO*r$ zOm3Hv_fQ7FqD7{br3`9DZpvK#tOVYd)XGdXDgmXyD>4~bN?-}~f=t3@B@p)TjLf-3 zN&tgS$_R)`pu+aJ%v`r3=$Ocs(W+DgpDUy?nJJ2Z90AL$U8e~2G!MxH87cz17kgyt ze=C4>>G3j=PZhwHmD^=P&MJW9*$A2PWCb9t36^p4QUG}|8)Wzf3ZPWaM<#Su9$b3s zAv4%14{CFrWg5!mLF4M>GVfC5!EM448LtiUpyj58jEdPpO*EF#B`uscHjqjEj{+JO z>?S7l6i|19DI+*S0atvfGJCdDK$*OPjH)vQ6t)s&err)c=7E0%%U^OJ#d3yl^SK;| z{X9W9a!C#ZmVO~zm&gH^pdo^Bq#Q7#^%K51$N@%24*|!P1Am|wgz#Tv@WJ*mf%=LJ z+9vK1RIACLtl~ByG@A_2@Ee4=9b^#Bx<(lGAcN)4FA?;N$bgx4o^Xjo2IEd81Z;=| z+Gh$0m+q24QPoj`ei;euiq0a8ASB?-kr4hyl7LzdAcVP)z`)@H1T{kvsB%vtsFO(G z(0m*rYFHMy*KZ>bAIbvN_;A9HN?Gulw~1h$B@0gdx1P`#FAE~_ya;)|vViBcig3qT z7L3TQB-pZK!G)$}1l(UD*tW--!2Cc2hNk9(lzT)l@X?51SxE%NMS2A5!$c6UUW*`2 zA_5gf20;)+T=0sl7N z#mDI`jAu6E!zePq^I1Lq%p?I!imUOK{}DjG!$mypAptC#F2g(35vuNp-Fp_v%|p$`H4aTeiUEGK}%xn#URNC4K?67kP82%s}2 z7JrvS05N)7@p4mmAoF%J{^TGY6y*fsQ(oYKm4_exN(&xz;5_kW)p!ur;D-NOf(O&_ zPIz_>9;EZ_@Dd0Qbov+LofGk(I?ojE6^RGVUVMD{dOYZo<>GzZ@C&+yCfAZ*b9uD2ZrzKp!Xjo!k6JtbW@*BTs{4|s+fFUJ9?%0t}eVjQ5hx8fEb!-2xo zTeyyN9N^kq$5lf(aN*lk+;B1un4hb_#qPp^y5O@o$7mc_re2JT2*ZJvM|rqc8*#u5 zIf6U34hJ6DrQ^z09C!d^WM9hnl$8F|oivEebx4-xoB>gLTKM=Rj z1@K3-J%sY_dE%@{@TTl_65rzU%mQ70XbT;*^(X+%4_)Tm+(@QbKCE? zgW^+BWAOKx^&20ER{l$$$@6a&MU?So87XoBIjR^r>e>hi#FYjo|+U&M05GXsm!QUQFvm>&jnwg=#iiO z&sU>6MEmS}CJ)<0h)PY8ChM&>iqZ{KC)a)S6zw#)^dr*MS(I+FfSw3gD$-j1aY9+k zR1~oROw{IZMJJNACdL}*B79lH_}v_esH^9HqVB@0s;=$Z@U4Q4A|@ynC}JT7f`}m9 z-Q8UqcGprAl`!aTP#Oejkdl@ZLFtf2NxwFD5l5+`PQF~^CX*upgJ_sfW`1QQP zT$^WnWiyj@GUV%+?)M1Vk8ztZ5hG997pXmCIbnF(iQM?nYEM%d(_PU~c5xMQ=*4yM;h~g&84qW8^SezWi<^`18IxHHZhIVG$-F-POdzv zg6pl}d824*_N%6$J~(vTngo9(iU$39?r@6fU-B1dlkC8@>{nOd?Bh!d%)V^eB~+lrCjj|jadb|J#wk3D}*Jl-(SUi!q8 zIC0CZo%@am(dQ#ayG0l~QA(len@7PKL6X7hpU~GysOi}It^edF0z*}D+av9F1c7Gx zwr)jxLgdm+Ytymkw>{_e4k77v-9_{cP3%cquXypH+lmiLF= z;G_6IH{*9%Mu4|pjah>j$sEhpS>DqC4 zs`j#_k!zvJyV_^@cU)V(->Yq@+~cZj-(7R%Rkw@FrKdF?7&BZPc5u~LJ#=#+{nuFS zeqF<*>7r}(nGP62%bH&?Nk*1cZPz0)GDn!ItV^6Ql4IFl823KL zbPno%sXEAlxwB*Em+FQg=XVlGm6s&4oiAr7S8fxZIbVIWQh~8jb8cUVst9G~aMo>< zstCd^IQ?myEqC`TcWPe@Du3?q&gqV*NO{&yBPZ$H@v`e`H=LwZe9Bmi)*Y>nJ}7&3 zxz4eFW2iJDDbR74$-5L8H*-vsyjLnae9O_|L*M5!p_>j$w_bfd##HYRHpu%~{b8U3 zQq*0t#%1bo>$7_aSIZ5Dp$VRnjk~M%4Uf8tgEha{pDTG@eCFO;`=b^-#YrtX_Pu;v zMaJBm_L;Zci%f-Q?8>xn6}7VD+leK07dFN{wR7NnSx8!twZqikE?n9=YWFOxufV*n z$M#2%XTgL@f^B>$UqO7ZgDvaP;rxP#d$ta~Z}VkMHf>sk9_EvWzu1tEPvqHidfSAt z2Ih%!s@Yh{ishXjX0>5SoXh1l8L)1=9hv(y^1XHAq+G6Cn7y@F%W6)F)@^Ik;SV`g z-~L(|a%$$394@i4_G8G&JK$!OeJlIZj~YoU=M9rj;^GIazAZCM)sEgnYDvkq)VTli+*%15E_Q#w|#>tzhQeSSE$Q}BadW(PBnEAVTsnuu;y^y_9oX(MH$HCX=(742{l~MkQm1*o+Dn)RR4_orX8{_9vg~ z@iRvT2pza)f`+;lBp&=OLl1a;-S`4U+A{^;=5&&F>)Ow|z+Ns13P zH`hs>F^&IshO86v{Y<>~p?2-NOC50{K3>|13O;e_y`tLZ`{m+R7FM-tlXk~xwqd2ymYO?gT)uAG-O_uZNC2 z^xUDV4t;azj6?4my4%pdh7L9KprOkQePrkqLoXP*z0l8vjxF?Lq3a5LRp^{TZxp(p z(BFg(CiEzw3kiKj=p;g~5W0cT?}LsW^z5K32Yol_tfAi7|J*U?e?f-}dRWk< znxK~i-6H4*LB|JrI?%O&z6^9;ptl0u6X=gX2LyT?(8Yj01#}{y*8trF=odgo0QUT_ ztA~9%?95^B4ZCaDKf?|g_Q0^qg?%jSRADa)yG__n!j2L4gs|&_eI4xFU~dMyFW6tf z4hr^2unU5H4(w!LuL8Rf*l)m&0`?5BD}eX?@Xj9IyTiM4c>fLWu;D#4yi11n!SGHO z-pj(fRd_!N?>ON-CA@2d_l5Az58m6syEk}$2JgV&Jr=x+g7-=AP6*!Xz`GfEzXI<_ z;5`SttAO_n@Xi2!?}y*r;rDO&9U6WQhTmo3_fhzr5`Hg)-|gV{Gx!|~eounmb>R0E z_?-iOZ-DRq@ckXWgTwb|_%00JXW=_3e6NJ>hVcCkzN5kSEcmVj-*@0U3w-Z@?+&K_ z{{OqKZ6p43+er1`HnOj2``^6aHc}q6jqu^Nks^g{MCHOZvNF4cWEN~8B>EPj`e+Mz zxVnkl&)7snjW-dagPVwV_6Aa~v4ODuSx1Oo>&V2}b;LGp4cXvZL!ygT5p}^;gf({s znZ34xbcFv!n)d!hI-Qo0`PLmAzqIskwWzeWX*IOk+&a1GMz_}OU@%ms_idC!gv^& zRUSf89}Xg9jsZlMu^&+w>P1uvdl0MF-N;+LE~K9GCvtM46Tu~RAY-QA5!+Mk$bWTh zNFufsF+1IY@Rl?oM|2yJT?-A!UiW(B{Lwl@F1!ZuIA4u)MSVep*ea1vZ_ANK|CJ%# zcApWiwqiu_ZV__HrvN!Tk%urn%tg+6enP~)Wg(Q4ACVf(41~)k4GF7GK{);kOj5_i2k0vNdF82LTlZD@MUb# z_q|%D|J7Te|95SP&bc&CH!Yl@7n7&xxB15DmD9iIPLYFjez`vSnLl0hGl89S{=4mT z=f)O#l}RK0{(K$1ic(E?+EGcr?^a4bvsFYti_51AjeVk%)j!hPi__@x=acC}^!N0@ zAF*`n8&Py^S{U7`Hi+(j$e%u-^o}m)?M?q)`HKFJCZJ1kKBe<0(CB;^65Ze(jvk+k zp&u-Bp!>Dh&;@%e=(~nZ=+nOp=u3k-^z&UBbo)jX`p+T-x>bS<{iLS^eZuB3y;od_ z{)bI~er@_L-97&nedm+w^jOg=bo2i>>9Pgq=xPq9>ByOr^rmVSx~Tmj`p<*=>Hdj~ zbgb|WIGq#LqP!%Z+yC5S?avibQK;$I|T2I?;I9Y-#6?SkU-)8Ph0py0nQO8Z@_JWtwD|9E}er zNmG%1ObcdvNINjfM@vrSrQsc~(;TmH{X4Lo=5+Njoxogf``OkoM=!9vXM^ z4%%yr4eI&7%hbVV^VHS@)6}^)qf}w0L2Aj1ZmRA2cdE2q3stkDp6dUwin=SZ^xvO{ z0;-GZCn`1}o%-QVBK4q995wD~1l6uQh-$gvL-oJyO@Ha6=L5@y*sjlYL>W8X|PJBnrb~D){td@Kp zDJO3j6q4Ebv&n8uspK1d@nrVo2(lU`klb?TE!lVeB{@9#33=L(M1FqAg=|(}OZGG} zBd`9aPtJ@`CuiPMAg|U+kiE1Xku3-L$ljJbW5a*pYFa>372 zl8axgksgdLkQg6Nk4Y4egc#vT0#1%3ak3>T=7}Lm*-ewAiYSua6C_EBc8^Fhx_qP{ z@tdRvS1*%z51b`Y$BvWOOPNS4zKkRT<4xig_Y!e=W|}CT@ryWa+e7?yp`CcYy@5FN zw30Y-wTSq*Ig7aDm`vQYKbB}49YVZ%+lMGo`ifX6^@QlrKp=jSbs|2fvLv1nG$iV# zY7iGsDG(>0h!Y?E5+u%w-XU&j|Ii%L#Fw`Glpo3_?==dqP=l1VOOfpTOGfMbPhcBkbxX5e~OI z6Q0ys5w!9R3I1^!1U^rBf{48sAyei7;pIhMLiI8i!Lj-*f#!3Zu%va6(80QkaG`S* z|NZS8eo<@;PyN%2caChwH;XsmLq^N-Ezk1tE@v|EZkY-AE#Ywdc)c%PSj7X+@D0K9 zE93Fqwf6Ym56$pSsXBP$lgjuCnk3%8{~`Xz!#jBI0B(Ht#5ugYzzMw8^Mm;B^*ix3 z2Ul^|WoL1W&qi=+dEL0;T7e?14fOHeb#O zJO0BQ8)l-5Z5vU-zP6CSejRv#eW!H`+f{c7n{@v)b}O10`;&1u_Mzpv>*b0$*C5tW zS0DRs*P}%(uICu5U9)A2TwA>|UCFfxu4(^;x!T=*=bB^Y?&|r5>^l9)$+fG)+*NZ? z$JK~Q$#waHxa;ZL0f?9$^8AL2-sOuf-jyd@wDb?S6whsAik~cEv<^;S-um@oOi#37+Wf0A{fCM$p3gHd zZA)>O0@GlOaDz9-g6AoQ`z;RhcG3pp|JV>C=&y=-J|u~8ye^1Y$M9gTWpiM*W=~>p zR}Ny{>HmjO_Fi<($s2br>+5mG>}YkaJy+#iBT(S%pp@oZXdL7G(ILQD8Smj7Or|+| zk}=Mg@fOYw4m!@p#tP1xijSS81nxOMI>+ri_#eCT?LKB_-aH29KCcxgLH%hb$twd+ zJLbMQIb_#3dAbxiY2CKu-B+8Y??^jpl+>G^M(Q?oC|sp*b|lUs|n)0DNm)4ok% zr#|mHPS&TmoRXv1oZ>DXa?*&|;q>|RlH;JyxMT6I9!FJ7vtw*mg=3m7*ee|h-{(4bW+geCD-3ram%Md|D0Xvrk%x1*pKk4t8Lj8A z@J7*Llla)7)$p!^vj~?%7dxB7;lBqR4u9RY?+IV9$Jvb7_wfF(XI`$iKbl)&{|l38 z@6H`(zuXgG&*%NhUiv=8{#u`d{lF7rd#iJ5_T>c<_OnX-_H%<*?W>*G?J=u|?dRz` z?WO)(vcuBG>kkYkD*_N}xWDD6` zG2^jmJ#@jwK9|L2U5CMjwz_2f$A8S);QCMNlG1wXqe{irMqO#vF(y&gJ-^;rA2N5d z=I?j4*4MPKCe>+L`|wL!$Hxg+f82k~y2#;-b@^8&>n~i}R#o<1qpCR^{ivS$+P8 z_!MR3SmiIhx5~X6Y?bTeVU_=$Y*q5p&g#ov1FM!hidF-mS**01 zcUiq0TD1H;KVrGL(P1gUP-_{qr@->h?qo}yone*@t6r8ezp0krdK@h6Y7H%!GnFhW z{X{I?U3o1vl`dNHa~-w3y1vWuM#G|oXwZm-jZue197m1C{BWLyYILGSopy+YI+Lfx zatYZY-PX>6c2wWOEMMNjR7c2yw0y%N`R!SYCCSdC z*Hp924>rb{KNRyb_s)4{zRrO)_kLq;F0`a>&a5qAzB})p`B|3B=DIc~%nOTmn+qTO zYc{DhW>y&0Y4&lr#;lnm-|VD4insFpFUNft1D>cwq}v1T<5dA!eb^~9=)-}tzRW$q6X?2}rPV#$0Hk$s6K|J4SW zY`VQPx&MG*lKI=x#41A5#6U*U#Bctd$^O7gCKY_gO^UxUn5^0_8WVT^G8XjzW-NBG z()ev^mhr_qvBqmTKE|x~o*F;NbT;O>VrS$@?mZD)~_)FaA4eMPa7C8>1 zDI$vzTigz#sJb~r%5=YB#(`!-L9Wk+=Y-P?4b{R8|C)IjPCAnf1;{prBZ#))@266R zYESqKPf{)!3b-6IEV9^T7^$&fF!*@T!2N2Af%oB3gQdANgNmkbgFlI02CopZ!827G zgI^c44N`wg8g%E}GjJhu8n_4^HRxH{VUUqEr~li!Uq9${lYVM_u|5wzMgQ#CPTgz+A|;Or&cBfEa-?o(>h zeHv7zOYBM4ooA2Go!0ZxwFxBY+B90}PXDK=yL3xj*UjLzZorH4x;Ik~=_)mC=&Vdn z>TvJ%W~Q~D+RP$y|}am zRE}#uJi1G}t94H6`0GBcY4NXG#;XNduE`&?E@=m7;degMvQBc=T9Y@_;`uG7#po%Z zg>Z0bMHC#2UV`D(6S^A|2(bL?+|ra#_Kb8`NP=A@0IWR1K8~8;zJ@ zO^pwi#Wl<&uZ-GIiN9eWL4cwd{q6X>o@hOs515Z+B9|1nNW2P=2z;kd2s5= za;EBSW=iVENDtLddU30Fhn!G1N!X>HoHnPHo7JoK;#0lane03@LVCPf$a^0(w=lZe zo!541X%ua>IZFw(O~u=4-|w7LBOO1eHt}agm8*F~_4bE0)dSR0)lUkksyEJrs1nB9 zRedvDRG(mtR7LpYRofT&RfRGysZy+2RNu01tB{+gR36fQsC05ysmQi{R0$$Ps+6*N zsZ`_>RHD_*RrG%=t1P++sn{LAs!|?*LgnwRT`Ek4v&xJjJ<5F*waTHQIm!a1G0G+U zZ?2%#w1{<2aEzOJs6ixE+($-b^sw{S|S>?Wg9vemqzM?|lpVN0DN_kX#HQ`ch^ z6Exo_YSYMyj4_sqDdnn)3jL21Cs(g3K0k6&@$}_gikbIk6~raG6@IAHDA?;}E9@|i zQV2HnQs6ZuC^Q+GDL88@DV$IeRHzW;Qo!Chs&Mndw*1_IDfyy59rAB~RLDCPrps%G zgvu-8UdSsey2u-I8pz2xFA{PiS5?p}R-cicl*n$^1!H;(e5? znShsUY#m;9hnA_V+n|E%Wg7w6nctkUT~5rhlQZiw7wpDl=)c-zcIthW$!JQFc`h6v z^E~Z|O!_H%nO#q{WS)0sZfTu^HPV+1v!pMjMM!I{ zzLNedij~&!Fp|F7C@X#Y5T7(g{(|)Q%Y)J(Wq+mImWQN1a5qWq)-8~VeHkxB$$Bg0 z(?ymV-L{Y-UsRD&6n!XVX2c~`NMeyv_t})>eLpU#n*U8Qzvi=~d3%ziW}m<0yAec^ zWztr%cS=KYVNyg=W$c>d#=r^5Kb<=y?|+?^s4MA|NKUPg=n77g&~*!zxNh@ILP^0< zqWY$e#5*Q&iS&t^5*I32C8k0cBn~*via!(X5?4D^B~I(g5dU{4F5YkJF3!s1ES@>1 zD;}RAA--&POFZ$?Y4L&{M)7Mtb7EVf-C}nas>HrVW{TBGhlyRCa~GTUcNRN$Ur!9| z+=DH9uvZT@>A~(i*p>(T@n9n!?7)Mqcd+LUHrv52JJ?`;TPX|N{^Hlx8VG}wLy`_5p)8SFHJEoQK{3^tX)ZZgfx7GN6dyM}!7s0L~*iHodh+qQ|>>PqEL$Fr}HVMJ*AlMcJ`+;C1 z5bOYgtv|5m2R8e_E+5$51N(YlLl5lafh|0+cLz4@z-}GbrUUzPU}FyK$bqdmum=Y= z-@vXL*lq*+Y+!>8?5u$;HL#ZkHqpTD8Q3-h`(v9qz%~!q z-vJvtU`GdR<$ygLuz3S^ZNPR7*rx#-G+<{2Y{`JV7_bQgc3;4@3)pV~8!cdm1#GQ= zJr%H-0(Mcr_6gWG0UIV@rvz+~fV~m0DFSvwz%~fj{{R~wV8;V&b$~q%u(<(tHNbWT z*v9}H7+~iDY*~Q43b085b|=8L1lW%N8xdd!0&G2iJqNJa@ZY~(2C%&V_7%W}0@z6a zTL@t90Bjn7-2$*p0QLvK#sJt609yfI4*;0|!1V`qKk)g1!4I5$VCe%dADH;Sy$7~E z@aut54;*@6%>z#!nDM}c2lhMg-GSi_oOWQb18*Ie>cCA0HahUnfpHETb6}MNj~tle zz!e8}IPk%N0S=sRV0iUH_kF{m-lhE;X>HfiDdVY2ZWy z3mSOOz;p&~Gq9O~zYL6J;3xwt8Fi@E-tWdfo}^8Tj10JixzmZz?21UEU;mL{|by(;J5;-6?m+`Tm`Nw zuv3AL3Jg@>oC3=fc%{H31@0)YMS&j*j8Nc!0_ziap1|w`E+?=zfv*V+P2gk#3ln&k zz_bKzC9o-hKM9OU;79^15_pind<3o|up5ET2nj6&cL z0&5U>g1`&}E+DY~fbRzkKj8EMiw}5vz|;e79?SOaV&@cu~NF0`3#Aoq*p2j3(eP0c#0( zO2AA4E)uYhfNum0Bj6MPiwJl_z!U;*5U_!O{{xI4;P?Qm2Y5Wd+ySl*uycTq0}LGC z+yKi4cs0PJ0qzX2Wq=<8j2Pg+0P6*KF2HO7E(@?%fUg1!72u=*3k7&5z%&7F39w0k zKLU&q;D`V#1b868`~a>8useXy0Spe{YyeB+|GbQUCI)aXfNcT%3Sd+KhXPm=z>@%G z1aKjM{Q!IiU^oD$0ay&cTL7j4a1(%y0Q>`B9011vSOvf%0OkO21%Mp@d;nkofX*MZ z{GitdO+M)EL0b>{dCp9JJt|_XbTj=(a(d4f<=) zSc8rlw9=r52F)|*nnAk^`ee``gU%SV#Gn@jO)%(wLE8)ZUC`))4i~hxpr-}REa+lE z`wIG2(6E9|6||_JHw8^8=te;s3i?mbc!G`-w3?vD1kEMrDnUC5`bf|~g3b}NjG$Kp zO(N(HL0bs=LC^?-4iL0{pyvb49_aEwdk6YD(9nTS4zzHfcLPlu=+;1+2KqD5n1PNA zv|^wK1I-ucx&tCKn?)Jz1vvS)k?1D&ZLxjZNJ}ciw#)Y| zWWU$c7VHRi8EqlaQUzNUXSR^dOVL}M?VE@d!%MU;nBm(*Y|7QQ{026Voza3@GVU8l zD*Ynb9gLQ)BM%8$n_@jrfObFO$9(O+8Jfc?Uyr%Q-LPQ}L0?nT5lAZa6lcM-|J zy+gYOy`}{un$K`U?f3$scv51+Kx-bkuyPIU9pb;vA_9f0>x0`f$dj;v^;?U^4{6YrQzpkAa9Y&P+v)A~44k3R|hoU{j$IJnwYuIXSZ$LkCp;mDXgY842QtzRi z1(~x4k;d;@V_oV(aC(!g^<_ViK9SZ{*k72-bRyOVqgLg2cOae%?yHiY+mYWt?9nd6 zlwLS0P0$+AB%Dm56nrFxqzn)|MejZ?nBG0 z5E*!o^f$jGA1S!}?(emudB_M00qsE)GqMrEY4N`sOCJ%dpV$7D-p@oPt69)a1W8Rr z)?x>isr|{wE1#O>H%v(g=1Dr*kId*MAUa0W<%i_Bf1Qcha-@F@k}oWab|uk;5lG;L zQ_CXNVaTbY+e?dILy!%I(IwcMgf;{qa}(K1-j#mH&fd@^|6Cu0(()ATPzGPWM&k38 zmbhHJ5Y05cB{vlh#5I;3?NdlQ+>zPWQ;Wyi+z^%LZHrYAPml|gf<@S^SYM_h%(gEV zb%#j^uc_mrX&3>i($zqFmQNclh=c;i;#Pt)a!+diqM@uK68d=l5A0k_p4%V|_e=jU zvRNU)ci#UgO*TgccwVFZ3!%ga8Q|9YgA+DD!nj2Lcoyp--!5^ZUCi1C4Wyjo?}Ek& zHH5^`w@`y9BUv0(3$T}25R*gFF8M7aM@l0YF7g6pQ35ICHbFZYa~~08kcVf1`a=jQ zyK`b8jZF~Y=HHx$eT~+;dq}8g!+dMyZ6rZDYu;>u2N6;VLA#qak*ml_L#z1=V=hGB zQgJ?k!in5=x`*~SJ+Wtz0JmN9XERPCoUbS6NV%sF>EPBm*y&JnSrC=XsJTlShY^92 z7jt8=2a&>h2ejWQr0hmK#{}mZjCUbj%NOUCMgBu-ckf5Lo`{82I`ztr*;8MZ=@Ji0 zXVc&Pp{L8gM|+>^r+?EeT(PsqeooMHUh2)B^c|%qhl`>ekn>VM{aG#ZY;{x*-M0Vl z48O!r`s#Au4D5q2Puu86ucXb~Kh;c+e(XQPmfS%9sYgM(A->WtbTwa@8E4UQdSLpk znd-6<`m2VMXirq1o=bnSXY@DmY&QMn<;LG)9vO5|$xmo!bVoUn-ucq)w{~hgJv+(f zci65Ny5v`7v_GQ8h0p_!p8L%<9YELQ-~GFT$Cut>@Ov6|NvVmR^!4PT>FVAW^t86v z>20QeMEkl2+AB2~{X2tD)Sk9{N}w+jg{RpgUFi<-m(h-Cs@9I4xVbpBtJ8|^c&%qj zaKMbdu2VS$`=*1x^yu~lK2sn1wCJjn#3|EuH9Er?W3+pE_)(5t|McdRUa%B>Fz5JG zI9ZHdJ-IOnd#Go(1nJwF4U<+SGp

  3. Qt*RYe#y1Q=}NE?d9b^svltDx39h>zfYsI&8*Mm5 z0ots2l97N^0F=E{Uw0EXtn6FglmgmLgNS3EIHz8B(Kf*bC>)RfFRIiHK&Q@>6^}|* z)rS7NcVj%*t7HMT2I(fCP1zp&6I_56foP7(_sfz^;Fp=GVT|1Xa=E@j?{_nkAeo8E z2}~`fKp@wb$@g+!wq*-38-;KNK?Ubp@HQlq$HUG*89$&p}_ov4;P*-1}R~`QGJHG5eug~(jL&iQ~()C$Vwc+z&{cP zA(MEsVwtll7RyAb!erwnUK>A{4!&`d$^MO-p!5f%lv871hY>ahc>%SIP9 zOStUT5*)@nerN^H96TCCi-dUXj! zi%m5})eVAkfdDtwirufU1}zzJoNO;3oaF{gqtV{Y9f8Kx*#PIO__i7k4S6}3PDrVo zMzXv-Wmy=!Swv6Z&4$M-zF8?d40Ib*sE+Z1GSxMc1Y~M5s?4)WKQ(r52n}2x-0obs zu{$BuyBs~%b%jr9-CU}%u_8xECyBUUJFa-YakXMPiF@s>@>C|-;QCkIOg+GbqP_-N z3T-aXTgdC(kCa-(it}oE0t^VNADI}6>9MA~MF&|J(Q^erpzj+0V_IY~)1wi|%xkYN zGz3}60|?RpYr;kBcr6#=>2xd^`&A@)+c3TE-`9_j9QEVvdzKch|MB7T+h_dc!`tuAe1v}h zsqA~ryiij)lL(Erm-YeTM}+JKv9i1w7Qs0RP`Cu!y*-<1vFect2P9>S7GfzJj?yeW z7%cD8`%Ax7x{PMVIb@_(99zGjRASd7z3%(b9F@KB{nlEpfi0Ow`D*iukt)c@yYG)o zF=1YWWvteYmpbr{2#jeh&?oI#Pv4vE&(?FK=vaXx*=HzB*0+)fDyP6*pRR4Pbm{0eakhmAehJ zYaAQ{*CYS0=6i<T0`swOk#~pumO$UmPtQ`SLuzmWpQO<=U4l z%LtAtCrVLKslt&@W9fvh`gZDW7ADB@iAxD0WoPCTE68bHr|Uv^V_@=^N$Lj<8X)Jq zWH$1V*G-~!3r`oG;N_)wlB2z%I=h<+=?rx9BljD>nG@$u|bTQ0&GM>3&a3A=<_F6$2{FuKyI zuKZ^}8k5P4VRf8JuuhHOc zqR9_blfOZ2b(%K_YMZa=Y<_j|QVorjdYca92hvVI-~IUu(n{|>KmJmGdj0t2&o}i0 z*&kjT<^XKo6GS)dhir#HUrrY&&U*uC&JZL)$Hrr-^I{4kN+jHVPxlm`3C|1@I#(~*3D~pbp3^N5XvM3EuzY}I zu0a&3u}OB{qPz9w+4CivAxpx5PNYgq7D`EkQZchjAvy5Q$exE?ar5DDzd(Sp7Toic z!RC=n{6VGRcyMk|(o^(6EObxFs|gmz^Sdh~I7+yBhq3}<$xBJ^hI2+D4zsH>))GXN z3z zmp$RH1tUPs2J{*IgH>XHYW8?QteYd_1^uRLCLa*y*4gSzr40L=pypp2{*N$#5gglJ z@kJqK+uY-vV_b;EJi|jbt`{D*IYS>(th4F}9x<(g=KWj&bX%_0v)>RO-`XQG z{3^(;r9iiUO-@cDX|wB@-R>K<6cw@ihHegK-owH0(7lIYnGu&^Vjem&p_w#EbO6Qc z`W5J}iomvN+Cz2+R6Av|sG!j|2U-r8L+ zV}S~KC}M%kWxa|&sP*e&494z+f?Gj&v&Csz1G%4P)*Qz@;bYEwz-x*rz@#+m4-b14 znz?IvOYMIS1{?WCpyn;S(ZPcq9E8cRaxBuQaMH!;Vv%bG>1Ahc49*8v2Riy311ccF z+OyLu_Oo`KpzK$Sg@wxF&VM@Z!V?tV70WN(2az*_--4t!W}_ZUshY&z#nR#_9Nxfk zO0x<_*$+f&he91%$Xxl-n8%^^0`#u8 z4_|8d>fWNVoUx{G8bzBM(k0ARJ@ELW_vrC4BQ>aKxXkgTR4)#2iJDs3QcL; z$V)axF6(3mz^$M^BdOHfs(`)Pg-ZRb@q!}C8K^Cl2t)BPlTgfTYutR)yfAKFm^3dO zHZLe%D%Lhx2iH!_@&eyly%P8D@(Sa^8mI=tgw`F<0t9(I6PT<=r0>w=psL&l8svt@ z6svKN9b~=5VwJSL#ZdN&_Tfm`L8THg8d`)@&3?pcW{CVgf0-b-!Zl}s+(&T|*oeFy z+g6G{8CGk;YDsC3y=&5T%3{;&e&5Ji!>HU^PmUmSaQJ}aft*>ZmEMBbdWNZp&h}`F zKG8IZMKpuSX=E#xbu#*}-`&4KQK$QZ$3LW06o35u?~i{FlH2>Yo?Mo{zv%@>H=~6g zX`s)dJNO;-t<=0U|C&5vYmU6JcmdD0qup*mOfWwn9Q|M?-axINq_k9nfXz?cQb%2s zb+n(bjzCu=0gnexEbDpk+dRmyj`4!@>YB-Q(C-Px6wOL{HTaQu(YMrjzLi_PY?eY0 zK1RhTl%C>vDcl1+Oexj z;bb%${zxgqADMMD_<>mv5uD{z9L4TP0RsO&Y3~AK*_M`v?aLkB4447T%fR7Y7^-Kw zoBcX3V2n?ls_L%kdQF|Ge#`)e^QykZ?XGUBs_%m_86!LbOajKlBC%yfaTFz1}b!rNJP>M{A?l?pWcMvCJ@qK6I1o9;ih!St5eZr5pi6|J#PwqQ(><2>!4^h;9!Y3yu)HO(7?l0IAK~ zRUIt`_LF1S9#|PDUWtM83h``;R?GyX@B3RB>k4RHd&K^RFIk6ZH!ZtRhlYD&Kx}F zg~!0Zn?N7V^TCG+ONPM5P*5dKQ$4{VP`4OkNx-=ea)Qa#3^}bx29<&WAMTE@x!)320BV`Au?U5{`>p)aHi44NkH$8UfiCVOj z6zm4f92jgBPC+^<`W7U_Bim(vbRNGZl)xD!V9-TEf)sMwMp=}*W~_XwJDc#bljA$e zhw?R6n|^To(1xJ#Bg4xI+!3-96`E+7r|_u+!qd8 z;@Ypfl{dH|x=TSUF!?fr1y<*?;8?)&J4>*;Y@gQXlqYdln!pNUK064glHE1YuZcR2 z5p8hQv_(5w5s20e4Zf0PfpIR6Pfk^hHb|}4j088Q%>;>s^9CN2P;sh1>;z3lHu@vG zoonf9*}b+=x`W079GX3hpG*}nam+!}Tp9bdSXDI!O~!+L%jH!hXQ1>{`#F#DSpTV2 zasskIJ*ZWV`Vu+@|+d*GHGYeR>O02A(-N zJ)*@M?k>}vQ)D=#<;rpX3e*peUn=Jh4+KslR)TE;D{PGrgdCcx>gnYEh^dNj1fc(h z=7=w$JRl0S(*yX+k9T)=q4`pM+vCSDaY`Vs43MT*z)7>DPn5acaKgPN89>1rdJzZSmYN?gd z%%P~)?W>5fLWerRR=~ZFQ27j9ihLY2B77-w&VzTWhMtB5A;6u0@Q7o)d6=Ekx@XE- zGVlOCFyQVDdxLT=JJZd3usk`*!FDl=t#SACmoSW~6&gwR;J!sL1aocsm040})&S(% zx)s!v)=!Xu;fo#oMo|!pu))lVLJQtrhv2S^uoYcQ1g)?Se4x>YxEgfe@wX5AGUL8T z$9{zHQBFqkaTDsRK*t&q#Z3>PGbmnLD{Fo;|vTbQF(gR?rNh?wv#Nd zFp#3|QhNbV1?}VZ=+U&!YC14!CZ{jxv2SVIDQ^kAC1KM>vAv0vE84ou&RS;l)B`G%C`V_z^evR?!t8wIWAb>S@vym!^*kWwl=WWoJ}b~~ zif|7{6f4Q$1k-Ru)kpdPF9&YtTl!iyzipK6zXd(W{-R}cY!Eb11R2HP$ZIklgHRLI zTT~&IGqq;H@@ajYN)HoC6s zZ%?-9ADomprdtC!9GL8+lEbL@tnr`5C7U}0KeyesD_YUbl`ls1aq_IAyleS3z{v>W zcNcavXF2Jw3)kttK3t~{qog}l#=;TR*9c7?EwwemZaF8ghu?>3nvqB(E`5ZPWGL*e zfyzK(8>bLEK6UGp61aTp3)N4m(_frx)p8-K<{&V{{qm+0B)4L@3phdqq52pcAlMj^uGNni}svaFvFLh$h3uZi{M}&H;(opdzNb=<$s1-1r- z^)9eBi>1%_BM8HQ9wMi}z1i9Gtf-W=BVqWFQO0~>GDcR{0yP7I=@u)c3gx?dQbFwe z3Yp_C77*;ro5bG&HGWc$zQSc5&=J}3=X-e$E5o37yaO3;vomy&cdRoop>7R6EjXyk zyGvjyH22*>r>jJZ+?&ldvPtwh zG+&F`A^Cui-R_AZy2+&Lb-PE+yJUl2oSj{*5MlM=5>$2iov=~hI!5H zNm1j_2=zq4O+z??D-=~Rh$cobvDs4P)y18Ip4Bb}oB>fr1{UR>1LM5w1vqTG;j;~1 zV`z|zf>e~|h;5&JP95$pi>j8+xr8;Ou@%RWxGWKVKGOabsV;qVuNoNbVh3MKoL%vnx9h!-v>VTjcd)_dV z#VaPXKD&JJa&-axxOnm6-SgG$>GbU4RtJG-@#PM$-|lQMLSo}nw>ECw0)awRu@_g< z@Iuf{^@9lBmNm42#-~n91whbX62(A+`~-qRv)dxLwS#yD301!EF_I!6Df}>etq34n zqbF_;g2&mumMv%-#rJ`uw@UV5M{$n{S8$+hL^vA)?T)MW*(k)_l*?v3GLE-l974J& zsPL^=<^&!0oMw;0znH?kzQdR6G!ZPME(3`jySiSUUm*Fcq>Mqdj0zzKR!2-6(VJElcUvz$C%b;H17K2}mFF=onfTp3po&_!QR} zXrP=SB`m9_6ZORnKAHpd(G;KF5eY<~uG5OQ6fvR`4lIcOm|WM;kDwHB-Km{;EV?$_ z49{q2vmo&mxpz|pa@+0n`{9-uanmwm!DiYX-Le2lyCvH`#L@)J)7mlm2;Ic#WS?ao zv~CvWb7Hdp7@&)^4YKUa$sM@~Aas%#XvY3zn-X@q`uQ>B{-brH68X8 zw{*+-4RkWVSO*L^3KpTIS3e)RS%{olvxW00s0W%X*&V9U_;aVbOgD~mwgYEC>=&dD zSO}O!W8+SRFhTp7f(Ya<1x>EKCVNn5ClQWn2~aTult+t$Xf!vsPaYu;lcO3uw1!YX z3u8Enw6lHe zALtnSr;J$6tDpSr+`|0Rgp@fFwa_ikjSX)QD`>n6s7HkdXS0y3>(r9$$1fg zSDcQLEFUUH;x7ecS-Vt#Gt#WFfwvBk4~!T#Q>7N^XWR;^klYr3B6?E*Gs3i<(5+}2 zrZ#1ITY7W}42$QD05-3yBiw68w2<%hWBFyOmNTN3))|ih!wFrSHlN_sdS`x+R-pyNH59yJokQ9y8$>KnAjx9^ z>pBKF|BMNomm)OU?9@fa3vr+sp)RGe^|x|3knCf?hG(1vCAH-JCN@+}Z^Ymsd5b!o zTMq+JQYDFihSZE@I_#NCyXAX{mUkxmRx;6Fh*jlVk&j=CS>G_gK77q{KB8!Th)4t4sxSYRn|w>kRO3i zYDg95bq%A9q+T$H0D>BcCkFk%yGJyBqWFn*F`~kGaj`$UdUrN|w%SE@A0+U(Si^1h zYVV+??y8m#MZzlLL~sQ5$OQfFgobJ|7mr{F8i7CVm)ne=2edEiytrA99ysg9dh{Fv zPD-+tOv8jxDZ7^@HPW>h+|8f@Ann7wQ^`LYW*abu z%)InkVWKs|O($j)IyP|yZ9;saEuKT7HMm9N6_QLfI?GAlnvE& zdjvuYH7{-vSRTtJlCds&0ixlX+G4iKssheCyFj+IE7AMef?0+xs47mkl(25QW%{yz z2s8;?mcdBK(t>?T>s4AlNgruL*Vc}fH*f)smC3-P*~;-4+XHHBsk{{XiP`0}R~`t} z04fZF0qNiu?6@$K?oMbhV=^O}h}m28MmMF|k|F)q4;j3E$PhzR!E1b+yaZot%lT-k z5Jf!X(zkY5cxxXZYoG%DnCb)uR|8K_tpJm-(F`_c1b)KyKnDi|!+R93KTkS)HT z9EMS1ITO3OMs`Zr*qcP+05y1JMyR{QL+T7%8paXi%?Gf+dEbnskg4y<`;jTX>cDqX zS!V2fkhM%ozBO$lgOJ5N?gCR;)6jlJ%qPzkG7^#31(w?b$$Ela&a4xE4Mn&SI-wOKiuHO zThPVhI{#r8IZFhuAaM_j>Ql@>l)(bJVJH=5;`llrBZE*XCO0>1p#sS9V`wFmOh^US z=~1(YS6#E@h6gIDt#DT$?X>jjS3*p|!y(-o2ldUI`B>tN2UrDEU)V;#^+SXjl04wQ zbvvwVmW|(;JlcL3>QGyHbsk(MW7x%U0LSYi^dTOBo^xRW5sX5)CHKA#tJ`jKUNtFq zd@S3?MEH$Jiy1(?O>8WXlsJK?%W<&EdII2-PvxHqp1zuj=pUfg!pjM;dEL&W1tai@r)VKGX^VM zPe_N?F(>SOP1X!Jnv??V96urU7 zuT#idqmVXHtNq^SD2}Sa>02qX=!mNqwGb3azy{}s_s8W9;n_gk?5pwMhm}?&x z8Tm~HA)7MjlyJq-^+4Wv>m8Y3OJ)j$c~M|DAPM3%pmC}22mz7uM1VsK7)1#hCca3oTKH)633vdcbQ+`S zBmUTCLhi{fGU2JBo%(?>Ja%Ad+}a(Xkmw4%Kko<`l>|@jB4K7-#k4 zsX#tRr-A^ECt)?0TeJ_!0Df1HkVzR&lPuCb)NvV6cgw@q4Ahr+#ulj2vg?#jCa^4m zUh}9o(WpcoxC&?CQMZW$VLT7EYjUjL4k1}Lg>IlzR3kq?DD}uFlWpjcVYY?3WXVSaJ^B$biZ->jxVtDtbreFs9jJn^V04#H&5FY~0-;vC zb*Ox(a*8S#!-^>avVp)@N!B({o)8E=#&5-@BWmfCsf7;EO&qZb*x$Kb*ThEzjoat4Q}4g%Utv9*GWE`ZEDnQ+-a zQWD;OeH?QiVaz8i-LieWdkD*@M6QbBGBntO77}54@};UxA7bd%Chcfhlg1ET3nC;1 z_Yo}AP;Ox=9`m*I>YiJF5$NA}wvn&RyW6W1G53Ip6KHTG-e}Xs&>wbvpFP#>BdCqB zEZQgufp#ofvm<8F8vUv|B_)kKc0g9(J>_0k4DT}!8a~gzDZG}vBqxse2Xb`$fzMfD8d`arkzrQVgxTYL6qJxzfTVUGiD*Nl=a&S?5dmZ($0TIFWL~ z`lzYV*XVWO`~Z-nBXO#Ry^9`PdJvc@UeT9Te`ti2EsRZ{FDO-UkWortdi{KQeg)-O zMkxe78IU|#MnvR4Brot+C86}j%h}>xxV$2fq>B z$tf|el<}VUjVlnoQ92q%9R2axO{1m2#e?AY=QeFUBxUCft%xP-0ObSn#Be596R*_3 zf|hKFs$4U)44Fh#=tc!_F(rn%;T<3}yIP>2WsrhED*nUgbG$c!Q3S`Q>^t8f!>8_{ z+R+i}2$}e^uwt)yQ0NeJ5|k!zU|wp~b_UXdU$fq2;l<=6hBrWr2RxIp@?=@vxh5MI zv*$>hY_wg{>b(87Y%jxxZ+DD)jF`D^cVu)Hgj*1tyItz{8ElRkU8O`D+hTqZeRgZF zFdg-^EPmT4U42XRS~E&|f9uw6i)zov?ev!QKKbr z$W4GCzx9`lvH^M94$DJ(+QP9fk7Ds;U+uG>>UED zIU{ST(jnkMV`xhCN(EKMqV1e1~*71QdGhFFOalE zBB1t>s}rjq{cfd$be;x&i$=P3nN783HqK|nA2XL49~?`RemU((k6Y3Y`-v6OtrK~S z<{I4sca|x0Pcz0m%c3Dczq`?u`IFjCW(CT^W+imI8keAS<-j9-H7;AXnWo;tal;y< z6L?umVJ}E?hzmlx6_DhIhX;&J7tp8?5hO|#o8YoAvIn>$9%(Eq1QgBnd=#OCsAmmA zh{q^8XkuwQ^_Cumm&u1$C+CZIt*Eqpupi`wB_ofD9LgY>x^CNGe_T3Ccg;M^Sa2nN zS_0W2h*}0BxM)#NvrG0~@tsimP{l6vTk`P>6$VQ{Rrj9I+InwdC~dtrR)HL0fWUjm z%`=&}#kDpdbG>D0(-FF`u)6I}VB%+1O{O)6V7vid=dI5?d#i&V?VdU~pe9Fw4FR$~ zJm|4Ypc``{s7*|P^zo5Zke(n{p6F2y4MRl57Nv78m3poVTEv41omlnQV;e@UZjtwa zklg_<3g@K_V}hZh(Z%FohkZ_gk$B&klWjb+47yFm$j9xEp(TXdqoUQIo(omt_n6#a zU5{P3)_w;*O>h1dGfw*;U42b6AnD?I`?RKI?xc_kiM%U_0I!tbKkkIpVoBBNFvebe z41f&~mwevyjNBf>|hQC{WGx6YL+47AHKht$lBi8MTm-5YZGGSgZY=+yy$Anmc{+LQKUHe z(H`pFDCM!WfA*0_93g$U+R_2^u4-^9^FyyJ)>~cMWidd@06F`B1`vo7A9@AUjZivo zk}^~$O`~M@QHrDr3Rut1Hpb|7s|b92hyuBUYo@R8s!i3^wG5G2D+7tb*Ulr=>-P$9 zkETB-honz}ey(K+)X27`<8@1?G@JxOa)-Lf>kHFfv)YtRWx1fBj9F#K3PGP4F4y!N zi5V73ELB}K$S<=q2Is7`-yAau{b(d{MxbQsflAl~N7#DVu1?x16Q4d^U4t`UO;Hdg zEGi_vp+W+u$Z3FzF&3HF{9P!K6y+T$;07g|8QMyw(>aSU!qhjFXqWk`^JgeQ8(wXR zr$D%%tX&?cd*B2x_9d%lndznu?2pzJio~i9E&O&U!{C zUWxVywGwZGcj&zco!8}u8cXmIGH95w(=|m&1uTsv0lCrCq@a~Cp(XYRm*$BzV`Yb( zDBAE>5LvXg>lz7SMO|7!%#j$7Z&Gc8@%xxTLSrO8L4y=bRvcq`v#`S=^nQ@cPP-&Q z7NYtaxo%2p|JM;%;o0SL;RB(a(CUKT)e2U_qdWck$069%>q-?AD9Zj?gL2)q7)lf{ z_)F7FXd)P=G@<+zoajiQqOuuP=APv#ATWsT*ceZpNzaAV=5d}~RZlh;J}bC|-HJJA z0dRSIA!a!un0B<#!Nw476vzx6npDc0NRm#uP+NHq^?}qAOuU}#&k<}l_V-r!yMq6V zgDhk9^NwC}{cFlp3oWwXWN)$>=+h-5V}`jvdS@iSD$ z&6lA(+1G%&wcQq-bM;yW$+-fhoKVdR^Uxj&62kXF*(0K1Df?4bnK}P}I{uVW z&{Yz~cK-x|vRx5L)-8lD6?eg(APEn}U5@~)TlX=N!=7wyYR*wq0Hb1@+I18%AdOa~ zB5E#Pu4YTc(NOMe?Vj8RON?LmMp(VbjEu(PQ@C-mdL{r8_5u(>_&U?rPnK8y;%LZt z;{V)(|FZ^P>7+#bFgb?Z2RQITL1u}xFr7}0ArCULnRFzPrc9@z6ju#a3)#^` z&2=sNTF9=1*1d$<-7$Qc!(wLwso8LLLNhy$F74lT@f^&1TnSgpEgV`f4u-{oS-qY2>U&{r9qZK}7`>d$ z9jX;}O!iQNQ;2k!_g4@P4B8dk0YrpCIx7*OHo^akGNrU=i1{)SP~fNfy@U^_oDa*} zZJ$I+OA_fY;K&Nzf;k}A0toPKM>pfkEmSiZKtNC@f1(+I$HRj|&?hF04KS%aaZ))P z6JltcRo@xzscneB89%|+U+~{OXyEs5ir)<al7g7eZgN|8)Tn$*D!0V}9+{lWDa?1m>>j8VJK5~v!PlTGhoyTUW# zsZEqS-Y%;i-!+5egUp$=M?_NH2K-%Hw@zPJ2V{kJI$aSl(vK?EWSGZM<=cO8IRD#Ab1i&LA1N_Hx9xR3*6Q#uYHhwspW3H#iB9?hlP@z20f3Gbof*! z>Ged9o^%`I3P=*?3$ROSPmox-o|fa!?}*3n8yY4M`}u)SVqhRKXCOx2s90?ygTUY% zSKZI9k11epadRzB8PdNo@IZzzHbH}~S~x6_GJ=iUbsxSowDNqId)MXuwCVMPC`o!f zDLLSE$wrO4cQ^_&T3VNOWhw-QbQR6loQxJ zJb-J;)is2Gcc;&wPFBv-(x#K+-Fq1^42gAkOJx5IS*r|&PWUp$}V zm8@)NLE0`{lCaBmBjY*Cs)RUQ*(xuETHiE!@tUpB6+d}=dTftqv%{QBu&yWD^~UV> z{PyDZ@>XXCAB#EuU*P|xn`OZ5o}j4pv?%r|05*AH*K2Xtx-oLDiJSt;O+Ny&9?)R5 z7|g(7hQc#~B1{Ti`1hn)6Y_yX5vipC43)SdVAW{pQRJML)c^EI^sHFoon7GXur{f0 zW0ZUh&%LkKx$9HzG&^+d!N48Bz;q8G%EI7*J!kOI#%#G1w=LtO1rU9;xbB>UV<43z zE?jaGs!6`*v|BDYFqp~_e9;h0lA?0svqkExh^3d^-yZ>NA2W7;H1TkU)y()E)+fxw z2-rH~ggu-Vkl}bGv74$09Yc=MWOR@Vz~0frqR^7gj$g1x;pBK{v}-0Lu`7l-qnp_F zp@nEXB)+bTs;6T!jretf2Lm_M7(&=_>^emRhmm7lRdbx#Zd6zs4~$PyJC6#9W=)4Z z9xdJSbn;yW$C;WQ;GJOk2kC;kgy%-TqBIDPx-@qNygNATk}P#h0X-~P`{uX0)l*Mr4L%E-7B|#Z*yRuqH zbEuHn*0TEutK6M{syHw*HU$%G;JRhP*mr1RDAM2`BhjcysCn6bd*dE?l0~pbP#R3Y znLt5vc&KU-jry>s1V`~OU|o5d!!A8O5&bgq+2q0QQBvC>=&`eFxzm0raInffTIMaM zuLNIjoK+sW6*C7f>XV0f%~d*OEscJ8{AMc#ud4=<*YU(nYYk%CBhM5M4j^9WAT zR>s)bAFWe3_pI7;vx*%h>7=IwAuvEjxvixtjMbS2rg6l+2(7ZrHV`}ys-sk9x4DJ` zv4C(7JZ2}2u_fEI22gvS#WT&jrWHuZy0~O)7E$}47g7Tg4e>G@@TXQI*pw}~4$$Vzo zD@%&UQFH6lKiZaJ03RUW4z@BSL{$T=s;tRL^I^o5cu>BAR}|NW}_01ENRYdiLxsSHF(ZxMJ=`%1wRU98!x zR!4G=H1JIkCGR2#bk5>Y;B+OdcZd_5REo4Gm zUNtSOU(vIE9nmwi8SSpL1y#uI|^tfHt@uZn3 zL#B@`89M!Vo&)E2BH5KD_jh+rHki{A;70)5U_2XC+6ZTJKcpM#%FZY0l3u|nuJftR zfWC1i{Uki+ZcIPfs}*aF9v(v>nV_}NiKM9P)km)#RF$uP5XO9@2OTM*ykSbcx|Z}i zHxGI3r16;7PYBs3>!a7b4TGS?k&Hjyc(^vD!$-*Iz=RI_=ND8|3ig=+*LF7Mw{CTQ zyt9GEk4VGjdxP19*odK_@Yn$9M$Am$=8cp-P4P^5b>`<+{g58&b;^{)eI(`3-R~ zfWw~OrJ`DA<1LWQ<+YAd6|B4}%WaC32W=lHtP>E@E}e}CcW@0QuO0IF`%Ii*f?GT* zhO6c_6DXeK&9Tg-wKoTuQ!GIvx2$e!h9{_sF!xSDHwe$J!@~>(yAF4zNaS@7rgka} zyutP0_@RRxa_Yq9B!q3w+Ayg1oS2zb4`x}&Ksl+zG)PHpgai5xo5_{<#B{I4gFGR@ zB3`AsGj#-jKq_Ql`&hkdpa_B4iv~JXBB7YG+ILiuEBWaxw<9_Wfj~NJNmmO))VyDI z&w@#smr~VWbe1+2f1Aou?fsyKMpY1Yrw79wi+w~S2jCYhRy0K6DM1Rbx3v}J)bl2t zEnnOA4D-DQJ6lLp1#y{N!HY8#^;u4zBVV)7C}j^hzh?Ll^vEsNkeb#IVa@4>gF4Ro zPZVJm_vlv&%e7gU(lYzw2XKdRfM?xdbhlB?BI}cCX~@0@;HJXY$>ij-Tqq=D@k<%`CeYjfEaelN8WT5|kuv7> z;bj%MBO19Lw&(3b>&9g@FQwH8Xs_;-;u9EccA#)Neux}HGBqJv=3yXF5N0`Hlj#5q zmakryW-PN>@}6_7&O0?~Xt>mxsJOsXPoHA0C(t)zQ*f!G^gRO7#shF96)boiX+Qko z&>M+#;Fp9#Eib!*?f#K~NM6HZf$~T9*RnH*AO$y@`sAHv9PjiW?ps zZ;F-6iA9x$Tm_&B>~VAmbf|Gha`M3@w_u#X5HL+LVxU8HBHM>dA);P`ZG8%C+-?L= z!Fj^X1f)knP?iXPZBJ1=t8vLPL}`b7BX>^qILFY`L%V^$F`L%Q_CaZ@qJ3ScL-n@P0FgdbY4#_H6=SfLgS z1NjZe6y^D%_o^Ur@T|B!d3f4!@2UmX$d%|aiQVOZeyck^!$l8YQ82d2DKr`) z#u6mR{zCpL6FS1{Q*egn`-)yeR#k$OLr^QaXO3)fPA8AJo%aJzv2O;9~J1xJw{p zhY_){anhESUd5;O+_+ldPIeKv8d`9R;c7Uv2M1U~F>GCmXd6QmDPDE4VXo0F$HY76J4-21wz<`~(X1IH55Tel zV0e}!CE#={sZI`gZFt&LYbsHR~# z>g&%CI?MrQf(8CmuBDezt&c3T86GKSBM`O#!MaNx$r6r?(!?ZCNB~9DU(@Nu3pfWo zJHKG+>e==6<@x*-BJrjh8y7G1I><5Cn&n2Q?3XNwnPe z-UC6&%t16h1cbOBim7Don*}PWLLxcd7G#@S^Hq$4^LA@d?yN-?2X8iNhbszhT#qqu z+O06*;rkdeszZq9sxh3KrTEBfZj-60W`I0B&b93aw`4iAi-KqRZEX9CIvB* zWrW#(n$8ftolQEqGNKM~bf|lGe)00v^>ntlK7Vl`z7&Ss(>j~#H4y!RoXL!SX{?N? zRg;^~;tG)e+Cg;)0bwU(z|bsM&=np-+$w%6@<7CrDlRtj0o7u)1X)=HKJ@pg2Eo`8 zdSQU1(fYvH>7@YHk-xaQ?#SQrnb<){aT0-UlsDcJxI~Z-J`!ikMDnfG&VB^tY@nMh zD(H1YOa^5eZBY?qJbU1`gnSXG*UE^8OZ>f<`D%o8Qx$5xd4!5m#$N7Sp;5B>M?$Y@9(^-xUmHOF|$=a}Cj5 zH%zH6#j3jO6m^lk4=M*D7w|w*HaMYNG9A@$O~8fXqul*R+PCgX{kK+q|-2h>r85N=(}i?j}rn=S9C+{)(R;R$3U z=hbNvm#rBj53qY}9H1E^W_>At4cn z#|~7DA)K`r`3J2!jbdPZU}Dj1;B*?X>IjI^XK|K5ASmk?2^2%WRct3$4Q0YruD#8< zZcvFbXW}g2zXz@Tw7YcpVF=`v*N#Dz!q<*LB)@p>@iRizJi*78G^MBEF~jht zdt1lUfH@Gc@Yfh|6QWO_COc$IAY+>oE;;5nffljoDP%Ev*qsfLt*J=6I)8exT6Pxi zP*W@ECPycS`{b2T^$n8A39v3wS%WFqW=cY%l`*(Tv`br%efjBy%HviIs%gii#{!+8 zDbH1G0~SLs1FO~L`9=H`Y8Pe*dRT0ny<`x?6@O1JH&jJ(yMwEeTY1WmJQVO5OQf{@ zUhk-7qv(l`L=7-LJbFSSg-AN^EHjnx=yk*Tcb(5@0X!Ht$JJggWh>W1l?1`Kqg`M z@A5BYwx|kpIFpX{Q~8T~3M(@-eAM16R)lvus#rp9rN^JiN<=RkWM_EX-!UnPn96I@ z`|OH-s1Oagvs+k;uq6uuCVgrXnYSD#9lsjq-1OdDtBv=wRvXkxD0?v41I$hCOB^LI z*(r+FE9K~7{vd9U5Mk{`36XtLbSN(HA$OHJ+P{nKJJq1t+7brIn?OeRx!Fh`qcjp( zz0AsTX$j;`g!24`;iuAGZkOC5Dllb;9AoRZIE<-Z+R zB#tc00(n|lSbLh|QDz1`m!bm9A!rLC@#rmR2(OhvM_Z*0I5kB8rlv9r(iZ^t`5f4) zeljYN-AU-QVxF0f5EK_OkXG9!sy2qp5bNOk-(S2VOJ-E{z=EVg2Bs*stJJ=uRn!pC z%|)ll&EX=btcBGXz(Wg>psk{kSa+ddM4%gPHyg| zK&HY;B;1b3wi*{fDmQr)m&Q$cbPu?Yj$yHqG98jE+4Dlrh=y+y;v-&e2!+~&_`W3y z6IivtB|V1sd}jm7&K`9UvXIuve+NGt0#@|f?Dy**xOD1IN&Ma!#^}(lgk&pm+(85# z(U2Bi;fBGSjf)zyZn)bIx5SbTms;T|Y*93i@Mx@Y)|&k>yl0G&KfSD5U{@HbWTHSk z-z9Lu4TX570$++c;9!5ZZRgForSNY)w+WYp78rAISyaxAIYe*4#RMY58=SD_{RJW& zt}g`;32V4)?Pw}{MtNqj-1Jk1W*&e@_c-uZkDz9I7$B6EUR^~}EGW@VPt4!usFU?n zU`q!GsDZ45i2x;~juT~60v9c~i3i?c%+c}a_(Vhj&J%VW&&r}akmPt=7o%TGjK1!( zHNBJoWmP_m3Rl)#6#9XN4dF_Bi;RP<0X?Z3VqFi(BwMSd%kUZRMs0mP;@zlS#o$0~ zq=osQ1#v3yW~VMWr^Z!Pn}M5~55$Mwm65DWJa~9K@iua##JEo-&|?tf9wan??PPU* zWRj(IrZ6|gmuMCSM{^HGHJZkn7EY4x=3XP(Tpgn-#rnL? z?Y*Y0zrnswwnq04_grW=5T^u)tsN&o$90pFjk79xHn()n>8R9P^P$k<6ihLRY#k!6 zF^>4giP~mWb0#6iKl%@{xf&f7Yp4ko>1lG0M-YDlH$ND&f~aqN8hAJdJ!zMR9euk^ zkhs83jt^^c;cj7)*6c;iJMJ|pyVaWilCg% z@h)eQGZ`naE!0aqH$A0pMq)mBZ1VNmsTxQRq0f(z`C3IptoC)w-`?G_@@oc~MK=mM zldV~t*#VjX_*pR`8KF=p$ar#idJN8nGGIN}M`2UL`XIa32>gx$5wxRwn=EOjk`;_B z+sI$K6-r&A=X2i&P_UJCRRPF7PSv2v5d9{6k}Xkw412B7EoquI*-OJ}C)^*7Gv8^G z1R`d|8s5^&{seOSOe)fpwt&m;f|n(2(ahwj)fo^EtbMJSxa_P6= zI~;KyfDWYlCFeolQo3uUhn+m{@AN1`1iMoLRL6+9kbvY3iAl6kWF=o*4+<25xHNe{XIO`fme?t zO*R%et(}8Cv#H~Y8n~FMA>|?A+(1?msy`%jB_mGnAWhubKhu=NUF3l17M5Iw@l8k( zD`)NSiWCS~jzYwDTrW_nC{?I=owfs%27WFwr0NEcwlkVnK(=e1)(C>OZH zUx!nqpwfv2mqd90St0EpHdDPB54|iA?y|}km(Ln3D=siX_DLhKq!y({6I_6Dj9@!@Uv39y8ON=mxR2?t7Y zY)l;J0*22J4|+vFEN>JQ$ek~yj?jH=g~!d@!{bmg6cepst&X%nl%`X5Gr5nj!$$n4 zB;?6QfFEIutZE?D#56ngv|0E>n$WThGIt1>97OMGd!*g=cv@Qz@-9YdP^-g1E@?C^ zx;D)NExM`<6+?@xul!|LQgJXZ-k?ep9aOJE=dyhs>Ch*ba#<(mxbmi97bGA{|rVTK^nUG;7ZQ)vl zFGb}NHwZ2$qWsKFQ`bi4U=VQ8vmSdXYA$-Om#i@c5}VfaGO5A^$bk*=shF3ODS-JX zb5Sr_j!Mn~MN%^Hu_O4pA$sCO0AS3P5dvuY!;yd*Dv=xFeSb}jHw%GlpJ4#89}+wq z%1+gHOyWbB*QTZfmH6!x5pot&0#yQTZT#_v0}>I1Y;dH;jyT%5N0-B4H!u{cnn0~g z=7APOta0SV#^n5f9C;%4PCHv@RO>Jn%<~=xLba6 zHZ5C=&t(cyLoC2JTZyEo9jC7(g-q1`_%Q;6kX+D`Js$N)c9I>X_)z!hU_70ky|{cn zgNx|p(~Yy|Odd%OipV8A90RMj9R@HY1_mNt@AELK=<PVm-Q{h2&KRHPy$F`qr-6@#9Gd463wObzd+AU1z_Q4v$cppKvvR7K)9`X)7HcyU62NN$Ml7L4& zEd;nZhWkNrVe`S!i6!SqhzUi(doNJS>ipTNv+>sa-Iv#$x88nl#x!_wY2K4v+GC7M zui<^8+P!vwY`py}sKEyNHqwSb7}e-D2fE+iqFT(It15T(Ho(o8a}`}o&Q;jg$V9n) zkHKv;Cppu!HyFl>XMmd@E8ihZ@*}EfsVL$M;b?A`Pt_!8Z_949K1E>emL6rpnUYh5 zKP$a`tYhL6q|={v6m7q{Fn)}1g`!Tb^v+9tqs)*L`MCGsV0(`TUQ9M=jIoVBpbw2# z-xdAFA+@Z3|Mlv-q7U^Qu?}=xyIb3&+^4`hkn5+@LNP9Sy$TcML^o&lH9B=Z%b9=>j1*9*v`fLqp~n)H4AsNn5atMj9;1s1dJOv- zoHyievfe0X=1RQ?E2W&iDo#M<)IL!|HxsfHft*sSa=_ zt+lu1q_vOJlSbqLtR&|Q|B5YSdW?TH2&uKpp0n0_`B+tBAax+CkbtY*WFClA#njJ7 z^c)e43DH_+fPWd9yNenJ?bq$A+j`~A{#ye#Ov7jl7@h02Y9=19kQIZu#F*w=2FQ~I zASz%+XmE7@^%QPRD~1J3rw>;b%NLhZ!xfERT%4Uh1rZiA5$rzRPNZ7eJ*DB88(3`; zYq2Z&q$}_cV<=t^M%VyY4aE6yYp_T+cH0%ZX^?y$5R#l0nvjgVDEPh-`=6ZX5rXHA zq(T$;DGBFxnx3@FzP4q6ye%ZIcrA4Z+lNrLw_mqUYw4Az$xaw6H%g=$L@bFh5lwef zuYGblMjlI?PGgdAkD|SFXeTr#IWRVc5(&dc?z8E^A^whSC2^m9yMS|gw@iT;hiR7; zfLNnGzA~M9cV<`z?Wc<=1onO_m8KmDiAZns-exujOC;C8(rb-zkz7;ut?M7R-9b|e z10rI-QkA0b%h0?u!?aDoq~J( zde-0J*Y!hMkn9bExskWB#miR+UU)Y9aQggeLw?-qXnXPD;@RrTUpBVhLNg{(PVXXf zo~aVglqur+3p`1n1=cWb`ohv#`UvEK{09$ZiQo?pAePE$FpD1Ak{S>+NKY+`2ZbWa z?NU1)**q-E6eqM4SsYBSLFyr^G@@cUM8j@RQXAR&K}sx5zl!VbDg1iPY6`hr1bPsc zjmheA@#4AXvId&kMio35hA0^ZJ8r_|RBgHj;f@+=%A($j)X}znuLFxvS8Y360HSr6 zf`Vlvt-}(+4^1X5-AbyId4dd^DW)Xy@vK#FkKXz>bXt_s93uEcFim7JW05^NQt_y0jcrpWDX9s-A^%l5GM<~dJXU&;H$QN1e z#|KQQk}Niw$VdSoWKc$$ENBY@hQh`y31Vh0&vlHpuB98M>nuishl12p>VP*r7G`ZO zO2PY1(H*6YE?MQr?neW9t?O3T!XquHRJBlD!nwfU6t0tE8pAw7JnxVDYJ^agq;|t$ zjJ{+5CFMzt`PW{y$Fb2Vi%FVre)+T0)x~W7Y_$P`@S^j@&Qk`vtu8NLy}UL(O9X79 zg5c^$JMcN%-`u#xA9@q-XZz1{77k3C1 zzh_rcyC4Wk1c$1xMv@_y4oR)5o`Q`xl?Ihq57pAt)6Z@n1=()n%j;)m?vsNQ8CQj7 zNOqqlweU%QfVTsbXzmfn45`-+KnV^XVT?vwx1Q$Uc_*kP`Osmmw4>#t8bfrsxfp^T z98*WT5flYfp+Fotn4a9HE@gT&5fPvIUHm~LtPwBa^340K`*Y>yB!HIowoI&joX(E; zR?}ccwlt_djhQH@PsN;nu`G8p>Cw%kUAxG)U_)6SdYEq&ul0^L{WW& zF*V0H*`ui2lSI_k))JeIYL_HJi0p`|AmEnf(UW5isc8j@2z1|t4W4mgj@5k^xf6A$ z0BCbvEm;3*YHVE+pl{YwSQ-}a6^Md{A@HcT9FKI%i8Ev?uR^8^Hflq)D!hYkuSoI7 zpzb}yW^XY-{neCd4IBnCd`IX@O;=b<9lBFEC?}e$@qH$aS^sxN1h(H z74LT0fGfM(K~mMVyIsP4A+~RU#vQ=3IxJ^y2M8sGLSlz`Fd%THx_VR#cDlCE9a*ni z=An6)LJT!Vqhc5XOflSZ^J`QBgG$!ccBJ_S2ligK>tt=H>X6yAD`-a*BEXvIk`nih z#V;ID=M#-OcUc8a?>`VV`3Ug2Z&K)(xB(-C(U+MhGgkY$l6%0JaJYtIv_1F*3I>}l zFW*7BymV#YND{@_H2eKoL&n07oR*Kz5e!M~m~yERkJ{)n_|w~sVFwlZfEw1G(ILT0 zG;5e2BM;Mwdz#hgX`xrmG5l<05RCs;YYIf6Sa1*sAqr(wz*KXl2o%@yB1v93$&zCs z-qDepoiUUFwPSR(_zquudvD^%blTDK#f>5Q!CZ(WyQh?e5p37ir!%nvIue-BfWS=; z6i!yvgFcm7lPLezeuG61kfWcmQd+g0mZ%H;TGcb0U1n0C#Sy3 zqdm$y6*kEU)6RoI4LD$$9f{|+tfxtOqbEw3)oQhL70~qEMbBBFkOmF$Ddw`PtBkiY zXGVc)<)J)fcyjvR@>^i~d^LY{7BM+t4)hf9s2ESVf-IEiqZnCtACaa|Zs`vPGV6!5 z0M8AB6^u;w-s3U-#<14TvB@T%5IZwNU6I?KnXjR)g+#cHX<|PJvbc1u;h8vOn?s;U zP{Ax8xL68!jvKCksRtX`7<;n3$c{k1nHFH@SCqT;Y3XZOn6^=7MGR)6H?HvJSi><; zJ~!5tMKN)8qOd!D3~b9@Fd_DM#dUTqH@c>mH%D3rmA{dhX~eQQc(SYL-0)O~8BaY= zj26=zTycE0w>GhX9yzf{YV=Rl5lBbGj^M)}FP2+Z!9z&wtVJvk=H40-7~CnJ73%OM z!6eKASi9SYFAsV2IYgaxlG-CvB8fGE30{ifg z!c-{5PV@>HOPXy-*qc#6dF3D%*|h@olI|eH9vVvoHsEX~&^{_9mMsp7Ldv5iU4(T6 zoK+)ZVkOttF;IdQQ-?QTc+~>A`V{<7&T%Bx2l;ME?B21}Db@|J?=>Hr3==>Wb&5BU zjwTuo@_rbo)X#0?zhO{^>jEH$uaAEmBK*+^DGg5_I;(jnpU9@;N{lP$Lyil*daF)t z909ybhWtVzAtBk_h)fK-1{<1Y9du!R%}$+<$3Vm~Fc)o34^ZmRaGf+Q zh&0Ic#YA;itHOD9RAO-s4XxOk1Bh7i^dof=IaY;PY0$T;cIcMFhO6OE+QA&Zkl zL%Hh~)im#tbHxu)Bbd069yvmlcC=8c#t=TyF&qsd3nQgEYYWfOX7t?x)DZ8v)wOJ! zuzGkAK!n4WGXljO`iSr- zOpuZU$w_!RA zzs_`~$*v43bH39mz#Tu{JPxfrH;gi?iLY+amO}nlRSuFNtxJAgOY&;_re?khQ~|!ys8PKz$pIIbC#`jyJRjCgSiKLAcadom zhsm&gd8~SHat^}>l~6hW6w%wz@J&*02F$Z26&c&(PYKe9P%7so0eV=*iBao6c!YSY3s2YK!9oX`%Mt4ryoxUf&dR=%)O3W-o1Cg zq@MorblWmXNN1fYXUQaaKkrz5-gmw`?Et)cU_QmOaQjBS#CV`r6A(bakDnAWHGrPub_sG!?dt|%|uThqOV{7qB$ysNW+8`(P0HX2R z@^@`ry0MMsE{}s!xjK2K{gx9_k`78t%iWciS}IqkG&q9sh0S)JL+tjIOujVs+D$8`3RyB zWl#UmD@6m**^en<1qnHEqHVF4(J_2YhdpMk-EzuIm;~2cJ*&B@ zf!iaLO(O&|H0{*l!Ht}8D7fS-k%-vnFhIkiRSkhr)vrO2#thUpLgurNgz_{Rp*q`i z`s@XAH>{@5=hMZjOH>;EU_E43u&phF_+02laD2QUrEk%P(yBgUl@w5( z@P=!8^)mwk?vTsg(f+{`Xj%zL%IdYDO%18cKVY6VCGj~D-DOCoz6p2O&mnO(ZAKTX zrwGt`Z>1$sB4i*mQ0jpNBr3!fet^zZ$QQhJq24nlS%Jy#5iD<8w}E#gI-ia~&7&;bl}!aC_yxQLml~3iQno@8CRZY>0z)bk zL}_#2@&eb-gVWA|X9Zgsu@d)p7Mp3hgy+>euWA;FdPi)s{fOnJI}A*dG&mbf1hCo?=UaS6&i3v`pg2c!K)AMJkMV~ zdxdLHtIiz+h~BxnUVi53#Vfr0&e_EaG%wE=*LP&c&M%(g;@PVUsnT=jY9;^T-ZK)& zpnc~hUFIyNXD(DN`k=FUx%)ZU`(JVs?GF1#L4Ak5%-;A3**hz40^{q;LoWYKb-7RWKy#MT!GBF4$`{OOb^E6cszm^ zqKGa5j)^<;`5r)#FBtD23U|=yeOtzo7z7V606dkz@%Y(Mmp5Qbo_OUHNwkd zMkSP;t%F?^T-@0nJNhB2M>l7G$U#5~AdJw{FNw_}^r}E(DhUc`26B$@d{QhOn5GAf zpcjFXE_;I82FQV$PPGcxi?a=qROo_M&z843@kQu(conXX@W+J{nNEc}1J;$r;AEE^ zFC{Nadt2D4_Hmq+s*w`ab1^91Dy7@5%Uz~R9}G5LS?Q$T zY`to0#D(6@S4u(1k~Ey~G(yxmI1kg%q{Bnj?M0puXnF_j}B7>j<1M1MfjpVBO2<~2Egy5+_6tcdpPLIj( zc78_e_+^A@Ib_r!5L4CiH`efpyU>e!wI{^Q-q~FRPL*^w?Tb zhX<3>eHM_ytx=v!oEfB&cQI@{u<8qDi35ua>r@$`$}h2-$2!nCNmtX0TP}x`Bfdu# zh3k#b`CZv<73qLGz(3tI8>mul?6&V*JLslYYlL{qoGJm1mJWrQmX7YaJ90F}Gbg(!1c$1_lg9|Nb>z!v)zcBW2C5i?lL8cDa^Ue)M8A*6M<`8mu(b;l z{|QRJZ6lEn&ISGl?Dm9e3V8~!0Y)Fe^9{a}fIktyJ;^dm7j1q;NdX+0LCzt@Qh^XC z3g{w7VRnB0?ELyeRD6C*N4-UT0iJ5)>C`XIy3iD92ap(&IQx?ANk10v!>f~Xq)e6b zY6!UCL8iZPz7%C6Wvz&RK3%PnTa)?67stSifomk6ulhSM_BFC`v@n+dXgJK_kW_=w68CwV$=2>Y%m&N236Zw!&A6N%A~hYH*e85rjbLiUgS&K#UZPJPRCC^x|qy9>?8D_CQ(z! z5GE04K1ssSA?R1EO>P|`ThSDwozcT`n$BNTm;uBpL#uR&2(;;|3+SKUT`f1XJfXdg zW=8!{=hK=E#u#HPuVYet252Tg1<4?V17th`2|hg{M?0B58XX^K@sHp>f+-3a9Z}u6 z19Rtzb!lN*RWBTSd$w4pTSPll$zk}1ga1v;X#1Gl6*k!YCaTLFE2d|Wr6nI7bvCU0 z5?-~3t=T7=V6;W9z^P-xi7f`61COx9z~u?^-)91Wtl>qz&u6z*A6W*FGUOYM7{bFm z0G#?bitI?)Ny$FST2AHNvK;o$Ze{8yA_aR~K0LDhTnpwqXI(frLgz<&rxQ3;00AMe zdfc%`Ncdf2**boFz)}jlQ%lj=31f5V9mx)Q4JH<$zOzZ>AJE4r*ng?4>`rEGQWb%9 zg1fxWsVdTzONAS$=nkrEg(d5aQwpft#&d=at*#*}Ga$P+|*eDDDj#)_`&J{H-4 zq6lX2bM{2ednrJ(fbF67fUqXM(-MJpeu>vH8?v*g?Ijs2?%A`ECMH} z8jjfX6VSbZyTN!&DN^=^`&)GTNHCyf0T@jqM-3u;x;%g`ILk2=5}y{n-awbw&*_jr z78k|^^9~od)Nwh?h&{sH6}~`yN=}Y!otA%fC z8N`oKX}cKo;6vXH^`K$ zm9Qz#9C@+o3Mp;o4gN?A$>@o#>FE(vmdGna*1$qo&|wj1qg!^@;7?SVOg}`}t-L)Z zFn2M5;X)DBH>76nJ`PVfj>j?`h#{PyNSY(H3-cTeB3OQWLVW#jgsc;+Wn~C;-v+UT zu$&B)Z#_W<6d~sb978QtW{rl8Kn`k&GUZ6CYQRPq^HIpo#~q3>q6OXEdd$6$!@Z`X zbtBAuGC!SL9G-3SIkfiqc{nOCW*&6M6^55}Faj<1aw7MQ^*MTFfEK+ZE*1E*SxZBA zf0Kt!N^i$HP)`;bV_FXDO{2Lm_K-mTpw>fG?DQ?JFzU;~MbGdEsj|IV-V0O8^OtXC=`3Pf*Q`V@EQ$$dnwdf z#0Nu@Rgw*Pjb^XD&FI@>YqW*f0uT!afGg-&Wf?5rw~eU)!Jcl5HlJ8E2aH>$q-zyz z;uVDG0b|DXx+MF}ZX1kxfX}JLi%T4Nj9oq|z^)?DeE@2L-SK`8+m>PKS7eq_RR*+r zMwMXz3{0&_b-`l^RNT;=q$70kLU$uyHtqAu!Gi^5pXDN5f`{@m0EiAOyM=^U?D(^d=8HG?v^>P z8K~0^7#-9JTEpm6gV!@U72@e4P)zLwzSC%1iD#IH^9DB|3CANp)VKO%7paiDkV!cY zMEHt|F%OH`HuIhjih;ypaxleLV~s+53oU}96G&`A8=-chrVOs{qrF`%_zqkADAo{b zb@1kO%^23au3FvZbyey%ud684ysj$U=JlSO-{y6M5&|Pq=_qs^3Z2jb?cG1Bfw+HI zglxUp4iC1r_o>PO7}1SVnE5D;IT(6jLe_TK1KTn{POAsa0x??cpjixy*McU-B%rCn zlEG$U;#eVNVtVRoX$+G479W8^!}smcZW;#V#5<88(pML?(@AV1g%!dU^69|{W{E}*&AvGL8!HhZr ziQG-g7d&Aer_QVPy4{jkT9;ngl4jnR{U~qDkZg$iIBFDwEAlF4`%^T^3+u`Md3U<=W!6WLI3#WiiuM@G7d-q^T`b@-GI)(;fLg z5I@T_AmjTs)DwB)kqaFmDM&Oj=?HzdbQuzfam9lfm>ex~1CcVCe|VC>`7j0=yu1Dj zjjNVD!8L?5XD6#Gl-Ggq2j72!OY`&VtBnpXbvAJEPN(Qd{IiRy@r4*Y z9xTF(2^tLh)cf1o$c#}`s*+GO-C{5;fRd?KuqeL(j=`on0UHbG4;)A)`Glyzt@Fu& zWrJD;BPc@<2AO4bV5VNi+Vr@-a5|&um6pcq%MYn^y_&8*Silnxo}U|c@7|@|k(Z~l zi;EZ6v+LD{>$Z1HttTEVB@aha6jPo^E`b0Cp%UX79E7AOuXVI3yVQ*s#n|LNcc16h za`dfiHnl_@J3_RIdx!TF^Z-(ZGKn9&mPGGHk~E0m$z=cdiQ0`EpA$;Z@sJkQ;fBH7 zU=_T?Mgq=JN;KUx2UWcomAoq|>7XJX$MbjWIhAiHT=hAbptqnzlWPtZ2*i?Wz@f81 zY;A9zQW9WrTjFdQa*lMoL*{lk#-SLu;2g+WAQXnRr5NRM0lKwq>ug!o)?vDy{#dA| z8upNURLarQ&qpi9@&;tTg2kjIVG~Xd{!n8d^MK>S(J?&d)^n47K+E*kJxk7fa8_w# zGjav;JXck6ib-RrykKGu3*nKONr8O)M{eK`)W6eO3$3Fr}d5vJg&ivK+v*nL;x;csx{r~kO&a&(q z@c-}Q&l}lS{LydxhL308fwukg_wZx%joAK|!-VBDxcW44;j&$Bmv2tU7atlrA|`KPn5`i8IG|JpY`@v(1xn#4FJ<3o z8vL#|vS0FD`1NM?%gOj(&ic|LLUt z&xGKG=l}W}oc|wiqkbdl|1Hw@GKSX@5Cs|5DQam8AWvN&7e6co|`wH?o+5e?|76M*VMQ zzZbPXmc=LJE3;+vhOf&0T-5&R>~BWxugTu{itvG7o0U=f>$3Bx{q@O?QhJgH^b+DQ?`oQe<1raQTv;-|0-&KBKul0cJ9x&WS@!J-%qV{)WcfQg+96!D@`$E+IuIyK$_IGE0Icoo*?0<>c-;;g!SB1~}-fTB& ze_!@~)b3<|A!>hr_P3+H?r>| zWA6UI#VcxmD*Iy8{&e=QM(x|#e-pLuWFPxl_b~jpn^jT!hqGDK&a+>S+C}!;QM=6k z_o!WE-~V;t^Xu#|YIn1rkJ`QLFGcNs_TNYCLH2Pn)b7tP`?09~BiVV>{?Y88i`qYy z{Z7>WO!j+G`wwTIqCo5Z{CM^gQTvZ%zZSJe*?$zZH?w~jwa3{HeB3<@Ken>tsJ)&2 zv8cV1{p(TtUiRNb?fco+SpiKRyPFN8_GhzqqV|LAx1#o5_E)3!e)f-|_Ca>*o5J}X zWKD^dG6`^Qmxl6}$(KX~v-_AqLnX1^S@A7=l4)P9uxgQ)#D`}S`R z=l3MrjoP2jz8JN?kp0D|{S(>WjoLq%ef$&Q^L{G(@u)q`UPSGm&i;JVo@IY4YR|K; z`j+r{i>w#5m)R<6ud+WKwa>EOiP}%Ie;l>n$$ltQj%4TA=cD$|WWN=)-_8Dd)P9z| z`EB9+o@aH`zQ~qQ`$hJfQTt`~J5l>*v)_x_msx2Q0`i)xY#z0*vp*HJUuAzSYQL9# z<+q3Pdq1nA_6OMqQTxN}--y~jm;L>y{l)NMkgxw_L`~n!eluxDO^lC-Bia$MLn|Uq zXh*~a?T9#_9U*hHBV>$rggnuXkRRF+vO+sTHfTr40`2Hv+oL<=>P7dO|6sv^JexvzJXtFX5X8% zzb|QblJ@r}?YENl+e!NelJ*ZK?N27{A4=LAN&8mP{#4Tbbke?^wC^PCyGi?plXjl8 zi=&6*`}qGiXaDf`pC5C{)#oj+<=$J{1cQByQ0THb~$^0%E!XR;??RZ zd;fWTH@{nTt8%%h=JO%qqWayz*|0Z2BxbKSgU8Zvxs+i@0)&A2yYJkshIfa-GAT+<=5X*H;`rxLbF7i{-H2?G1W)uRELd`{kfItMb)w zu&7tFGZ~LM1MfdOpHJUgT{2%0?yNDhemTqs-C=*;&zHS^xkTvJ*`j~8=;p-&X$bfM zXRF!uE36OSRoxx*@Z|X_pO>pHrr0a%v&Evn?48Z3Vp%R``Yw|jK+;q3#-e+-toy6( zVu{R3tHrFU>vAza>!PYskzs;f65vD?+Fm%JmtyI8Es{@H3ds0Z~TAIz%itc&~z zRlTV4WjQx@VBv6+xdXGARWnR*!Te3VUOAt4yTfWW%=@cBUC2A!4P|wA*{$-rKkE(7 z>e--+%#CM*d|sF3vRn2CUF78A0&r_zT>$`=vIyN8(Ok=AZ?-`8@L@Gr)ysS^$Y+C9 zcUjfF;e3|MZPzbnSJx}9+TiZla50;m&CV88wOB4^XSlO}hVANMwO3WYHt)Gawx*xc zJBHoWtnL*9eD844?XUWS`EocL%;#sTs_xcvx#Rie>LqpucXW%pv)*hrsJgx7*{Zji z5377}1_!WJZ-#=0^8pO&{1)7SEO!O~2s(>?f0oad<#M)M4tr-<;-ZgFC>PyjdDgQF zu$;em@eHpihj;V-ara#oZkM!T{FGMISR=m6+SSniu6+ z4wwey>4BK>C0K`IURFHQb#FQ7SH1aauv!9Mmfh7H=dIQ~B94;L)hu5uyTjG29IX1i zK4Pc(y}^8duOAG0#d08DzqHRjt8iWxb=h6kgR>>Z^lH3qi8Y?r1DpUI4d*0%_}Oq+ zl=InOfe&BJ@-smFs+=!?Fos2y4;MQ8>XnYhIl&yey|dl|lde{UuH-N;Dxi>AUq-La z&9m!#u_(@#=$v5($_j`V6L~! zs`+d-1f2KlMKN0r2Qy$x91GyO`Mh8ELIzl4(?4qsW%@a4T>vFsv8 za#t7r`L%iWu!jc>hxmd)*MCAIpBtuo%K&rp$-spsY$D*?Cnf z*Z>TeW?Jv4i`7|w(JKJ?gJPKX&Or5mkrsJ9F91DC%iMf=ScP&{_J9{=^>T(V%f;Xf zp1iBY;;g?^BzY|-9EY#&4vRU7$g;#4UFKCaoMF9t{oxsQYM`@!b!B#SF<%UkE@7~$ zx&JTi>t!FHkk1Dwa+d=&%;16}k9Js~+XY0`eaxo9Y7Bc7a6FKEZ(gnX<^0SXRQqhu z(!4vs8$mEY1c87S;2kOu91^O9uHVvOfgX@KDBS?G0$j%oxN@H3?geJH0<7tpEFBgY z%vOWmsvORH0F~})RnG^22RsD4z@lr;wZ#HG@FI&dynN9c_G+NhS${|_sH)~wKIH$v0O1K0(em(?{0|18ku$%V^ z-TbS$q5%c2Wl^vCK#}FVR}PDM)~&EUv)-`k4QH67k`spoN}Py3K(Aco)d0w{3l3`r zf>KpWU_wLX9Tv#vI9^MzXT<^^4y=eJ8ekhh?&csW`n53@!0XFijWdT#(%s%1o7wNK zz!S~-t9(}J;Q$uMb@Ii$E*3c!X%2i*^}5Sp38(|Sp}7Jq2-IMBp~L$X$Z}QB0Pj5^ zNBur|PE2u77R#RER17b5IAE`fk6bKggR=!#o1!SHvojJVAOk?9KHVUhvsL%3p09eq z9r(sxzn_Dp0UJYz?sZFDdXHp=AWK6)4vr)V->gRV%3=m4cL73CEEQ}Vl9?@Wik3if zU_6Il%~sVAa6SY|sH=HzX&4MaGG=8!U*-!e9KMrNV(!irm}ijs|Bt;p0oQ8m8^(QC zQmG^nN|aC;YVY=pkV+AvK{C}I(>zZ|5=DcAh){__Dnm$_lO#hC$rO?#Lu8Eju65t% zdcOC4j=#Uh_j=xE+J~d#^jmw~!@AbBu6eCHEvj`=S4gT@k~pC;S(KNV9qw8PWld%% z4vNyUi}OQ46PlVi+3Bf_ka~smDJskhWkf+i)135-yv)p!Pz#1b$qMbt%lF6oeAHX-LMj zjH0NNFD%Y03AsNfl%ruWf3h{2{EV#BD?-^6%H43$gcBXwg~j1~rH7AfT9B3*O068t zxBptcW`(?5Sd39DL1@*VYm{D3knJ|Lo=gkC^&OMb0>A42N(M8Y2p0j z<%SQ=$<7MBp#0F#$q9vLRB(lkQc0@l8C;m{NJ~O#65c5MA-id)*-8pB3$pVwiVF&} zo8}ajq|Vsj!kpCJp;l~KkX~Gn5w)>Hl4cZzC!m&usy;WAX_+#As2F6R(19sVYnm0B zw4uqETM(}Kl91=KLPIk@^a*6pu)ExoQBn|&Jw3F~L#s3+qc}YGH58$lAvFs^7A;6s z-(h!ICnsuLrDf(f4VgVZFRvu@?J{ygpDy%J3Nq5uQYYyz^-g|nRPp78>$oUCEiErI ze3hbt(2U5;Dh#IklFW>vP#A>nNpYw^L*~!QYZ|J>R7U%6uL@m-oSaaKhcllR?h(%jUCXST z{P6n1PyrN$o?WVh81!FXl$F`EaZYHYm89i_CQM;gW@xX4T0fk>ywG3GF9}6VDhH*y zrs34(HV*B|(5@>Cmq}=y7H5Y>envrFddO6vz>V6-$*-1r0$tcY97f}iFS0`OvLLIV zIMlqkq2(R2Q0T^`6@{`fR8q-Tghl;>dPFbCXq;CPO1P46Hq!GlLOu!qpOGD|=THcz zg|>{IRn$rB7v-h&#yN#)p)e^7<#c9dR_Gvw?pQ&3dZ<=I)fO7$se(Q1pX$Dc^V&GH zxk5`MBO@a;a!PKrU<}tyNW-Q@p@)-OP!if?;WVd(E@(LAh6kd@UPj+1)N_rq!m;IN=7oM! zNltM{o}AE043%qcetL06xUneJ_W5szoRtv@gwWQH4!bxv>>o<9aFJ$(mT++xFi3Uq z{_k)=Zbsw6rcFa(o}F13h6B<=2P?F3^NT}GoEGv)erT;Ek6~bOajI{h6V6;gT2^S0 zWrr&voWZR8&|3)2=FsX2ZP0LuCHIdHA~UUVadfGN!nQDUvqAq2z znoZ#+-ivzVM}r~ZM2CzN4j>E_gw|?CIGy1fhxT45Z;C>_73#+1C;a6Fd5uHH&In~d zXaT1eggPTPKip)UTT~nlIlNEkCnfjq8vV*l7!Ha)BCT=MJ1hw`Pw2vhVV#^%>SZ(y z4XWaB-a_ZwiHlw_sDE+P=FUuS94eWt(6J~kNDIC0w9L>#3T>{e^ibi2hIMvvTpjif z!&IryC@2WEMo#EdN23$@p?{qh8lZ()(U4QrD@f(nIH9uhLf<^<>}G@pbI6;a?U51M z3DJL{Xw5Ik$@c-ShtMStqYUAq4ns`goaJYS_I7BN=7qx!1yJZa|0S~~FQ44>#^J|p zazoE4obH^^lP%22507sPgCSXIQHLs1VHxsL7@G>;KRu&ysKqjJvr9s%=I4e>IX$#; z)5E8Q6bm(WaY@r;vWBN>hsXAZ7vwe$z4f#(6cds?I@uwSLT@Z|#G>TQD-I_wx&L22 zAS=6ZQ7DJPFib}1fES0u4E0~srVce`=)r{UP^y|O?AND%kKV-t!$;(#HICY#p*@us zlBXaHsD;;u3p_h?mBQdz)Nf6GMi_<)Pjnj6e{k1O3U=)~G}#W$$!VOGofX>mq3M+t zMpZ)5n^hQ&D_nWSg~>CUsuust=Y>(ZkjfdM9t{oEtfJ6@57m3O<9foL=-O`X)q3u!7+ob@o$Q=Hy348?^*&k41A zMs_&L{M0LpihJje2>WM8r!pf9D24HZa8OyH)C%QEXoG~yD>TImL&cIjoPvJ&1B=4` z;o=SBAUUB~87`O1Fpd|#VYu3J!(;fuBZ@-TKgVf|4nKZT7?TTqm!|ol!x{2>Zgx&+ zB!zBM=wKCu7Eejk`%ivIs-o>WynpZf9(}_P$o3x?Raewj3nw!-`36pCY5*XlUAQtsd65~qf1&-59>(#)xN~OcBZslp{G38<&X9J+eg4a6 z_kSG|&TX7q7zU?9KRM*{sJkEPi%>F$F}I>Ht`z!9uE1owwQI#mwq!$+Eg@$Z?VRRBpLbEZ9RYvmx;jnrP zPEG>+e?8nb%4ol}Jyi&WVgKk|!r*Y2Gw46K-@xR9a{q4_@@W{OElzF?gRK8`$K=O{ z;pKsYlYdSAU%1yWv{k~-K6LHbuORgWqt6dB3*pYd zUMBHoO zXcR}Yh>_hnn9*!kw0$fma2jWD4(IVHuHqZ~fM2mpn5c^0zXGGx>rq^T(Q5N3uFEuL z^BA7MHav|Tc{aPTguOYC!+8lW=Xge|bE5Ba18-qC)yeJQlqFrjMO?zC_#9v4o7~9l z{E@%1eA(3dS7vqY!#b?bMr^_?9?j-#%~RQdUD%by?8N~b#*rMut2micIi0gOm-G1` zALX-L$$iSD(xD;q*prv>IzG#H_&E>QCG|ep?9NfVhO_tpmvJr2mruP<9iGPS9Ki*A zo^SCp{=z+WO}$?$cI5z$;Z(lBkN6!cR!F^1Ew*D1Uc^b9!L|H?(K4_o-|xwT*@c4` zt>umOTfv`MyHaZV>FmiFe3a4J-01Zc!?Lo-X6(!!yp4tD&**MtFZ}>;mJIUCA^+9xq!>~9d`-K z9HaNI%f>v0C-W?p@HXDd=lKRd;@6CpPez}!J6p38i#UjvaSCU0A)nw&e1}`PgOx&C zDEi!e8Lc6X;%v5JM;37~FX#1~&o-ff6unPJ=CeOXaXRnfBaGG(N3Z{qzp!e})b{;( zIFI5MUVoRRJvm?W6 zyNY{7hNZ3p#UpqLujcitS+Iy>`x7PB`8@j_n4YdMX#@eba@`}i;)<1)U+_1wTs+{&*Rotx%wQgm=NatG^H|89yojSYj#qOA@8mq*&&6EMwcNe~NY5W5!}m`d91MN&l2Cs`)z+%yoAeaUoBqCjka$Q z?_}LWQr|n1r||-giVVj)i8Hx?OZX~3;x_JNwL??yQ=5meF>`qgPvpt$$g^0$687g{ zUc$>5&S>&_*oC3nos2`bFKK8ZCk@qV((tmRhcS=Mcxq(0KF(klp2t2Mz)K^;^>8^S z@*2+M9h?^#uJ^^_$GD2G@Qui@-$wCfe#ae5j?aeub}y6q9(%H0Wce`O%;>2bQ5-!x zATp29(=ehqIUXBqFYXc<-Zwc88@BhfeFUSYC`7MIj=zTeriiC945cUko*Zut`z?&( zu-{|0C&yXC_7%3jX8Sts8XaHV)IAl^=Y(!VGM&Sw#|P(&w9=9dtsiE|@E=4l>zcp}l( z_Rj3a9vl#PL?SUP^2kK;X<%g=8?SGYNKB5lhc}-ZnVv}85*a@4_QI)5bqTkGJmbeqe8V{d-SxH zvPXyUrpRN$_@3>pBf~{t=0&znBp!-vlSn)nc~T;=GVq#IB${Rq;-ls zEA*oy!^Ivw`=adGiNv7DbHe$GJU7(Ok)aTn5_x_iksKfInn>Ik#oa>wj?7OalH=h8 ziNvxfE=(j|iY!Vb)je$O$1|`uj6n$u*G^!|_H=3g^@IPb05R zB)*Oe$N#hK$$5uxkyj37Q`u`m|2A?;B2haseD8x9J(HyDwc(zy$mAd z7`^N7A4lGrNF?V$Zc8M-kK!5O->%_bIF2feo`q6&W+HKD)(k~Sa(gHul7>q^X}F}57BgIe z$v9kENy8Xo^U%}|!q+?hB%MMu@#f`RM_tfac7># zLMH32uwOs%5MIR5Ox9yzze(cjcoSzZS+9lt=85m;BYd36dM@l2&-=b&`|Et0$$BsB zw^{r-xAR9P>%p*J`Esdrt<36-=arN7V%V>~?Ty%kSxnZGVZY|$);yIRn5;L$eqF`I z?8O00)}vv+WIY-jWBXN{%&DBtxL%zrp3k^`eN_A;mva@@Fs^6c6>sDge#vk76U(TN zDzGYRFs_FW6d%H5eH@N6Ssw>;Y>%GF73KRDJc-GAIc)DFK8N{?=kNQ72XO@BdHpNI z6F7x8@>b5~-CW4H{$476nk)DcU*mdi;3jV6SNxtkSuUyv!|_&PHSW#0p06ivz+`wKFZ za5F#WcK*m;SzddfGOKeR)?s}%ViRWZXf|hSp2`mF!gw7=vA7rGcF8dDNRHuEoXmLL z$8_;5&gFbQ$Vd4kmva@@a2?;}MsDGk{FXnlOodcFt-z|R!Toq358>fVXAYaO1y5qU zex;N697fNqi>{OI?88AE!BM<|6F7x8@>b5~-CW3rxRg(G1z+N8T+a>M#I1~;p%;Ds z@41uZ!oWeay%MW&Z`Ni#HsF!WU>=WSE4Jks?9B66$R6y+A&j2o7k$6c9LGt#jyG`z z@8mq*&qw$;!{wTM|G#dh?`!*kJd{T=3}GZ+7q`z(5TC@*rBD97Geeg<85c8jiIZ{Y z;wBAU(xjt#CFAyZ=prTm9=b3|@8CQ>z_=a$lz0VS=IeZi8~G`};t%|lyJ|1S?e66G zOE@pJZ9j;O7_Xy@+uO(5-ioKN1LO6RaXb40+kfl){J-|2O3za&|NPnKDLH-?^21Q) zZ6xFMt#Lo-TH9~pOwMJzt~KueB*$CB`!4hM7r2J;y4JYg^Rexp^BX3|+rs;l)lQH5 zJ9~)t;Q>5^M=BX}v}e$Cb5sl0`=c@N|M%wqAAe2&R+ zyKuZ~#c@C8L-7`Fz-gimleUu4|RcuV{NKjD}Bj&c8D7wzHX_+j{7dy4mCUB>;2#^NjoO#La=*rm z$6b#Rw_upkN$z(B&tg2@S|aYlFlCY4{~}(-zy4m;ZU5`i#pHtTE7w{oI#(4hf z1@Rib$qoFN@x0YH;-6TyTq-|Q;vS6Ws}2w!!Xuc$qZrRqwGp@DnLLk0jOV8YibwEL zj^ovg=cR5D&*nY6pNkpKM?EKgiEH^bKV&=)6|ejF-u7QuUjL>F9c&oBM;I+4}>zK-uPo>%%zyq!B( zCKUWpf2Jbi`J|fSIy{(%vnlgdHm-9uw#`r$lc%JAJ+rQ*@+{s<^YvTE#J;nR6E*r2hvv>?!@MNCBvl!0@m5BRr zFfZa|jOT%_5#Pw$cqi{=JpZ#q{4}5ED}00D@RRu??w9?uv|~!!1*!AWOnv!hwF^dg zzqtMUk6IV=zVES_U+`Q0%yR0(-B_Lb@<1NSBbmvgc>+)3>Fmt&S9e;!MWtR~CpLV!S?dnfL|9>sHqo=>y~G0a9JdRg$DsN%D9_1eK{anl^ z`5fbQC~L)U^FwaoHpc5uei4^fA68)v)?z(2WD{ny8C$X~+p`P1u{--RUT1Q#cnrsL z3a2q%UouBLpNqJZ$@Qq=e8lTYR@=Ug?{O28>r%u1@p_USwwF z$~+#=);x`!crFXslkvKd;o?yo%SpVR@p_Tl#dq^QKEfv$uM>Gu{2Jfl2mFNb`jGF$ zI~o1vVASrc%sm;e3#lt^z{bqtF>Jw;c?Qp7SC%kd2QpY3Kkwu+@m0Kr@%oS3#CP&u zKFB4E*L^%MeuZ!FU4F!Py~o$$9~nPirGj-2yE9(rvA?)J4`VuW8L#g+Q5^mDVwCP3 zc@7I0uj}Y99>z;}1t&6I&vCPO7USo>EEGS?cpb;H;#GW=>-j!6^9z2<_&GA=Dy5ER zH&*AqJdlU-NM`bAp1_kBKbNMn_q&etR-X?;Ch4@8CQ>!1%d0Pl;FX zWxmdLxRIaoEB?S=x$ADJ?@^U|u{IB4Bc?Hj$FdbqVF#Ygd|tqQ9LngoGo$ploD+C0 zZ{keOEJk79GSVLP75 z^H{`Q9LN#8l;apbe`u=s7S859yq}BtB%|LDjgIdnuI1bOkXyKo@$-v*5tpx$dY>w+ z!CI`xhHS!YHe*Y+WqWpE{QRTt;=UZhi#dklIfc_WgL62ai@21}a3xoB9pB?7e#Y(G z!7^1-=~I#M^O$Oi>oEF_)#!X2&Zf-c@odf0*oo(|kUcqo!#Rp$If?P}pQej%=iR)I zkMIdD=Zk!eq4|?Mo{&wF{?<6bZ|(O-*YEw-`^57VzxVe#zUSY%Uw!*G;@^ATW4O;5 z#j%{ka7$J)ou~75-p%_MA799x$^C1v7VEJg`$?urafE3|sJIp24%&l_l)M!Muo<@hV=!8+jY!`-<)rKgcC~n$I)7pXd$o zyZne-`89uJ!gxXj?#{irKkM@_rZbnv@kE}=jy#72?7{vV#!GkwC-OSp%=kW`yToyM z@q6WXedWdfR(bgUI^KJ`@A=o|;v=>{!R36BukkH@z)$!kzvE8s;(DpfJ-Hw2vH=@2 zi^nh?&plau2G3$wmaq>8^CDixt9T9L`x?WejFa`koxGP1atWX2^L&MG@Lhhyt^Arl zGU0luz}>kw_h)?`#&qWLIG)H;*^%e4fIZls!*~g=;6z@>n>mYjaUmb(<9wE@_$t@) zeQxF#{FXnnoa=EnR_DGvkcaX}X7XsBz>|17JM(-Nvo{CvLXPH@oXi_|EAQYuKEU{X z!>7b6_%dJTJKV@m`4xZQuiVwRWL56P+B}Gjn8q9)%T_#v9e6hLc>()zC`a;gPT;k? zi8DEu3mD%&_?UPZU*H5^M=*m&u{qnY9na)> zEMhMXK;c;<3GA7p$V)RW@nT*Wn9 z$9K7rTNu~Nzx6zV|J3z~rS1IG^;FuIfDzH@=zYhOdib>coI)%XP(bu_U0g7$kDu#lX(MgI2lyzT;tIaZ*ZB@N@>71r zANVVGRsU4wUaZZ7*obM&;jwJRQ`muLGoKf*ABS=zFXsea%bPfpbGd*I@i8vr3tYoD zxq%<^bAH307(ef)l6o=z9fWL=^xFfzt@kc;Jj4jUaZZ(*Yh3v zdHSW6a|2TO>Lu6NTE5K>xrN*KJ%3?&?e!|G z!CI`xhHS!YHe*Y+WqWpEH+E-V4&lWd!||NLX`I11oXgopJw;c?Qp7SC+632lFCc#;bS@Z{%&fllSsLF5%OB zp0Dr?zRQoem0$BmCbZKlaCh#_{aO0lfK<7*n|6D3?#lytD34?&kLC%CpA&YvxHHdZ zF?(|mFXU)m$;rHd@pHlM5YOWSe3VZyejeD%;@9~OH}X@)&jI^E{400W52(t$7(f5( zAaNt6F^9)8e(u*P;to8U`MiMf^S*|PNAhw`;I)jO^EFdEmkan1ALBBQEbjOY{xTs9*fwE137}1avZPbRNlhbyodL5F`wjf ze2HuMHb3MRZsYg-h2^b(til?s#d>VWCd_91dyFl`ZP}h(*p1!UmqU0l$8bESa2jWD z4(D?bm+~2|#-r5Fq_TTl5N?ZUD%D?*_T6jF~@K`r*Il)a1Q5l5ts5AuH`sUE*r2hvv>?!@MNCBv)Gj-?8Cvlh?nsyUc(!C8}H=3 ze2`1{G@s`ye1q@uBW~r_{E-Rcd=C`Tpq_0c`7^d92T$#`*RpC;T4?7 z>v%I~@h&dp!+f02aur|YdcM!i{DR-|XO=V0xf`o()zC`a;gPT;k?i8DEu3mE?{!eioPe1U8DCO7b7e$H?B6Qke2jK*auaS!gp z19%9JVEp?BM~R!W4cqZdp2s5g;y{kzr5wkrIhD6?Ht%8lI|qx!Px3jw#I<~zA94$~ z@q7Nl^2Yh9um)?f9viX=v)PO-*_Q3uh27YleK~{|a}39G3a4=f=Wsq3aVekSO0MQQ zzQ;}cjN2KCqyMP!k-xPa{zunW|12HLX>abv>fDzH@=zYhOdib>coI)%XP(bu_U0g7 z$kDu#lX(MgI2lyzT;tIaZ*ZB@N@>71rANVVG)$Xjyy;z$Eu@Tdl!(-Wsr?3Oh zW$_*^>h}oTE6FlXyL+^LF0N`}hc-;Bvmm*Z3Ac;3xc& z-*G2*(cY}gJ-Hw2vH=@2i^s49Pv#jsi(UE8@?6VzeV>oGm0$BmCbTyzaCh#_{aK%f zF`cO6vuKB zujh2$&bxUZAK?>R&KLO_-{J@SgkSPI?&L1o8I`#w_hVf)U}I+S7`EWaEPake>Uz%A zJ~@sj@>F)@IV@lg_UABO!YeqD*YRe~;$2+Ghxs`FRqk*5N0pENsL$=HJ}71{#=l!J zOgxffcoipeD&yxO%@WV$eEzNX9lvV-^?aY3`32+qjZ6E(sdAvSKb%U3(*AHN9ZLJd zsdOmq52w`x7PB`8@j{N~m7L5Qcq{MV zJU+lj`4m_1WxmdLxRIaoEB?UJc4jKyuhM?}z31C}YQL}e1ApbN`nOfN7i;q%Hewoc zcr07-6n5a*%;yE{$Dtg_%Q=D9@+QvYTrS{4e2mNZ0@v_OZs5oKoZs*#meo(M#67qV zOY`F&%a2>+yWbm+sv!O2d|5-hALDt!c%5E6E|u=@rTH^;UJj5?58)Ba;8ASOHf+Z; zc^-?{ivu}=mvS7h=2YIo*}RAMb1|Rfb1c1IIdwiu?^jNxL+Sm>sdOm4UpbWyJ#wY@n+8AU0leA`8c2DD!$6~e4m^71;6FbENA|!^nT^k`76C&Ih79otoJMb zquROusL%apomVLB@1?Gje^h_3v^||l|MKQVtFQ)Zu^t<;3A5RZrO*FKrDy5$e^TjC z`uv|%I{c%b|MTx!@7`tnX(1ox<9wF!_-g4qekwnfu4_-FL+QHqR66|8b?yJI<;nl+ z`k>!y$Nz7Qljj;QKaMBzRCeS!EMO1z=P+KvD>#wY@n+8AU0leA`8c2DD!$6~e4m^7 z1;6FbEN2{fH&*AqJdlU-NM`bAp1_lMIy>`x7PB`8@j{N~m7L5Qcq{MVJU+lj`4m_1 zWxmdLxRIaoEB?S=xvO@0Rqn;wJcx~$#vC5YRy>6rcsBES0sC<%NAhw`;I+JoGdY(F z_z)lCGQPml=Z&Pw@x|_Yd6LiZC9dV${E%C?jo^$<F>R#^6y~d^cV3mUd3y8BX8rKyq6Dh37_Wke1&iD zU4F!^{F*;9VO>lG?#{irKkM@_rZbnv@kE}=jy#72?7{vV#!FcGd+(|IQ~G=FsdOm) zz4uf)l>Xj(Djoi}e(yb0x25+BHu&@J7yPaDS+(@H>aii4Fq_TTl5N?ZUD%D?*_T6j zF~@K`r*Il)a1Q5l5ts5AuH+oP6&Zf-c@odf0*oo(| zkUcqo!#Rp$If>VEI&bIQypNCY2`=Z0e2s7M1Af9U`5kw17yYry+>`sUE*r2hvv>?! z@MNCBv)Gj-?8Cvlh?nsyUc(!C8}H=3e2`1{G@s`ye1q@uBW~r_{E-R$sS4bkdvkx* z=V44|E|24hJe3`J4hz_W{W*-6@Cr`kb-bCgco!G)VLr}hxr(oHJ>TbMe!*|~Gt22$ z?Z)cdmk07t9?47|%@cSMPiJSI&tmrGAYRDPypof718?OWoW}?FD4*gAzRcJ84ma{s ze#Ia7D|gkutIEAtn+LHG)0o3!*@~yI1J7nYFJM0o?q<%;WKF&C}S4=dzGJIe^1C zieov6*K;~==iR)IkMIdD=Zk!eZ}9_u!Y}z9cXAiMmr$8|azEB(12$$Bk6{a*%rkfv zyRw9RIG7jlGG4`Ncq4D)oxGP1atWX2^L&MG@Lhhyt^ArlGEq6zf2qLTxi|M`eICYi z=JGh6$Wz&o=dgf1*q_6A39sNpUdNj`i+6D$ALiqHmaF(G*YkaD<`?{yKeL?QOW2Lo zxi1gop*)hAJenu)B%aRBJfFqv%|X17qj@DK^9J6^J2;OI@KHX+6?~bm^Br#Fr~HaP z@K^5YcOI&8FV^NkY{WF?@L0CuDeSN@k3%_`9_!yV*1+L+n z+`y0dIltjgEW3N^daJ}exDOBDAv}T^Jc`ZPhV6JJ&tnmLaUe(VQjX)*oXT4`oA>a3 zF6NVbjxTX7-{yzh!fpJXzp#9@R612*4c1~kHe?fKvl&~mE!(pTyRkd_atJTx7>?%@ zPU8&D;e0OQQa;0#T+MZSkDK@zw{r)}?2$^Jimb+(tiywOIGZw$$Fnt0V<(==LiXeU z4(BM2dr$go;%D5>9V}zqry{GdChM^DIa>0Y@t@M?Xr;n8Rb)il?vx&t^U^U_TD!NM6nf zyp}g{Cg*YiAL3(N#uvDTZ*l`a=I8u|Ke4QFpGw?=`|toB!Xuc$qu88n*p6rNJQlGR z2XX{2VWCd_6twq#qj zXBT#3clPBFUd%BZ&ncY78JxrUT*Re(hAX+6>-Ziw@iT7c4wfB=R4fUPx%#p;IG`(xKCB?#o9cGjhMz99?Mn?)n;jbvB7Wm7vtXv-6);5@Jq(e z`;32&F77w})_RIR`n;QXT}2E1&6C)Uofxm@&li`juSn(V()AUobSPb4kxGZs^%bdf zC|zHXN{9cn^%bS{ed@fgQh)!m+_x7$-|n~S`_t9yoq0Zs*_(rSAxHB{PUa1~m3MF+ zA7JTy!m0dHdY^DA9scNj!llpqPNiGv^S)E*Fv>XXSWe>goX*=>`ukU@bi2m5?Tx&R zck*67$R&K5&+`?&!FTx)xAJTL$kN}xN}Y#4`}eQ@)1LSJt8wF9jgMC4UaZZ7*obM& z;jwJRQ`muLGoKf*ABS=zFXsea%bPfpbGd*I@i8vr3tYoDxq%<^bAH30Sk|~`CGNp} zcmNOK5zOFGY|b`p$1`~zi`a_;If9pR9Ixh7-on|uhxc z8Mku>%NXaa$ZD*~Iy{(%vnlgS7e#f2M#d^WY+>`sUE*r2hvv>?!@MNCBv)Gj-?8Cvlh?nsyUc(!C8}H=3 ze2`1{G@s`ye1q@uBW~r_{E-Rk1S@cN?#=yKpNBD>xjc?1@>F)@IV@lg_UABO!YeqD z*YRe~;$2+Ghxs_48!FhaukMb$5;LCiS?{FhOC;7?<${uHl>9z>oPkzu`|TTRqj@ti(OI4-eoW zJc1cKip|-E?RX~7V-b6CAV=_0j^ovw%3C;__war$=97GmFL5p3=7-$EZTz0Uu>78> zbgIG{ti^h4$R^BYGqz+~wr3Z1V|VuD5MInN9M377#u=Q$`CP=Me1Uc}3I6|doqyp4DA zUOvbre45Ym6~4iD`4PABYyQZ@-l_Ddz}>kw_h)?`#&qWLIG)H;*^%e4fIZls!*~g= z;6z@>n>mYjaUmb(<9wE@_$t@)eQxF#{FXnnT+LKE?Z)cdmk07t9?47|%@cSMPiJSI z&tmrGAYRDPypof718?OWoW}?FD4*gAzRcJ84ma{se#Ia7D|g)|l}=T;7i;q%Hewoc zcr07-6n5a*%;yE{$Dtg_%Q=D9@+QvYTrS{4e2mNZ0@v_OZs5oKoZs*#mfbg%K9#r! z_u&CNghw!gN3l8EupQ6jc`RZt4&(@4%5l7!Q+W$#^B&&M#e9;_@g=V1+x(DQxQ*ZQ z7na{Il}=SygSA+X4cUa*Y{r&s%l7QTZtTv!9Kwq^hT}Pf(>Q~3IG>BSl+SP_S92ZT z<0gK_?cBjKwNmL*k=0m}b$BojXH(|!c(&$g?8I|f$etX);T*-WoW$!nowxID-p5Dy z1efzgzQ(us0YBlF{Ej=hOYKxTRpy@Dk9FCAjhV$`*n%hX44%cVEMXrG=0&`WSMeI& z$lG`)@8yGB!Z3|ey1wsET;EsLym%$)*qm(`uje~cd>)I~ivu}= zmvS7h=2YIo*}RAMb1|Rfb9{+w`8Ge~7H;GB{DtM+|5SxFSc~=8kWHA)W^Bo}Y|k$2 z#_sIPA-tGlIG$5DjWalh^SOvi`3zTbHP`VyZsKR$&K)e{{;rCw#+t0dgLyccGLOfz zHBVzFp36e^gopQqWG0X12|S6Xvop_UF?(|mFXU)m$;rHdxAG3o;{$w@PjLlb z=IeZi8~G`};t%|lySo3WD)(Y-9>hjWV-AmHE1tp*Je&Evfc-d>BY8O|@LJx)nVic7 ze29;68DHQUzR3;zn4j|-{=~B8uPbp6?!yCk2#;U}k79GSVLP75^H{`Q9LN#8l;e0c zr}7rg<~_Whi}@s<<4au2xA`Hra2vnpFD!2!y9#Tt7VEJgn=qTr*phA8o?Y0D-PxBz zcrnLtJg0CPXK)VZa}k&F8Ls4NuH$>$#Lu{$J6Oj2bwyTVP1fPTJe*CL$K%8^CDixt9T7>7C*1T%OPo3jnu@l2k_BKG1yj^L#n$E!J&w{SM^ z;r(39C;1#-;#$7V54nZg_&tANdGpv+ScA1#j}6&`*=)v^Y|HlS!fx!&z8u1fIfmmo zh0{2Lb2y)ixRlRuC0BDD-{U5J#_im}GUl%$_*^>h} zoTE6FlXyL+^LF0N`}hc-;Bvmm*Z3Ac;3xc&-*G2*F^^rDdvZV4Wdk;57LQ>Ip3F0N z7Q3>9eK?pG@iJb;Yj`7Xh}oTE6FlXyL+^LF0N`}hc-;Bvmm*Z3Ac z;3xc&-*G2*F^*iBrO#bUU6+5a=dS&;U-$p)-*2RHa}2NIWKQLD{=JXq@BRL#I*tzP z!mcc4FAm@^{?YWB<#ls8pAYg;KFQ_$S5Jrk?eG7;{dxba`DT^;vxe*Vul~H8KI+%_ zKKgi`J#GhF;qMa||1Qyu;`o00c)mSu4=nWehZz4p(bM7;ES+~x)#Lvu^X~sD<;lOw z_xxMi7qgUCf3&{*pO$++``mJ__uW{X`|>~@$|IS{qj>^P;-B@LkpHyv{qJ_X|8B=K z>vyi#-#gyF_uuRIe)ZXiO_;@_*_^HUXZ06;?>PTizUM!CIsKFKQbxJ@zx_PN(({;V z2mV%lTbe%q|LOBT?T76y-|x-+S)ZlpUz+}9!#u!0di?6Q%7@bYpUR*As`YGX`I9<- zzc+qcn*USj^p6@pFHQe{&-AaVol}E<{oMA__D|}(|6cwtO{dayirR^P>wIKseoEz+ z|7pEndfk;?cYo$}x6ydm7JkWZ`DcxnmFC;OE#Lmp^HG|ve@?o_=@@P+Nv;E}!SEP~ zWL%F88IS*Gi<_|}+p<05^&Z{C-PxBzcroMt{dn;dPU8&DVO$R{5-;U5T*=jp*L9Ts zZf@%QmagASrNh0}oj%AVe45Ym6~4iD`4PABYyQ2~Z=P5#^}SDJN1nq1_F#Vw<0ZU; z6L}qP<}BXDg?yNg^I5Lqt6b0bxtU+^TmH;)o&&HO$f_?W2KVi(;uDx3%7+Q zUssi38GJIX&4U=vcczJRcr07-6n5a*%;yE{$Dtg_%Q=D9@+QvYTrS{4e2mNZ0^@aT zZ;ChYV}8zW7`Jyyp9ho552eq8Nu|TT%kyCV>~f^J>!vkNWn2!#?Vf+O_0hjK9Bb>**o-Y0um6glBlUZ~3;EBo&NaUOWxeCrz)jrBuNXgPD!vb zpY?ed)0xZTcp^__N1nq1_F#Vw<0ZU;6L}qP<}BXDg?yNg^I5Lqt6b0bxtU+^TmH;) z+6%j}I``#)Jd{T=lSlIep2XAHndh^Zy*Y>%ax|~xWZuAAc?ak50Y1v7xPmY9b-u%m z{FGnu2mZ=kwWF$XFV^NkY{WF?@L0CuDeSN@k3%_`9_!yV* z1+L+n+`y0dIltjgEE_6@Xk4ih_uxJ}fQRr1X7DIBXB)QTnLLk0?8Si`!Am)gS92q5uIE^znhx56JOZf~}ay8fSJ#OM>+|C^=Qz4a)DzX}DvJMaC;cUu09?#Z1jh%Qd z3)zzcIGm$6mXmlrr}K8+&HMNWpWt%7$k+H5Kj0_)lHYMBckw)>%G{Itu`V01F|&9K zTkvF_!L!(vCG5k&yoi_aDqh1Ic^mKKy?l^M_%xsAD}00R@*{5L*Zh%*N~wHQfxB~W z?$7!>jOonfaXgWyvLnx70ei4Nhw&0#!HK+%H**&6;zB;m$N4N*@l~$p``pYg_$_~C zInPttjn%m?59Fadl9@c3C-5Ym&dxla#q7;NypW@LB`5O+-pV^Tj}P!sKE)M$nXmI5 zZse!@ia+pI?ivP8qWY~W_hM}x#70bG4v%Fkp27}1oB6ze{Wz2(c{wNWTHeH&oXZ7# zh>vj@U*H5L9K-RP!fBkr|I^;Rz*#l!e;i*!l$jxyLWC$PB}q{v6A`*lO-DCsni*Y8O-;KC zazZ1w=@65mP#G!}#gORUL}?I3VW^10L@J^S|KD%#&(nU+KJz?nj=$G={r_jZ24nM;$u@raW9!%i-lqz6FJRVQM8dwJ#U=vKi zme>|MVmD03zBmwv;RqasqcI;R;1ryWvvDpi#N}9wYw%;-gj?}@{0aACxr&kXC<%|n zDp(C`Vm)kxP4RNP2HRmL?2b2KKMdh*co*J>58*g`5}(1D_!7Q`OK=6giyz{rxEa62 z9rz0de4kQzJPIpgRjiI@<9XN^FU2dd4W?pO?1_DF01m~!b3_zEt- zB3y;<<43p=x8Qg9BksdP8io-k6O;@OI3_2k>Eh3@71Kd>&uM`M3<S*17_gOn1i?A9e5A^1IOY-d>Ws_Iru6r!Z+}3T#KLJ=lC^l z$KCiV9>(`YCF5~;5}uB=@LaqAFTobr3fp66?18I1=y2F*qKd!e?<77UJu; z6j$PET!)|GmspBBaStX`j;u!&up%Chr(g}NgAK3=reI5Kiyg5Wrej|mh{JFMj>6HH zj}veTPRH3e7Z>7kEXFnXF>b=G_&xrF`>`Cqmz0FZVil~0HL)Hx!lrmRUW4th6L!a& zupfr-HoOb(!-sGjK8erZOneDn!zH)^-^CB{Q{0T-;tu=;1G%uR+oSS$6jsKnSRK#C z^RO{qidSMAOvSF)6Z_x*9EyL(yD<+R!N+kjPQw@Q6JlMGM<68u|8gi&F~6rjUBKHreSZ)#vyn+=HdhRFg}Kp za4J5JFXMb%hHv3}xE?p)SGWy#;a)t1-%C0IkH!=5R6G;w;`w+HHpi>*TKpT{fEjo* z=HM-O2i}AKz_Bohsg~x;rIV|9G--yV=X)v zFThK%1-8QW*cp3ZFYJ$laX5~|`*948$EWaFoP~w>IxfYPxEj~tXZR(S;!fOy302wu zV?{h3Pr({k2OD4$Ou?4e7CT}$Ovk=B5QpIi9EGDXA1B}xoQ|_`E-u97Sd44%W88#W z@q7FU_hUJ^VN2JeBs>!}eu$sqX8aa+;4c`Q8ks-k@hGf}Rk1prjpt!wycDm*HYk^?!t-BFTZNUw z*RZl0hdl#pV|~03o8cAM8arSYOvBzNml4DHa@sYlygdyoyYsLz`C+T08UMNTH^Pgt zIkv<$Xxi~dr}M9FXJ^{|@7^c$5IN(}H68!o=F5Z3kFhubC*w4H0bjudScI$aef$VF z;uic4f5d(GpZ@(=4{|@!qiDYOuYmp;_#)23#c00o?;ZL-z!Lldzd>{V)6ew(hKF;1 z&yiROPsTH_HrB@ru^C>0t+4}k!8GiR**FAm$6R~>AI8UU5>CbE@nxKk%kV9H57*-c z{0g_>F5HWUa9__6cr>1Xr{bAd7thCwusL3ZvD=H-{{QXmwHcpWZVK-QliJn06d&KUITv)xieX1XIxLpW4#j5xZeJ_QioX3`gK79F6&Cwr5l5pN_L} zE-u97Sd44%W88#W@q7FU_hUI;uSs|;n$MM2qrWEB!$#N?&HbL&(BBR_VRyU<&3&FB z`ftO#Fn0OH_Q$Tr2OIup9hdysZ2x%1A8emlpS$q)X=vUbX45|eZ^vAG03XK3 za1u_%=kaBnkIV2ad=J;-2K)-Q;V#^Z|NVSanhyN>|kNT^BFWYRd%<+C~ z|8czD%=59w+!>&4J{{^3XZ&34or5B$~NL)(?(g*5Di=6%KQ z{hfsI{QXn-EY8A0G{29ql>U{t8rR`xXg)7iO8-vWg9(R3wm%ind`|3m`cJ_cSO*(m z6HLLD*cLltH%!OAI1q>72pol@F&`)36r7H;aV{>zEDgN;$f`M$!NZx?j-t8$69zUUV!Fvqb=xfh3&C3 z_CWJ`?@#|=9F8OLejJ1UeVs?PH-FXZ{9|74n{X?Bk3ZplEO%(6eMxvMR>5jm6YF6k zY>JoTHP{Y2VRyU<`(X%g!@KZ4dNPO zg4bQ@JupwTIm*LfT9bS(&VkTzcAiNdt#C!2Ud=#I+ z0-S*_;yhf8Z{j=n0hZtw_znJmKjUwBxEz4%d^!><;mLRg*2emHAvVJ+ur+qTE|`YB zF&l^A?U;)X;KTSBPQt19Jid(caT&ga@8NpffM4M@+=YAbkn)lFa|9lZC*Y}gCf3FC z@gi)FSK+nzH@pEe@Mg@xTksCN2mgU%aUwpA&*2<=6&K+f_%^P^Pw;d68n@$a{1p$Y z5SdTOcpRRDr(-QV7cam|um!fl_ShMFU@z>CgK;>H#QSj!j>o6)S)7H1_&P4dmAD$$ z;b-_Imf}v_g9%4O=1&Ezh{xk8SOe=|18jmR*b>`fN9=~_*cS)lFdTuSa5U!Q1e}7? zaW>Ayg}5AxaSeWqn{X?BkFpsGZ-31BgM*zfIN1AiSKdjC=X9)v=6(GI^k0H4uobpP z^M1Yu{k^b14#wd)5`XXcdovy8e2M9golk$(bWJ-_mV=DsH&xczhDV7q@^-5HtEAIW z5Cn2NYPc?ZK3n=5YQNN9#qidu{9Pyd!`DaU?}K`SZs`|F>nVnEBK9_qLYc!tLA{K$ zP+Cy0=b%ABy`0RfdirF&0cjcO-TUxj~_{oPT+;S7xYtPTJ7eT77y*c2+2@ zS7tcBo`&|z&WQHpWDd$6oRiMr^Z|pr_sJR9Jw2ySs84!YR`)@f>7hQ^{kx}U5AGkz z%!&M+sTz=zIYgQ$&*uyt5b7=s3y~vD>8_(1l9n|%lc~zc>^ZoXl;4ys6C8e=*0ZN1 zMA{sACM!GL?oG={>)-32|NdW}>6ezCBau3j2WK>3DkOWDg!7ODyj0IeBlT=hsZ9>x8Tlf&LctGol=lh5yCY zHMQ!V_0;KW(d13_)akls>ZW<>Qmwk_o;qE3P2O{!x(usshNmves+;Ml3t4q~KF>A( zbQ@#Z_q?Yr*Q$HLQ?aR-K-=bWN|$Gc&z~o;n?7>R$HL z&A00Gelgd0i>$i2p1NYI?p06STB}aa&$`B2V%5FosoQMTz3!7E%ww^vg($2>Z)3GOFeaZt=f!tnWwIhv1C8LwV%buG6Ht8SI2F3YOZ*NQ7I zWYy_;Nmreo>oe_p$5WSU)xGPf%d_fMd+PG7I=z14YTrbw?tM>PfmOH0Q#aG9(`|@r zyoFZX2cEk5R^5l5x+1G?ou{tYs?+Q7uJ*09>OS(+l~{Ejd+Ih@b$Z^~HQrLIPPeVD zx?NVCUJrBC?X&9i{ux(YIXSm!)>FMd!Bv-R)ot|D>AkcjZ%#Z#yE2%G8H>Zwb$>b~~W=`}Nx_l>76!>aq% zQAu*t9)zsAZJxT}R^4}=x?HPHuj9JLn`hN+_tfQEbw7COCR%knJaq+D-A+&4 zOsh_hQC!neXw~WUYFFKStL`UHU6EC%*S%bM#a7+Vp1QSG-7lWH602^Hr*5-Vr`P3O z?JKqF^w`Z+x67*A@2T5o)#-IWSDs$eF|VWFJax%dT_D?5S6(HnPVYZ()m63X^nNc_ zT@9;FKi}c1(|hpEbd>Yd>35TwI=wFH%F}CArtWZ0T??yD@3U~_wYKW?{!CY0s#SM{ zr>>h-m*}a>uy4sg#)gA4r%eU%| z@zhPU>h!*P*LVx8I=yc0s+(!mRr1soT6L8@b@Qz{y?@s=-Xg2+1W#SDRd=GNZmm_P zpEGccx5TQ``v_cho2|N&J$0p4T~$xrE~`%OXLgNupH+9Nr>>j?nDzNItL|jk7D{g* zmzu&|vX02=Wb+#CPM7~{os2Hr#n$OC?P|(D9nZn)>Pt=RcsI&(rZdf`D^JL2`XGe? zCGw?-*U962`Qs6y*6H|K$Ui%;R0cGZ=S*kvQsfCcuWrA@@cCrTyHkdlJUz#5=T(#Q z(pBX-)0w=E@`Rn&Lh{Uf9x202-T--Q=QWkQS|*G-?ah*Zc3x|%eRor*`S-{_)y!x8 zE@169ooQdbJP})0)^zB(&)7PXp_%5fzGsfD)9Esud925Rv31oybns9PKS>7eCe0}4s1qqRS&TZ{N7Y;Aoi+&70uK8*p_HoDq#Xu=k|#x%?-QQ9hb2#6)24GxZ$rr& zFGbqEr$pEER=Gy5o0%}tl~*izJ!H7%O%`2weI-xdx0%kBS9h{(Pi3IyJuStqyw0tH zpsxuNU3o30BnJ1&aLs$hlebLrHkc4kUa{oWl66+|rh4+GvGz$I@F}O=U?8U#6W)sLi5aZoU*2OXD>Paievqozf86V z0EiaTcZ2(H$8c|4+O!_x}l?Uq(udXkvJb6=PXWB82>8&9*g6Ofk=DqF7+c!A~Ze&^& z*Yvh1pA-y};hOiZ=$hUxQ-WZB9Md~r@_MuWz30ihUUmjm<5>S{9Get8#QJBhS)4B5UY9$C&6kz)Ve5dy z)xOk=WPLs^lDEN=*H$hDT@y$9LT!?Q`m}G8CvW^WLGW4}%cG!cQlR%|>iqk{lh^)- zAXua)ALv}uo1ZRur$zFy8?HD;pmr}{3xtB-oNLjuoMDn(H^4iIVMOwtMzN~#b zDbU|Z(&_!$lQ&l0a1M_)&$)dmeljVTBz2nittao8GZVsfCek(kvYtu`R+G2QlQ&25 zTAMJ@mDf~$RH26q*Y@fA0oU@_FL`gtaMQW+CQ6>3C)PY!C7gM0o|O>jxeU{}@(Ly= z1&gIf^LC1^_I0h95WYX~V0jr+l7a!`{piViN%C%wXMK@8vwhj^$*W%{A-KylPIOIg zjj2h&G#Reb`->;&j@=}dI0JkZ@r3Ipa(mppl_ zdHY4z^4KkTllU9Ol{fRb==%Jd)VcD;$(O{$)4t&|qU|%+amw0XUMnYV;yJ$0nkn07 zX_ro~xsFp--pz65tE9sWOndLkqMr*iop~6W z*KKxEa0hu6J$WPLgkU^*C3B*A<~mMU^KVAO`0~2F6wNc&amvbDBVV2rSNjU1dFDD! zS$TWqLzMC4b$cb6XRhOvl~=uSe0e2vqj}~!PFZ=anj{2z&ewG2;lC{3dC@#`9jC0k z?28hD&rO)<$}4#-DLh~DrNCUrDJ!qt#qs5plOHY8`K)>7I!;-6=QoWnukM0qo_;>o zwSB29AC8S@dQ%rh^QwFDcE_1Fd{Hz{4k?`N`>0t$Ae)#2op~6$d|&jHC&~qf6cZ-8@3tG{iE-p@mb?|@$tKB}w?OjZIsZI!N78}w z&+?LY=B<&uc&4{V^33#J>dC9nHz8;f$MN_+$=fJ(I{)M)>TF-fehG114=DL5>A>}X z%RPCSk{8eVQYv}o{l^uayakdcZ<7ynQX1}3<$--X-fegE__U=buSD`LKal3$9t@Yf ztE5inpS(n!^Y1kIl7wn;a>)^?2F(AI} zebYVB?R`g2UasUFO`8-~`|>1D>$H8>d-C$*Y~MtyeVsjdYb8(5H=54XzEa84#%ue! zdh%urjBoo_DnAZ+qKs7YZt&zSk-P_FxarKpRC!>(e#`AkI&l9%cTZmHLGiV(WPh}M zX`Z~Eapvu^^3pwd!z8b^nOIRu!(Ht3CjTnuCuNAvzf4cwWXWqshT_U=DtSF*xaRfp z5Xb&#v*hXTo@@JV_T*I@mhexXcXYL{^`XfJ zUT?BVcebyZ z$N5)H96}UF|E7yv8zI+b2zMuFs7mPe0#k zI#*taMrwch|+8#T|+iE$;48+}&kycX#*i zcQUE$zW-02k7ql{IXNEBWbO_uT``O?X2kqsMn)qJGK>a678S`niXfvA$tEl6NWerl zRj1Q+I#Z{!bUH_;^K`mEr;BvDM5oJixvXz7r<-)TMW@j^-LBJ}I^C_) zy*k~m(}Oxatka`9J+9M}Iz6q^vpPMm(~CO2q|+-py{6L}I=!XSJ376m(+4_zq|+xl zeWueFI(?(r&)EHU8gy9np>xNb(&wN1$A0j zr$u#IT&E>;T1uy-by`NJ<#bv>rO+FYkC zb=q2|ZFSmSryX_rmrlFrw3|+Q=(Lwk`{=ZvP6z08piT$tbf`{;>vSa2&Rd48mxi}t zq-!5`eUPJK`>>g*G8*&C7#Y8vZy)B!?KdiSXTH8Obt%wFW86kjIW5ME^`Q8E-o}63 z5?p>zzzyls#0aR~C>l4T!ZDHKOglM*-YwO^`<^UF7`~=Ghwf) zJ$(Wqdsgk0vVu?ZlohHsX94v~MmE3Ld{97rak0t0fco60nQtZE3aOg-mP=M4d6Q)N znbAmAVMdu`RSLJ6(Z)#YTX}t%!fomxx4ulaHaU#MDDw|U7BVcvr$WjmncG}!Yh?L( zK6e|Q&ZkuFCSR35+(>G0!DE9d&b?_`3>;VKa)M`?li1(ccsyA+W(L$ z1UF^dPTdV*x-~d0(FGlweVvAxaUwSySt@}-Fz2Q@4nuHRcq})}{=zgI1zS-8cUu{T z0#jxm=`c*=FKIZc45R|nZQNXD2&WuT1LaP)HC<6&*VAdZB_n&~6g(XB=s`L2%6o3O zrLRx$T7oCka~N(px)Zzy54Qpk&&U*K5gIw1SMs~zSy4I+mop-m89AKaHXBBWGf!2+ z2shk3mT*m8X+0W{vv_qUo(FC+gE`Hb4ud-*Ucw&dtYzGg&K!B2hQm29r3qoj>vJQa z^U)eX zxG+*W!!X3Aa_)QVFj6~PbapfRok@2~7}#)un~~GGsfioRtOWWJ&iU6&qolLhG$%}U zkXvTDHo|Z(xR^Z7T^}A7M$WzZ98{!xp?PjbSNGjFq|wd&%sFoKbAP_ZH2!wa14RR! zR})fH<~t=E{Cv@bgRR0%#Qjih6K?MFH{oUz%pnfv@>!-(h$- zZ@g!QrxT6eu$)^Eb1!G!*{0#`tcf0&z&WFiL~!P9H4vPXhbTCU(@}78{4yyxL!1cC zU#A3u)2Wh);LLJRaC%HNjpWWl{Uky(qLx62CZ;xwl!k}tnMQb&Wo3k6SVMD}hDUi; z8+jgJSiz~BhDQa~T!E(L`39vGRjCLV#H=}?Ft(B^76HSUwV?^hE60>`D<82}i7DsS z=>6RA2#+ZjRtS|>jVTvad4j94ZcqpLrdPRe!=pNjv_XgAP4h09mY@M1h##4Z#$A7a zFubW{5~C_hZNo!j;NmtfNmAu*hJ(snr%@LkHP}4VX~I%v@W@7D81vqOhKeQ?qe*T+ z-a&}}pARK4kr}IAa^xL^NW?wci>=7`oh#O+ZaKW zZzSfP?Zj1BmZVwR?uKU%fj(&@>Dscj;n`Es8LmCcp{kcM-$9gcW{;2(&YU004bQ!{ zI9H6g-69BOwzz%bS**DIS{!c0zczI4x?U-q7ai-@P(KA-u zc~OA!%DM}R&T#F;y2$gQ65t?8h`TEmA>*^}8x-C9kGMPHaICodVq~ni2U^^>)`;0d zCBQ+H5cf{JCvl?#5r+5w5%*RkcEmXQL0pa%_fd;`F%*^ZNeOTerD`I1kx?yF7%~I$ z4nq8YcqDRprZ=NOYaK}f*D{z75nNQFrRpKj%nkKCfLkh#LFNzznwE+~M)SM^otE+= zlbHr`cz|WNlH7yGnayT^m30@9QPz1H@*wgKLa35gF(uNFx2S{=s>BU*gW|!c_k~Ib zk<_$uK1S+$h6%&UrK*+r!(QJT1(;#wwh^fbQRxmhtUL-K{0Nc!8W8zxie!Xn`^_}0 z0ycuWjc?%=ZiZDzA-D%2R?P;Yuub7kh+jQ`C}Ja=gmB9Pp+#*L>O{Wl!$DEprl20< zTL4k8LTn07i1Eq5Qo^QSKp4qPD~H5To}9XiRVpF;OHS?43MC@Lbejo=(&V;-$Pk0x z$B^1F=wl0ti$Px-5f_7g3K1^`18j=87!0%#aWNRI5Oxfd%R_C7IG2an6mc$(uql+w z%EM7MBF4jBPhveBlZ3L$!?8qUn2B4VHph`u4kCkxJ9fIqc(~IR6zAbC8xiN>ZiR^F z;a;0UdDtfs4P&2;@TK^_Xo}W;Kq0s{Av(VX#UUG^sy*jKm~%uSOyy8`XRN)B+A?U+ z^X*+9h+{TFRcg(45PIB3#JIfuI()Dz_2idWmroIqVb*+$N9p&~O}L71(rM2y1XAj(9hRgN|B#JD-WI_#{Vr77=z%s?-zs4+>Q zF-56Lp@vo2#@OC%`3hgEXd0Rojl`x^mJNYK+lZ>noARn#4S66BsL={Y!Jj z7}|KqmpF@hc%U&f5mQ8Ovp`6+ zjd;j5l0hn>j})EZn&XQIJXUHQM45goPVcVjE#E3_+Zf z|BNN}{V#E{{}89($r8k9^|Q-jmV}l!Z-g>CCJFfn2=&YU0(K`+miqmij94dEmifIL zjLJ``6x)ubQnC!!6ih@`YNf$K9*E&s)cGTt>O_Ew^DpftjaL{7v)vl%ILUF zbVp+?s{}ZR65?v{pU9-BRMN0&+tQdDg{C?Xbu>b)y-gpOQcq)IDyk+=?TYcSKHn3o zuz^;j3_IBrq18$o))*~Lxm}|UI_6l7A-_{p zBg{CBAtO>$uU-+OmBy$&hcat|#>AL4iWhxEM5AW9hVn>X=^X-9vwxVQ>4gc{aZT!k@>iEBq(W8&J8 zsWCBT{o+HQ!;Xy=2|F>z-3YfM}_ zN~1Ax?I^9r#I>Vz8WY!!(rZkN>3*Up?66JGAR@^PRjq-F&Ty5;i9sw#8S5ZQm>wd= zk?AxZl@N<*R)`yqN@_%0I||j97=^{f+E|69MPg<)FZzSbCz$|w~MqJ+XaB4Lu4 zc2qZ>M!Ox=i?33(qxu>X*Nz(g$B5b@Hze9#HxheejcBZmNY@5KUlXO)L6k6}n^=yF zJsx$BXG97$9`%T4ggqYhjAw*B9`(|gxOUV>V`5^{Rh)=5tFH*9yoyafZB|dbbFlg= zLmWg2vnGk=Nn^~Kti{E(qezX3Ye!QwCaxV#)tI<;G)-gT+R=24iEBqQH73UNiDEeH zup=5JZp4~COPhZ8IZU6ejCBwtrtvMJB)Y3lw+9#kx2i)JeezUc4=~XhqZYGQaG+yt z(-?IY<&g``WQUDWdrY6JIFPn>Y8u*T`z*=~ox3zft&D26$AG?DV<=2MyLO^|@6j05 zYAa>N7@`l47=;OEQPyVB0=C-j?HAL?RaG+w6sO@@z6neRl|v4qgnRddf3g_&?rQ~V zjX0gw1rIbP&b@~kL++&rEmzI39%+n4nCd`0)(Ca>ru==XF>(Gr(-`Hi^6rJks76p0 zGtf(oh;i<&C;>}t=U$5*h z%Q7d%ic4r#qr4KANYNRt&Id3W`X~VoqJ+3Krf+hHn>z!MNvp-FSe0%C)#)@w#Y(9R zh^I0|sT%Mpy~e0mm8%|RSQ#`%1xv*|P-AFQN|oww7KB#Y?~LYxSVJ=@I>XiC2E5Cx zOmh$=*5xJ4F=VJZIVx%XcZXd{W7G~iRXI2lsxh=^ z6V1A;QX+}cu?-LCt!utT#@1W!fArRmrx+vbd1JIq$Em5 zYCNE&li3CtTgzYnqos2^Ej|(7QHfnNCdRvt=9pN$-OP-XSKf8kdjCoTy*-o?NtBLh zctGz6^DZ*B-jV;Kchvvr9sM7@!%glNdyP+R7*Tx!gOwk#xO2y#Iai!KllrZA9*_4b>D(~3h z>N`QkX;eHBP85!F;KdJh;I~^2Il_r;+W5uPCxhSukO|9q*mp5(#SpG_YgD5e+ zWtDSc#buLfxOAuKhen}bxi?l^4mmhhTuw!2xN;1^D3nVHa1bS&4UsQNTwjK$mav^w zOE4obvx-aa)G^MMlILQ@g=%LnEk|9KRstME331hAVPtG^)&C=|s%#i5uBP;-ymGdd z7T4lCM!DKbfP*L@u7w;EE3V~##5I?zW5uOrbm=g_T>NVGWVHF#z*`l-4aG9xCzP5?~rj^{ruY z1-c^-NN=g0FkIe7^W*^;ttUi0LOzE?9+1g$4ujs2G6iD719I4JHy)u(z1?saDn<@M zsE2E{8@NZw-4w!LYrMRfCMJXt@_qaePLTO=9u*tHiSnurp$gI@xlRX3g>bUG6hBCj z@{10V3gHxaJ${g;%HmiBQjk;#r^#ROgEU=MLRH5GX@(5Is7*mq5uGW^#Sc=H%%-BE zgEUKKMYBeb3|C4z-kmKCxQC{)KpN>{{9Gs>;V?M1sVtIr|uE9HmyQCKA}>nJG4R?FA%qp(KKjvs}! za+Z#QYAWkw_4rX(FDJy0!Uoyjj)L7(Hp)7RIM{9~n`B$vR9tPFVgT7JpV~3nF4G6Z z#At_fSN%tKr=4;Qjs;`e!YrD^tOA~UTNXo5)VjVhiso@ zs%hxnwO>AqAF>0ooa#TicO8@u~!oP_mtZ0|ZO@5B$;5m{PwC*8Y_N*~pKbniMQ zaf}JxGFgf(Fyrpd6e0X(Mh>2CPsD9gRN82Li0(S$2uq5Ax3^HOQZXqmmkp< z{CvZZzo7b2lIr2*4C|t5YkrenVf_0?#nf<3Y>Rp6lFR{Sv+O<7@Jcrr*_7r;JX0;e zg)(MNJ>zD02Y1ADXFmJP4DYU#b^T1}G!nFAd5}+LdM`Z#`qbT1It}mTizwT)1MXj} zAgtkC36I;z=@+$0o!*N?dc*LK=+6!BC0S95Ht)aVB{){D10AZq*&EIP`WU9SD{*kq+F_aAhA#k{&&RML8)8NogCmH(a@Fv8MmPbhu`tA*cUexYQ8? zS^jq?$3d>Nje8re+@qC@#E3w8V=>0jg{oNRhR zl{x*%9#=Dpj0hOT5I~aYeH{<_)524lcL4;jGzoC6$m#0g83-LuA9KUild`0v`U}YE zrFB3|C93RM(;Y?|*OvBpfs?jzXT!BsQ>Sg*)o?{?;8Ln+M;Pg*|LCv+jhH71w z{~p{8a_z7o@3f7ZwK7~g@52-x;PU_U8I-$hzGVJJOXxpHLBZJW|L7lt^03TAP#XWU z=n$@>ITV!M|7|x^-HDnC%ILrE1f-notf1huje9gRTxVUw!R|% zO&dYV#h!{U#J|r~*!j;01(izMxC5kIv89Ci2Zta|*KNLv{s+GSx-nk$mvH|G4>XnA zV-O!6P{Th>Ay|Fi8#T@Y>iF-$eN)#%>T*1wf&VrK${yKLS{UgW<%lnXdXV24KA?qW zAUwi96-APY0@FTsGcua4f}D!254f)7D$H#;hLx>8q87p*D8o%F_z6m5K&LfqJ8X>s zEh{B8qmr7}W#uGKO7Y)W$iT!_+6(9(VY)PjwHcQYTxEFTU@FaHz1@Q_m(!&MtOvN6 z<*L8~RcR6HJl=n}Dsy`z2(j{GZ|bU|i9@X($zf!;E-hp130yL5parIFMGp@!Mqq` zi-4Qbnubdvt`U48;v)j?N^5Ith#SevtMZo;f&PZ5;;!QLbIg#GPiazXx9cY}*y7cX z0(JcuC`xI1;+dY!Kx3fh7>|@tF;$sQ5fLA?(l{dU*9St4)70`*U&X*s36#@fV7SvL z3N_)+5~8C9&5gng?FwMGP;RL~B7@4K2U}T7AQpkDVbRPSG#a4_Vs_JTLKy`irAd;5 z7E4@3a}{Ul=>)3*^`{V(Coy*pKxYXJI;`!OQCuZiD;PzWZM-Ry2W;BfMCV*}c?;Bz z6T{ZzNX*^au)2Y+N7D-UhSG+Ll9t`uLm{;hr!k&Zw0C#G^v1dkl(kN$Li=gL z$173c*2qq%#HRciEJwexJPv`l8MixD8|xx&N4lD8u(MV14!{-~>}{1Thzf7XyTd|t z7qCDA6u08T6g&!twU}jHt(9gGFrQhsGQqGmid_UOW>(pYC~vFb)y$f)5vH}%@MdOZ z-3y z7ho&t4Or4c13M#N9*gSQ!(H`{F#t zF%eMau##@LGk6?^qV3{e=31s{_>3h=8t{?-F!Dm12% z>D49N$0@^z95*_rKxAaR(y8~Pk+eJ;s!Fq*Oe|~*k{)6wfpXSgMkeIJt7zsNx|1GJHe3bHn1`+cKXu~vsr&EiCawYphYMT+-DTA1=SLiBm#j$kbc^MAlq%%QNT-0{1Zb(;wKb91@3S2O$iL1cnW=LEGZi}a< zb2Ofoa}nfwIZq?+?cC)na25C(o-XHSJQF(KV!Th}yw;zt0vE!K>cq}kcqVan#WSh% z_92F=z=;w|Tm^0t;KWtn86!Ea0#B`o+tP+4r`5_S8cwMQN+V(AK!=em`!Zk{%Tf>% zm8v9x_XyeHRXfDjaDLoL_qcbYra3&=$Dkt_YIxk{}44^cXjA(U_eZ2cTMwEXy3YC{9D9NY7h? zAgg0CBAdoJ0*gq)8C_Hwe~c`@aBbI?Qi$ItHMg-eG=fjvB3aA`{*jtmI5&cajYNGJ zE+hEXM#3aCf=61UCXo@m4=XbWHiGBVR~$xKBbcta;d2KgI3fk*au~riZW1P^5gaLq zJs0dN3pP7Cy*Nk==i#edIJ2(T1~pH1vMeU~=mdnxOcuUK-O$#rg<{`mIa-tOK~>A}nF^ zrnFwP2XQhDrn0u32I!}*+tPM)F?y9qrAyOW>+n^L@Yi4lYw8hL6{NvH>+wl|Sv44B zoy9OEa%fQ*t@7z1IhQUCwlaMHaUOA1xscVGv>Zkj(Zo5dIRu7kFpsr@z=|3yU=_R# z7iws*h#{%!Ty+n@)E1%$>LsOF63>jRGM*V~-~misB$5z8E+1y(5uHV?-1tbKO)o=q z6ZMg$3M@YbHuexSj#CAyD(WSuZSnwVEe^)G+(&!huu5bThUlk3H_NXY9Qs>>PAge^ z*f>Ok?$#81Bp}9!rb>}zg?pfMoH(QurMFUD2Jb`-X0$@D0-P+i!*CuDY-MQ;@26-m zn>9HR^iESRC~>A{?YRI^Gc=gfs{03}QG&i2;Q_g<=K72kj2eMsY zj~=;9-VgmKCxt>1I^p*;(lA4&Aj>fG&P3{nz$2Tz99@TO27)utBEd*lAO(i2QoDd4 z>L{cc*~M5Inox~|pN?Ua3%jdn4j|3`Vlgw4JIE?#&zw0}@oks{1q~#|TYBIh83?*1S$C>u2L&4f6@ZNwk<&b18SF=X?{;0kO4<}NS=OM;6m zScpH-!^{k;b5#NE17M@zPmoc`N-FJRc0p+&50n=6#e)i#Qh_jYWdiI#VDrZy3*-eR zwFyCr zW1=M5;>QnSk<&y8MiV#kBFB7TW(WykO-TrSuvenF!J6#>#drXji|)gS-(oH3`J_&{ zlOY|d%~3JtG^>>?hy!m{jr~F?{Ua)D!wg0hXiKk7(ywXhHKu?-a@3hOGL!x#kLtTr zKHMv3#TX5Tf+|G0$%@Cg$wFe?WF?fFp)@~=wk8w%Kwg?0679?*$cMGBh2gP_c^-L0 zgT@z0G&n~>f0HMX4V^I*V~8J^-vKI3(ZeSqUu*^%#w6iG>$ZZ>UxEp$L0iRdK`M+g z+2&&)c`9s!sa@E`&s4E(!5g70C{kl6zKHnA1hv$n@;=s0zi9bolx6Hq8#jagL_@P2 zWBDJN2K}Wt03hh3Fz7E?ZqPl#a+v&JTLYBeX$5VLwdDnC#M2)x{ zGfSd^czVOrvzRAdAz;~IXAyZbsUf@zc^398=K~)D4N4q&RpN$VczdFNiW%_EjG#^F zN$W()co~LA0tmu)DL%|of=UvVE&s8l7hs(@hFnSX~TM1^D5(gH81>$<4vY{6-9E* zt3r_?PEZ`VpO&FaO-a6!&8d7~GufItP6ZCm;sCNTjgJauOeoW8@en|z=~O9&P+1a? z1j`wm86;srmL07OQdLP{ES(B*l9;Gb6i+C)MbfRvx+lVRVW%s zR+YhGG#d>Z+2h!XTf~?n8!K+v?Ij3T;uEnD$Mlj)WzJMArw?K3c-B=u4nPxiJiSf*}zGiUN7Gkb?0Dl*RZ2fFu@oBo$3fPYh~pu!WE@vHMO2sd+m4|AS zjIpM)maW=PSX?F1=wTZU(sDjFciC(PRo=)DZ{-2%q};zT=sW5k#!yVQ1Mp|h%gEE< ztNsx#41XB42%bj|`qvD9G@Je3cS^q0%GGuSW zA2Vv|Y4R*j>r6`!{1zi8vzKnlqQk`eO{BQ@!zB$3M2q=YE{SFcT5 zm68~OIAyj|C<0^5Kf=+!7*F5YVC?h%sT^Mc6CL)1m`i9$m|XJ7#SvxGPiFnG7qeG^ zlrr!^6HJJ+L@F7Wr!#6MFV58P&lLGm>r8&9%;bY(OOeH2xTldGndf7{Y&oPOaRlg{ zX=PH6UC5+NXVb@j3aGn-HZtQ=rad4w8Y5 zYCEwHN*_a=C8J$tih`MB#?L74Skxl*Qr;|HKpKA=7jp1x)c^ zo5sd>%PLcx{R7K$WV6vR8lJI)GO#T!y_@1A%Wjv6Pb`PdeP*~EtIYhuJaz6X%cXPQ zSZ)a4tMCXR`qB=K> zTRJzK7t^^BytvMduotw?^X_3Os;gxl6F0Z0<^EkeERHgHIRh?VFu@6+Z zg}l1XE#ftFZZWT^b4xhxQ!2Wpytd9Q<8^dyIj^g8D|kJfTgmI|+$!Ec=T`HEI=6;5 z(z&&~vCggIO>}NOZ>n<}cr%^b$eZijCf-8lHuIKtPCdnRvsFCMG)+-7h4f2M8a*ym zYw1;L5gL60EF+a4uC|ijON{1hwv$CJW<&Ff&ua?|XfHEeY7h3Tx|?d=^a)HEl=%u) z!x?eBN#bPoaTCzhi@2oc`fOmKy`HDTVmEnL8Pp}afanz6G{0YW8PFve>{`h>9L6T-m+e%<0DIZ0`|#L)Biy?uG0Ho%0d!JXDXbc3y*fTDB;p} zi^P2-zZgbhlJnAhRK!`*(9~roQ28}zZv^6@xhQGheP+&8pXB;3iL?mm+8 zIF1(`O_?QgG{_2%2fm&k<&YUw4=1YXAI)IWI8_rLU>kjYV&`OJ#fw5|=I!VmQAv0tVrX*BHKy8QPuicJ5t!wrabY6SEGti1y#6MyhvlN@ zmSxKKtt4MuRdO;={w)@P(pde2c&lO{!iE4-y)>TUi$-a*4WZHzU#N6&E0Q_496jgk zp=a~}qA8VweE)_811y|I&zx-uv!@@GhT%s6&=LaZ`5aR!+Kg%g#j)JP-G_KdCe7}fM&@9$dF>XG zne-|>!=I83E-VJ5u@yfOgZ7h1dalvOY*NaI{`qAcJ}rTKqS>E=-+xqUD_7mX&& zl>1cr@*_Pbj3dmAq{Q1KEnyN}CCa^c0fGp7&~wKJvV5@W5o5zC?>3*jo3w)Ld0B`s zM;ydEWDe2iD@HcFN>2IK6Dj`@uk1+fOwV$yiR)q` zqRD_&A|i~1vNX!$<3MT5Oi6ZbctYBrwWa4uyjKBcCRws)CSmSxB>JG05z2XQdm2`SOJF0ShVLu0Q1qpCR5t7f54MjLx9P>H#uw5kMNHzmv5a@HP%{*i4jn z=Mdg)1@TtHTO4V$P$RaR%D=#meo14-VZv9fOEMp4Cd&1kB(zl{SmErHkHjrDw9iJA zPj!IkBk*Db;|6|330{w){JZkRTdNP{o8oPvG#>hs{D2hX>AY%`?{%7Z3#25PY+fWY z=|{r%jG}yUoOR-rp^DFeuaw_Ai=OQhk+chENqY_apcr%(B8=~Jdgj5^3iQ*8B)J%V z=|dWi)a(;nk!-71lq7dkBf}FvDotFFv}aZGLf3S}wPG(>`0F=Go>YpSBRvTp7)_M* zRgJ8~4^~OzQwDl2A4&9gj}c`CHNuxuV|(B@vi9>&Dot3LC{y7Yl{B^wAiZ;=iN0Db zvZ{a^$=`=xgS zw0MLPSKpU-9d8WA$mTFIHF4ls^D;gC<`RA=PK6yt#Ao~jn9+GS(IomqTlY_O@Y`QT zo5>{Sa3JYjmzv~vpuE^>=uh|%rZFyuq5a@@7^IOU z3Hj3b9nnnKi22V*@q%=Trlfc5VtQ`E+g@q(K1K3#9U=J@)jZfBl1h_Yp=S?_U=HIh z-h4ZZwm(U76*WU0Jx_XHx|4**xLt_3^#Ebo;>WIHFsD+I{qDsGb3nD0fjM!ELo1k| zRXE;~86UhuK65CY0FPz~bHj--Z2k}=V_DhW5I2rxnh(3iv)eRKN3gStKtF*UcZ1-G zEF4=FIfX=tFE1uFlHCY;zwph$HOJPLLdBCU&Fp7;DR5#BsLxC`eAQ>8Bv` z0y~Ulmg6?9LE>p9;_VC2Mhv91kX>scmkig2_zGF;~GdN@mAPv%gOw* z2S_6MtFw?fg`e*QwNrUC4X@MqZnASae~&{$IfF+QL^6{<$F9qN$8m!n#zMz2 zNi_Wc%chAAr%`3Ig{0Nb0#PZP+rbW8i~W?$fBYGU3h(^_(~2KkkMLAjKNb0^4|@S$ zdsjiIZc;c0G@0R8avRIj0mwZpIer8LaXmtF+9~E+8VqOI=JQa0fz9&)@)9ey0G?fCU3P)t zCd-=#?9bTqw9xRHb?gF?PppJLpl__i6_5<&ybPJdvz-Mrfj=$^VUc`lbx4`Vd!+%x zV*ckBkZbuq91_ZC{_!2u?&oD_DRP2`v;^`pkGKtn2Yf*&lE-`#PG#gXUS%~%Uh)bL zK=Ouf-;Lxw?}lxX{K60RhRo4o)G?4u5Lf1bAxb>R3gjH|_Xj|$#E4K(ZWZ-CA@jIs z-XCTEh`cm1-4(a-+F3pkffGUUQryRn6v;2*3GNi&CcocFKqJjsG#X7ZyQD`l+w5N+ zZsPNw0c4rEjn=MT%u%n2!F<>p8ivYg*hWEt_x4%#&f~eVGI2TJnWl z90lY%84v{DzsT>{TFalZ#%d&k9jB9kWQ1efTdYyx!Ht!Ob&o^mUg1JDJxCOEg2H{DKWgp|8(&X!1? zyUoRiMDn%U9UmC+#cf?7B){B})k3Wdb#_h$4WpgDpTR!axhOS|)0{U_f+5P;DFu?b z&hUvqE_2SoS*l#;yg3INwmR2_0ovnSLrdVp&RpBTe$|-+NGDf&%v=M$4IcR# zgCyExVmcuAdZb+dDF;0|+=GUr9{Gzv!*P#M(@}QXBYHASI`6?BpzNZ@9-MH=D;}ZL zNp5(Q837Hqpbrf9JeJ|)Lq75-i}#%JiO1));Ctcm$cz9Fqf9DZh6*510gg%0> zZyq%#A^G9)o`dg?N4k-KhI;PF3TUk7Y5d-ioZwk31E5IHZnRF1^6dE&$z0FOpCM(D z=Mb7mS9sox0OeNCyS3oMF3$qgWe#`-V{(+oJUev&uCI*1yv-Je) z4f)MFL<7W6e18d&Kh`Jww1phvwVaT{y&{VPIm+ubjkIIEW_*NY5ng}Fplp)Yve{5O z#p@0Yztg)C88>B4u%H#oPnb*R`U|-=i z+7Fbgyb2~m**dRGv^Zby^_HyO;MIi2g3Vr5Nyv=$nob+n9bQk40lCv_9?jQ#yh^!) za<5mrU2x@qSI*o(9`s5Q4Csj0vRr_UdUaX|z7t;I8^CwoYj_CGT4>Q>w!jIabUdJi zpW9&CQaL+s0mj`rVb~ODhfN1(#wCR@xej8}N!nmm7|U13aJDW8MI+dTyMRWrTV%y3 z_HHNE9<$g$x&bzujS2zDd$!dNetu<==&O!JXl(NgWhSWM|$&#uVoN z27b+B6<;D*$(+A|+{D5$v^ushW$sp{?2Ts1;B8DHskp#b zN-{L*XXMMhz5)rApW@(DR&UxHFPf;PeDkFTHdU6(cm||vk7uTKVR+{4j_cR5c2F+lVaBwYWvNTtrI*O&mYFjR36@GwW5lc$r z_+pkI3y^Es-ooHp$Cea^lJzVd?I*Xft(PEWJ1gB9&0UE`tc*B-yyeWQr7|YZG78qvm)U8prly5o?4a<07d6X^ZnM#3gE6>&f z!lJn+t^T(0`FD^U;fu(lqkJE2Th8+Sbh3GckI4YaTRdN4m~@->p<|Kzd6w>N;`3E%ha()87 ze)4+I(qP#@Xl(c>A@GfAQFCtm8^`DaX6$jZPlbOG%&QneG=cT}4Sf?? zjv`>6#FiHZ!(=v(&U_s;O#~l%jy?3rb-+UHQ5|j(r4qD7DWLIhYTEwyr z19CBYLtFACtav>jm$I3Mfn3Jw(m}&=b_)0V@%L5E5^*nzizcCxPzVA3wuyco3aX6=%LVGr9$XTN({9~Y4O*g{_* z_p`hBiW7VP1L)V-`(IfE=n%V2r)P&*T5sq(!UFN*+SvQ|+zq~CY;ZFmkFy$EL3x5z zcmn7oo6o^;igl#ph|?@P-r8gC-7yBxSvGt*pmS_sXJ|jq%2q{kft?9~u#2qbIY9rg z0eb;mViB|hxy%-og6J!3bAFg~m32G{=o&k@AJBCcITIu|Se4dLdy{1y0LyN%8N&eG zW*I2*cUYtoYVWegWW+sIX*8hw>>DjXA28pkpnS+qB?Iyi+eXK9k6G2OFyaXt(+bd2 zmf=01XKV|tw4bxyJ)!Rfi)s!dUb0_}V8kodfo87P@B!N2u<|rQzh!ySL-ac~o0j|U zS*2BgKCmhDGT|ee8;s-=^WFd>KC>%SI8_L% z3Plt7#&+tP`ayBop77TOvic;`)F5g6N5a#ih`vJ}8X#${q0l$W~lyV_YN$bEx zeBfX}i}|e1Fk%U>P3x$o{M8pg%Xn)X1Yw4)^A}{U;CXw)+m(FhRV1r;DI7J*)x1<5 zs9nRq6os(0yx=}S>v-qJFljx1@Bq*To&|?}awC7!5=Ly|wd;U#GY_S8!4{sW3Pf+^ zo6^IGXddVUw2ddgL7v>sTTX)!JNV*AKs))A+F;+scO-?{-Mn%o$lSxry$8cy?sgH$ zJ|0c`yZyWytx68?u1!F4kpJ2Ye6jy1<@yBhlw; zPH(&N*KG_;fn=+JDfp~5yeO0<5?qCEU4tz}rmO*`=%?qv6gy8Vy5gbkkQ34^1O}Jz z8x2g!IrV`l)w~xZgf6GIW~KLjKxtU{W5ATTNPVvCSK0=b%S|i#@~P`Ua)pUOkX-Rg zCtxahV=0XvCfN-b-V%(~B4LuS3q&w#1#OV&1c=mz?RCyIf$(Xx@iG=7E)6|zZo8Yr92Ee#3HKGDG6 zJkwFgY|(?ZGcAXlg|)3pr+}Q+BWj_vO-b6Bwk_Bhn0B7T)&B4ZzbH?w$bQzTkdb;n3T?@(^HpOrYgS&ogbIrPs_I(A)dsSjg=2 zWb zxB(~^vWuUAT*QJ(L(yXP7C%dX3AAuoC|b(Gam*nO{F<~2P?G}$enB~_5WS$_Z(>0&C-x7dsyym zK<;HHJ^{IpWyuJ>{p|A2st<%6VVP*1ew4MH1P#a7 zl9NClXMQV@oM4aX6zn7$5D1y4SZjLyb(-BM4n=3!=PQ8DviuyB=UCIOfX=hP?qImU zO5H_rk)0_9wg0emYd~^|eF=p2%d8eY6Tt*}d>o`)W#M$%eT}^-03)um3$($z!FF8% z@+Pa17?ihI%CX?P&Dzm0eus6VG4n1vPe$BhxsHJ3KKqpw8XmB9PN;pz8g>Wr5i9T% ze2-a!x?p(1qUyovr|bb8&^%+yf}r6!>o^sBFPPH+PuGFVHJRU&9>|T-y3G8 z2J$U?mmTcy*b`j8#{@cx*0mql;BiPkvgZ^mf_`iHq$pd7?gP~{BfKkETGgm*g#zM;H3 z9sUpFC6|D2IQOD=yd(I-X%IG&pQOGril?Wu^U?ft9e6Z`FQAiwvHX2@l#Ro6Eg;A9 zp5$f(chQmk1m2s*qKW(1Fj)zM8hL)A*5+P&=J} zAU9|5PV`!BCU?-$K@`6+0(`T0>meYS&9i&}$sFF1rs26fYXS(H#}CscXFmUlOO2R7 zn~|Ffd14w^7V%AVptqQhq4{YEf4BvFOL>k=(6Ed*oek~F`J>f9uHff!?;8{7{)M1i z#oJKtSk1@Ll4lK1Nt=STyfYnEtm8Lnhr6D4nhu5yyx~0{H}Y@f%2qzTFOWz0+k=3P z@d+a#>^RR&>(~?g3xkxCyxu^NoZ)N{`8NA&@n7%d zT;Q*ZLfAzfaSgWo!;>C|luNuxe=uC;Pv`*g3QzL^Bv*L|t#Pk$-`vo5oe!q^yTLzR z1ay;U_ds%sA6kjzHZMtQ>N`B!B0zU}4SFAYkLUOX=ss_m6VLubX z8R9WtofXLwK57uOKjqix_1H6hFd0JroIj_T?gej~0MJX`nS6M~XRU#v*F20Id&8&G z5cHO(p_R`&-iAiL_xx}Mgx~|;NK?;8?w~pH6VF-=44?Vl-eCX2Uk^grSDu`tvJ zO#(Db1a(F-92fcE<_Iwn$6|7%=uA7>QQ|=W3>+d9hzVkRepo$G+`+fAa+1itAIW49RRmHZMXeDinaf~GI#M51h-2x|>!zIcLn zwQ_+7ZwP3i@WdgeTqHtnAz3WurUc~@F^YEoOT~-!fR>4^IpF4U@tY3fSBNhkk*pN; zX&PK5J`6_LY7uq@j;#@SL*V9GQ3KawJ7Qr(ExmA=r3}m!ONPTmgxHS@XZWmSQb<7Tt;~mO&ih;E8+$Gj`fQH>-&jM)J zBM$cg!(Oomt4+C2yrb&aFY?mz$8v?6+KVG zz+)ou2QVBLWoa#bLR6=X=SeZ2-VK}*3C}{`X;F|i8D~V5l_)zaem+BTPBdQ*BhHJi z<-mSHxTOVjQOx-ahJQrKUrba-@C45D*@YvR#-Xt*x2od?4W zvEB)in<8gPFx(O?sRC|`jdWOYN8~vLlDndf1?Zj_T^Z1QabOsr2jX&aKo7;0Qs8?e zy3K@!$701=2zw%qEQE%qVkPZ@o{9QLQTALsp!cUQgkKwwycDfmK)w=>Y4!bDG#CPg zH=;Q$>E4Q5v=V$LTKa?iz1TY&R(}vxMgjULZdL}vC-LPw*guQ0$58e~+*}HoUqv2T z{(KXU=vvcvF|!6_{t)FZf#IhZu@f?XiK7KT@>?AI4n==N=Cw!$nWM{seXu!p3^WWe zONWDDsQHpEF%B~wC&4h>oWB>z2y=N^BqPlw2Ow;exo|oZjW!e0vT%%fp(hx|nrjOI z8fU(7gUs>fzzxt4VX_xsm|z|m2MrU=VRTe5$vi@X++>sIM-pi^@`1Ti%o`=aFxAXb z4$w3+2OY#sH@`dv!whpJ4XQKEtTaVNncMC`-z+mSF`(JzSKQl>bIgfZVA5RkcSA7D zGY>M5%r`q8hlT~_mLwoqXdVoOhDGMDelTgV*@jL%mY81G04+6rNW(I-D6MIin`PgE zeTDgZH#Dp?AASYeXxMBX*$UBH%%!wG+iK>UjIwC6G(Iwy+stP(Vas-NI~`r`Fw;bXVW;Uk z4rRMczg&QJn;SZSVUM}56Oen&#QmXRpBY>PeEZE~cR_N%EO!zl2hE~%sqT=O^C1j8 zY$jO+eMd~^5Qsi%rq~ALF|&CCVW@pwsz!8*lC}v&E#09UAPx8}AuLY=mp*U}*h;@N zPT$a2=$A6_})3I%6r60(bd9(={Dpo1wSAp3b^3g%#UyFml+cla(73~N)gyLqGBm zc8zv1ciFCCkZ_OvQxcR9SnC#wQ*@CtII6{zqZ725^i) z@{M0f1OI;Td*jJ6o?;fD-#k$#B!75jdjB?9Jgx%vA>znZ6;i1wt*MUEjLSt-7( zgv?dqBE7p@BXX4h$y#v}jTbZRJvzqSAOfpF$|m7zjc0j_(mgwnFWG(XA^K9T2AjA@h*%)$*;Db9n&ER%;w0E#h&uEh;I&Bh7bxF|MjfHy zz35vN3?D=TIvDvZ3Ty@PizxaGB;Q1BdN=o7tcn83Pf?>7NPdYF^dkF%>39RnewfK< zpEOF2P7Qsd<=SlE8zV2$G3Qvhw=gKj%iOfDj*w@vfpUWUPEB}=tUePATV)>)Afu(< zd>FV*I!;61cG*1>NOs8PbYQqsdNo6`OYZChDSPB!v;f^J2P6W+K6!~=rX81zs_KON z=Qv1C%fxLV<-AOG3M3cgCpvSvD9_eFa#a?h8$j3O$?YJyE-zIB$xYb=+*fv&ujEU*yyQeF2#Xy~qs z;40l=6f6oGL#Nthnp_9IY~M!Us#jnF=YY!2pOYU7E(HfZB7cxu`E9wzmH?n>0R1**7p|}B3Qe&&^&=vrp7aorEZU8 z5*tFRlF2M9y)cVpgNA}~3cGR{-b`g_X#cm0dD5Gb)vOk6P}i~zG}x?T2cp5So=vA| za05F_OPq}?kS?=rVznoLZ!@d?704|tHLX~-vemi35Y2L*gRpIE4ZYgj&MMQ&Y6naF z8ZytZF|=tq&r;Fr>5J?~Q6T?egLeXXiFs4MyUc!W1o8^INUt8QvI;a(USoeIf#EuH zrUvo`8}$K3++=$$0eOp!r?Z*c><4Y}@32OfK=O(8D*}?wES!!bzp_Xg&c3lxbT0Ls z1qv5T2OcBn;&>Y3n?U zclw3089ewg44lbVcmtZnJ5WW>=FxN;b`Fou0PS=6w;7N*k4IOBqWOGxH&~6&#GZg; zA@5%i42yWRMv$_Yr=jt736G{xZ7C0-7vR|LZVr z_wb^5!MB$;&j&mA^L;@;9^h3dkO%pDx?gdK-=h)eFz+6TvLk!|@g3#o9>MBkd?l@y zj`OtG?_ldZfZprf<~5VU>N|YMO(get8fy3Vd9MOU9`NMpfPBbD(f08XUvUS>$NX>> zus`9xlL0;DHGe_FGk%X+>vP_BJs4haKRWJv$xG3C_Z1&TW8-UntP&XB@DMK;@s>9Y z1N4rE;QwKX*=6xIB!fhe@9<%WIPC?QLq(5_Kn@dK%0cFEF?uPGBg75zVWjxKX!`De zs*dLE%Bl*`EFfVkv3@$(pS_&>m+HVKBEcf$Z6D()(!xbWL^6eHX=l%{4 zSLAc98i&cC(P#^osv)2wq_;opB4rK-raFim2CA?pkZ4Sais&veUU7EBv zfZKGr+Y`_k@+6k9k%&cd*&tKqq{85)?8QSHI^n}|dvfzI;K$#H;;WMenv0Z^Y_6?eaazk&JKbMK2AVu=m-f;9n7CeSZvD6ho zr9|#EKnF`@h7E*e(%uc+OKHJ(-7DF*3?5#~QzRpmo zkXyRpY%68vc5v_I{qykmLH4);?xS2)0`f`TzXuOha^?ZFeU^XShKDcG+z3JwHSjxx zrmEHxnC2>c3b+%hZWJ6@C>Oj!hHNP$_lN(RfO>E$-g8C+biY>&mwSia;Z5Y?MIsA{Q*Akjz`&~loxW2RSdG-O`u{@_4-@o%7{s_(av=g5f z+~J9KVck3+Ec#Rk$zmNxS4)24)OzWmEjZI>9&G&fN=((7JakFV5_1xB_1GM;(Cef%aTEICw~dUL6{C3dh4i zzvFmh`&8f@&3pjcW4?@bT=E6hr^iEKY(TyoDjCxHuV^x&)%=(l)1>h*HKF>k&@`n} zTt+gZq$3cT({BSIJVAM!23b(eMHt|J^)8~#isqW3&6-B=hMbdhhfA3@#J}%{*{*pO zE`u%2JOVIR((Z$S8)1?Nda%wczdzWGAsBCX=UDUzP?Xc9&DUc)?wCd>u7 zO1ImgEtY1D0*RxwyjpyXD)|nNr`BAlNTA-VnMmWSK$7Sf-@eK8gk!Q4YVi@m>*Q7f zl1d?C;5Ln#aV!VSoD&T-Hn~vV`4DO5BCEEGqSZ<}EtLTVZcgf(N)e z6v@NEU79}tWLeo~fj{$dD6!U6|m)H{vO>eQM z9nQg5tU8M}Kk=AjiA$m>=e_>obz_`LfY?7Aod^_79>RN&F#iC%%ff#sFoVTZo^nHk zHJ3I*#f8>Txgr|z{^l^zhiA!fQLhm+BgBILwpSfk53ndP`Yzl?i~d||jS>5JVd$#p z{s~;H_}v$6al)Aw@2`mmTt|o(wF^NK#0O3b6Ga*?-6aVpeK<-MZ+K^NidfBK^>tyD z0+K5FC4r=geK8>EqHQO*%@8i_L2iiP{UDjbmhYFF;?YiUSz^I z_Chq{vSYEx;h?)jMDU)uQqlegsFaC8T&8|09{+}3zY+nB(DqswZ3lTHLU?aOx%j>b zw@*J1uabmZ~$qX=pW;V033E$phqhOy|xXR&r3 zI`~EO%7wpb@iZAKHDc6Ow0#xR`or#6SUxBWXd+!U6u96K_yn+=8{RAT)~S**JMj?XvRx_&WaM`+L7>n zNB+jUC+T! z=2Nwizp-a3ke>RSA4YnrrkY!NUp7bbd%IHD+G$Zl!718YSVM9(ESlG z2&B=)5C+l4CFtyBy7L{-!BjmP!Vub-1M>vBeI9Lz)PV2uWLm*3l9GxMZIJn&3@#P`LNM!!O5s_UNsop$gv zY^Pu3is_6eT;`wIfs3KDcJ2q-?D~HLVa`?_*XC9>$LD#`{G8AKz>&s+g;~&97+nj= zBD0Rb+;}S!I-Be{dfJk{2d!H_M?!O37dITY>v6`mW56cp?<^V!U%MQ*48QxKF(i9F z@RYyT<2{c1icBEiUwsRo51ev`_k+flfqAGl0ms7|djjW30)J~qe;b42u~wI%d3^gs zeAcHht}!Fv&A=C7NZ%&_%7_~Nh@RPy{V1rMBCGpoJ57J@Mw=ZS;D_3tYB>RNpb@;& z#DhkdqsyMucOJNlw1vk}FKWp5jW@0M4s##!I0J)lI>Y7f2)e;9Y(&veE^|iHYpzDc z&~3hluaX(ZTe0NJV>%Yn?a+3E=GBMyoAj0grYxG02Xc$PUW4WnYS|r{PpQNZq<|v1 za#u($(df%_YHbb8BI>maLK7wH*!3w=}jVJiMdv`e>`5yN#h)Nkh4q_?~9+s}~=r8>crP$=M#l zPvpBE=2i3{90s4sn4h&T)Zk~dRnxSoAT^YA800GrpAOA$)ZiAlTKcyixH`O83Af*= zSsgI-MYr2PF%XL1S~xFu@R;r@oOnN;yV%6FA`fwVCsaJe_jy3MAdbaB<)XO3TkE|< z7O(Sp3&&uX`-sqcX!8|{m%RK$i9OmbiTX1@{KfC1Aq)^7`PK;(D}5jg5^gWy=(5=P z6?Vbm^I>3yh~}rkg^I&`m9B`)t*{Fd1zhkX-SROXesu&IFey)@b29 zU$lG&l*gj!KyXjQPCoaiBEkUBAM9)$XG*&YxBxq)BqHE{XUrxx&0{~_rqxp`slr3t2f}I1Ma1Pee z?H$Zd%KSf}Vk1X=1M!z*9Dx!b8%BTx$?5!sUzUC=pcyP1rU4~H7Jh+;P}zJHP_D@0 zV^9f`b2fv7%X??h79nTz1Q97+!(bOBx1K`>qov~1G)4{^1(mC^Gw%wCmFJ%WB~I>r z3E?$q$Pq!jtkyu7ARE6!ClX~kZ!k-e4LRUVma_+=6Djf=|2D&Q`9lTlQswWLAxx8L zJZGg#!Cydztm8qTSQci3l*mvc^rcMBS&r-SQhE!Rzmj!MAg`rQG1}h9R}~=Tax4#= zZ>1kEt-h1}|`y^v{8m*F>4x{s*<;*D% zevt=FfKn~3MuF7G-?`NLRhII?$v3%;E84Yk<6*Sb$9BXN1ZMJh&-?22gQVbKK#y>FOa05siQcM=vsWMWikGE) z)z{yk;-_Nx@x7$}?gVpx6*(IQ0V+EI27&4>Ka@c#Y85aqEAt*8!77t)_7K(U7z{$y zZQk;6MLE}k3sZ4eT)=8?n;O_fsH7Kwj#N{!aYj+<8y8HX)%8{|h*1gGA-t-tb15`d zRd$BIIQ2k4C0jLE4K7C|orh+w`p!|}Bh`Y>Jx?{@xjbKW>Hy8hYAi|}xHjPBvS2f})JbY9A7eQF7?56_dgyvEm zP%Jbd6Tn$%zVpm#tqIPD=1I+~UTCw?{Kf_NbDG^h!qIunR4yjCXf>EI$XsSbdS)a?5c+)d36g*76p|ujUDfmd#UmM1-*Wy`6UP{ zuQfSb7kQ&Gn1v3OYkWD3evAL319_*}z88)vG_w!Eyi!yC6vFo!>V^(}(7YN3l#d$! zaI}5W6f^-!m1Z>OSD!V%bJ6OHMxW#PYE9}S*wtvl>mdB9i8~Ca?c z(~k?I-!(&5fz#IpatYi(yX6a147EFWp~pxYZ-Wyv*3LA7rir#KzgcCfm3rv4gVv=! z>>RZ(+rax-ZRJJ~CvC}Qpg3zE6r!Il+GQU>&S?WV!9B0_8jLnq?E>EX5~$TyLKvj2 z{|kh{+9D17g=ouu1azpjybpv|wB7iT3DbV(EG=AnI3Jye(6$PK`E~70t~RD>OF0To z*Iwbvlc8P3!}bkr`yJ>@rnWK*!kgMb`(TizJ-i0OTiV4xgL|SKcMV`qwYyuwLxJ}2 zYj`iz#+RYH&$Y&!%NA*M8koP(Zs(F|vDSp|r4nrlmpN**XY}CctJa;<-CAv6D!}Tr z57xopyOxH)Kwp={f(50H_{E>2hLcxhl}1Ox(`|qQ{5L+2+edKwNNqF zg@>YpCv=TYL1>{1djT*@-Qb51TIq6l%Z|0~&p-%I>Q?cvW}~|`33jJ+D>*2&)!paC z^V2$KcW`#PWDafZbt7)!3OeYX*g)v0dm0Pj8C|#uglBbn99B5#($Zk(tTU?*Xcyfa zp2N@Su5(@MypGm`xavNHLd8v|mk&F4of)SS9y$$|YCLtXc#-&m&YN%ji#q#05PInx z3t;E1tNa0NKDy^;(dMgLpab#KnQ-SX>8y65&0ptP2NIymv;hg!dGgpAq_h7Aow%%v z=Q3)r?jA3fhv@1D;+lo(oH(_)q8q`Re#3P2j)R2jE}Vj9gl@?#oKd81G*6#Vy1QS{ z7OkuFhw!@Yr|(cn(~VsR=yY8d{#~{V-M+ohyrCH%#Cff|dj{MaT|JJ4zvx=>rr#Rf!#_d3>Kfib zcfaYP7r?w$H@_(iLh2ni6F*?0!Ty??oI&^O%+p)1zPyq(Jc?tf5m!&3b(Dth+|do& z0%D9c@2wv@rWYjRYUV(5y#62@C+y*_{QH&{0wxZ82+c*hS2!*%;nZPi78d}PrSjLf zyx+h0yrK;k3s;tLEoN174jxy}d;o+s_1odNc62Z>^}4&^^SXl^F&sI-ao^FcJnkHC z^((CO$^LgV7?5!QWQJ71lcW)K;rMqR&x>nNT@TSNa0ll{)jl=0>~NQ2LSK?e`wae4$gC@b zcWBuz2=CJBT`;&$iC59~fEMw?olSE&6V9QDoJ5t;H!jM*qI3U1V3e~PzN)Ruk>gTFu&1C{;4)g@w_LzTZvZ%KshPe_lJs&NEnMNe@dLg zJcV-lna5~5Ej|oDo1NIO9R~Ko%@CY}xXhuhhmajWJjLg}AQ#2!0XPRQ;hg~#Z{fqw zj*qCvmmo}hO@%OAge=6VM2e9Uz(tA4V}ThhGP#zVCc;~xgXzMEmv(Q62iKsIDQ=F0 z%1u!^2Pj#>mCK{IL@F-@-4^#hf!q<5zX`cQ?a)LR0_lh51d1xh~TBq=VB6@ z7m0CSpjj#M)}Wv7#c{5$d=!WLAp9hXHi4@WV;X{d7R&RY@pt&Mr>LrM!-1D>HevIxVMh$-qv&HvpKu z?91B~J!Mb)RsagO%egOJGH($Kyrt1HX!^*Pd|iBH4o6dd($y8@lKeRXXXGy%?Ex1h zTf~5imUBCznmE%1T2>ov;`}Y-G_Kcp1PWAeF35G+0 zIR6}4yB23Mypngnk4WHkl94O^!RJwfd0WKj!8)`~FXL=`#-dheo#k-@R<`kwElIPQJUA(0^srP=bcrd`i$-*Bbf)-ALc_h_4hf5ko9SVRFP1Zc< z#?T9nldh6QcW|*(VFZ*o+P(zj8X2sIFrMzrgh~Q6oD43Je)tZQB%0*`=wx#4j1H#I zouANlot!w+OC`UhQVhV!H?n>y36H> zYRYnfu!dszj`&JbZ@}$0>Kp)rTGAlVk=p>oS}a%t zyOUyC42X>|J`KB5qLC?xtysge=4nykh<@6MeH{E=5yv}&3lm;Dff*r;4ZuYTOWpw- zCG?s@C0gv`Kp;jO8V!}JLe9q7#)@HK0E-i!xx3dy(h_)x7o%FhAVI9-Z!=N+!b@67 zVgOgAlf}@JP)QN%>wt1yBz^;zDqcI_Dy4~w+u@St7m+nzuwb=h3&tYpx935gX=#yDK7F!{0q&!xPSZ;fBq3cxA?KKD=j(6T=|P z5i^Y;d?;+K;5Jv3%|j<1iJHk!sTQtLP^l67IC%OdysIIs6|sCL*NNgraQj^}<6?!r zoXf><16lnT-VJ3U?_4mFUvr^iET{Ynp@|&F#R^k-yA)kAlXv|<%;jIa>v5~#FSaC{zx&>k@O&Y-9w0s;4&Q8u815A6_ z)&ZP@)Ea|0%3$7rb4KbcfpS*%;33gT)}hpj#l5*HFn5uLU*YeZtl*`K^U{uoCG1q? z@x)D<^4eg$tVoAJf~hw?=Xkm~mEja{wB%=m_=*@ip# zM22t`=BeycAKWwfhL_h0WKB5?3T5(AIC?H43Bn?&!a-ih`ljeovD`iaDEevwUqJ&k zir2%9luZPPvD$bF<|gVq7oJVkh7u4n6~b?Un5+ALfSgdxp1|BfH4BG0d zi4a<=2HPP#siL@sV53?$h50FUW+pgWWo?4C)2i1uoUNS-=W?XI>Xr_F4l0oMjyS3= zJP2givuY)mN}SXRP70jWzZ@*Ns2%?T^PD=E26A5IJ_4qzLiT{KSl!_K)Ls3` z+b2EL?p|=~skZa(jSH&A3FM;M{tiMfWz2g=yp=m1B-F7itwDU%BQFp?wUB4oORB?L zp!h5A^KcuWZWzH|pmOH7E`yYH4#;J-$_8!0YSwNTgeafCU>>U4F9NxuhBw6-g{fAi zPzhH@If#ru6%^Hg^Z3G&s6y&#WO=jUj9 zqFxMx!Bb`W2`JChm^?TtP-c7~3)KW3ke;j2-9d`fuM#RRlxHHiVl{X^P)bxuQ;<^i zp&wAn)Q2u`^itI_$}4rR8fW`j*(`^nH)^vhG|N?HLy)&hWx?Q`I&vIf73%g+u&Y$X zyiw!5ay$Xy2W7tq!jEdS6~I2J$c1RDQj2+1{H%s?b^nWc*cx258hHg*qDIAVm%gek z3(@vXO}_!RwW^qFe|75iMv(6+hiiuVnrtp#8)$}bSe+*>*#eJ*GA?VqTGlgE=<6$^- zEib?g8`B+TBOX4)apV^X+fj>o$L8qZ#^}wM7L6gFk5j~AU!5at7u_EX--~VLLuZMl z0pv@M@>H~Jtsi=^{Fg@Xvf?dgSSx4qPRvy=t>J5R9^aH};>)4Ab~7X7lOJ$xxnQkE?=ZAjdOW-Mj$jUPu7xC$9hXLwIU0>zu78;NxP2bd?(gJrNw zro?6trceP7{nzOtf6u9OeLTR@XfQ_;>9ivV!VGfY`1%Ho&jEBMrA`8OlL9vbI*Xp$ zpl7#ec{^ON+mynQ`yDcE1d*Dc*JQ+z@Eht~ z36*lPJqwk$G<`h4-ccidv?^#JuS-=@8Q)3osgEnT4;00#MjxqS66`)vMt=yaD5fX4 z&-4R_S6^s>0s2`@v-&}^h6Xr;`%0fmq2enxauwN6r0YS`Uku?h3K0MFg=U~=z_DnM z=*Ua!m&MCMbSYR=9)&@OFlh$OP%%%S*H^?BE~tcwrV}9y7ptz}j3UJP#%PNa-kkbH ziB7z36D=xN0t`PB!ZFuXF^t2jSfR(IkT~J@9ORn#k+YR}VQvra3BsT=go)xN$Kgrh z8Nd3KEPl8HVT#D!4eq)K=h8%~u>J&tG;x<7%yiK`7hHxYc0-qLh#W3QWr`@SmfjSn zIDpF%lM3MdmPp{_`L^g;3gI0w$Qi=BqA`B~_rzRYQMxbY61WG#-VG?(!ivYl9C4g? zgFO`Ohe0J*bZ1A8#6_Mb^F;MuP{|h?s?qjX7@B}Q5$U}6_f#y~2(V|OLnMR+qKcRQ z3q>I(bhqTB~uvDm^j`V!Hb*E~uEwS>@KMsQy4Adhh2@Qf@r1vx9< zalye!hPHyHvrOdo`CMd71~~i}a#PrympAz)a+O7k(Lpy^xDuUkm%njH>LDlTpyDZQ z`@rCWTxAP#QM&LK;3eC1Mw_>6y&48SazYl&edYT&oUNbq&V%<$a@zlrN7=y?-%5ZS z$!Tz)jGPDaAX&u2(`ETv8VrJE$TDyt(l-{Ep)z+kxGQpC5DdcPCjR=vWj3cN5wgM^ zBvOvri@rq3d3+(Gq}?KmT_&015~Rwkdw@=p(;C4%T|U?We;G2Ar;{5pfK!7^ zxx639P3gy3W0uU}!SR;d$CJWsxhe;mcjUnV=)_$)hV!U<(o7#J_hkal1Nv&rWN-$m zE!Vz`)aND8G*;RFTc%L0o}(|Os)DCIGv&)erMWut4c$GV2A_b?LX|L>rP{+0k(FwH z7%JB47H4B8)mq*%Vxyjx;v7z?KeqzRR=IGJds;Q&Vv?PTJ^~ecwQLSl98^>wh@+Zu z8klF)fE1|UrB`==IjLAJ?3~rz9JqB+@tGj!)Qla_Jg*{?pyH}lorlm(tsjFEb64TV zz8slfD9*H?kNpw?bR=P#;Xxm53^PIBqNTm4=F&PUDUy`a9Ti-ftKGSx%dCFO7e zoWC;Sb?5;6V>wg;)hAvY3sSFK0DV~%aUmjDtv`ZJAbdOmgHW~O6xyyRBhGok)DgbH z!c~F;R3g-u%V>*KVbdXuQb!s>7_AHz!9$F~>M4hht$7ZJRkxNv7^hC%hQT$pi8JPS zm0G9$zfhsO7fgG;GQho_=vL)Drmz{`j=fxw#*wjMZBAIyY{ z>2G*MnK5e*T4y$O$LCdXyf19^F0M+gUBZh4dM%QHx$amC$k#97FlWPZEj(__@`rqr zb0OrL&szd{%if`oZ*@w?aohYyz~8>SDdanBdHCA7m3y+ww=KNyPT~!9dlGnD+Usrw z{e63QJL>+|kAZfeqBXo9)Z%|$obzbRC&-U&=8Ww4pXQM3)0&-t zFrXrSSIUq!e};k)C7MCQm@a)mn+dItf~_ezABRgby4MdvbMobH`2-E-{KJBp@eE^0 zf0sdMMO{9@z?!t2tDhvn+cRzG_mQwWMafg)!IsAGn$2lC;t2ygTHpd=Pj9%^;y}we zCv~JVTxB~$3%SyBmO7pTrV|a|@xz&7_CV8xEktOfdtNiWOi$;43#J~N$A{2>V3>!}B3|yeLczRSD~uux zz=e~W2UH@c%|C#SB)yHW!!LbuP8vnhPF7WcniC06ubi6 zji>3+XiK1$Euoo6ulY62Bo>4jPTqz{ME1J*gA5LG22vazRK4bVCit#D|g!pY7jA*seH*~HL2H17g3I=cK_zH0EsDgKsR*=OL^sJJ$@Ll(w=HG+C2gqzhnx7DjLR@;4=-Z0r^6UJ)lxeUR;5%p(T~D!>+6EAm1p1-`TCDaeSI} zwA>!T?^M8BYxKpKalkYXz4+Jf48?7p%8bMjTWA^!zx8M{5tByY>e`F&Cpa+&F^jiO zpAmm>hI3YY+XLbx?sJLNS@h-Q7#H#GB*-~o&iBiCaT4j-fBDA9CrA z6qSJg(EHD1^r=^I?3H{5dgH2jC3pN3&P4w0+a6dGy*bF8bf067$xC^ie#$`&j#HPn z2iCN%fYMAS-qbeZ)?0j@IfON5EpdU>Y(Hy!p40gy^yfPM$~|~B2%qQM^u*@{Szb6U zG~osFMd7@Juy-VHmE8Ab36Kv2bVMHxE;I)6A+u>X9_}9k`H^)Wpn3Ex$Lhzb7Q@T& ztZZoN(_W5G@snY^N^VG_rvl1|raXX#F|8Z~brWjBOIfBA##@%m=n8KjG^baQXgfhs zZJ~*@<|%}hWcD|NRy6+^46Nx|A=*w-co$&WQ0514r|5hDPR^FP1%aHVmW`olN8fmB zfIXezklBIGnZv-5R!#!g8JfzO<5{}I7tV+E{_4`LKe@#ouh%Xpn0Bd^8%(T zb>p$#jV3e$m^*bi1cLpQbKuXD>{@_aAh*Y`yGYeb;ns_e^80t*)QbluANrY#3ch50 z3LgCEM^3XZ(MU^Z`cpez;J{CYjRzM_(;HqsDx$kT!|e+?!ZUs`{l*K0CG@}%=B1=s!Jv#z zwuR

    0c82MuQ2^=Xnn8u~g6Ap08+=v;&U||Wm!8NwT9~A?0tZm*3wj9t)nZ4oRIJGUp zJ<|G5t?mEea=v0c%iFWf3QSzZ-aLnUw;VF|#!G^hordK2T321woR;ng3vjIJWhd>* zASJ)06z_BaPpXvoL$V7TJ(~YHG4xecbT&L{FFUR zQ6IOsACKopCuz(!Kve7=NT;u0n$Z!SWDm|J!dtvD2Z%A0%W~qC`|!Axj)93Z)b185 z>UH0Y?x$ocs6vwlnexRnJ(O@DNWEm%Hh(9Ez~is49s#5+tyS>{N;)2YZBg`xo~k<- z@IU{8zibd_f7$3Q|NcLaXgVC`jaHN7$3xT>C&#`R(c|vKI*hgr%gxj~O!z1=i-YZn z>5%ptyphB(QrN1#QD3H>JIGo^vwzenfOi@E2WoijIWxX`iY)cxACvb_*q)v-ouTXC zPRqSc4S+Z;_goRkotArJ&kZe154w|)iag!}?Zak$7L2LJgdY)TD!k z78O-=24ojIp4hxnlqs7lt9tQ_2z3r8ot;Y*>4ffFo$HZ;rO~N9Cce z?zp^_Y716dn1M#fnusA&kq>kn*W^QRC_|L?$Ol&@6lgwOskUV$-+lXtUSuE9TnfF9o{DmGqTCpE+gpJ=0`??2OGkdLpUA!vP!@ zJT_EFg5Nsma3LdqGL(80(uT&!h?C^8Se{Cy8*^{>^fq+XN&g>wKJ7d77Rb}DlcJb7 zL3tY=kUmA!;U-0v$Y5}WWtp`SK@%5cWO$t+KPI)$%3@iQkcl@5@dhE2ouomCDUORq zsz*acBo`@|qBsJy%(81avo_NpW7b4E@n@@#mv7LSC9kn$lQ1eQvFOZ;&63;GpNrV! z>BV92KvqYe^cj_~MhFF6X#00K&5Uy(ufh3+71=3Q7)el+46;jk2py@*^H(ggbZpnqp2%AnP!8_uG#S2P4=P%@A@p!Y04ob(44=a z%OlY)@O<^_{;u6oc~gEx#ISe+`VQwJy{zAySbmEZAnW{ZEMEX{Ux)~|gcgl}X-;7X zMfZMsEw_Wx>A|+&OJfdjI{<`|yAo^__!_!f0$YXdE54MS^f#JQFf#>6>=MLC&?sq= zWL%Po(QbeXf_-aulwX$+BzZkfcaGAlFT%W$hQve^jn-g#EnkFmdg4vQ-83T{dKq3g z`2DCzSeAJ*LAMF{j)zE7zC6{AYk~+B=I74p_`HlllClDZ3Bjq9LRLQ&scX@&X{wEE{>9Lh;g+mDR7gVLx2M!G4KG~gb7rZG`n7@ zBgU(0(I5@K2F*kD4mq&J40)vX>hb!wo5yfcm`A)`c%vA;htUbzFuhTp100u%* zSVWzM!w9JKiZ@w$+$PH+9F9~9ue!^!S{t5;aTTwhK`@7URaE9i(h)nc&z3Eeka*Cf zEu~#6Hai*0>cDJ|!x1A>uo5vD(!)h^_JeZq?sDTvq@C+JT_lMZo9JZ168cP}u^r)%ir2j`-sugKCFyW}!!<1b6fuoi7Gt3|p) z2Za8ayHmk4r9#hLSmi*upvAbv4@9V1FtNmP>4y5SiecR=qq>&LaRm`FOObawBvZD# z(}eIzi+nV!4h)?o4J!Rj4|jDaJ>H!O^i%px1uPmh8Oh6(L;5Ds=mK|@rwIvWV7|-_ zQr{UlaB1Z<;yX*u2fsoU{N>Sd38YS(f*{260sVG!s}9wvzhy%PIL=)PROK4elY}l2 zF7IS{qQY=BqWJuHbN%VVD;J_WJ6};o1U>f={i9T{{&^r%n1D}C^>Xmnzb02?gK{sL zM&NRYOr)9sr$MM2=D)`nm0%=uh4a0xzAm>8K9DqpDc2N7U0LL@jT>76zjb z-%*&G;d?Ta1y|!_4;fb~+!EN8BKASstaC|r9PFwX5K41`=T{(IkgYJ^zFn`w;cMpj z5@*J;Ns0R+q+jD&cg(-G`f2%c`qM5)BiI$XCYgv>2n2pun79GWOwtO)i}C)`_0>K6 z*I%Ho$`AKyi<29dZB?F_pB(P|QZ;=XH14u=pCO2Q@2HO6iFYP(H#U3F{z!Be! z%D8MrC+tsin9hOjfE_`&lReLcE;HwD;u=T?D%voWo@@#qL64N3)7ac2+&5XLI9j=R zeV%?pZ~zBiRoba9uiS0CRYFawITo7?q}`&!KS?EJuSxv5I58b$mQt04bVA!#zfv!5@gC5O19*}vh_HVlE<4T zMRbPc31QB8!t8EeP_5a0KB|T}*}=CedWuZ&>EmO!ERs{*nWC>@e!QXhJGC6d9ePNT z;pcrLmfRzDLdrYhgv-7;ozKXd2OffQ5Gg#7AX2CCA`(4tqBFAvQ3Uj(qViB?V)C0* z*`=nHy{k&Ay6~spe%_kTzS$Km*^pd|^(? zLDPi?-V4H{v?ul|f}}f#E940oqgkk98?uhgRd8o%Y10vZTqPk%8c9Z z*FPFH%xRm(qc#di?V8q_j7*;IqCA?QoDanZXXiU?uRY(M41T@)boF@i>3Xp94LT~K z(LETisYk}=_Gf$omRKLH5HEEE&V$vG`Yn{MqtQbM#Go6P6lOjA{_uGHxxM=GQwvCh z_y0uJ!DIXR>fxWNUb|2Y$0N$e3DkC+kDzo|ir||S^93?>B#tN;(>|W-9 z#%1>}#XZts!FCOQL9F>Vv$|)N93A21f_Di!W{aScaHQBv04@f|XCXAGoIHYh0_)S{ zmU=j$dm^BPu)=Z?2)Hv+A>i&DC15?N<52fV^jIP|FnGCwUwCz-C7VbA7Y?&rq5;(b zUJ5S8Sw;N1$4nMLokd~|=&xSS1}Wobn`gsysq(V!W{awM`BNE4j< z{gB6Pu{?OqjzUQb!R<*&eo(VHvg*1%6H9u8Mf8r2Iv8!f`vg_R5fWHxd;SKo6)A!1eRNWjb_7Rt?F8q*Ag#>(*eGz&;f2NQ6<)JVry zCnBL32?y0Qhh4{)DY+Rm3U_<=mEi26Y>NrFYB+k73Q_D@jH($?>6T@IrK&DPSC&;4 zN)8*p3;0>cr(hDdCh|V)eD~dVWS7Fnu5NGd9^p0K(ZLptXn~7+#|E`;=InK{mGthG z{X?OU`5c)99N<8P%zMTIcRW%5Mz6lkX&^whYB=VnIxr~w?;C1Q@75+uL z`FQ;X-UV{8nlQ*wE!{p7Gp!L(0A8Z)T|^qvC&gQ(sS;R2Nl!-|ho2AQa8BOBfWsWw zTxx4(lWWx|I)MOUjl6a$8n9CvVmZhz;q{s7;nr?Tkjt!oF?tJC&4`xSJ8{U%oFLaNth3IgI`N7u7H9~M#zA_nkHY4IX0w6Hrgx@r#i$GBy`A8NgZ`J~ z=xMqNlNspgdt%U^yf#I4hkPeQYW09%KhUr~vaY@<+$+$G%Dr)uREN0S8$%Wd?;Z{Z z`}?U&)M7m|1@9mJMrS6dYX;{3&U)&~?}XRnxz<1d|Alb}waaR6<@JWYQ5om=%*KP+ zNYf%0!`)OO?h@(M*`C*^BZygx?M7tdOeMu-S4q3(P*8$8){rYf##(vq;u%KVB6yrS zK){}(-5Dr6PvtlQTw3x4P@(nfv!s2C8gdqH6IsWYWbm>kc9jk47GIu%yP&%&T)DsA z-F!g21SD$pCtw*#TtmUz;01XayUlsBX?RfQ*N{+-*u)~yxb!nnCQSeS`VLq|If9h@McAy8&^U5V0Y9DzL=MhGbP6%}HeW4JBLm(vcoI8C*2 zVQwm5J%Mt0Hs7F$V3tCu>S6CZxl0RV1GKPZUMg_hkPKpp0YzYXfWk=U(<3d&L;y~V zUEBs<7;#R4|4}~*f^R0DVJBjArJ?->i6D(>5G8MBl%+6O)^E;^=NCuE>zzlm4nho6 z+DY*Dm(Y+I2t{iNiG;e}fd?I?33mJ*0!fvVXm|mX5Qfsbll5ybSpqrD-)sQjSl~3x zFMj)c{qxmqJ(aWa)SPDb4@?@5I0+(!<}gzT_)nn8>}rJ~^Kqrelu8js$U(PU-`4@9 zIzm`3m#`p+KAOOfsmpOHdF#_X7ci3!kPnaPB!c*4dgWv<98+`88-HA%BCRz!4F&s@ zVH3ulEzvYt!Y;&eWD(?)+-s)5MAKxPn5?y|OoV7*n+W+Ynz1Kz zAfKPW_aJ|l(3%`A$%716R4=<~(;bvUNAI|)UuH%L8gk;9YdX?D)SUbQpWP5XJF{Cv zUj`|uLj`&$lmHX5(lUM80UDVj`3?TO6)FPQvo_gK=4k^g41Jb(=3(z{|8?~R*=B-> zljbZWzjI2nn_SS@$X;l6U*hOt^FyvfeeO&8T{!vW3phH~r&{*|slNsK;n7JrW8~k; z>v*|x$chPi%E(^5ae6^RpdJZ+LneUn&&eJm*Dpqt#7S&G;Xzdz(svLa%iJO?h{FFs zW1Pl^F%bi%d42{(0~f|+;<8I7AqgB- zY2SBzc@C(7prm!ibSshl_m*B>7?o#B^rY3467opY`p{Jn&T!(Yoejr0#LgFG%js^W zCU$m)mr;r(5UVR1N>~d)e?Kb-iRCbMfGOt1l5dckjxQl=jYWpxkb?gP%TT4@$@v+Y zC9thz*BINE6=?_&FI@nD`3+B$B7lvd*MfydQ3{JCWA)$%%}g@G^)KbM2_BS~5>qyBN>|)-?lq%N#6mLl zS;A4|Rrb)MYEKcnVSd1`CpdW_ZUupL1XaS*7wo2@ki&ShQMp@?20ZQ7XauWjpD0J= zBhDleXK0(0eF_uzFa)c^5thja2{gMmTrl(!Hcf@9Lo@hetEtyBNggFRMN%Dgcy<{* z7PY}A*}jH5c@Nod3H7MuI7*Xaoq)581p%jHy65gq4@Vbm&iRCDLArSZhL|d{qgryW zajf?8U|R@-WJD>pJppWsxQ2-6nzWit_s(G2AktAOa{Tv!=&myur!ATs-h6tzxkc>y zzg(RVUvpl3VpQTI_SJ9{jF1WZd=@a4E~WuqIc)O@c@E1Y8uWwvlF2K4;LWe%!yNCsd9n^n9M~-5p61G$+MV` zw3&6xdpcj5J;$ZZRouPc+!rrf3_a2H0{D4GIe?7H18+Y}EW|K1ZRpB8c_>N^&vIKa z%Z>a`{hoLy*9oOUqp;;LN(bH&d7BwP>TW|!Q*MX*sY37?FfiaJx!9~sk_Qv;FiRnU zBKGn2!HhYL^rhs=@6tHT_AOGF{bU7-m?J-GeJ+YvLsEBJrKlvU-$B0rj- zF${h+X)iUFhCG&z_4E5r|7?*naogTJwAZ&+KYhCXAUjLbjTuH$&xsfgmE1rSuvsaq zh0%dwGj?vfj2lgI&vD?swq4HgbKZv{mS%7d@2Cx<0u zAEwA>orPtga8IhL55_O$`D(RLDtw`Cr=LC_mG45lEiFGEemA`Q{sk=E-IZpT@9gYc z(yIQm{Q2@fn*SXIlG1<_qJe&zH`3F^8OOm_l90Prt5f9xT=~{Sa`oT|2S8^obNBEt zkWzzqD+ekEurq~U*3HgkRn^7PyN5I@Jr=IMK-l@R>-|KY@T#si^vS!sPwnIV*K722 z{q%6%Ufo{@><#oBN_uN&xt^u##FZbwJZP!#fJRZRr`rg*{&Y;6lnyDocs-!t1k*wd zOD_dqvb5mM2FRyMJK1{44Dn|IMS$Z>^~{PWTycaqF{q_z z0p*)iRCA|`ZhKtJ&@~6A(RgH5pQ27d^!B9fr!_0NzL5@jjC92g?Lo}Zf@iW1|D#y# z+V?(+w}o({6ZC8j^c&z@w)hXaUpoMbg#3n%1Q%!lhff^M0W?E(*xEY;)sS{XJaiET zU={nICI^oD86dW)RpQg){*jzAkQaXHzu|@I!fReR>jJGbp|s*oxk(zrQRKqUTx!$QBc<)8Y(ke4VC zCNvtD#`Y3&%<{vAiJC+d!*lu*1Mx%^DvVX=rZ!7S=H~uC+xzREZ%`(4-@+pM@ag(~ z2k9~G?bpw*`18yC&D~2V#`KJ`#QFUC_QTEX&qtddc7U0``Qf&`U{;NN$KPwyUJb&n?E`DE0(bGUX6=lDkb`P!1^aA_TdYtDE>q>6k3 zY>0LOQg8gb&3r8ejk+8TFE4rt@gDS~N|WwQ%QbDAgUa(|72q-jDweZMtH2Z!Ve=03 z=`RPr)%rQm+x7FHx9jFv(A#yRptr1WKwJ?G6*Qa>KT>;~L4It1;@-H@u*qDaSTp1$ec$=YUAtYHNECo%E$aMU4x)=*k;Hxc2`Oh)TeS@ z#z) zD8#q~9t39m>-8%Z>b?5u=20#}Nla15F%07q;~FnfT$4B#%FFx5|H6|ad||PKgU$K} zfknjmzlz~$yuD_y2Q?TKo>|3`YrIiqhDnDOcgnTk z#YM~xhef(t1o?js)!ecVvc!=fI$djv86#b-wL3?*othS&vT6T@8t~OJLe-T66{ zv0GA0U`tXs#KP_!9PA&6QM&vdT5T{*8`mYp?uS_<*$%E97NbfXF|h#gXThV$Se9KobIV~~elWV^V%j4dD$2-dSGuh%2^XeP23yQbKZ$wIsTyR9 z8LK!)3I#n>}kXjdPb`>_0FPjflwz4uQ*+@hRS*@z^68Oy||7dx%8WBTpeuCuU1%8$N4cx>6 z|8F)h?{jt%NA#5|6*(Z3$p0<~M3kr`#faX-5_+|Dtu}=&`qnzk^#%Z`7pp~T&iG!I zQ}ou961x6PlC4@P95<((OO-wktXh#JPm>%(TfNYAeG2Z4uIoUj58yMSp{J6TZuHUc zc{e)d#9RQR1ilfqS){viMn~=6eJaCkCK+yliepseVuRevKzV%=D)iSoRjDwtLO|zf z^2-0u*qbQFab($o{FHoZn>Mfu(#yyl(^GAe8weD!DH9;6YU@dZNKnEnlHdbCiJI=7 zZ=ZAS;#+utQWXg>+%q!5{rcVSxq(wQbIU<#1_23w!aiEMn5^H$wyk5nSaH4bH02C^ z2)*iQuMopWj3U8whhysu=z)j|eRGOS_sT?miD)F|$I^5q%RW!Y>4iE~T{EQGX#-Az?av7XYJg)dx!cC@QEZF| zTN5K}1M41b3*_;*p~mBY$!w*|{g4g}o@e5N-4nxU$J(lL8RD(YQiKbP9Ypv1YIC?s zvy4Yxf(4e90oIlSg?-R3#x-G6p;8vdz#YM_fq5~>A|I+SipnJA6(lHsxk7^g-I$`> zPv3COunhcXApf*o^8o|fwAhwMdY+2Ai8?}kgpcBp3;cwXOGIXSP-!O(LhZI2wQ~(5 z07{vhGgjca_fiQ$K9vp&;n>m75Z9_r3(Fb$C|na|?I=G)YCErr8h}^Fn+Y5c{|5hv zx(#W#HCoxH`aIn#j)i;y)S8*PQeM`l^wTj7qK4Lzos%h8vj@GJQCcP6z^t&yS8E_A z(nUFrdt&#cCMz}9!GPA-^{AT+6_S(bS;2%=!9hrykwC+Sr|V~^HmEc8uv~Gyc(&qW zQIoLLSy4zuwQnWO#v$iF&ivBP3Lz2LT6*LyPx}`qSKA&FNs>41Ct6sg7P3DRhdlRB zjjoIHlZ9EtfW0KD&%ECxsi%mkbF%Pxnpk;OY&oQK(AJl|X(;D=j;FPvm$r;qn|c&$ z=VQJol~2o>6ru=LdT=@8BhBvo^7IW(i;ySmE!te+(2BJ3?5b>r+}c*enq6tLrx7wj zl!y0+DIK%6Oo<(#ZiOJH?F6IpHS{8+Y%`-%ag#!IK_~*^r$33~c--Dh3Vjdv9 z97*H>Qb8hMf}Mc=?us0t|HAZA-Lyx7`iaIa$oLDfmY|T9GyIi1?v$L6Cgu5vC}my* zd|Wu32}%pKrG5sVkrFC)?@2nHjOIX#z&k%#;g3G;f+;b7c(}QL{fgpH`ytbhw$l{^-T<}V!O{pep3WX7kP-+zF&>*muVejvCGn4_<}WfQ+9n=+dH0EEh$ zcX$xYLKeo^YBYnB0~G}5d+ASB|47sN$Tz=b(E7;WiEsJ%<-=qCV5e7T3;xuD$w#sW zlaD<0VDgdd!Q>-PJ=pcTcgbo&(?W|-W7NYY6_YTYPy|EDHy_XiY*qFbSp0N}EM$g> zu*TYR=*d|f=c)6qcB)vQC=vl@2ep3v9;h{(Lp3Ad2!6sN$(p74WGnf(}YA8 zVzdKY@lY0Fii-I%mM4EQ>O6&a4S^46Wz{r~+UFhyfId2Ga3b zI;Z1B-A&kvdBl6CStgR9nOTAaUZu^}jyJh#owy7F;{v)Ja|t{0*hjLkspcFAm0~0+ z)RnC*CfIhW?HZ&AlxyyX%J)Unpv12WFNvegZ2lA+6sG(g&VQF9^T9ZiBT-!)KttmR z2!>wE1ymVT!l5$cZWIf!rDfmckbcO>Jf?W8;E+p5v^t&=>XMRLQY5)rsM(AMC)BoG zkY95cWq3*%bp6Gh*5DvY^2CnEPYK8x4me+{I^-HrbpkilA0>IY-A3 zk6#e&%8<(#UrG!NO)PEt!Ny4J>-1t_zos8h=TC;M!DL-9fwZ;VcDdLcFSkemTrVYu z`}j;MPl3&Gl^FX`HH@}&qA!jO1qO&Q_T?no=w`)aG5jIHALPeJOb@%pI}+z&n#dmb6DxU>+Ug z6|(4>sf1Aw4IoM0cv zb#McqeY*YDF`9A&)G(q}DlN_cxdP8m^$G7A5qv)pkYKQs4jeVBxpA0C7JES6IvUd6 zm5bZqz_R2aKS3gV2K;a!SqYZ6FFOlqeMZ45T4uzLkuRl@K+ zRH%<~BXCw4Md`X)4(E6w8g+2?G7(~jauFo1v4VF`Mp+!BW?%P=?YE|&u=P(&`Q~ll zEcw=LFa@^>f0QbJTijI!m@w2o3%DH}jL=(pmiV$N1~t7V-D9Q91S{Yf~%znwFZ-{naV(x}y!;qWk^Q62J? zt4)xV^CeCAuOcNYgxGJ zkKg`;+(>XCH;2rr=<*2>7;-Y=96z=26c;>Ze#u$j4}WGF4)>p#HW~fdFa1;V@U1p4 zX7TY1X~(whp)8cb8}p#rmO-Khsjm*~`7pSaK*;kIR?a=x@GW`b2XlBfNEd~kY62Ej z6IM4tN)kg;8%Cl>I^FB9+;YU-%7k!qX!xw|c_`+=?!M5%iF2R;29{SFtnj?*G!jIr zJC>c~g92F&lv-plu8J`fJvv?NhsXZ{sdG{CDSEij>X7SBfe!b+#mDR6@umrW^$e{u zhR1)tf%HR09TMw2p$>qJUp0R#aQY3io%}E+j@M#>JReC#sVD<+VIyrLC@Mn8DTBSC z?_e<%@OEkedd^`>8gVrNX|^D)W`=52MSRh8Cxv`zP>`?Y9G^sCs7mdjh)0a=qQ2$6OXhP1(RCj8v$nJx57LLkvyPaT|`S-G|BO8kj)u)vCl} z`tf4Ubq;sm8dTAGxJWIHx0-|7rY(>8^Og>q)h&~R`9_;(uh?*tQd&Vp4YC1`vv@P_Pa$r#c>maenYhdG=v8wOA5-d+rp(|a#baf`$nvq$L7c>x3|09yw!Z1GDbT0D zl7@)ES39h!-Y;iWqQeSpD0M8s>PAD)TJg%D@m#&Ecm*I5Hl=8l4}}`*2E#peoY6QGAo}G+Kgs) zCjjubt!xd-`0-W6G2?1$C&*&eZffD`D z)aN0^lCobHRT_bF-LYe?ENnvJM;8ldFaa5~7}4#A2EG}p29BRzIEY_SI2bhvGVW8f zFp%DY@tIV@bxo7U;d&vJ9&+dMXd-_zCr9(rk;L@#hkrcW{=YYg*9A?F%hTa#0{wna z0y@zI`cTw#RR^hWZvaUJhGvX8ItT^QC}G!8GWcAwc*%B6*Fz56IBjcs?7c1c#jHgz}hTb$0Rk)EE-^5jJtOB;-6zI{7V$e&agY2t3;zpC2xu zr|9`UN7F1=XwXha2yrF`gkJK~TMWpKDkrfRFq1F^*cC&#w|3=1_YfY%y?1wC0HXA~ z`C)o6og54ScRQO5hRt|-^Os?NhB`8eEr+DWlV6;yiJ`g$LD~$%781@F3(& zCS0a*pJtf}-GCi`3o!`i*2Ful1*xbx;7|vX(0^brQ;nfATbo3{~>a!vc{UKa^QdA`-(!e`NI(M{KsM3-! zPQR)&N(O=pHnbTH5raWZ_7bT@D4<+OF*>x`9)Fi&%4nuyt#pk8B8O+h&YM9NCXU8v zgS7l0SBva0Htg;m^K)I9p=UdZ^AuYIN{-%;79X`Ps%mo!qO$27{3bP`BG9tNZ2{5) zxY|a|2CoE_{=i)0*atOf=q4Z)Mu%clh3=8qF-t^8rPRCK&sLtRwzHJ%qEc4}9`1+K&wXqhHzyVpN~PG+87HXnYc}R%HImdnw|y zP8j8#&F9*~X^-;2t)t(#kH0+^~13Unq&s8z5^qfr27WE6FQeHa;w;~#lD z()Bm?7B54JxIsG%$-7c91cxr?heyTcYW)I!;nJp5b_9S&w{L5LrygF?)KlNU08AKRYYjYxAN9g6TM`k` zDr#Xng(?+4fsBxbV~l_a#*#aV!UC2c4G`>$DL72ccF`wSTP>oM`D&X^4>BqqIKG2y zLDa4c_S3njCP;wI0zf&dSla8FQL!XCr;=!yfWu%PD*zOe8FHb7_8*N7D5mF^7tH-0 z>|O8w{JiJ?=w0vkeinfvs!W@;d6z>jGc6_WWpVfZJvyh~z2BqQ-3O}uVvYQ+m@w|+ z;N+apvl;Td{!TdF)EbIMW`uIq=V7}1Pg}0M4 z8s~=ONaRR9;H)5U{A5_zf$#{J`?4%d-orj#om_A$)x2a3G7)T&u{A;`?aL!nmquxr zT=(y6j|K*0&OCmf=e_X>TbKP-#h}~=da@;Yw0DzV9R-XyNL?+e4XK!>?+ThE9pe0H zDJ8)z&oX>+~=_aL|d@CA4v6sSH2pWE+Y{$KyvLsbkA32<)YbDxnp zEQ9vXzx-t%x%sN*dMTY%w4N?saxs)1=x#`Pk<|9PA<`burL{J<0rp7N%S!;zZql>m zixVUIXIl z|7O~1{pY^KX>9-3#s8s6shv%qnEEgMxns3XYRQCr(XE4t;`zht$;-u zz%1?*aosw0QS*DkZD}kw%>ot%2EUqSOYHUVD+ExUL1e?t0V<#xdY&9h?cSFPeVEpa?pnGOoleV%e}YHdvAOH z^iRF3lM@vf;xkC7luk@YR*^IyD)QMwv+bV=e+JpH?v-Wr4z&@fV^?wuZC> zYr-u`HpT~iuazwT!Xz>0T4TC(Jjx@4UL2SL-VFpFAYL+sge5=yDW!YMc`KnsdrErN zRoesvc9tqmma4H2c2U_Nj{!Gg3IN)%s@qkadeD|n&1c9!gZTlL$6zR?^3hhSc~+3J z(@>QsL_f;wQ<`KFK!$$+kB$@5#Ri;9x* zaN|y1y(0b)I}zA#+B}KHmCX`b;qt^-ygyiRRi7$3IswWqIDK8e9}k1$w~N6K#@IcQ zK#)u8QvjJJWY!+kCx9@64*2@rqZV{v5eSt?ABYhvD;I$52QcBQ?a_J_HXng66c}5U z+%(2(#dC$yH1}I!dufmX@$$z3*!26G5YPF;xY zSVbR20H8KjxVX#l^=5%z(T;0%jIfuga1zdou0Wv+>n#Y6RB&gN2h*qMmf<^;4x7J&7xeHX$}l&j-#472#lEYuP}Oc`3S zc~ge){f8}p_n%H;oX{=`LIXlBtU&6HU|SD^^Tr|v6ys^+z@B#yz(TVJ9)zZxxqoCB z&@o+dQSU}>&Xz#>2>}OY;>zMs;9uOM6slAx1Y#jfeYm0lbxh>;DK&y+YLakt5e1?h zDBOXsK%0AthX}0GI%uR@25#vgGg<8M5Xij|_?;A}s+M*@l1B%@T9Ta;Y-^g(ouUJr zt(o5sUYW+;qa?_#Dxs?v#lTLWss+`u9H=a0Yl<$RdL@vQ0w2Zx+x>U@H~a7Rf7$J?~vZi~1RVs7<}YpBwynk3YZQ&#(A%i$DJhZ-DL}M|0-xss~NY*Rq}vIkgTT zSQ&EuRr#!}8O|`HD_3BpkOtBm{No81n664isf|Yh1q5)S-T; zoC9lS({NXZ#>_Kd!g_|3O0|RXfJ&!hO~J>01R2^n$ris+&$*|Qkx-PYVg=ewoOZEgu=MEJVBvR=l1#V>yzd7g2f`)7R6K~ zPuJ-h)vG1Gqa8%{1xk5;J2%!O{}G`R^ER1Y1~ z59XWW%b$=5lPl2mIr4GI+l2}(nUqc#mNjPd!R%k3A)g`B5NV+hG=W(p;zEkSP=j@% zNp?jM)?^6vOm!MV{6@9kaay`6M4681j+i@-AI z)j2l_3mds`f7vi~#igP{^J~agq4@(od=itRDzn<8wfLsIx98YGhuqoRhICT`;6|C5 zNYcQAPTgaaSVYT80O!V%HXjVDO&dN~Ig#C0b+WobV)iPI5r?F{*2no(fS6Q$@-*W7%&^jOmXeLy}$7c{jou$XcPC12rD6 zS_vz9ERW97CLUfMX}3aYBM^B0ATn}t{sKDR@mExIX`t1aQ9Y_b`C3RNt>8 zWdvb>gmBZ^MZ)2CKB1+$IW{9Dmp!;EQ}Z%508L4xO4d3{&S->sr88zG?F6F$8c3&@ zC*%*ZtSNtL9dz)rwabZBP2ro@w}~a=du=7EnO2DjPDP#50yzb-sC(vJEuAGa(iPLs zbumY70+!P3!d*hEJr8HLyeP#CrB1}f9`AmA*xLy-i!@pU%mMxd>R|5f5fl4YujiXX zyzt@iZoWOm7L)bDBtpRfT9O~z#z!mJ1iicxnm;8x+ux^{q0f@2j_x00v!F!^Pt`d` zZ;_;Oha?VlOCXnB9iLo6F29;@=9?FA zkg`H$cm~C=+gUUChOcJuWb_#~Uka#%NlG&pEQeV_bAw>0LhQpNO<>i8XyuX)bkTJ> zh5H>cGU$ne7p~kU&-F&?yV@V=M)_$(66N;tLiVv(Y;`6e|0f}k%-#zVbO%$KlSbyq zj@lvH^8M{EqNqS@gVvdy9}YG3mw37I-o*rcYf`D&R*YuptHB9g)rbAXspH_4#SLY+ zi=NZXV(x}@cYWba8i2bPj<@l z3l>aeatIY7$s_P7sg_5^nmicXgBR#11yo==hG4dMfPdM0T{dtSk=yEeLD?3AN;&7z z4jtGXE%NnpgF*x($FqO4cBt5sAApKX@fLr7d;fU%@n^tCUO(PgLI9%1iVt zo9z1)8w1T!5Mq@TfC}a9hL!Bai?vk?sg*#{UkXUL1*xU(l?{4D-3Z&S{&ZT_!sCk5 ztg4nOy+Pw=)?tH#pwyV9 zXqNz23;s~SzM8&&|2}!IGle|c#G!fR`5@up@9e}|YXWc=d zd_i*!H&;BK2Tk=U&kHHur$ioOi-G+T)Aecr7mw^(yEh1+m@)10+!)3HCYADLfh>LOR`ZSlhYYIL27Y(|6d#>I<&IqA{`jYb_>yQm9DbdoATY7FRl46Zc;E73PxGuRMYcWdtH0*bn;R2%L zPC_)4qZ&pj(E2xSWHafFZcMbo0&DVCSi`{mD`OSbUz??TKaZ&>_d`{bs1=@ZBIT8Y z&y57i2j{YcY{yyw6uBz>RbkARr1j~r7@3_OUXt^(k;*H!=jaQ%d~Vrsu$v)upB(n* z?VKdfy>p}oc&5Q@vobz86i#5CWYo8XEOOwao=E<}ZQ>bl#szgSEsgiV2~@9xv5FCRXzPN~=OM)@FJ zP$7kqN1`Y-o$Q|NPy@FT&|D@ufOlsH#EL?eg02$ty(}H)pjETUY@CBpJ%Q>VL?L>Ko1?gDiD-W{Q{%jWnEO5BsGpE{l8 zKvOLu)fjKk@VXN6UeOvd_r&fT!Oq@y_i=(bMblDZun z+LO?K36VQPN^a7Nxk@un#}c3D(M$L#qwRoNM0siRI0Z#G?4l`IWS%pz_cLC=ydjki zyi9M3{6)#K+G+_`$AGXkJaTK8yig8y5p~u4icv8C0trYausj8z20)3XLLmFU_7bE1 z0^4TH3MekMbkAn&S)f_=BrbdIAh~#E1bI469{ui|CNJrf>WjYE!QTiU^6V7!{KTLy zUDp)3x$6klYbx_QJCGwQ@4|L^9!jnqNt7up*RW+hfoQ-?4(X)qN@9rOaRJZwPo}bX_@75mF10HN>zK_a;SX>{3FNjA(!)A_D=6cpa zF-7m5N#LtDh6k6zr<5J5&0xAc1%TySUZ}oRvYo{u6$B}cgMP4mIPW8@G6Eb2w!g-8M_P|Gw!C%LLC!Rq`^zw8tp3F3zT>gm5+ zz0eg#frcE;yjv%ht} zw8uY5W}bSb-Gks0lrCL#4Pt2p)H4%Z*~LP1rDL%;!Tl^1_cWrM75DUn?rCQ|2aF|- z#JT6LO#ttu=NbM7Zhu0Lfn6l=D5fbTE8I0j7kIb(0!B95!PAubc>-&Bvz1kc`;LLu zMcK|*u0oJ|EI<%fQF)&=+DW|hz;Wy6#jJ?{YR}VW)CB^3pMMLEMVbwbRRW#R&C60C zu?KDc8%t{{hh_K4pQ-FgP>K1+2v08Om#8iNgPAD$uSWi9dxV4fCsUBySpb6^|IKm( zti&AD)&7J$qzg$i#)TuPyFJ=9*%Ah&|)p+|}yk zR3b%~H&0^HG8VWN!Ez6<@oa9no-dB!ixBK7l)h^xXULTNUD6SK8{eSD{VkGn>2^%V zsD3nw(gfa(3;|Vl&y*%elL&uwzRmfOPNbzWo<_l^4kyP2c2p$|?$$YpXygDZGvFSH` zeYoD^@n#y)Z+9QAA8$Y0^!C2{{r$~%Zi85zi!^Sg@rQzhc-XikeC^=JvsE*1cWYRd z%MEQ0D3R^V&z9?5FY!>P)$GyCv*-}NvQlUSG-LY5shc(^SY=_X>{$R?_UxMCsu7@8 zlr__;N2HlLer~QbPlHFKr6*{?Qgh27Vgjy%$|^+|N6NA?L*Z`X%&z|z+Y!hD7^KoFm7~!7kK~S?)vfBjz&3xzu1c9$$W$ADC+b-lh2IDHVw9s@tI?Wg%c1oL!>W^) zCsqQSkxSC(mwJWD2|rw7K8eA}tJ;1@2oEE++gPD6{SdwncFmXw@kebfERYgC!qh-! z}$S(A~+?4H$HWKEKeuBHKk2a1J3l##E+UtO&Sj zSi--d6mqqMKBwXPvLeKXZ}Jy`lj+*yb#xU zF_H(wzTW)4x%nk*%Cr&HxXoRy5DiV}QgLT8RyqqM*&&%cL4MfP7HOATasz3`O58wL zm$b`ZqDifq{;g|HuE`gWYYsVI&<{@fa3lqQ27@8{-T{#j$8^J!;N+;K#W@JmD8{zP zZ}x;PpDP%*`~z*iN64bmU8PQ+>Y^qjD~>oBnGd95EA&OFELgB^nz{JRJu+>bJ3X>V zCR`5LTS}JqV3hd+(GwPK2Ao#S-9hw9mIExbEFTdhyg+Bz`-s^hvYSTCapR_-OA$p?Hg zBp6bD`1PT*aI7~Ya`T~_H^Wm$r7LwepJXKPd&#}DN zATP3h-DPuoRMwxlre7W)_}|@x8=Ee|h^{iBVOZlJytd2PX-<2-%;gkaJnSH!7bw*P zrQ%kB%bIJtp=T1W&b&hbp26yCh|)Gkd>$Uyc7B+9LLUE7+DS!UfuG8;#b6SnSZ_L zUKq`jpgxe@r&7G)2!_U4aRkq(KBS+N#$?E7MTSfh$hd1lg_=93Dp3okZ+T+8;Id;> z3B`yHLhz?&Fb|a7H@yiVwy;*@q{dCZGKVt#52$F4HVdx3f?s9V8wZqsU*{(~wJ~D| zuON64RSL6tAq?UtArw^-J=p`RU1^!CW|6x(X;T zVf~H%*O>CH7TK8cH@S=PWA`Un;>ywa$;v3(1E5KrDJ&^00Qd~uFK!(o6%Ww?L^lGl zR7We6QlF<};zv1gy0zK6F^P#Vi2;}d+^Wco@v~4l>2d}{SxZm)j3*s$0REGB#k>+d z;r(u@pp5ehjI4*KEr6=V= zl)g4gpCu*c!|m(i)#VY?b@X~r2pm5x*^mq1+GpiC`GUsv7_pGu1M-1zNyFSgR2Y4e z(V5^4q)o?we@}H-zNqx~;3DicC)tSQk8pEcw3k!j1_dc*VO01D)i!}x*LMrjH@I>J zBS9ocM2YwZcJPG&R}d?Y$D3wc2ryl%^~qeD+e}k|CQ&*575Gzf+b~!m^y)OOmek*< z3f`Y)#}&4q3H;VTA@Iv_@DK@0ZD(lg)F}fHmD-}mWS>DP*-R|1(+Ax{vM|U-B?euK zJ|N?%qZy}M!l3kAK{*z}9>G%$5IlEQ z3zAy{BsXO7fVCp|!I0E?`fYqYIHO zq5Ru-yT|CFPqtkUn$i+KizYoaMZc9Un-Jkeaip5>e24|IM-MkY3NsI3FywDBB1WhDwDzp*GfXB>;I^$okN~xJJ@o+YaCa9&~Y_Lsa_N zORkm2E)LlVa!B)3 z#C!zbsIQ<4 ziLd{a1svYz2LY@3>8ojjoL&7Tv?j;J(3%{-vo*QW19ENkoVN*IJCZVXXy8^eH${AQ8om}8=6qOIY!%2I;ESd6UjoUjLU+lt5>RggUb== z!zq{5hTMzzhCqV;DWsEU0mRj~7{3N{;X=H*PvTaIb< zEZIJ`nElX7BRb_w>Z_RpeP+E5=r%mhM#fjxyIRq(Ep?l1gA(4v>B)$m&5F^cw^Wm@ zEU%ss+{TZcED!wn9t-dlh6x*zKf2ufUZd??2N2DCAjp`b( zp_#Xaa6DQA!5O*;db-jba(+4n ze9y~aCE6%J3>af`y!8y(m>=37z#oUo^LHrN)qIaJnoy0Wt^!m;W($!}S{Jd}k@K+{ z;B@&0>g@zxTZ56A1`l@3cy3T=OKJ!K?~BcRdr%k&tkCQ)S+BZXuhIDCYC|^*lTl{V zUNci0Lw&~Fr10q^Wq&jz4Y^4V<^;AKqP?KHt`T3;+PJK%JbYq)BdINXznVBCBW>lx z1Aa9a3`X#9GaDDE?jcYx?Tz5owq68`=c!70nBI=MB$C{WH6~N@8KeMx%I3!PO z@q{L3BOEXrVYk@Ayb&xr=2{>xZv{`h^N)&`6-Jq&CgFr111K9-8Cc5sh2%6GFR;2N zA`y)N}Ad7T4v<+5s^tz-n!Ae0{^iaAma7&vurZV1R>x#{Li0&?O#f3@-?rOJr1 zrLg*n-~ee0uC%%Ap|Zzix^SQ4h)i5uuZX#F2KV4e2Q_rtii3JYw^a-d(>gu}vQffm z+k^U6BwdwLz=lhJ7X-)JX+%-Eu%IXK_q+-%iRScI8g=JWChRoaIaHEh@>!)pm`sN( zVT%G35_CsIUdb-mQUxKD9qLs37eL)dSZD=Y^0U?a?9wxzPe8T`K*@$So@FN<^ zxY%>$dXlxz1TZarM1aZNrVJm5sR=+>o0I?ie*GD+VP6QUiF!EXG$Z{Ct$)(rWe{yh zVK)C)Hc19xqrhYa0a$P&%ed8!;jQ9VO~=(iU)kE{b!j(i56JdgRVrcR+Yks%KgU4u zwCO*UTWyn+0FnHS2xG5^I_gy^{1O4dtmmje#!HnWl?>`>qAK?ag=V|U#R(`%#P94X z3g}>FuwFGeGC_i86ZENLI21*<1r)w5#raIslzs}KbJwQ#LMO!s$Z zP)*zYzx28jLt+4maF-aN8f2z>rO;oAO2)Qe)KJ^{a{QbuM-etM1&}oWhzAra>O9?i ztO97tngSuuw%xm3%_S0n;U1hsTrKu2^eqX8(WE)^7!)881SNe4*>e7gHiR7ZE`)q_ z{9i-2{2Tlthw*HUcC1f(o|0lDn>~TnK)2$z_jmiM;(3p}IBCBpv%pPKjQ%LRG!f$! zDw5RR4YDf?=&~j+Hj>xli^-!$`>{Spcg1)-;;}P81a0PLFV<)y92k6l-O0|`e>N)j zE4WjpZ8d!;Ku^~9bB2FM=bhwx3{hILFlLTW5eof*nspC30!@*Cm9%u$Z!QoFoHV1c zXHgxrc%+nRNB)>8=Lb_)&i%P+WrdzPNwwHx${=UiGS-i%;~Fk^z^GkeExMwB7z7Mj zcONf|ujw83ko2N|koxeTMyr#obv<{KmBS7$OFJ~m=b#5fPo!39=1~}@iE@@~SK$U( z(v7Ww4%O$;#|bPzvoihs!;UcYi-TuxKY!WX-v962?ZfWo)Ai3EZr&lu9@%<2^6#y~*=Yvuul$$_zcF z&>~G8}+ElYOlbm5f4EX zvi=OOD%m*h8=_~)XZTNfVJeSp40Q~_W(JoAgQt)NTBrVQHR?=?9AbSPic+g^( zy&48x4v`~73nu0f!xF*irx2d8d==45XuCaPibcl7c4OlW*S6GBjju@Y(en`RwWviSk@BM8gD&_r=ZQtDCov zclXeV@(a$DnuAsbmJ}EVZk5+c9E5Jaq%QZJ<(^4m7bL4?p=-0r4nPQA&1q~3q@AK4 zU|hYW=ZESzTAg1lQ0=henW|a{Tygb;ukqoaYQgi*V}P8A<2h~++<09RD)P5;DoGYr z()z_5xfr{vGe+0uuQoH-i+P{K`Q^u(U#`BD0q^6-mhq7a2!w2(n&hOnQ^HSY#^WkiqV)pE%0 zWL!*S+?1OpqZ1Q}PfUTZSF!oToWXeV%{j;l6BJTmS$Ox?CqRHMD>Lcc;eTunqrZW* z{r9soFly1dmNiTK8JK-EG_e3Iwc4ElzlIVnx?oBdE?q*hk|IXs z)LtTSAa%ahhIOU&anM>y_5AQ4F)D8$phgX0#%?v!e`~!I0?cJxn z?|!(y{`mgOr?=mEd+Eg62Wto#kjcE@!GH;v1-+4 z@TI9c#h9H}nHE>|6?`MiBGf7|Xr2 zBtAfxexq4`3c}DEi<{0QSaZlKY)WIw+)y@I1MzT`oD&r{^ED1l?W(U-drWD=3;Ua- zOQS2@^Xy`-ac5&rV~b(m^Gghb!ZoBJ_=n3_KZdZmI`eZ{b9BXBEepLJB%d}IiKYv7 z%#s(*e3|qe@B;k(p!UuoU&Gdf?07M&SJgpA1V8}+-;3a4r24$-$gld{Tc41-lgp_x zFRd%P&`%phKP7#8VF2;y8{}e0#bL*Qe|LL0d^wN53+OUSSJ_@d-jax%#JaKq;iNv8 zTBja>u+gtJ-u6ggjC>OX-HB{Fu-F(AL18*WDw?9uq~!$-aZ!RBaWHHZ8kzrVfGi*_ z@7V9rI;mBBU=I0->c}*gqK?dmG`11cq5fQ$j~&ed+*;2zjx4WN^g?b#@=2lkcygje zYW1Q=EB+Fl932yUoBaf~rpa!nuNW{y#AG|@0gMbg6|rBB;kN(2h6nq7udzU<=}lo> za0^-CPtRqg(U990mjog$`ZI@8W~?6FVQkv(~@S{0d&EDveoTT828`kW()>GEoGmTL}sFz7$s-QPTZLDvX^^hFuEd?^7c zWBF>qN*F_{C*~X2ip(rJCjQFKjj2I^Wl~=bNSnqKZ0XGDW`#<)>^>gz10+DvTeJn_ z%E9PSLw4R|E*EOJ#>NCLBrp`<(^Er%`mU9w#%JPXU%`Z#*r1b_QnsThonE~5CbLZ5 z_Jj?1(RaPU4}-zDKON4-GyE9zo6*hp!~RSTwY+(N^~x7y?2hitx*Uu{bJvWmD7jhj zkt_;&oMo2a$@ zIOH34=h^}6%t}m94`K|+u`Cf{HJWRkyW2X5=u!> zGKn$u_8&;e{BU!PHY@ixZ@=6>-2Qg6$IFD7z-hU@rx)z0dCoAjXuX=fB<1Rohk}|i z@*t^XaAmeVpetbqYSu5~BqX-!L68xdD|LF{$4tz&Jkv&H(zz(pL|G z**%t?A3Z6_r)6b7|N6(n^}G9?{R9?KsLL}IoDs+?Xyk_sWy4Jzi!R;v`U$NZ13~*~ zNCztvU7e;RjTqRcp8>%Aj&KobtkLO;-!h+B1d=w+*JlXbxKl*T2BU^}oQ&x=@>xB6u+14?@3h<}qt(#;BvsQMMmuNZ zx}Qaj=s1I~eAS0tp+A@A700EOCg`L|wEZ0s7n7%R{e6Rovx=YbBzVnHS($6>lG8e`94K&knE1VKN02mD}rxEzQjHa z%D#$RRIYguWMxEe4dn|Kd^5r>3Jah&ZH3$}6ih)=B@bX7x#w9|WmIjVa06SD3>am* zRrkyA*~xY>TkPCNJ}aE%O5SOHuYmFPQ2fFqFU*LBoaA;` zk#z1hlC%zKW5L;SPv!v%&TDb#U}?dTAPHqLS^o^p1P|erTX_|C#FQPe;=0mukdG$` zgU(<=%fYC#hONKc9L|r;NhLJzbzJD$v1UGZNSDqBbVK6`42_)|y@pqd@$qIP%^l)L z9NabL9EV(2%hKyjG-dBGo2Ak%JFmH$%*&b;$)wF(4H5c>D3@{`%d! zy~p1^?|;Fc-+n`Dkau@zx4p+-zyG(#-*#{x_Ws)Al=$zz^!6}4{QF;%>Buq3=gWok z1HACBz1#Qn%lb`Z(!c!`b&m4i^YRJ)@|V_kd>>~2Ywy4Dzu$iqY%lm|Ob=?Bdhn+4 zP;;hUH%$oM_=(Fp+Su82jKS(0S=5BRO8;4&twt+M+^b3jT%BJ@9+~lGsKZiNBrFS$EPXK~t$-ou>;lV~ASU&L z^C+;HC9WEo+*s^{pwb<#XTXI>6g!kmHL3fbr&m=xfo6<36rh)U$j@FvEMbDs%I;^_ z5yDjipTvZ~87v&3Y;pkBKvojAOS%-(-Ay(Q=Wr!pJ*ShlE#4ML-rWFZ7@BD)UU_1c zl1ajryrE*5?}V)6JefJNK7o<4ME3Bgk@GQPojs|jHeP#Jf6Q!(ofim#>9jWmELunk zmrgavNI+l+$d!rb6cN zAZukg?TsPe(uQ+va+vj-gKjFM!q7V?TYWgf+okB_`G z2@F6~661K$_|AuA4WuWmh+a?Z+ zhpq7}nFLiJ<|<%QyxneRX{n*iG4`!+-znCRQ8Y2=!H~!t;5UPJiuFpzuu-~3oHQ%r zvM+)B1z7^;UA{^92T_NeY{N;6N(=g~73dUU1<^k6?5C`~Zo)LmS-Q;W^I0rSb;^M@ z^^Hi`_8aVGbSM=69B46czU?`@X`1$IiigCYT-~pT86|(% z%_3-0dlQF#+|0*d-|914^rxvEAEi5lyPrDbt9F`>W-^UE2!}e|IeZ#Ge^M)}2sXoe zy?zDuaCM3JD-v{T0qJax6HaxeEV;janm>k34~Hd+JiNc^xP%wpccUxG<8NfXgq>}k9$c-SFC*U z?NIpyBq3)7NfmNd7%VmKozz0<&Nb}oD%HyLCt+uy;Km1N2CXQJ7yZC3@vUe*To(|Q z5oM1+^o*tA&#brCG2m-k8J5M>;Orp+1|-8DH>=Y(o@;7&8{nv!c9F5v3TtffW7$QK zcb=Niw6-#g#O;)jx(LM_rgG3A4o_(;hGuFRC+QPvI+h!TLyK0K$e^^%T41xla8M4q>@M8-Z%< z-LPeQpqSwKE4KfHepQ#<6x|+rHI~>M91+EX7)OB{lI$W44+b8Y4+@KK-XJP1otrlR z=ic4G!w4hx?H`7pIZV+7M<>CQ%W7A0%hRpBY>H5{a}i{y&e3^-Ab}SoLDnycl^lBB zNug>2;=Q|j{~mU=5SN~9LEevem*tYutG!H>y{tEiOB3Q;`$LJ16?Gs!7SsW(xckfs zb_)W)FSsB8&)>d9F=UiAboH`= zCD%o$>?U;m%F0sE{+D|4>4oxaP4u`tfAQi3b$gvd&NM3%4qXE#wz~|RyV@j%Z^+-cZ>Zw;yj!*$b9k{%$TlXBZb1b4Cz2LU++|1cI zJostNZVN;D0r3EmADBmPM&%?^_yP9KsVpo=9$JqXirCddq!11q&v6`ieqs1kq|A~Y zX5qB}h~Gge-x5exkS0Xd;;%K@uJ5%jIenA^LLSKzx>N;$O9iV3r8BKLl#m5NN_FZm zS|O+UJS8gbxfc(ml(V^cxViuB<{jej3Aghq4}b0Roi~!GNtWxj zZm*dOPV^WOPD1PDknVagIK*wwPL8>%*dCYl;6WA|Bom>r$w*DiV#C3Sm;Ge4KBFtE z`i$<4Dm0aGz7@1z&{=zn}&W6<<5fycp1Q4^!{=K!&$oG;;UZJ1q)_7mKAp8>XWJ?|)9nIsYopp)70@RS@xwEQCB} z9pv$L!zj%$pv$;@gTYlM*nt1Bd~;LfqLpu9xECM8 zW=1CHtq!n;B}`#(wzLNPkyU_Z!}7qP$vT0wU4M^m^v%g1mb6Yb$KokYVKG6863diMvD_NJ-{ASL>;; zyjl5!rGawQO)qSJMs6khG8@4l1dhMUJ1&>m_R6}D?L?;)?xCnkl&|GpLo34nC2HtL zyNTg51P$$6XBUe@osOxiOH$+jCyA0cbgma8PTt6pqus7CLr$n2i7?Hi-f_D!O=--z zxx#6g5(hk-!^7d2MfTWi{VX<}zaYs|@e0HdQ6KV^uY6XP9*2M-A*70`om)hN?r$Ex ze0Vg6pWqtfuW*LBor-qMA?i$Rq@g&@?~ATO4f}bnn%pV$o3u6`M$nq2<<@K$&&!n- z;^&3OY!6kQwK7Q`I6KI?$W-iXdkzI?_WJSW>+NINHq%v*^bT6bAIv^Lm5EEvk0mT! z!9Gvek#HUh8Thc$i+Y^_T_%ofJ1cA!9)%F1z$rpeGVpD1t0B@&R1TFUAX5C*|4q~h zo=K{H$_pw761lkDNoJz*c6gf8rY&yT6x)yQS2eNUxMBkTIQu#S^AK-KbD;GL=;lS@+=KQ@?(C!wn=NuW;J&^OOH^bgvP$R>PBNk<7 zuMr3+7~`!xHzx;%_j!`l<8*$4eGOU9;hH|F)W&-yjwS=dVCX9?j0eM4?IpIkiXz3cs-_uoG6U8897XZ(3<1kr?6V>pHk%`yOC7KLSeZsfl%U*ewpl3tU5=V65v*dWLoNoM3WCL7`pU0&8_j*Qwdg^$mm zr6YMJ9Ea{Hm1FV5WIZ0bNMqOF!Wtz&8>;bve??f6@G#lc%J=8v`jzQ3$=-+%aHY&x z@emo(*wsAL0^*QCJFxt8-had!1 zQT5O$6cY5vVn82CyzWa;#id!M^$|!r?WWYF`=6)?L6CWcL5Q1*9GlkNVa?S2VJgJ! z0hVyz7GD1_61UR|MP6xem$|X9(C5f&MXJSmc?lB0`94NmK^$cXqqd@IpXT9VuRh<^f_fXDDj?)CBfwEE&*vLJmBYl<&m*@DCgAJ&%k|ZT{aBx+-q3Q09}7o2_@^XBJs?sQ z5>ze`PXL9XRSYo6pu$v?{gJ0mNsc0eDFVD?s0+EH1vHBB$nqRDz7K^3FLVJH`3Zl} zGmAfjr&Q{uvB2yR#U`bf3tA&I5EUO$%`qKTG!_^`P}R}yNk|@vML%=Y$sA{(RH0f(hje!;R=u(g=EHeLTy2u< zZFc0gr< zzk=t-6`|s)zU6j_zP@nUk;5|j%|BNsY9_dacen*{BrI5NWagcW898f~mI#fYnbEyB zWvR(-ad!tdAE~s}e=%8C&5`VwCM!#JL(LpnwewRrd--=`#7Y%1HBc-k#PTAv+nS@_ zq+r0rKM4{h(TzRin#5b-N8B!^R!<6;?JFuo|19OD&;PyuA0b84qkVa^K0wsFy`rjl z$P6a-_{I5a`d_{^LtYjJcw4X0Jpd#vV5uV;yCx@BDhQ<_pl!!xxbS zA+Q9V4gs5Br4E*Q@H_34Vso~p_p!q|1Ay&~b;3uatET<;r9TCO$==kr(Uznqu&H=#)Ss`e# z+x>d|fZX%j50AH>2>wmK1$k98N#Xn?4T4_G%QI`ezG%lYS0QsR&ur-0ary|CZ{X`d ztq}goi=>hPf9=ZWqYHGYysu zDNHo0{9>-YWn*zm9n=c7g4Q`(7H^tTA(LfA zQCFHB1{EY06Y-%OXjVVGcnZZEpBI=>DmQ1R$HG$ZQ&J+aOvDlG9CA{tPLLN#cm)>- z*b$9uD77J7@}N$!UxIfJ5rEVAv$?v}X6jbkf46_L|9=0M{a>H=e4ux|-}@QB_BcQL zy_@~s`~BW8`@LVEi=DiFEibr!t>)_vAggxTnXRO89`vJ`&1!KT0QurX8?K53v#h*V z4XL2KMK4b?lfE4k3e>wIPlksqg0jI~jVhrWl#SP<{h5HWRW#4W^qeRu{1CAM$$K=W z`7cqIqDF;4D4ap)Kz0nz8<;>eZ{2w%WR2qx-Ya^8h9ik#(VM{R;w^9s@H>EK-Sd%Q z2;_~zq z)@MLaIQ476m*4&J;qGS?IU(o@8~4lSN5IQF2)!cE?|!owvJaRmn#vNwo{91=9%{R+ z8!7D?aQ2{29-~1W;|c5UC#%C}mk*D-pKl)@RD(rZjRzo~?QPzr7ok)0>i>`i08T1? zDw8t!Q9EZ6;#>(?#47$md8c#NazJP%^PP)tQj*O{!{{yaOcMWJ10Rm6m<5E<8Z3ZB z1Bni@0Z|hTS0^O`L9`G1lFQVWUgs{_vy1tX13)%@KwVqvQ**=^PX-n`VbVs(hy-Gc z1Pow{%#bQ@@a*%KM|RJkX2oCkzV7$X6z=ZJV^kT$5cU%d-rjxueEqnGU-xf6e*UnJ9?b~g^#Hy7@DWXJzWV_qxYqlw_fH(}eYekF zak2N^|BYXCx_Gw?-79*Lm*!+ja0TEStH`V~v^3T<4s{F!Va5SJGnLQjP8KQJ^YYvQ zTi)-HpF2xY7poDTw-r(vgEgC8tk|*HFdw5`Edw!I%aht(L8)Fnd)n0Qc-(B#LBNE{A~u6&YLVlX|A(mKpA05psmYIyYlc zbzRg<((97zFHF^%jaW^nZJuVk5(7lBch-dCeyMU)f24-dA}bNC3H>&Ns?F>{S0HW&m0`#ZXhALr9fPo8)RQA z3d6!i4F7Tc3jb!Vt!BCT;h-2U7LHll@D!5jM3RZq4t&ITG?Vc7zhdu z(qkhrw#xbhmErB)&DYQO`;Ys7+&t`mx}ySNzO>!jU+?Z7Zgw2sOD;eD@%iS#)Q?;E zes`Y%dd7=)@8w?4_j`}{!~6AcLzfLvF``=sVSeSLeVpOT1lSYsu?rmkPWV`i5>Qh6 z?7%uG-7NBXMA5!A1#MrxH3ixuOD0Jnrnc#isB4rXHHD)!hcp(?BZNN70%dcqF2b$- zxaPx^`*%##FEQCNbs3V07BF{@JY+$GX+S*}4r%!F`a_egCV`u%$|5na+M_dMEAcT( z^E}@(dG0VvAKGnTG-9=5K0ldnPTg14HB7peFo}z(F4brHZOvy2#V3)g;(!~A2To4A zg3}no3CV{PmR{Ba}Gs0F}TC_bBSF{H5rO1Y5DPXY=-@sy#pCiMd9=@6R zZ}V_%jtVuWStent>hX&oC7}k@cONqIA+namX$I+<)BA}^e z{ID50rDhBfu8*3ojmu7I9bc~+H$qXLZts46z;ayum`F4~>)b7GG9()%I*9O@L`EVB z(bLz#B3UCC;^Rgs5TxZKeSLqsiN8kvb^-k(KS&caER%`;5QfaG-p9)~R7ESYGBw!{yC1_VA1vuyca++>WYfN`V%^FG4 z?a1duj_w+#D0xVI8Gs!gtx$fCWu-Ua>2W{$owMXb2YU$&_!6<;Y(gVui=GqWmHqJB^@lGv?v~xte?r#+g0nbf)gLK~jcldxP2sS@ zX+eXfYJLU6sg73Eqxw808>oul;QYH!w#{D}|7GaEAnsa=_(1WbPtVPcp*Bqd`J5w< z4DJWWh4r-mH&9X!zqYhg8*UDsj0f@#gZ{UtG?4%Qc>(`a-A;8R#i@K$`^ z|6z>$4EqsB!Q~47Q#2UTs8lq?$3>`C*hpQz2r#P?utFBbum!n z@X}>xL8Q5g-xl2YXmfrBx5FyoFy_2KwHcu@%IbS$WAf}kPM%#c&c8bW4xxm`flIqM zJ~_Vp3I1Uo-H0|Cjo$t$C;=$nIb*L>rM@I@%^mv;U5D>af}bO49L#T!bXDPjqL^ke zwd%O-6bmb!^=5e1Z@nn?O@st^XnE1J4%vthspF}ymLi*k6{MJc zupv7vJ~;)kP;xW49F@IoU`FDdOY z!65b8lF}X=YM!EyB@V?x`J-=lh%A=w#j@6`Ct}H9*v=XX}8ChGioqPJA#k&Z6{3XNdC+K_e2* z^z=kMV&xhXS>O|oJ6MX+>GEZtbsyr(n-BZFVbnFE|1oGTa8Q^>-&9Qp#g3$>cj^zU zx({4SS}lP#A{CW{P3ua<8)+zc%>-!^3Vq81Be>@RvB7(L#$bF}_Bgco7?u)U+Qi(; z3+iIM9eiTM>xzt2PDnBCwAMLGXf0!aIAdysfiHCo_+884oN&uCW+zu#rI6nR3NI(h zZ3^e3nnfc@G1VCg&mqN*)>Y7AZo*c7wi?Q3fk#5nSB}KiHu4m3@6nZecL^7 zc?smk3{q*It$ac&ZdpxkR!BVa}+W)HpSH#djUUdSC#0g3rnoqRoXDxeK zIH;*A$oeQav2Kt&f6=E~3+(00rP@o$<3)LyQF(C@<%=RU)Ekt8;n5I}*F@gp{gL=7 z*;4aHQOyQfF(@0Q%L9l%MEc@cmjY~jWzG9Dfe|);@nG0O;L~u9*DPiJ;=wT16fxpH z?~h`tAz^+q4T>;0hJ0$w-!-pf#Q9n4lR{X&T#{r66CkxKTVqPfsRRXk(>Ow)C6)oY zMZu;Wf#IYVE376PRx;Y{KEk4>FNEol50BT6w{Ls+6~sDb8q35G(eO`L8cEF$lC~uv zVNn-kTU>47-Vzc}kO|eOOi`Q(atw|Ld;eB)hrDhjbNCMi_4sZCEr~Rv)_10$Cvqa{ z=R#nLPf*91Y?jh@5u{~d*k;_7e$|Y=oqvTnsn@2hksyNSs)+SI%M=zZriJ9{oFp{1 z+nt}HX72;`54CJmrp!cDt7(0n))bdRN^>G_!}_|Jj+>Ht!zR1idGP z8>|(%YoVS%WyF6FW86bh5yV{JPP%pAIEXAz4#eF+)JZ zWjitKD-r{?m$MX=&E;g~0detLAJiR3B=qnMj?ukO*B@{8Ki}UyA|q$-UwZr}@~`=C zipslBkJq=z-a*FA*Zqh6kC^kHJzRZw3{>0;IXQcK4(%R~I1p5FO2~>uR$Tv#< zxgBNy%09WxKe^>6_3Ri;geWfv5XH&#Xh?R)T%hAt$6Imp>w^}V;i5QDrEBp7yiibx z#aY&F)uPI(^l;HdawU^o2408PaP)yDTE)>xd4v@9;g)qCL~dxpsyWyVq5(& z(S@RIPmt>3h(jcIn1UH%BGpDJizB^31m!EFZ*V<22E%lmr^rqyvog(OG^nQ zVl2h*j_QYM6n-QMIR;|}h({8>fvi)TtTC4&?-}D=L1h7~H$%uOlaKIJx;bd;mrzG# zRCk6)$e%@OLW8hrja1}Jdya}2P%pLR!r}lpS-K2juFERo_*OJ`EW`va#*bVsCx{@T zFC~(plZ5GLSq*0mQStEV+oK%{43Q;$F@J%Eyrcesb{asWLf}Op?bfmF4p~t)QhCYt z9DT{+#F^^v)C)YgG>tyy6&ZnC~=5|5?Vq%C`WZL zLc9kkn~b zUn)(=CPdPC3<%T)E89?=raNKAU4w%DqS9Zo>H+{*X52NCkgF%B<6EDVLvu&L(- zh;|Rj{i?b*<4_Sh=GEjprg?;cfIG%3B-}Mqi8zcp(~2WvWs7OZVg5 z`|F2CDBsa(B2AiXy%l9sSNwps05YzAe8QOF@HdPZPWgs0BU4&ATSoX&@0pQl9vjno zQ1Y5QpPocko2eTGej(7!3$%$mlRV9Jf!_S-=Jy|V_cv(W^uzAs&-WkL^&9@Io6O*6 z$Tah>qyeLv|0TA0@m*`p5>A5LyA_Oq&Z>{eX!Uf_2P!@|BUW=dAK9G0&}=Cs9?{Og zSMVlLHV3_N45<&wae?lNc)gK3L2o+SgCg7N}Q0dC<_TQFs-FMM=4(qJ=eKB zD8-0QS4J=xx!(f8*ZFFIZWVwt64HNr{ow;d$o1pn{q4_R9&bSS2(IOhMptq8xW+zn_3OVEd#?)2@zo(p!|>@pTBy+U106|1&9)m z3pw_kiwu`xS_$K-Bw!gJC#~*L>NA?%;v5-zs^1YWNWEeNGJvZRvNj6nfFjqLA}>dl zWR(^#y`3MC6vrV21`HOVV&NnKO@Y;F;oLH+=)gA|sPNU+6g{bt>nrzzvq`}YQ9q6} zr9r;|u4AkfL+|(0pazhlD?hD)Lis7jiv&_VKippYCNN$`7go)i@EZsGN+-)g^02x8 zl8A89TzPxo>q3=*bQ$VH-^f}*Y zfl$6Ptzmi7wh|K5Pb43NFA_{N2=v$I@hitke3#+W?JN40^nQw)wxBx5*Uc=P5Nw4)@tl>q|HTlN)qR zN#qCUNW$K*DzI*>EKPEc5aLhnR*dLPkTr4%>ZCr7BxmBdgQgl&A%R4S3khV2aJq9e z`o7%tLWV7rGx{cq6j)aRQ1SCh2w!p~aY!UC-7%`qM5<16=XL>NLeHXk9<|B2L~x|n z=MD%(@Op(af#ND_f^9C=tgx;abM8_3w9q5<1l{t!`J+r&m8TvY8!=a&dK1`#0M#~u zK!mXV_3rnN*Ps4?JO2+j^O+v}m%Y6|o`2swfBrx5)9Wspu}gZZHpFKWf-rhQ_Oxf1 zQ4pH75Nd}Zk{#q;<#^#|r6*lkP_xvJtBuvqn1f>krx){c18XgWhK+5t{Yr4)BZ0?Z zUAfZv99?K@cgTdMsLFhV26Oio^!dzN8!Fzhl<*`Vr2}g<)4rZ=a5^eqsxLk4JH|20 z1nO2O?>t;VtRY6Tk50CGXlMKVzrBA42tq&-{rldh=l}EUaK$c^|Iz#BzxMvWz2<}* zZ4U#z|D$I^&#|FbpcSac=4FWgqJshMQy!1Ho)ndgsuo4QoSO!9ZHw=yPWLg=d13vi z@){vLqI^Ijp+eajNl~g(35Z0T_fw)zGZkoi#0Fj4S1A5HAtwSCDaA!)imbZas1M6l za_u0AR+q>0v02tyD|6pC90>=0JTrFjTUe#mNJSTG&#~1}6P$ao0DQsm3uZ02v~>W1 z+8iaIh)$YiEhM<4D!kO<&xVyCqPS*fne^y zR!uaWfw3N1^9RIVxYrA)m!gCOfY{d?@Dr97;T@SS31*>e2mG18px|h}fJsnoG$bQ_ z>MEaiSQX4xPz;AnB45$y%N(+FlqaSk@w4eKJK4rM zLgA#Egfo~0_Ko|QVg|^8G|(Q(mP1a4MU@Ej@~yBE=0pdv$&?+_dI37CJ|I-RKuRlA zT?9x&F!bX4>Cwy7-zH6m4aqYR{H_rjz(9)ispKeda>py}xf>zE;J}_7t|-ckVTdKm z69PZIwg;Rp4l(P1wJ|)ltbM1e(ngnPQbnmEOL=udJmuPBrcnCm4$5~_DDefviNQC{XXH3B%1cfjv*=rgj7KI9lmfT_HxZuO}A_CP8 z8_8@WFtGG3cTal1?x*h8{nY*7rzY=o^0P;3W$06()~A*9X$xJEbrL;Heq@Cx`G{&r z&LXWDM(Z81=-7?$Bxo35dRK8(?`FHJdaAT9uHDd7iwJbn0Oh%Skc;B^cWamdTV9x&2xTflD_cRF<(0HKb)r*4gJRM8l)QVQ6N)WVucUYX z7IrbMwJ*sjoG17q!eT{gONT29)-f?#G~pp~GUYFmu&xxQj3!PB@9B`DOZ>8viUGcT zdDW?`H755Vk+D8u*dxk(^LpSEnZet`J_PT9 zseSrX))5TF&2o+y129JYW2wZ5^0>jiqSLnPC|C z(KJz2(BZTU5r^E(s2X-qvP}4QR*omUfU%-nNBSXPU$N{8S$^=tf&8~VAab&Dh(Xq<8o~<-n?-VilnDeM zVq=4mL~>jDh6!sgMQ@E~o1lf6oAYxpbi6$>WP(Bw5)j&RDq4kaTxBx9kp7hx)&U{x zqNQLh@cMV-tBM^>VH%&6~af!n4}a<5Sti;>FT2*g|-)pk!Xblnv3w zv{+M4S{9tnw|k$&*Hp4b5Q$u3}K|F zY77JcC0`(m%2-gS zE!!aP8t-gIVd^dd1l!n}!Jxe$QUH6C$Y|u~kV7ukm#>jzkQsUTkSjLr&&qRx6(Td@ zZ{5;@qfaYroH6J>rS!=R5jRmFPrKwXa1+1fshQ&30KjtY{Q@Qi$tuoNOKTN^3k)@C z5J={n!;b#kun6$ zS?L>|(B*QaLSdMKD*&5=eF<`W1+!`9gMgkYi3Ff@hes#}iUV7I&;Ta1W?sVc1A{S4 zG^FIZlSX?~Nu%^lr)k9X7_PU`qub7f1v(WsOa_3hwH1wR)@NIUXS-YAnSQ-aJX+=_ z5^EDr023*Q6B^4Jnor=vltam7EU;>TZiA*%wNPE=vuky(81nAR9-IVv9^OBo)}528TIn(PQ|K@iP$y$^Sv ze(8Pr^l@^twpbZdk0{oNFiPA#l&2+x_b~0PE87yw(`s8FsI#h7DYc;n%E^ z0%8U6qD+(U7Pqo$h#>Pt21tN|r!?>>v7?a^a=AH2KLK|3)B3B3sbs&Q`3oMCJ6aub zV(ge8@8BsyUb-b3V0p%w>#wjXUlbNM|sbA-4#|w|w&;xYsV9`VFdIX}gBkhv{X9f?Y9!8BGy4vqyVl>oz<*j>| z%9`zbp6v}wrIPJ2Vz#$i$T>=oGKzw^=zFBbgsUJ0a=6>fC@gYOZ3*`j0-H}x`fCei8R(lA% z8C&d{B`*`Lc&%*pat_&`7|dX4$xKR1sFTFNv-VtF{^aZD#!bg8`AQcu`dmlo_7WZ* zBo-F3FmuXzl_h=p#zN^>T!Yp#zvUOOZf3orcF)ng9ei&ujER#|^a$dWni4U4c6!aHWs^NFGIdBuJfNI7=|}wrZPgj7 zHu zN3&~EjZdj9!`p}jIR?1VW+2uP6}J@*^1476Xaqd4E5T)g7HRHg%b<2W$RsZY62!8I z;lp|;i!z}C6j^z_{oW5h{19s&pnw#m4|0Wx2~LZ4FE~>~AhV_b_6Hz9FYa&e?r$Ie zcyWLC05qoEkr|%I&O}0# zc`Fs=i1}OKAz+O7zX_83i78*RS$ZhJ5@<{c=%YI))k7WG@|e$vE^DR~gr`hS%ThF_ zmiAk8;J_VRF9wjbJOI^BT}%VZw1+nd(;Ai!k+tLhS}YM?SYpqvQ0Ft`V-;>sQH3$2 z>5{@v2C$;^=ie+CflDvquWNPXrRhiPtUQ3vna|X|?wqk4mFFQjla7-XXVlVD-;8Sk z@{EZa5mwRp$ra1cWEH&9mgFDceHeG%UXuUGnpV?4Wmq1jZOs)a+O5NPh6b%C+Uw5E zr$c0@Ty4e4tKC0{*%SN+xXG2gt(r)9~^N|^|R6$4=GRu$Vsr~<1dlTk3 zjx0@(KP9cowhio}G#PmSiSC_V%L@dGI8vDa0rl8e7({|9u6Q*Fs-&&%`R((4@9--; z0NL1D;6i ziVX!h!!4QRf5LK`OoadF0T+*T(C2uCHWPKCn5562`{mpd zdvfk4lGTyp@>Kkus8((gZjPzk0Wnjfnqe|3354`d(q|&GNm@3+KMD>vP01xRs_I0w zn%HQBD_3oC-k^Obe?tTU9U7H#Rd(4H3CvjdobveORVb^I5uGl3onqMJppi>Q|3T;$ zg%Y!A-9cxV*?3$Q{V@r`s67Y0pl=JOwlB;-M$wrqeA%c4eR+u>COGTKT)JG2#!@*_ zSpjOpGpUaSpQ7(PyI434(lLh(kDCa@WsN3jb&eL{$HZpQ^|Nb_38Q7~(~2taWzViq z2O>KcX$VH#G+Lyw7^U`lY)=>b0Ga4N1XvrF5Y8A}=X%HlXOz9ugk3T; zVEmyt=~!T)Sp`y3IrJ1IZLcLiNkL|iqGR;jP>EX`@u%S}%W-)S4j3$m7*V!++Ttav zm(!ai-;w)-bI4yo#fuH_76>b#O@?b=s*Lo{liN`8Ue8~x;R4XV*5_VNnoCB%=Hluo zs>;h}8a8UtmIMoVh2qt(iUh&?yRY9qJhZ=Ppw^Y`Y+d6$Hq%}4^)FL~BHD+K?cd+t z{;s6v_0o1nYWQp^2{hmc27J&6VqT9yS`#Q{{f@?Y)~^y}-X7N-3k^z)7(5_LnVJm7 zC;%GP^N5*f1uaRTC2nQHorD1r?rdc2nryomk69mI$1NSsfXS>0rX}jSsCNf1>zqIf zt2Mf>tSS`@c@jb6x;mpYz{YZ>0jy(*Qe<>u#0X<7Qwsyf3~u%0{3;)Sr}T2Y2`@cA zTaKDXLNy$1rjIs5mnr*CPdRvc$_!H|J~F5S`ZKv6>h5lC))Yt1@$S|#c?oaQS2xS76^0ljH{d7kvnn zjg{n2C@p5EL(P7>!AD0y?a#{rr6_F6B*aLNGmO;TLsBL?$FgN? z=zO*J-FOJccgjeZFFRlT6;acd$514ChkW&F6J-5(Dh?-uL#^%Zbcw>(T_%F3p-FXwXwKF1`Prk3+Il8f6bmp0K!zy9Z-9bB3WE-O) zKzfJ1^+S-Vd5d6|nAR{JjA8I-)vj>_7&a{1Mb;2h2-Vv3L143pk=yaSIO74;xcXs}X3z(*w6$gNS&`mE_seg1X0CGzcD zzg`7@4whctuTwY6feB?jt{LM@mP&0x)B2EBdMC+h zkBzf^ipgMYS`dkD7!4vBPi~^ZA5+2_aA#43n2D!I?5-{}QBf6SIO4&5ejn*`mg)8M zJTSECdVR#5S+Y%r`zkg}(9jgeIS;GN(jAqPVnGXdTj5EU- z$PXw6DVS_YdKLVfi4QK!04^vI7d$JcLeaIrprhFpNBw(2S>!} z<3y(XgGUyT7fTvAkTE~b=L9CCl&opN;F{JI8oD_5wSL z$*ud-GPyynPJ(@v<-G1sie{Ke{u|LZN1OI2=0F*V)aF$7<+0hiKmYOV_U(Xwv=51y z2;g=33Z6=ehNVwA;ztuese%}7vc8Q;wV2L8AxO*dOdlqD1geL?Sc-)p&K1eR5#2Z< zZ`jkNy+)caoLbvgfwa3rl@+W^(uFg})AD!?W#{rtT~A_YDvc~o=9Iret>c`Kk+Q?; zhK}&zK>#3A@o)N9Q0|=Hb;1}BMHSr7TEZBRaJup60LqA`#0`9U0M|D&DVv^gV$TtT zpsru9GH8A)J77urQBstT2f8XvLZx%*VNr-0(aWGjVf${-V3i&J2LC`<`}7pyvlsU9 zzwkjX@{^3>1BQqH^YqKQGy{# z+t!^my?&lQ*fwybaqKWlYN;m0sC6`pp#vDYV{&RaeA9IqZe_K{oX+r8PF11fe z;A7pP3LmRXGCub6?Z=O|!hZ0{49~GffLN0WO;wPQkXnf0htrFdpUj5!$4o#rV^3fY zfjg3QGj^KE>#vSOyJ+509aQ`id zwK8GxW@Hke&o9^eMdA;U~!8 z2TXjkSfFGZw8ht6=**Q*ua=vsri^p&e^{Ze0wr|`62__+sc``b(ZZtZw zTT6xMU~VH90?F=1Kg^3=pr{4~4RRxVr3quGm43iph?&1=_G41iWQOw!y zbeuuU;UT#PS%IHsH9Xa&rA2wk7KL?EStHMsmsH1HM!ZIj2n!^Vu4jgxzpxl(RQ-6x z@VfmFy@xIl7H~ek&Y})6Kw*G76aJ%wo4W8HO(Fe1{uIi^3g1+2C4Ta-LJ`=9_U_ul zQ^A7>41t3O4#rx9l1R8D)FyKY%g zO>w=nneyQzB^7s03U0WwF)-F**mgGNKsjZli`MgHS5}=abf)Ou01S_~-0>W_f9vxLAlq5^vQAuJABRRLO^n3Mhx-DvY80zw<`Du& z5AQ$T-M{(xW$)qFFE75~KYW(zGWa)&2R{6YILn*Ce@9y;42BEO2mcQ^O_=hHbMVDv z5-&X;{7X8kJBf3GK+@QyN~Ca3RaRv~+}ea+g`HoWZ_&3h>ZO6}{s{o&O&D6 zEO`l4IRR8@3*rH* zF$vrmC`52Mj(aA`1x);8?Te9k1dRQ09Z&^wcM056a98mFbjJ0o1kGzHiCEt*yrR4z z9=1M7=tSf#+G|FS%OsJu>RW}lWgM*Hb>)aYM`Alt-f6gKNY$7YAqt9@-etX9HUDAk zx`SL~3aTi`>A>GmQe$(8+F*qfSEupi-Ql!o(n`6mbT+`2lQBEQKIlQi=9v^L=qe>o zWL0CeFhiGp&hpo&GsI0ZYqXJUm!p18H_ znaQ`p_X0g#4@TE5BU*76Zv#h%e<}6;S%}WQg@7=zAdoMbHqU*js+<$xX zFt|+TfJ=uCjgVLPmwX8d!>>|$l;?Kp{+-O3H;oA!nKybG+C6pM-2EpegmwJLNO%Ic z*})j`%hl-`a^`glQHDbUlNhi3^89KkU9T5cFhW7>ujg2U-KB*}fp8XA$}2f#?%z(K zwPHdDmUV?#6ORgL-$_FS6n!~s97`1|1lR}VL=R5n639t+leUTds-3%x5HRB=J0cel zE_Bd=_4Q-G?pj>4Z&o-fyhWckOWC`6D97TVY|yue9!*ul=a7;o9c^97WXBfvvme_af6@b+j90`?-%Oqatz>{=eJ~l|?Nz^wm>)ql1|U7q zZNj#|1VN$@(Gic_tiNlft5reX;ssGw<;T;wO@0j0d4b=!1k{qdn@!p7P@h%H5(!fb z#2hSuW+~peL;+w~1h>i5!Cnl?&nr7Co61NhqIe!rVYTN#jz&+PNX)UNKZJ>PI1K_q zwFymiIQ|jo-EK#GqhjoCt<3A}Prs)XCm3ta`eBy^fUuYlD?#txnT*6|Q`}MTi6SA^dNrX|q(5fV$7{zlBf) zhng49Lqw6h)!|x-sg}CVd5fcDz!mJu_vBZ~ltFvhBew(pc}XdhD;}r{^_-X?;soz^}>tztM4ucYZpp^y%NgS(v@IXJZ_2)vm+Q89Qk zvx325b|Qo-`$Wj9SHFrTpr~;Y!jX0xyb;8I7X|E+N^A1;I7j-oOBGGOCOiS*T{J zPFu6-f)U)zOQ#iZnn6(x+o|@5mu$-s?3GC*cI1xgM#chv8w7cWR`P^fjDHf9DpkPX z;&a1c0-w?f&RvU~(9LIahOxuMEQ~`N#A(qN1Kxxdtvfg40*@qx&26vB`ZijjPbh^R zUas%y)ka&VN!@M%&Ky)7E6sspb8|e+L2#@DuH=;Fv3E}^VX8PWisGx{Q{)4>m8q)( zis~uaQnL1vYE!J0KZl@FvM10YIcG|jM~G*I&8?1B6sr0>-C_$?CN5Afytvzt`HFI7 z;fLHG8pd5nEjkNfF)DD{eVW*=ggM1XonEBXof^&UNLi4!pukQXQmGO%jC};d ze1lxLlYd{g45q4$&qW8HFc*1K$B;Ms4zbGjK4QqzH?-m#3D9AeVI!*cWk=^{-!l|C;dS;qdAdCpKjj5+gITJ3p6% z)5W`MRIQWZ^5|XVv8k*5xDzM#4z&u72lC1yDG^T~E1(6(7Y^6-b- z?5dpJ^j+l}a#%#;!3NRfH2)2M7O072&smrV%pG`%?nS?MIV5+tO%gFA``G8O%>55I ziBcfkku-{4G9}Q2jk7#+C6f^FG7w1uA(E}ZcTlzo2MT$IhFg~wYtEG6 zn~UhPIc+#sOQz!W#4e3SK1JjZds{2!y#{6SQu$mdqX}(R3LXLL5(WL5!BllqPYF)7 zf^bb~M-N(zz6L}PeWiv7N`kZ5u(N~SdnD9@M+06UY)=pzqnQ+?LbDcdSsZdWJh zv3-e6M)jhoLrW(B5}2|NEvpdj7fEq46g8LBEr(THHS$N*Pg9vEPfdoa5;JJJD9N6y zPtKNz)*K(Mu^(^W|JuH}d;fs}JPE?xJ|FyLu!qmz4Nxrpzwu+Kg6HBz0LsbH^rCT$ z?&6$C18qDY)<*Xp5#;l8=t+3i;~-zVLlv#8GKp`l$^xY%(Iuw~ciXrGva3ox*tXZ; z!>>e-XO;!-1+1+~1~`oud55!k|);A!)3AMAoAL9`VRPRJ$9_ z{8X7efR3x~!&M)zXqW(ygVE@hiPjTp4v9m^E5hf-*{3HW(nrymBM%95EQ~iKakFL# z3y!!>B(>bMbZR6V{vco01RYG8R98u^>UzKV@~wUN+Wl}`4}<=4IErb?wHWK1t9Jx`lAt5^ zkIPrGbPU)~OvfPqhmt~I2COKcCa%^J(G480NGDS*2?cabGwX)ysG23Qx=c5l1m%oR z3YIfJ1~_{clgO1>QE$*vejZ~Sp;kRxiv7rNageVnU8{41K;&>}ep5@R`DAv6*ra;+ zmVod+;o)1NI?8|k=cAKgnP8F$7kHZKP1PRd6Xa6qzGs!(_Hi;qcg88ILO)6lPmGR| z!n5}B1jJ4Zi`4a5Y4tb#!tn`2NXG<22K^B>IdEP)kQK?f+=fs!Iy6hm3OOA~HZhKWmw>0VD6NHQu5Z^SVgxv8B&8)8T)v%+xMfl4jA+ws^zH2wL{zu~{O4Gbl`gEUnv z!m4FyFQ21_+DRppbO#q$Uj$}eAFeR;o{4;SRn1bd5_3=W_~M+2bnes_8M3~Jp&XjV z?Pd5!GF1j%n4-2T-5YSuae0)1Yzt;iq@( z#H+#$lIH|@F3j*Ps}~C0WaE5Okxub62v{-vmWd}YbCj=UiVZfQ2?XeHi?k^Q0&{#? zX^ldPX0bkXbBHt(!srSrlVJ)d#!Ky zH0)+>;5`&=_mtJ*Da6t}#BKF)QO+Co6K&>wZ+XktLBe=li7=;$p3OqR&_m?n)*+r5 zLmkJA;7r_(51pZs{PF)#Dw|J};9S^d4Lly|l-4alMl)=<{mEc|3=;eF`4cM(DL=k_ zc({N6)3*n-EZ+l2$j0!G-v}+CNeY3^1iRz;=_PtdCyw>*puU^fpjNqD9RIb&C67>f zS_bWy(b9=4xVYCm{50ZVBQCx=-xRV|p;~qxOWvhELEk58VP-BHcMS(4nox)o@L-Td zCI|&BKZEjra7gqH`3ux0$UBe(1!am>04Hdk^IZZwweA37D+R%-ntIl@$NZhByh9<= zw=Z@8QREskq-YW-?KKpvo&kUK%CI~+snv|<*Y1jNfU&@HYz)VCL>IFGv-166ukaGKqBLE`|_C)RH`yrYwA|S3hHE-T%jYa z7Gs?CgeGVDMKJ!_w`6%z<)YN+e7PQ-6UmfE5)OT8qOu0jL=Z31k|qLIExldTXW*B^ zCU>;XDY|ChdvZjwuIG#c(K%ch(MM|E{QB_Iw|5B~u<1hml zR4;eP(!XOwxu41|Do-f-Y<#)fAC_iN(i=A8%lik;mt`f=W*77y-nfBHokR@m`6#qG z1f+Qmq&m!qQ)C;rmlsS}AZx~dy5#gB9lzS4CT{#xb;vjm>&%w-)kg!i3Qe2|v05I( zwujg#-66Yc(2tA+i0&{eSwpvmT51dq5;ngW&O$LhpfOk}A4Wd-l|m(Hw0hYtZKN26 z6sW->H~}Z#8BIDW$9WE&h}6f$l>|#=1M$bY zg{NP&`{a_-TsaW8AUi>xl5AR07ANj-XP200IvPrQyx zZwcvz%4j)In*sK9m}g3{m)$Rk#bACF$4Xm$3wRH5gZil@tkyD}t(YVv6S zvu~O|X5VCaSaL#Vf%Mz49oCooyI;{03yFgF-@ZJwUmtEC?(!#d-&hjgMNM^toQXr@ zUTBaW^)+F*$x)t{xoLs3jXRNFXHl-VXwJUj@3LS5Pa^@l1pi3fFoRM#$>l!e_3C8^ z*`qtPve)Vq+fC1iK=*ZGWe_P(Oc^?r(L#oYbz(AvzD?!E(B-!>B*Aq*J>w3xB8(PDO2D&@&hOIfI@}ok7DPuFVxgD^;VB09B$rxV=$}MxEpGQoP*p zb7&ASI68dSSZG1T9^C6$1wqLtOA8264_E6oXu-jSP#LQ@5^A%!eON2a*G3)^xI&*p zQd71cb6M&Pg(COh7C8lo#Ha3pDN?{a^4aFFvyV;@htsEd?cvm2U+|)8f)60L^w2A9 zv)Oe$q97o@-l4!h%rTfmBjjWxFEBYMH3ffx$^O$XFhSC|5nzOek<<_!(?A9SdRGNt7g9IrLg&IbLxYE$IJgkzcAWBEhp~G zAc&;Qf_1E0V5rP?RP24I+y`AC{KEJsY-K0_b3H$is_Th~?R(mp!}W9_s4jQuwIV{0 z2RBWr7{X{};mem`u;y({6r5PoT()Rm>^Tv^;wmw5Y>bgheT9UEi6UMc^M(Fb?gQnI zrtYGPO_BzQgCzhj+)|HJe! z`}QLlVifg9@CHF)u(ksE6}A?D@G~1*kg&=A7bCWqsMd{ARysl19JiKMCtRR5F0>vmGfte6n@*Oi`rMqVFEgif z%GNU*(dp6dD9i_(5>tAHjR$verspeq7>p-JmP{Bg_c85YYXMw8#@Q5kEARxfBxp&y zZ+xEBEb?aNNgboyC!S7^&l6i10i1)NSu;Fy1Lui`z*yXhLt~!}fwMPZyTovAJ0q1- z+nu9lHpzjJO4z1|0SRSVqAOy^L)U=vU<3}0(?JssX%QaMBS=^2(*Xh_{CLy(kN#= zVDOTu#5|janGh}xVR9JaEj*{BO^+(PUbeuAjm%oCX&sSZJclB!AkE76gxCS$e7O6_ z`YLaJX>Y&$geJdVqjxH(jC7pBO@<%WL!1w|)UOQ7k2sCtG{O{vEAsTjw2ytr^w+el z5#7hO1QUNVe~C)?WM9DCh%M)-Q8=ASDPHrBIlGC9t|6qI$4-v`lLql^^k_pu5C8))?3+=es{$QrfaD0(F?fzuxaaC8|*h~VNYUk7tkGB`+ z7gx@W>EaZRk8}WC%Ac>+a5hyg`udeax^zjL(2o5Me*IAOB_4R7ViX&M>NWCJ^0K)9 z*!Xe{HG;`-fQdvlh;^T)l^(#Vc##FI!$n-6xDW(cnFb#k$#0PJ6MiY6^oGcgPGpVX z7xKW%?0_J+8CT8%VokuJPZ7|EH#Jlw2sIkm4m}94L^^s2Oe`h_^2rlm&$|*K}~0HnC|{d0AHw?2K zv>4Uj)NMpc@DWVS^EHBFflkUH{en@C`CEg7=9-Ccco?`-RE$d<6`pT|iVOzrqR$(S z0;Pz!gq{wBk=9$ZB-rlS#RDKV>1w3Xg<^wJvupd~K? z0}v7=CJCh%D>_Ox%tbb?vDJRA=UWtj{m?3a#ZFvYZYH`5=7XAcTo-gfO{c-? z&!RWe;DT}*1K5U#Ml`LBJOjk7QKuuxw6Gh=O6g!T>!4 z5#zEXEo?kmTAZ*Ho|HIZ2kRAQOrM;Axy!+mkAf2Y zPd%-=uO53J1l@t!6K^ZzhHyOSuY%rZPrIH0XM5FQ;YlQ5=_N%py#_v%byyKNcaaF+ zkHJ=A=a+)VG|?pS<_oxtqOJnKpyxANI&=67#r)-6Ty^tAT~c!LGnueF3cpR6byO_K!fRv>%C96AMaj#x&Qp|`T2mK2l9E~};!~B11mKazC zk}&z0@e6NvMl4>rBf(RQs$bi;?N9F?zN)L`#mC#PzcAb5*V_-@?w+$fms}AyqA&=; zlv2Y)-6M8Yx43>3(5t=w7%3nFOJDykk5LQ2TYCa64t{;~Cs%7EgrX|wDZIC;@8f{& zu#_Zi7-8JRF(~J;=D0xNKsrn*MlWnMBIh z6xv6%pFg#CzrBBGQ5x-Q5^@9cdMxDD>>qA~KRy;w!<;9>ZsXC@H{u)#yn%EK1u)YR zCg*1-p~UJixmI{flOZ`YqzP;Mkg!N>elnoD<)8z11|yVBXE4l7#V!Ddkb)M1L5qNi zl-I8{Ckpk9tAqBtfT%6mJ*FGQl1Iwph3KU1+$0J0N#YR@2i+iGOi3bR?T*V?pgjX< z5Oa)*(qHrf(`Dzn50#okugF_!2;W{Zx$KpclT@~D8TXw*JB5mbRP;dV_}7d%_5rXW zm!`Ie6J~t|-a3>K~f$`9kHio z>+Wh598*<8HL=E-c!Khgk7y{DkRmo*g@U<%Y-mVI2**eypIGa;YojtDK9c)YqBLBK zWexNj`bu)8)Km^~?-CV#!wMKCq<5P94o_|}V}pVUyxSJ5329h~gUlEQ`RVrl{{7uO zn$zBX{W$pb^M~7q_aE-|{`9B8zx>O;4F2@-?&IhC-~R+^`1sR(>@rakiO_j26kKuu z0eXbGy~tBWC5rXs@x=;)ls+^dSGYlNM?*^{>Jm9|g?XXDxq#Dfho;cx$?8U0#z6YS z_^31xe;fklBaB z2fg_38_FDwzCr=D^kN}@(=X%>2rF5v%g@9Y#_}aIA1=*4 z<4k8*L_ZTePFnC?z^diC_WIHIZ9dq)hXWD>JgmG+%iAJzGD;GaO?k?eGs=Mftxl2`O;U0Q z=0`jfQt#{EKHonKSO*^dKVXLp;E2-lcDSB0NS-!F{(v#^)J?{tCvURB|7b)S zlu`O1com{L#fVaK-Izyp98`4bA9MPx&!8(nPVOPhg+pMW;a%H3=3U!8UK$o(e$=my zad_vRTj3oE%VH!1W2b&gmf{ zOhnx5tm&o4h=H9l3(p-q-Sj;w&~W?s1hBvIyYizNxKh3XtvHsXgr4QBI~|qNSXC>X z+1Cg44Kv^b3vcj(+k_0%f&u9YjRyNjlQHAHTN1+afJbBhg7}2Sd}P*egnX64rRBK2 zu4Z^nnd*rbg63-a9r_mF=b0@4GkOW6IrIxkps7*LsjH(Mwe)bmG-H8WR^aO=_`OhBz0R6?{wviDPL~Idud5vQBxRP zZCG!fG(AA8DrP-t=L5KKA?3m2z0a2xfRsdz;~oEEgKYQF8iCoXh#V|t3aw?9t|}1} z>-faNGaki$VwOI)Ilk)cLvix`fM-$6*gmvHZ|I9N*d@F55A6~i9;N~yfa*i_hd%*D z5t8Wg2bV-Ze~Tcc;&B9U889&0Qig&9HIpGd3r_9xCOA69cLb92rhMfcd zn}i*HJ9;&SlOerM?f^i@7eB{}WV7Ku{$K$;R1g}qZ$5v6oQ){(P#m&UAP<_bj(v>s z07@?)`sl@mZA6C{Djl0vpf@fR2<#hXSQd65U-44CpD zq}<=9$g9{1QI?>*c*U*(B)D;?3Xl%Nw2s9(DqrWg{CqAT`eyPel$3HG2;NuYa?k^E zJ09t0(`HG+BI}%WoLj*mvpYi%gf(xJdbVoAx>LK~zh1rvFi;VDyI1RLTZSu1sYm&V zanys4)=N2l+;V`Sw?D!Vz;niMG9GhG4FJ3l7=UI77Tae)afPWJuvP~=z&nPiH67RK@co=!kpCv!BYOid?EMXEO zlwa})+k>yziO^f<>$)!t^_7A^;k zq}7acWzlFe2JOi_D&na#mICP>(1g$d0?;4co3s!S1v~Ltr^{E04Mbbq-3c=IE;9~7 zf*Cbq)W{qK!4)%9fC_eLmR$5r>kcW8wZ{3gpLzqr7}ylMtlpJpYIe(>yIvFoGlskK*A9|goWsgRMwz7M_-?(l9hGc$0+{m z^ju_dZ<{sa^Wd;Cg=(K3HDp&UX9A8L1s!qGq*zwBhO&#fZkT;Rm+1N3yr(~TvHO{qs99x$1S zfKEJG@NA*>O7i=iG5zVNtXL83aAa`T#KG0T=o3hwA(JZ)0ol*wJ&&9t&#@3|l8QU= zfO;M|p9CCadrgoE-WVz=h#Bz16Oez^DE9i+H2RbE6;-J(GbA(cFVa%|#fYvCPp-*~ z8cRO=EoD+BF$$7=2 ztxhi5YQw@%k}B% zBB8eGcp&ysCkmJKnYR547OYEx?iR->dT)wy*+#fXhJ$h9vY;P@d3IW7cYm5NiIKMe z#Db{}iIxQMTFD~fkorj+g$V`}_@QVTjwZ(8?)sU^8Ic!WTcxmz%d;D&28)vMOWAN_ z+Gu|vg3$lQNFu*#7)xkX>QP}WQ*I;f?g=a5bVuODWaLGlEyTu>T-ggJ*Lz+#ouMyW z7mP|~C>4#v=Pm*2I9gTUz#UPMqO}ia%yQGh$`T&ELA%LI#Fda|X@R&Q4@O8jRFPM- zRhNcyx;j!eC&q+k4*V%i`eqh=BYny`<_Jy(Ph=$mRQnmT?}$9e?wa|a$y+hRQRX+}f=cpS)9W2S0Fmt(HnkrvAtLXHYEw$yY)-G6gr zF?`7U5_AtuSH=rS-%ra~%{><7EV*?`|58XVqdz|xT4Jw$h6kdJdejlyoK;L#d68iFO`y+ ze<`>1c=_6;5gT3e4QFs67ek0rPc5ukjJ z#~`Q_<#m8qADaAZmgAoYthro{_rqq~}87x_l}o2@wm!UP`)vH+~Z0 zK{fTFc+#+^c#>ZC6Vv^c{0#X^9xn++D3nk44Ek-m-w(Gnc`P7hJ^1hV z9=;tcj&Y|t7Y&YabhT$8i*cwA?R%UX`QG%SXH=<`3t_b-L+h#THr6{h4h2)>yVU)b z?2`Du;Iyz@_1)erUo`{3Y=izuxgTC8 z(LVPX{PA<{53(4KckWV!97PP~{oA{HJW?8rOxcZp@eG}sWqqTw)AB8va1Oddm6K4J zq-TN4rb0y|D4q44v>x%`liPxM7W3ud0&qpl9Oz{^Aig%NZGdo(5X6t*qa^BFCrF}8Vn6EC>C zdi_c9cWA}}WVHNG0*73~R>D)lTSI|yy;r637S}3N-cpTUNDhC*a8Gf)va#h1-BtL4 zb_c`1fjH5~gP>lbL^Zn@8l0*^2+d?n^ATm%F7dM@JayT@ zOrSQzf@)boR2c7*XTbix`SN>vfBSKS{|5Tc6cN8@X)Q5NPf~MPDUt;w62V-Z;XJoG zPfi}yIfh9YdBDfiu+Il7lv93JR$GLWp$B_S$Uk5~(ttD$niR2_XxAYa0*H7x8>Vsy zy~p(M;6!C4Ep?*Wxa^;)M^GMfv~BO4oZT#5&NmixWVLd!Y}DpS!PB828QHXG)qEsF zRu=`yYExu`l>b=JTOukIq8n?~eYuUWZpB8FRBW^7L&4!Gr0G&HRr|_6J&|{ z{hjn~4Sbk5jfxkj&*3TVq4Wq&JC*P4Y@$i-QxVt6A(%3Ncueu@lL8ogG{lYZNBKX- z1&Bw%>3P_MJBWuEJX1|Hf>G&en(hy#Q|$Z0-@bkPNti2&mA!rcDO7EsOpHofmQW}M zu!ZqQ-!ry=PD8MD3I{Zr;ArJw0TRfsbf!L zd*#dmna;fWsPR{gu-Hlh&6cjDU}emS6KCjB61uShYe4OwSbLS_;pD0DC&Q^84JfYa z9r%(W4K2D??m4|2Gf6r5>GS6ggLZ*Gg_AJ;Hc~{p>(;BIoe&b(zuXen{ef8rRyDtn zEHDx$!bBYl#>7Uzh>|J0VJj}&^#wdPpik8Bmi#{dIW*g}LT1fjimE_K_agjcM;t-% zC(k(MbQ&G;5J^&08jc7c9Mf$XJC-+85_WvK$2Lh&BEILtaVT02-!$7eY5iHN%5Z~b z)JL1|n&~#l&C+EQ1y}vXQpSWt-bGj#yS12aeU5P4#J)>=*0GcW>%U;dVI+WPs2W=8 zHSmmZd$7?#fL8R(Q0gMHcP~?to{(hbRPq*J6IH$^j|>lUR;(BJQxdSAqKVW)5^3Rh zt6)YpGm)dZ15H51iYHx?PgS*wH3|(ci?q~s{_y$Z`!{=+&j;qC_{ZQfO4qi9E8<__ zixYO?*$y~!nJDKmnk>jLJi4Y$0IdM?Ih@a^evce7U|fvH({&YXL-!mfE8iW|MUTF_ z&`u9FFRT3r|6Msy`tXWzpj)(X^(Y6-;*NfB0hl%NE{gV}DnEBKhD9C8R$R4bm)}7& zKHRwh8Ztlkh+~Fg3dTPZ2O+67=rr}=ioEEVNTLfrpQ4{p1P|zEEwo|5f3PKG1#8q{ zx|H*yaV$MQ#?o8?M^$(1FHdJI_NKs^n=)&_F1wCd`Hq|oURT_o^Biyz{6>7WfH68Y zKCJ_p5+9&q2mhB2cux@6tc~} z!woV=7B0JxrIZBpv5A^cbF>cC_fz`^02s2`Isut1^EppYQ8`;liC&|;lm|f2R;4bh z34+VMCf)DIs*vBX$FG>HGnlH8=R`Nmc+wn#=|GJkdYFEG{_Rw2EL#k+fKw2G z+4_TJ_qzT1_3r-RD~c+-`S9)Q`(N+&zW@GvS~&uky8ZO&GmG-?>9jaYhEb;R^_;DC z&}m$(lW87rGgsw)>Z*iOl8>b=B~>}Xf&>AiuZhiWoQ5iwx%4%WEStgOd&#a2zBeQh9&R;`YmZY4M?GMi1pcPR!Pz}}iP#40a{NvyW z{;?GPK~`^(A&nNAl9`}WvSDFR0*7^W(u~p19Rf;A@)`yMJJ)r=Y)^I`K;?-EUd(x@ z>o{Lrpu3=2!qk$Wqk13$c?F=CsGXKWx@vs&RQrvssC2cUXbUs#B)gB8?C!1z8isSc z(F#otQESv5Xii9)?%Ozkoi1-s%*XVj*s};8CFuXk63~$)O+^}vtssghURr-2)<;*T zl=PHvYJ?JaUhy8=%fSde%#tH73D~|;WNCf%pWV)J3eIDoX61kz?&j$1b>{5whJMG* zceAVGSHLCz2>%75*eD(Zh?>!{xgr&(meg!;?Q&?mFYVYcLY{nQ#ao<7-T#RM+09CE z5L0{c`e-e=#)$Uovp7(iPvgcZTOvt82*aT$RhFYd%kgDlDxI7HEI@oq<8O=Dq%cpn z`nsnL{%>0>0fp>+H@s;!2gk4c@8Iq)6IFz}Cw+-|ap$U_jfWJhy1i4GmuWrYf&aj?;Kzot04^hvOk}z>da%f$N&EnAshzTYE z2G?qKRrXlS!TcL?dnZnlv?(8s=f7u?+`yg#d?jgfZe&Hy)3TVV1YkokOmVTvl4b3* zXa_NrR~a#eV+1;K&jx~>Mxf}R6IdMB7+4QVm&ESbeZm(7t9yrh+3FKu(&!0rJooi> zfsgPbS)XQki~6A7xi&@CG<92|@e1S_+8xTqkCR$6CX)o*1jU@0cfp?!Bv)sWE@h(V zpeqkTn_jG*+sVicoTQLe!RgsZ#*IY0L+P$O5RQ@#YF1?S!)O$GxQQkQ`f^#u(w>Rk zYuu}uxr8J8Gzm8g)?MylypxB8-BIj5)Q8d4zH_ejRZQ+RKw%^YdCNpmTu zb>Y^+W6AJ6_=`d;nXwu5IM^S(L%!kl2_z+|1_2@`R(`UX-NEuahzr&Y)}tj2)>JY> zIGRn(bp%O=4$nWSN%hOEX}vvcU2c1y#h{x)zKEECV)WE2*tdin*>&Z zl3_Gtoz_fxbcW^7x{lx|GEp9`Hgardn%kzfDY#bHfW#`r<;s#56LgVjCLGKL2M2{r z562Zf1-L#c<|gL$#?oenO|)+TQXqUWenpF-%Ld}a28kBGfm{WeLaK~4<6!t541f!C z%Y+6pA4Os)n zZ7Z*>bv}On^nc!22VZ`qv5uOYO{_BnbR;r+g}Ylf(e>*K%KOy9r)<;+jg%?JS~sty zP`NLc@wMt4S+$&1+v%tF$p7K)veNEBB7Ps=q5`derf!2Ra`&XURu*fS1UHb#Cehhb zYD+kSP>nd*(Z^bT6KPpX)H-TkU!0(uf?(B>+{*Q}-Y7d0makz$J?EmBq-UefV^7W> zDI=mle#nsecgdflX^aSG;Xd=wXAD#I;nyb+WD0@#;c*De4^Km2es~%J^TS9XFgL7J zGuV^A+BvhX?BiAZyoeu{@#89fT&s|Bv|c#XMy8ZeVNA%AghB=cS|Kc(R>Hrpw@7m7 z8E_$488&hc*0Uyg9icdb$qptNxZn=jVW9>NY2)CmD$g1nWR@b_>4wVf41g|@VjuHz zTmx02iWfmpgq1}lsE_&UlIF@8^1kNO zn(NzgI75d}cyMDr@myk5etH)uWDL2SLMK$+S{har$VBm79gYTviiuOvlV!a@|*`DwOpgZ99^fka!Fa(1k zQ=h;+i!Mfo;;^fO?HLiYv-nI=kPpg}#dl2W2U0cA z@NsR#SySzsvhbAl;uj6F9-WuYUBSro4(NYSmsck*{VY>6Nfc=BG6M??CM+1HXIn%^ zr4IQ+RVK(Yx&5~T?&BY74I-Qzo>ZD#nU2IvZq`GUHpJ+jEEb7X9@W2sF47&U=pvO# zd?c|WoTpu!ZPpiVWJj9nYGJNTJl*A#O-`M@qqPd(upa_5SL$G;-)t_YGQel++u(m!n2YMEFo)6`EL#pJDwei>Fk<^ zCmr~$&@p&z;I&j+mI^-N<_PaqAFjMu&qRGQ;a2YS$@GtgyreF~6l>w$+1L*!RfNva zrYqr!<&g42i5`tnkl4IL7E(6>1si<5YL9`6N|g0t%nw=L3?Z6|^Yqed{XFVom05BN zStlgq+0WxssPwFsD<1%03rOK$d`5Tb)Uxg18J(YOQN#Q7dU?s%0qzZ5jlR)BRpfv+ z{Yu^p3dZIF91Nv_^f_R(K=l0cUMFBNVZcIGcOS92eGT{v@1wso$NKWO(;?lO^k?I* zwTo9xo|EwCJiXP?1++Lhw8-2l#0Ij@B+@r790N?LyPm^8>{O;~-!A*EcL!y&Tma2F zb#??eFmpUX=J;!72M!$IiqJVMe^9&$HUd@7R036p{E<4}l_zpnOAtTibII58ky*Nu ziEqWSSI|U5RZg(ZUIX3$@_nW~v<@Nfd^~$@Rb&}Ip3D%qyqIqhtfR)+#|r3~$vA2_ z6#<(CBqcpE@%N#^@Wizc}Zl~qzI z?5A|hTuxpPd9$7GXv&oFxr5<4$*yJii|bUn`8DZPaIge)>f z8a8cv(+gZp=O_uA?SDjv0?3n8E$*w_fCwvf{)f^AI-a_wvnT^kXY^WY zZgn>py|29s%dnbU_H*7Hlmp}MVD%R&Pe|L4k5TTd+ivO$qbX3EB|nmz7_dNKk2uQK zAHa~CS+2PA3OXNnbFSioj;~5Ch9Y`6EAXtdvdpKaWQnB@Pda8Y3Zo9u)AQbcHuy0fEBw0vqVt75ceDK*-(YOt@N%C!+ zLXGWO-yYyETv616GR4gysLz*cM4!=npjqvGV^L$|%Ixb$4=ptl(4`>0v6cea^hgo` zmTFJsw%oJ!QuAgh~+;xDm(EDOb&u8HP|t&i8MDq}u_uWPJN9@4!e zJ;eovODQhkt}E23i{K{XxY@Ow;if}L$^m2#n>(;MVR#c4m=sJtSb3f5G<_!?C3wp1c5-rXyw|4M-&j477WAk6>k|mbz%+6j${Uqif4DiwwuLp#`C3_3lrd*ZKpCffA%MP;F>J`%H~<`d|;9H`KAXA0L!J>H&0@fxel{kG~Zoy*Q6xo7#Hue*7k(~J#w zIw#i(qE8Y$3_yNOc-9d-DjNi{Ev$q1JRBo|`I4B!>z4zS*Y8Zx*C#z3v7B<>PC6?H zKg?97)63LU?VF-|mK@J;57<)|h&cuqVDB8gMce-E_SZY$R6qSp+xJ=f_qXijo@gd= z!0<+cq7o#JecA*KopclXD?E>duahTwWR^Y?*0aNxXq&>D$EY-#0*6wg!vPK-9~ZwT zq-(kLsOTG`6>?mWrh;<#fm^263YtlVo=c>yZ0t7bY3znJ^*qS99c`oXTSCXap8@@v zLQk>6r~%9R3hlQ~jN#tBMJ6RL#eYj`9bCiPHOl28HJv0(`+L3j<>8*~1o_u@|JHuG z`}_AOD|`3p;d}V%LhB+GoJ6ns1_gs5hNs7~;R?o(QjYk>T4vNjqoD8ERe-U(PJ+ zVUNyH%A?f=(9K{p3ZCOpC>fZ&=O~mY&kT25cEerp0MR&G>>8B}f8XBy9K`#<@W|?l zlcygJP=qsCAK4?Gvx0+QUHCHML{_N?2awDuj#*`fAN!Z*SJ3;nFsOcjU;h7n@$vm9 zl8+XS2gb|}#b4mul&L5rh5lCU;NMXAgkN|bXYBF24)90p3n4cgfaFm$7#BwL5ukR* zL#uYSw)a%~UUKS#PT>&=zIHY)rz$AF)?Nh4Z?NQPQb>JYoPBYLRz~!JMD%VJ%`l5? zCO|5nK;4_;aIK6c;27}q-Hi5|tei=7{c~qi*MI7#P@h_%N&sU6M+FH+FxM~d9@d|3 zakzoI#x`}XC6E-&OG|D_Dva2CKv=9=i@7pJlU&1(KKIf14@7Imh}O84=kcZEi>qym zLh`EX@NBmz*#ON`!Kiz0KYzokJRf~O8cl}><_m#Thn;G0(2NF}!guM{A=Yy(gW$6?S z2E&$~wJ7unfPaLxjyR2f1~WF{{m|f89>*qC^gX~43lFifq}4^YM@4i6aJ2Hi ziTV=nB7_?Nk3;t_!B0s466$jK79>FWuFfMdqyr?Z93%yFy$i5<%zz_SqYwsiD#mB7 zt$5d=VmQU9jiyZ>QUR4aT*CJ`_#wQ=s@MS3xReUG=6iIf9Uaal`;#%6E+9|s?z_qK zD7<+9UWtzzo<~tud|irBWdy>NqJ}x&A?n-p{!7NURqGNH=?V#VTi6|m_^b`12`Rf6u$UYyqm<;l zLUpikc}k*)&4QUSP0k4=C4YiWF1!G7Q7~qZRH1qZ-OuDiqLn4F#SJR|uB|9ZY+9HX z=@WJv0aV(gS<66)d4)wr)11(wpg!&`0&$>rXg56H=WL1)VI!QF2UclV#Xf`;a94=jay@aI1dIO|^r@{NJs;!>`m z*5^MJ)6#hGLB|o|@!*5#4CxaW%>jZc@&aTW2=gQGT?_nlr^q(?_RG4{)U~3N32*P4 zV*EXCSFfAn@mK$9PF%6dGusYPKp1bwsoMcCR8$`(BcTs*A%6nFuxQUW*9m-~7;wt1 zd{16E)x||`kWs6Nl+V+)ls*awXdi4BHPBMw-9S_GYoodI&1VQ%f$Jqy)lkNFDOA4pwKR!mYb= zxQD5>)y2iw=>an4DP3!Zf^+n;1F9u8YC}%N(GqRNOuY^=+gS2U%bqX|hDAT2rL0Ei zqz!hlJiS^W>N~(HLtkE=?M`+8M{Rdf))B(9ya=Isa;}Oc+AsYlSV?Eb?rH%buRn_T zQnmKJrNt%Zw11LrL{x>K!=RJ%dbd+Ws3~=zIIgUoDPu_AMolIE%N-QdpzuGXMe%>- z^W^*U5Agc7-@Z^(zYr%OKRqA(1)>7~{BF=Ze~vz;|117WjSmam$vJ_mE1VN`elnt^ zePh(`hNgTTF^cddDKdwJ2GuJf^r*V-&PTDgR5lGl?R+NAo}TqdS?t zH`Qmgjt_zxdJs}usU?n%c6&A`@R<-gict|yU1O6@`I?sIQOy#o5KVnYXJ+gs8TON> zpa?!H3cBx(FSMeMg+Ug>ED7UaU{#K?A_(AmNueuL3a~os8svreGoqFeR%O~$!@3S@J+Nb@IF;1heuo5SdclACLUXi>_82ry(; zZa=-4Yl7JqqFIp{GGYys+NzmKGUif0S2=8k&?GA;>5&E&-=#9jNlOR0-5wxow;w;e z|N8KJ5I#x`XN2TO?O)*#T`i9T4Ouy+Fi=_6&J0AHpz?p+lv0A z(GE4C5p|Yx29-#xQ~KG|7PBH%-2q>v&i7=6D#CVit;au;;O%B}?RTntN4!hMyf=u( z?GUA41#cuc;b-6*e0O($|2c_KeqkY`uX=UwOB8oi>>PNXOS<~FKUwcAc~>f9LZnLn_i8dXA7~(F3RYp6F&1{2|sONZcU191f`$O6mIUq5&a@`-SGb#@RO|4r)k-~NJ z-MB%?%xIx2T%f1@d5~kns(V?@!af06`h9!cOV9 zzcMyn)L0siuE4~xgaMh}c=y#ZS)%w@?Xw0yOt{L!k2>BKNeYuuQhN zIB-~Kthj!Vaavb60`PS|M`f$i=L0M+9!FC|j}|*rU*7u~D#H@d_2w6`bk5HtHMGUw z;+p|p>(UE`c#Mdv=VV%4JHZ>IG@Au|D_?2Qu^Y>6SgoRrE5m}k8O`<+>3bLM5I`~e zM^e@r%$pb|17x4!q(6djx}VGdbo%z;A*6|V)NyLbpwq)=>eRD3eektix|`4KyO140 z8;@r;NG4_fp}Mc+P;f#;HuX*=bI79fqPw&g8wp@0u7KpYXH&vCmJOr3Pju(3SQA1| z$QOodm`7;TvX3J~pZJ9U&X;}{6aAk&R-fT`8fGeP6>7RFjGo4!;m**nw5EGn4_#I$lMa zT>a6kKl&0HjfqJ!PQ!OaAOtvo{t_}=WW^+erRH;GhJ4dZ?$IzH9%+=C?+_+;@;z}A zyxwX~^z<2|8!Q;q@FPzHy?}$KB}2U}BL4;uHsQBfmIj)Ot9aEtEXU$oyQLmy$n!^0 z$Q$H|;(7aea!=*=SIARXEi@l7)LYln%-f-^9#VrsQPRzJu`7>(qNU-naHxc+b@E5z z`O;PFPAMMTGzSpS`oa@i5J4PX?|p;3BImxMsQD-P=kxzD{-5F$pd!}s2k>Ha1!UUU zfoo^NX+5Zm#40$$Ix*cQEgzJ)%_fcmAG&Ufe()F91jAAXLSH}Gh4by2jvH!q0gVcmH_bCg8h_>h~ z3yK3NCwZREOnG3%jh>#oLQL!G1T{s@87IPA$n;I-QnmOe|%S2jV0K7U^Z!8j4Hj4!#CccM~9}UHderWtJO|w}v2Xum2kzbF9Cf9NoSUTOA zGV7pP8^RdCwOD&hT0Oxl3bvZN)6v%$N*nC;4mssiCn#O!F{>nL+f|5;!i=P&X)|QS zZr(Nx?M+Jk5eG@nQK2luW_cAvbE<7m>7+&>uUBS>gYZyTWWCb-QrR$4fqAPs z4lC_C!-#URVMG-aJwx?s!K?|>JCn-emNg9aAa|5f2J}Kq@S>>O=rm5hjZs;Bo-8il zaDq3CXt<%4?@7)^WyK>5+)NZ%@wu$HHy2Sw0bK^d;q8v-`!g(bvV@P0)roeOJob1v zfCi%VJ*f(!CRESJt?x-LibNyyB6#jn7MT=NoY*AFLakuO)MgVLSIU(WTs9w6GOSG! zbzl(Uv4TJdK!pQ`jX}kkqcnK{DwG4AvzdR<4=6Mw`u6B80$KqzA_n^hf+wJsOKpWt zLm|{=A8AYCIIoQOA*_xooiG50(kKkT*=N z_rkCG<95CwkE-$G?NZ*KB_`mLKEHq)-uv2BhWw7T@5)Wx8Z5QV(0N!!N9U z13KawiRLnCVKTlJb$0k0T8XAo%GnsqL}X89`PIoOENAJ_!Ec!83b183NF~JxZ~$=* zNw6Mjm2w#w!yicWmY2rUK*_8aMTjh3Ui4H^50;fp(r4kr76ys5`%%Ab2ta1GcjP-| zu%FvEZ;yzP<|>XHgaeY-^4xGH?=Ij*AxuE=2O6$9Mo_${qrfj9)(fT@j1AE}YRoAN z=u4$Xf=%t`tG^AGtf(-2qr-n7_4pmmGGD+7-HbK@3 zlH&=qvX)!bl|@WM*p8J8fv$+s=zwkB(4pNo;zU**7b@f9Q2XOK>Ip6(NiHqKA1utU zAg*PGk;-~>=jd{@yN-ecWh0MZV&B+@-f=nYC81Kl*LcaK8_#M8Yz8ghun*5q^NvraLzaET=vcG49ahj zbMbl!t%vk z6N$ByUd(?Ssjpfag%aw#Hk~Jtr-tSi~P#g5_k{ruf>lj9S@}u@6`@k`*eD8d3g%-ydWUkHn?)c9oI*W zL3u~o$GAN?+nlb?5LtrT;U97~m2O`opW#jhC(zh(m<0bNF^J;6$gcWC6m$JqqJ)Q19TdD{j3&}}CQ2Q7a+1`QcWU*f z2J_)W%c{JFYPkt?1H&Uj+(_7dAL>~N)QJQB+~xNYMr{QLgyo^J8H=ZuB{NiMk27>H zmZxjT#j0PKJSx1N^aYVK0}~g~_NyIB9U=m9ae1-4&>-l}HGlf~Ynp#EVY0WOC=NSm zX@d3`1_wbFP&gbqGjb(UfO73-_wQ{)@bBZ`DP`G$B+n0=xIF(xaI|0k#W5t{k*WwlGcgroG3p7j^#22$`f-5 zCrBprA)4@0K{4{G;rSZF0%{o}zt1qVV>Rc}7@ZjW$blKL1VZM@My2ZI=0~`(eRWlf zBt{oYsLcq1DG)Tu%A`lEg4%47Fzm`y93Jh6Ydu2jIxQr`lbN!Xm}2W&95uVt4>_VA z(iyeq?gv$km2wo%a6ml6i#IRczPNkw?#0i~2Yz{Q`(p6Zi@_U^-;2TBi^01WgP-el zRJ_0B@V5!bFnw6O3iN(*2{BNO3~^N@{V?_~gLM-UJ_E@$bX&LbH#j%CVoz(Z@4 zQ>+JcjOs;6`*aEKxyxLIK#6_;otsQ@1a1_4jnd?jh=K$Tr@RoWwa z9o$8#G|jIrFOKJglLe;K8B>O&uRd*MsT^2lZNeob5>4%#Ekvffj|fHWs_$!eOjaQ% zFVgVG8km72eY!)#m%jrj)IK0#Ib#XFUU+2mVL`@X&&y)hk@FGKtSn^1|zr6);XT z5Y)@)M#IrAj`(}!TvW&9y-;Nd7-yK?0zpDKCA|QDUMTp%M5Qt;dScpWeo?!u*3xbVz>OS{f;K2Oaoc(b*2&e_m;!*RM4?^ z=n{bqLm4TEvUE2Qr|@^PfNwb}w-8Y~AH%j|>999n@6o6Hr>|Hpbi*~ra$O|WWEZ@HNq7ztCF=EeV z=2w(EtjBuy7Mx3N+&f2qf?AZSvp}RSmtd$0B7W{U0rK$m+2+#9 zjEgDYtW#?P%2KUgt01lp`LX4K(Oxxbu-7j~IvFWOO}Vp5so!puZ(rXCc9XKucNv&7b^fo2f>!f5H%lO!-gM~$cQGckuQ zsgtw8ub)5MKD_^MH+b{;)7J-)1wt0wt4CA<50?Nsuk@5`n4n{5j&Cc@h|WDSeE%GmpO>B=#YE z?dLqfYow#Hg8?nb7Un^fY|szNy{+V5gmwdZf`dOuAHN{9@O$=y$%~dV<+n`=5nAjl z!q!RLUVIx1TDaMP!&ti-X`%Rr5-C>f8ucAInBd@*butYJ(P(zEzHn)qWMvs|m0@|? zTqxJ?Bj@w^vtyJo4BjwA9_4@E9M?A=jvzMhq_3f01eOC_A1X`!e|LRC!T2A;yGC)g z!GydBuN}&MY5d&A=x0mB8h5EPt~@2MZxgexR}`8wAuA*L6|*f4-1#65Zd3wR2e%_6 ziMeNiSVupvi&x1!qKcMxRw zQSy`-OOjq{0jW4;(gOJh)|9G?RRX5#CFm>3GtGvqiW1eqX6nVJLO{%G-At!Xs)NlG zx@FtGKH9YSKkH|j=SLZD<}oka!G$MkMic;QB)|XV?!)hUcfXPG@K4eAXi8y~)!I_h z6gOBVsFz2Gg1{Wv0IFz)0<@L_*u0j$XFg3fShhcFP7^qMcc{YSE0cKNuvG<8NUF8S zhG_#PcRf*?5NZ#*7Gf>UQnRPXYT7v(1;L*u)lu33yhW6;L(K|ep`^dx-Ts1%Kjd!T zeR^}Z_x1OWFK$1)zeP4#+unZt*tUCr`kr$Je;WK*2mUn~x%-cAVPNp57yOJnSeV%A z8L=nf4b)o^sPgvNKz8&!WeXOU^zWM@m?ZRr5voeo+&Pdu zbw7}ofP*xueNQ&qA`eWxl`wwdMhp6c9;??Vz=nl^N=2uBahh?K6PX~HiqjHm&iBeaW@0*Smzzt6NRkv9PzSPOobhG(e16Uy*_|ln z;vJGdWZ%gd(;w`{POx`*nyFy8Cybq(?6!OFzI}T097gZ^w*!9kw#`)VgkJdU&WVw# zMfay6i!X1W+^j3Tev<(gMV0T#jVkwSWw$(rg2cc4UdlDI5xtj%Mn|KLTw-h# zm88vkkpneGp}i;6n@fO$t}c&SM0G_ZF)Mt^?(n`_*7_4@A=WK;?d}$eAbBp&qvVEK zw*%8TXf?O*P=%j$Nz5JU86emVY!=`eVtJmdU_xxya~AZ60aZ9MYg1&WdF+<_Zui*H zosddG`A3jd)zJz&sn6qYtG@vIt-_mGdC@z`rAvowvlLp*;?r1j0EoaxwDc>AZT=vr zK}lux*FP`|n{20;A#Wcy2n@w@#OZ5wy^tO=*&;lP*oveoS$41pQ_HV`afgsZ5+Ql- zV{H@)_cn{LpYb#&Z+ZkstduKQItR)e)P|Em!`f8j?3S-!4WFF9P8P5_uB#}ju$P7@ zIBBr;)j(~eF$(-)tmHFM%mMkD0nIl^`}JJ{n4-eaq;nk1JGLH&VMiy?+d7y(13Qw(n6t5&bI$|J=3@AHSe*;-3EV`Imnky!(958@$KWA+?D2ga2E; z`1u`w`^)p^|2p`~UvT-kefuwe(V71RTBCCV1=_gU_?wQ=Zt;SY9fs5mc>C(tYfLnJ zOUR2vsnIq=&B|0Uqd)fG;Bem{;msUI6&9%>299BI~#WVS@Jyg$0rUf5_S} z&R}o{;|U~sYHR>xFrGnK!DD9B!fcKsW?>kABCt{<_r>l+3M}MoWQk!i>-c_&1K-b; zX)H^60R_N{z)0?;wwo^TK*RBp858b73Vr>=)dW0(D2Y9zO8v$PgG#0pFR!Yxs8QpN zZ9#I32P5#9o3r&xcs1cz>+2Q%+sC_~Z}%sQv3L)98j8y#pcXi*0C?f;qc7Su5|d8d z0-=%!JP8I<2HZIaD8fv-FwY#CGhDMM((AVBv1*^$nA1%4+ktNu6*-YPb^(_UIcjah z?^zj_JL+%2us(i;`m8jI^;3{HeG@7or*|rUDdvOCk(3pR4@qR-{ob8{!tq^>gS(XY z;BzQ|Ag2R6C-i6M=Uq6>?Khc9l*KkyeXg5ywEPXeMFP2m>X1BFS6}(ULgH zD)r9MwenzCS){}bvj#!a#1PQaX7xIF2WymoFa+L@S)_phtEor^DhBEy3{t^rUv)0Ihjn%nlvL;Lf$+xxdbv;6eCcI#vv=Jxi}r_V$Y zz)hM@2sVeg>uW|wHpF*u6Bm?DLl%bz5(GX5s6}WLMjr!`r$}J-7x%X456yQ_3kTJQ z2Bkht$O9#Gm9m?e*u7!LrP+bKKfjR==nB9aHi4EUe@!fH zX|kM!Pl%LgS`#Y^XBdX1?J)lq01wy3BklQ^zffg{ypCEHLj-t*%wd6QX~{hA4#bll z0?m&#dWiJSvw=N5ZGZgn>}<6sC-b}x{^I=wjBqcYqm*1sTW_=`~iFs=4b?9 z+6<;KjU>(851L#;8;h2PFqTMBe__|N>%QW}sI0bwMlePw9ge=X)ehRG;K$ zv5MHL&EiiM@u9{1YSr?OaC?*28a@icm0#$Ys6P_I5v>Y1)uWd7vdW`e zp4gCG&5hYSFy>lrkoU`DdOYC+6Hee!9usdisJuW~ToarpVAw* z)$)L(gH{;d1f>-h0OU^|L(wxzr!bJIlU|_x2RfxG2eAWy0x8rcn`d8t|N3zEvHkhY zhhM1VwRh5s6+R2dJ+x-s+`s>P|Nh~3c)H6=wC+?d9**M+`TNYXi}~whW9pj_AHafS zNeiq+TswR&!bm~5)MqC&r?^beUzw|*tb?uwd_qn5AHwlUj);+fQ9kvG$*o2U5Knoz8+P7j7!{ zl)OsxQT9%gye1H z@?GA(V@I)v&+l9pw%TptmvSZC)Z}bPc{VAFW;+km_R{wg+vy>4W=Ci!m;U+6WnZgu zQ1&z>T#yD04_qHW>A;BMg>yl%rq2@oTIAEbgf);4N<@erg)B;cD7Q>e1<(fjTA@5G z#-|eQ3v?VD(a8L>fJ!s+0t^9$Mz=sSa&JNA7Qkgsh0b^menCWQ_NWt9hHQ%FRM7GE@a))#Q@_th*9hQ&lj|ab#i>s|O5jWo) zGZP^!%EBStK%4)(*Xgi3V^yQfNKo=b&N}*;CjOA#Ir?as>z<2glr*I4KI~&PrM($> zUxQ*FLrS}NY_GSbvQwJUswQCv&n1^D*g=8WCuy=$9XXpkA$c=?&_a1)# za`)ob+k0B2{QMl~19l_$@^DY{R8o-?r1j6wK_U0FvJ>2C`;s+oa8pEij36M)caq%@ z)eF1<-iylU<(oIbKt|0AsVD3AW6)jC5ene~-*tF~)^bwwhPdQt0Uf$yGcYzYfKmM6 zDf{;jaP7i?*II)p(qwWuJfCG|b4U1C25}OL6+s~yuKIM8q#DtCcl-5$)_ZGRj-UV+ z_N4ZX1dya=Y}%lbc6)#O=`Avte|vCFa9CyNhvV?lS%~~FF!aK0$Y_=+yP+LY~ofk)VCyxk5Nly{3_mUoGQlu`_s8hacL@s@|^#+S(KX_V`8^B!^jwWC@ z$Tkn0skmMt_i~1kJK=%{Lont(yf{bEN6*}(wbTmE7!9AC(NGbh0CT}vz+yluB)@Pf zJL({dNKHo48zL4y?QFbzeB$2Iw%!v0c&=wa{_z3z) zr%dVu#Y=_rq4212L=@#g*A7MZqS6)7kG3F*&ylGj!1f2C?wwH~@BX<;=uCq;)+{Jn zv1ARqgSvhaH(++9eL%oBh6`FSOn*ItWr`AhwQ(k5DFHo`gpnjmt82GfU(T1u$+Pv$ z&<7+1nM{;`O%yp!7BL4N?MgQklB^8iPk8i=T<(dv@}TDatYJmDwR(t_i&zyV2#e9= zfMQv8x6lz1L!R(!r_=rr8D(EkTC%kWc#XtK z1{FaNEH379m`NAom3o1Ib^PRUK3h|qt@XAdfRP%Vly+(k>Wc6|T@gMAim+raS#Nj$ z%;G2Xn`}`6I?#9C!g+u4$^<+yf==Gf*JZ8)Lk@G_f;uD7VEt0GHNpSm7V178g`{$H zkf!^11ojDz;0dL`p;&|z3BbZ^hXQcWGW#sK%{?+*XH!+$?N zVKj1HwkAITFHsy5R^sGE0vG zvO7@sp1r*RG6`kx%?rrFXX4cy5)sYgeJ~yzNVQBTmh1PXSVF06*faN3!|hsRvvRhm zo(I6R@oa%ek|-$#QBJEW21Qt;ufo`E?FVolw*de}QAlDYd-$jmDf)&N3(#HQP7=0J zjOaSW+Zxs2{H!lL5uj&OzZ%_x!K6n93*VW%DEg{``xAGyhfd;}&2mg;r=>J#6S?-s zJQ>hS+7V$YIr7?}p?h732;8~*En&z^>V-chKy;B?-~v|bg${W*6Y0_8*0+;XbORnA zo-(O+f^NGWK2L<-EcpzrK9h>b>foAjse2%Vvbn>BDHgJ@Cc`!;H7h7IxI*xfFvqEY z#~M5ebeEygq5AL$%tyk9{^k2D-o1Q8_gLhmBMTx#rbtxciW^qzfHmY#cgL|?4}5~L zUC$8hdZc)i)cTlV18xXXFD{X#3U$p-(XfLpQRdqd)G`4)++I^2xI|Js0;J%O(?|8Y zLL#~hTj}C9Y`0q`)18C2Vr5ZqCn1gh&Dgszw{c|Yg8C`gp4bWcj_gI@L4r5-?hOzG zDO!9PASJmcHW0K#*^1U{NUH6=8@s=Kzwf*sG^2W2+|86jwn5S$#cpfX+Tb?c)?XYPqM^SNyJ(mH~rQVx=irB7iW2quI|`jM`vj zL8{Zzq9pLFs4R}G9Ob7b)$1@JY4oU4c{GA*F6O>yGs6LjNV=*7@h~2vZqyBWBS{0F zgxGvRQ4ar>0X8%0Q9@q5xkh1%Qtk-xNUn9}mss2&nPk0ONM^>1`Q3XVA*R zk=v8#!{3E2x2}U2WkxgqOdJZ}&ZR=o56_++zTM+n+NXlF0s!*muWYs4dv>x}odc+# z7_}gepmC?iQ*J?lLl-)%ZhSj}A!M)TM{`t7AZU8P2a63*t4Ot4CKRJPD2XX7Ar@p5 zUrQcFX`ssF{rcoJI<*BTv4*Aqj_9q<7Lo6VrGiLpFQSj=YxDFuDm;>6vnt@(>Uy-4 zybV&6K~>%ZX*8gtIHpjc{7c)H(?Ub%rbN0y%glAEe}#qiy!LVe4~xHCGzO8rAfWZs zvq~2^RI0Jd+7`+L#Tq=`tY6r24=UV5OFEdN(!CcbF>x42Ld{P4E*f#&_>oI4RD~PM zA|=pQyUwGlZO~>wS4IUFi{+I?Nb+Wv7p~|ew=VjkB*s6r@?CvLcOget7*eGXg^`jt zdYJ)V9TM;zzXn%D@i2KspEXg7Ok^ZC==MQ=1t)Em|a*gYYEhrmWqvMNvm zqyt^$q|#dTCif_@2S&hEjz*){UTmMiZ;FehVo-b_!iqv@Vp$fpDUK=Ed*p{z3_mYh zmkd9D69E!rtHucMxZ3G#+|zS&eBg26pb4doWyWfiR{Xm1TC=!{M$*&47)O~rL-;_C z#B1MV$|45;tj(vOF5hN`(6I)s^EH3jIXXI8}5D z^0ruo#l}6KEyr65Qusis`#Uvj=P$k1BIayJ1sKndI$=V3rQ$g#ent-;xyjW9nBm^~ z{^9MHPvKQX!kl<=K=vSMeaLP^#oj)au*=d0h-!|%RSDhp8mkDIqYU+w=K4*ugf0FY6 z>q%u*9qLu2v^kdHD;y3J!DLCE3DHr+R3ml9K6~h{=iLEK4^0i^{e0Bm`h?&#zgHS99)-*uB|n-!xfY<;UYDuY-vky?rFiQ9K?_ z2Z!(jhI|p}PEix;Rb{NASJh_m`(3*^${0jaZdy_}MG*Fd@}yr2l)~X;fD%UV*p25f zy8D8;7!*8On^!xILC|S11*+8g z+uFg3kMBL%)CPeA6r!Ap5Xu3@Pf!$5O!JG?c>433H;7*J5d$YQv#kh5nR2juidHI2 z0bomBnoD!6>gC{irj4*tD;omZmIyaZmG;O??L?1F8S&kc(+E!)r^snmjTCb847M!H zfg}0^{L?8?N1L`jRqmp4*Vuhu98z46St#2vc5`U)*HPTvpd@z3)*SgVc^8ng6q$ju zYn730XH4IcGS9=1qCFIdg#D9a;v`fj8X=ITSp{}D*5}BH&AuW#88W2bkSqz*=BvXo zr@OOirZ^tFzbEsePK`uyL|g3+#8(R-vkPa^%O344&(k65|H{D&egcUgx++2==&m7- zw(dgkE8#7XbuMtJjhXr_WziRLH-J6-F(lm*5S}q$ci_Zqk^~e)$Q!c)_|ww}SU9ar zaR2KER7o)5)WO}AI$!TJp~AG`qd>w2BQsddXfz55-fKFJ5b7$r4qE-dk6%9jK9GM{ zNsw$I)ES;I4;gE6IC&k=?x)Km?}@?h1B->{_^=2Iq1{-4EEW9?u~Hi0GNwdj=T9(r z@x4-VCp!xLlk_HdX5D`TF!&3~KO(z;&64{@oY7UrWz`Etqv|8FkLj>XZqnX4`cPEm z^{KR|e&{9bfr?VnnPSuzerL%XzTi&LuT(KqP*w%H{f`W{@32khEIL&kCK-8k#+0lmV!)xX2t?}?;d$a8hSL@luXit-@7PPs$-s?)S&jvvsAy$hce!e1 zk}XOCf1$#-siqknPCKTK@w@46b~gpL;|e-TA&;N%`ux?Yup+2r=o|=zWCI1gXCRnG z^>cg|1HKeKV74b?NL9ERRe65|36M&pYyD>Bw;(rL!w7jtJ347pI{DfS3Yu|vsxiSnUChRm~_3K|Y zQJost8ZjLZ#<)fF)nko$Mrh%oMaxEfA*62>AyB7cLotQ|NvP`sRg_H=#hk_QwD8~% zt0B%EDKtjMv>n~{KFP)t??vQXO#VKYv2D z_5J6kpO9|&1i^mKDihE&k_bSJvzGE1l0}D1-cUQgc6%@EBosw7K_x3M!CCo-%q}ri zY_U0$jZ7EZGdK!uKVbwCHWM+z)`&iW`8bjTg(2k-TY(i?<5i|LlIrZ{=P2ji?u+sdi?-813)hV^z}gP2#hPE8t#jF zM_>DJun+Jh_`3(KQLP?D&D{E+)vY0f?i`^3(PbzmRzQ8MHsh@KSD=12k=_%($f%T?7y&tnB_g=1Kzhj^(lZd% zv{*OTwq1eSyHtW=O} zx7aame7aoOsn!JDAqZA|Aavwo9WOG5i5P$KJn~OYWI<Z-VY2JM zM>ON`p*J0MiOdsTg_@|tWoMr1zeA*1&M!mG{3Z6fK3v)7o{8L+ZYOblDY^+(@YfU; zIhc7q>igZEBimhEe7(9+Kzy9#+OVGHl!YH#Hu04_?6_9AkcY{wQb?i0&>33#*ez18 zwMnV@vAg5)4jmg#EU(aoB5n-`gSe&@7(kLFR6OHcI4^_@_=vtJL(R+A!UW?@4d&D7 zdcjY?-YA&@VC8KFf=j+D?YZ(sRQ?LXkM~vQWKwg~zFYiy6qHt~I5A!!wZ57B_H@Rf zETUKA)#dT>0wB(8p)w03n_z??i>S#~N`~t6&^Tr!ceD;G*fF1pjkAX>4u8#?V}F# zT6Jq$x?J&Y+aeb@-oT@0mMjhx&sHjlCjr%lGP-i66oPszV%*-UVc;NJf!bw1TD#R9j9 z;G8<8Smu$rUYZf{g#neG%p}z=lz4h#Oo`7^c0xb&F}~o*sQIAj5Wg5;E<-2e4PBnXpG0U zO2!ad$v|EChj$d6xYf4V&I!`Hpz!`UfK!gfL=CfnsIeZxBM^|2!yEJXbg*Xi3A(P_ z4#!GLHK^rPz8mc!{ZR3qPOh*aSL>TD^ViiVXX$NnO4V6C;B|Pc*yAs997Mt*#UWZR z`Wvc^#d9%%wqoak=>{&SH5Gm5blLgf)RmIgaXA0ScJDn}+iW(E{C)GVr{4JI16k+nRBbe|-fp4*%BxhPTYpt&~VSkh0F?8GF|@3v_g4^;oJDeFUBJbbj4aqSHo&;&Di;cgT(^+#$LC z5;g$~Lg0lHJi%yNAl#O(_zY?FZ~4zV4K+^>2hDU=_=MZuaW4gu@Y~dDs--}z72sq% zn5c&D`?IOy&J=Eoq4sGpH!y|r79A=1u}5y!hO5vY8bz#j1_w}e1zQ;?Xmq0lcjEq1 zmk=%f8V(*(GwRotCrdoM%yI%S#)`VlbYW++K{M0#WmtdhOaWI8el1L#)>uWI;zP7& zkr=%AUV?X3>Vu0Z;9Of|uPQpgTHGKDxL1{J&9eOocnr2xIh4b>hln#kJfi&&){bh> z8lEOBs&uwQH_G3>e8kzldwP2K@Y^?p%r<*S@0G!gzX(d9iURFFm3w&Ro$G1HglGm5 z@Q0uI6h%Lk`pHQQ$(@BaCXN{Fki}%Sn=B^4SWsRn%QY}J5@*$nhtrMNx5@-Lsp%=Y zMU{*;v${%s2kH=^<251xiv?0d(Xkk9i-C%^!YF3t)W+oz5Gj9k&|GeTE^@dz+=@%& zl+kealm=5W&o^<1sISB9Bd!wtuhRR#uPZ_MrkXut>h-W8+_)mXR*d7}!$v*QnscfWnS-~9gZ%WrodSy==z+izc=_I?=9pZwwBzc%l5${vmt zo>n*y-a)XG#9z8TiwDEjN+4p`p)S4b*)OeV(#&0Ko69esKY#o9k>^7&Xm3A$dA#4_ zuM~g}_fN>>x_|17JzF4c8QHGKXGq+*0hC~=E^wML*z5Jd@MlC{@Ego2q-~zTb-z5p zfjnl}9gc3VKgAi_>rcrHsXwI^+$i{R_qi1AK!W_)8j_W2S6+-kue*rrj{2#QH5~aG z#=+Ig?u#pzb`%*zUxc0+`f;*9b0{uftJ5YG->eMy{?|Sy6hMtRT>W8UnNmkCKN&Iv z3~lTRMFT(HJ)z2P9<}qlZ@3d8YL~8o6%`5dvlx;iG+97H!(qWsh6mJ5HayJTWQTN< zCAD6Q5xD9v&s*BF6KNKYd!l|AV1IqblcUXadoO!V+0pKvQx10D^!Bd4XH>Gpz zx#elQ;T$ec@dTdHoly1K$mJyOgfMjQv)W`o%YCNS~2aVWve13Q63j* zYnNO@{L)!L9o)*)@!_r98sn1o)+MDdX}d$RP-WIeWfIp3A~@4kloqv4uc1jHo3~GJ z?V@j*?1mqRpw$#>iiQBP&+49a5Q#oC7;lyjHEw|<=eKXh07!zs;(#iHM^o}8YEH;$ zLD9xY{bRpwlrFAlcawn#0?+rkT&XS#PmQ$i9)%E)syGONojadw#vNUg%5yVvbEQz8 zaoOz>a%VUi1ZxY?g5S*46{JG~o|SWCP5p4Q04%CwcHDg6A>th@;=u4h^=yxCc+b`tKXC5_Kc9gfcm#!^m?`A6h=2;n0Zsjta z*yJovze8K?#F+X7y{Flg4Z!jhLDx*($5rm%G@u(2?mR;jG_4xqpt%(?>)hGc&ulk@ zb_Qp?8BFTQ&a$esZE+|_+otKOlKFfH}i7dG{8Njw#vxF3Mn4N+{_K%W1M26ymLdWz6QzBR+jobUEob0{@f!hj%rkV(WTEB(`Vp zc_OO>N%W!PgUW=HYR{EWmMdyl_|3Hs6FETchn$Zn)uc63RRd9KMK`~--pmV zzTir`Ot3+2f`Svgy5s)%U)ep)$z)Pw zhSKFc7vh*)ph(;$V*zuVYn~#M0KUAs^-cqVOozQBu-d-klP^>690XzZQC>j`1L516 zCaMBWrDI&8^(-@>bvHb9zes{HD(4tD6gOrp;bA%{>ScNOkhPD4=(D4ek5`DlZ>Ams zSqt{ECs)PKGRk2cQhUdpS@zyV$j{e66$kufF81MH)I5}QD|6(t881=AuZ&gJzBWtOo!=NoM@)Hehmi4=QGKNICDJ6|old@L zVr}T7?ub-g@NVng^jC9Gwb68?Ew2ygcZGV!Y&~tk*H+xph<+sVT9EAUs%Xz_wjeTW z0m0~MBBSA$HH0`Tn30s&y+*q&XaFUS3)`s*g6K|uk-csfD*4-&Wg2puH@-`iQ34Mg z3Ac``8}sbx@dg*ZI=_{AnWmtaA0Zv{Y>I4*?^hIN!=@~+ zvgNH$&{b9p#)61bHV=>**BZtIK8uDXs0oTLn3?-U znh~SD;hvHah7UzqXCwvjc-?@8^IYU_jz7l>wPL&|qV#v3v@>zMy^e)y{ zsP9$qH69d5rFPM4$3hLm!~AO7v6l}@o`aWk<4ni%z%krrP(cJtG}^AfXvgwCo6}4a4B9z z3Ie48c@Lfe6$5}>r0h2()Yf3UxO{~sXe5rD83{9TaDLc5DpEm3&a3pf`=8y|d&o+bm}4+OeI%?znvHeEoXw+x~>@v-WP0Vqkh^6EFx+!3A;8vD@7QJc{r0J0DQqP*oJ753kq!_^B4 zmt1OoXV+v(r(Zdl%}@$QrV}d7qr9}m(lf9$r9Z5eE0j&K*9z^xq?ITMFie4kGd6Eq zy=9{A`R3`<*UxvK21q)CDu`BPfJ}y_PRAA+%&wuiwCad8gK^m1!hl;@xRP6QBqmG2Bv6!ej*Q| zxF$burA(B~K)zg8J<{Wj9^`=>o4Ql96PMo&5SjuXWVAzd$pu#1<<~bw>8pK0g67); z6_W2vQHp>6=a;YdpMkG>`{m0&KHNXwY~JI*zyJ2RygXoTE+oSbdq4bu9CyxoJ^%>w z%i|`yoKG^>L(vez2|LUrIfvyGA!)hpKEv4m;jyUIx<(_Z>@RA8>(t7@DX)ej;;_lV z?Qm&yQ8!x=9^_dCM^-BKhYXUP)ft_#%R*Soj>xPO;?Yr{i{ z*0Z=$G6)E`F~?Go!mlPl?~pG`b%Lynk5H*ajy~$a#7UUUg1@VnLdCACc{a;)Y(kqNrY#1`mSQugrfvb+jO<`F=e>r~^ zNmH6T1+3ROI~oGf2&D&x^iX05UMC7aMa$qnhjl|0E>mkq0F@cf znm3df%gGUJGDRlkZ2=mX3F#|BPlQ)N?swqjkkG~AcrwG|14qglNH9YxrH~#bv!6DP z_u#C-Ihm}Z&3khn-)8gm0eZ*#k01VVzxVzVp{~#0e|UI&Lg!y?Xi)NNs3l(>KHWWG z-=WdyXEz(9h3H2I`DZ~@++7&&h`ZU35I~)g%93~I=!$b`PZ+MA-`-rcf}Nc)E?=%u zOdYfuXVIabDTlll`Uw;w&!nk55U8&}<5Bc8zpP(NeMglkLnaF9IuswPDW>*6(0j32 zD(0@qbHJm#U@n8^YG4iz{hSKutQkxYK0>d*QFQ$q!Aczi_+aX8?k}i!Qg6@D7XX(& z--?vvXtN!hC{wnh3T4XnioE(o0&8cal_Aox#ipzfg#HK$%UlpxL@gE3saRT>q%_ax&S8o!a1MSVF_KtwJ9&6+upTO)cO2fI-4WMCHwZ+Kcc z>qehYtuH}quEr+KwL7zUee%dvHsqxeMy={u# zM2_wHoHceXAZrsv zay(ti2OiBDCam)DJQluKo)t8nUGJgre1;uHNLu8+pIu~VV>MJH!rmbs=os3sph9*r zww?6o15LJrur2u-jnZ+A-D-0NW@*SJzO{dnQILfWfZwLYIfcOqJH-70*|m}z=hO3J z;)Fv`1HS;6U}`U>5d?TgN4TFkjaPEt0SGr_O`brzpwESoTm*EFEZvAjPqT_!Tsr}e zT)JkWk}O@;_xESWx{JVn3Ujd%J(V<8zK*;lNr5lqg{{YN{7fXa)3W_^=EM3Bk;L0M z79|zT*=7rhkfR4xg4s!=#f6ht(7GH^8|WeSVv16`8|}7h_|t2%sTS3Ee=yW-Lvd`> z?0|aoV!kbrgrm)5E6i7>Y|k~3Dcf^R?2EPnhV7en&)J`go<$lJ`jEAPH&9$&Lf+ku zk(#m42gWXQ7t}~b@|Ti9kQT2SX=T!+Hmo0XI|@0|2Ezg_<;BZ2su2@`qQCLXC1esx z0iSM8u22Q{{P;>rM7bf@F%0Q*v%tvSf5bHkif9Z0cW(2jJm6MhVMZtjJ}7?9mS%oYl`oSSG2?U8TdRBY9mTpaC)tjgV~fO8whiL8kHi-tg=}KePEICiC(7fE^naDLRGT-n z+fklzaf3BN%@ZVyzkTW;X++cH*XakvPL=SIM9t$%hldsf5`hi`4pe(|`YD3W39#0> zUO67^8G16fH$($RBo)e3Db%n%qlMH@$@C?$@rIYw4i2**%20wRMMa#@DXk!m2x#w;tu7<5!P~WXw$h5qvzX-`^vy8z1ohzrM`PQcMd?8MEl=nk&rC;>llfTCD? z#g#j1JhiNV*5k6LMyNOj47c4ruCby6Dj0^LhD*}dkgfCJBx%A)(wLJ(NoHS31|Dft zI1QRfKAN$%5i3k71N~usCa@wv=2;x=%AN?5#=I*n`qN(^fMy<;uE(l4w zE?f}QYDLc(BeBwj(GjH>JYk6Q!*?cVAd<0(oP1QDj|5hnh(e($Mk+j}^J%$h;TvB{ zMinyP+gs_7H)RCUA%=n*xh+ZJjq`XBTQ;?n6xZ3u(}+3uMT|yc@KvK$DK?D^XF?ms zwRE3Y1knf$U?+({l||^z;VDv4T`4|U>XW2G@L5omnrDm^I9+wF>z0xAxR@4ox8(v* zq9GlKVvtcmh405Sy>|;5hO!6FAzLE?x94Z*e2EBgA3PXB;~M2HVYw0REgHZpQO;Bm zSYA0XB!XJMMywxRu!!j?E$U@`Sgem8E4=aQCR?@qs!pXUVB8Y6s^ce=6P9Db0l83V z>%uU`UOA`Fs>ZZl8k6D_8++5k41LD*ZaJ(9FRj=#xPf{KR}1?*lY4I0dGVV11btW4 zp`|rI@*;-#MJ+rcez^xhbh-;o6x5Hs7O@8+=;R$Z7nHHenW)@B9!^<9tI-ylrDc4O zG+=s%nS!=oh7s+K%bxMIg_lrwT#_8j*3?&L{oskTi}GV7q+dC1ab1@aJj1*ylZY4M z=HZ~uE|#t+c&O7@awho%(<8R;I=fC zvWVNO`PupW=zLj5%AL}VSLyqD*uC8)b{<6mRd*O2KzVT2&%Bk-NS3NRk}Ws0+xe!PUte7Q${<}R z8vT>(G$n6Tmcs#wuL0I}a)-tSajq)EvZ}_%a*#BwRn>F7P}R(Gn~ody5lRJ_2k7)! z9*t9|ADh{mHs0GV3o+wiDxZ;uaaJ)e>|=)(6ch#Q&6^a88Sp($e z6?t$mU*T)F4EncKrUYT+y8l!xhi=}QUiZ7Tl~fUlre@EOJv^IGTMIx3&Or`e>kd`; zbY&7BSS4ejaI5Bwey2QCs=dHBbK&YsmNJnI%F7$tvFVoBq_?jL;AY|0!)15t2@myT zGMtx|{oNjx?{wa0wUC59#I%GPFBv&e$N26~)-v7zGDjRf!T~@2h(F&m7Vt3mKL^9X z|AbBUDD@tF9xh7*ug%?OorzHH`4ae`YIZIUJL+AEy6SZ=@iS`SLwMxggVmd>6%ClS^FXdE56pR1 zTlKQT!@P;2zZ3&!aRwE1jC7pi`HQRb6madGQ6a3cX21o!MWmLP}j)qB`Ulh6*_KbP?yi1Ji+9^vH&C{mgD4PyhH;6Y)N*I zwLcZKm=IFkLAx`M(CcGDwR>UE9NrqXl^aMkD0@yXlBtX}sdc)WJ#+Y0%iRloe~>xSD}(@kP+E(7M16y-ol;mG+#}B3hWTVP$l#< zv&_a!xyi`Jw6>Oknfc+HVPtKiZ#jH!(5O+ESlrwA`Lp?yS*tkx`b+xt=cDvH>LIJo z8nb^n!r5f)LdFM*Ab`Y%ixUVLXo!P1nn;&7(j0XIOYXBlY-tydK%gn?CjS;V9vn{Q z62~g%QKe6y9~w6LoD)m>E!@#5nAFU~9}W2dlr}3Mvya3hic`W)g9359MhO>pUj&Jo|m{|30HpFU9z?XAZR#2w_S3I$4JSi&8}CT1zR-hCr78dc67Y z_8-Eo5z?liCT}vl%_}phFFKf97J)G@H8qK{=v{Y?9%6ZWqAI1oVd`4R1C46guh;P{ z&Iw{<3%5vf^jnr7+ST&rc6Esy%FAQGn|Q!2u#7y06p|3wK9C}(IV{u}Ng7lRU_KZC z1y|zeYMFYBdut4n9FjgD?O?;-NFEcYGwaLi8}99FsC>wEy!quid9cixpDTzm&X*%I zm>~OKe$4D}PcB)&*RB5Wamn+stY_w729gHsDA*xRhWSrNV|7%ouTD12%NKu_2JqZQ zVFf&fEsllr;NO^`-1Jz8ccJ6lUedXpj_}Zqu=AQ&&V;)h&KhxuvlopgfgCdlBy;)m zU}|uq*$;%w{(uP|EmbjfUmti0)Ex?q2tAXphPl@W7aJ^SNLrPx}RFcq)i_b%U6{`aTf5ks>8WfL{`V8Do?{fnjN~f8`ApqpD=dGs;d9I1`E;K@cuOo248gsg zuostSZUuL)>yrZBc*LZtQ^tg*!hx|4Z1Hx~=Drk{lY=rJz1eqcQoNyCpZlrwHBUgb zArI<=$XDXwwg{EUWH<%SCnFN$2MQk|hFTX(=>SzuM~H0U9^^a~xzsEq=-}ownNgN1 z!krhDgi;f|!kNebQ$@5Zb(#=oPm=ZetY8uZumV^cI7s@hjVeXoY&#A@G&l!hIXXZ1 znHNcAGfD?>SpJcdms$J=eN`cvgOozsyXFU|3n784q6&gLBF%}SVbBD%KqeO#$rczH zy;$U6xvdc;ESImUFd+`UDXJ?O(}E#G7tM~zvH(02WbSZ)tk}5)Ui(x59dV_xwx%?D zTa6(%ni%*{=8QT95e~}gR)W9tEre+>xT#LR5qfx1lgY~WF_8$5+v0|VutZp(snP1hl4%@Bl)$Q4e`_WQiNNO&6 zcw}P;DR`#tYed^t^sWmrjoo*d9Xo%X?tv7DQ68R%uGt+P{2krJSi=w8Fn7{7J;mkp zx^HCnQ?esub0)TQ9TA;+aSZV}Um%pQZPahvJ16e%AV)pqE%iYhGj0)Aa9Q6&?ykV4DC6T>0odf^bK)jUz)!776caH0-qM*2uV zwITh6i0E4y!7BvQ>@(hWDvjtzSZL?dSM;HI*nGPG^xNG7x=I0O_4o<@dW)L9zYYEd zmp=dRZ)d_ayDFnptdN`?>v;kNWPHMakNTF0VO@xz@1~KZZn}$Y(yS;(1zQuRC zA<`WIgWGE^9E=zodw5K4&r#w5icu?HWjwk)e<@UAaYjl~R=K0AIt~t^DRJ5PL2FRB z0Ey7MyFfzcn^=zM{*l!~8zw^{s9D_fa(m<{P_y&QME&Uyhl~+}~@$??gA z$wqWQNQVP>QLk>14<;2sr!*8t;`<}$_?*T9jwYbv*Dx6NegwEASDk2YiP7cR#N@Ot zJdZk68XUgU87PHl=YxDdY^YWS6nJg$5^&psd%6ag&hg4|Zb6fTq0?XuRrN#9 zNaN8rz?xW}O)**$BW&*jy~2|r_aXSTcti--ldWi?>yo@kitE|@7CdPPS7c&ru7r0p z1AFHv^*E0~MiiU#qF{YK8KCD*TclqdN#|cBqdp=`%$bfak?rnI4$9d9%Jz za%bO?6;6Hbv=p!C8!bsAX^v0^hx>VP<9)%VltoM?u8i#<^*^T<3TBWDO-;yQ-s zK~BQR%S_PiNzydyTqXYUDx^qdtRh2dv-r&l4C>OG_lFT;ZY5R4SJwtB-(8)iUv6hk zsN90-zMW!ftE0z8bgB4}T=UBFE;waS+>4N zF{01p#);6c5DVLcatz$lod+gNJQSZrPQunxnS#5>f*|N3w}3Y`Y!1aRq#bAt)K>5@JuHA!^t7sdE;i>-2d1B z?Y4%4ZGLlw?}k%fxF~QIWTX(Jd;E#3IK%E55b!GueUaU|qSRA_ZsEUG^^5+S?BTnE z`s9K237k*f$UFl8!5;XkUN$ZeG%?gTxpb367+!W+?@iyqA@T?hpKXw_cZA|NuxsC( zf$#g@u*NTMfF?p}(qA8*01(3VunjIx*lKkPLmf3e?k(`XP*Xfi}r+W7aWa(7~Qu0d~uS7Bk|); z4+hhHv1Z^!U@@SY@yWH~v81-ivn5VE;#qnIoVQhn<;*Gcc{rq>;Xq1rOh8jA-V!Dy ziw7h6Prpae2oN9H6wByCzD?E7%2V@Y z^z4`2t>@UflwBIGvZNJBq z)k*vc!|{5#01sXs6E=7PP{j-6x*jhtuaMcu1QoQBwEAuQ%~F%eqJth(pKzCJ(tg#2 zpumw>(E4y?U3(_#@`ihv+JPGvbT8X^8%9KX!iYA@ENs4gCIS-dN*S+}Uhwmq5OnN5 zj$@LODlG}z9LEa?6u3msfQ?{}1VL(-mz+Gyxk8uj`rZ%McZyIFh#;Z^t121x`VSpf zQ|!xcR!?Um1>Ao7_Tl5xhtK&{)%~-^hbo=IKX2FozQ}CK;1TsD4GKg{W;`TP(~9$~ z$7t2XBW{`H=iXFsZN{R34HTlwm@-8UYLl9_lW`*6oLt)`$l^tPA%Li`2wV^OO;@eZ zFC7v^4neT`;tC!T|0M{qI$EK_`aC5=p7KCSFiE_DZrjacIA)EmkEl!Hreu; zF+f+FRjm%Iv@=iW2@1C!k)v!q4)a~=wwL!^8tu+EXhy)SU7|LOP`ulyDA0sdegqT) zuRz&Tmt<#`W7CFcvWEAD7KDahuMmFr#H;*b%WIQ=z+fO`Ya%YPsU$@PaTe;zWjn@SvOhR{FR4=ldhoN*)qtN=- z!EmjF43GHH%f>%@AWPxB9q0nSjiKqhwE^=(DcZrZa_)N>(}vzaCKK10XWY6EhV>o7DrxgAp`sB)3c0 z=|rorLs4*gVuY6oz49O2MX*{m-oa37ZIY}~eq!NNW|N!0FIQI?Q_cwb80BGL>f;|< z{(}q>Y|-#E$9BOIrBRC+lB}Y>O(rukY$+xMn*gQ}OArJ79+qv&?s6$f{$O4#aXmV( zacSShFJtVz|t(bT74aJ1Q8pj4)8FHkB| z4z^Z8l_}e6$?LOh#d+D3+ie<=%-LR&-lpun&GdZtH|?HsaJ=&_2S|*dI|&;G6}0lF?^Rh>e~wmc?~Xfu)g1f?$sJ9X7--_VHt zZf>d7oj|{UIcEN~BE!SK2PJ}`U?vT@nHl^h_rt=7+Tw>53t8hl%0pQvH2Z{G=cwv$ z1w<8^)au(SB3?RmxT7nV5UA4Tys;^I#bThvAlu`xULemX$u!3estH8(#qsfr7Z(?6 zwT7Xn!`ji^?H z^5kA(?I#Djg2}x%7uLw+-)o&r;7PoL3+~hX2Ea!!E0Y~4<9o6>It8|+*xcH%YLXq3 zV{!cgXCerxI<6n}MVtES6t0#gDz}!4HVqt7s4qNV&=EG5C#zoq06Uj6>6d+fJD-u= z^(PrRp1ydJ!<7E4*JX?M28ZGF5$eF2q1+^4LHyya9BPR8pW5`5dGh^sx)%s~onEZ~ zoVdEUxVj9N3F_YGhXm);0i&(!IrO!`!k4~T;R#KRM^I%C|bOb#lBeB~H5Zhbb` znP((vPoCgr$>>FM9%!HO}OP(~i@>X_2W+2iK-k6(Vf`^a?8`-g{bU!OMj z(z4 zEp|dHte^*7hrV>BY#~x8737Z~_rc-dU{={1w?L?uI@`Qx@`2?1@6*2kOIix;TH%(5ChO6@}DsZC!y!$+wp^VNZwWWGAmL|9WV zkfsY7hLyBXc8b{NPD$j z01UdpIa5o!;Y+o-opDLLOW%mIhW5DZLGmmpc#yvTmMjw7pg8u^;|2R{*@@XnREzn1 z`HCu~dVZWJ{M_VI{msj@_GHRzeFJI!ag1v55C#awnU#BEkobA@k zSOYLQ?-bm9{n2bhQ5^Kd?^95PARaTW-Zw?Lj6VKo65ca3tVQlAOxK;{YooH|miQYj^jq5cLx--@HZ+X6&48^6eKh5753o>?(k+<#UUJDt#YVcvE(WRI73eu$s9}s${R=G zB&Qk)*aO!7q?%-YNz8@au+;u5=nPK95zUl=8C>&>4||HH>2)Wx?x%#zHh`a?+Sc1Y z*egy_e;mY7TPf%k^N~QI7yN_d?0I12`R% z9gybWe}MJ_e-O#Em2R`0EBTD;r#O6CQm(| z77#mxN+JRqL0rN;kO;|`;K2}{t3D4jN4FypIAb*2=Eas74V~D(-#>wgGkcT2?E!`V z;d9S9OE0PFXZ5^g#1c7=Oo%KTh;ras!}>wUsFB5@LZ!6sDEoJT@;TffkS-=9uV5kokFgabjWOZ4>Q+9!kE zlWUKGFS2vs2~B<|^C?3Art2hZ%JJ2;HHzDB^O2&(s&gi|(WD<%^{`@4zJ)%PW;Q}; z*CsW;K?SG{|EnHZue~obI7{vm#t*qV(9wupUMohAD=`yMDrzh_xBC7XV2R4C>wHXa zYc7(Mme*{MWrSjXBee&Q+DT+%H02ob-@Sy1K1VVNv00FBhbMHkmIg=FjXYp6@!=LXZR;b_SITu+L>ichY~PpDy$PVuJ)G*OWnRIkwc^^G{%vwyCCWUerhg`Fm|%7T@CAFWaS z8YQV6_7=VnSD!^avYT?iC&a5Atxj1&bhA+a(=olaA5a=gfVt*{C$T5$f7)p0WdF^&RnYkQLJ zU4`SQZH0a|6@R9@|17g6vlLWld?96$0frP*niXcc%sR!rX}Zi3(zY;NW(RIG0+TuF z!DLQ>$%MKWjE2ai6k2PI=u2m(25d=$`eJT$}cc?rvWxL8FQ?{!-GKHWMVEtD&ti@3r zEj_j7&5^T}iZE$*H#NA;r@O!YeD@fUL&+&&szi1SJaQOgy3mP+M~XA!PSyOuSblty zMSvtcb$v9qJk-4WfGbOKAF=<|G$_@hJE3DzeWJr@909Zg0jHL88ih~ulhXOd@6d@a*9mJ9)7?+~;?{{VRBP z91Vu6-~r8c#@Ty&iavdRjdoqfHo!wFBCBwN$C@^{y1RHcVDsTgM)K#`DUglgQ-<2{ z8sd7nclYky!}EXMeFSXqpI<(_V~<)GJpy&UDQ3c5Bs2JvANm2+>;L(~;D6wA__UyZ zMye#!iLB+IqEi|JFK9@Qa!~1bs_qC0j08_au0T_L^mGJKf2l5}gJ<+d++OZ|zWa3l z{O;lRj~^bN_!9_iUfPpilO~AD1#{%QU3%{^28Xd0FIegD>_(8iNlrYgcQ6 zmWmF4)$r23RsMu~AD!_`e>HC}+69TTg{6tw-@&wB_Bbi5!2U@}65w91V95eA-^ac< z+#GH-fjDI}#1t5SxocdgP+T|2V96`JY?)<`Wok;I#Aj_UcjrG$vCRB}`Im+^RoP(??Ym69o#wPGbC8g)%m-GFI8S+AQ5VM8d8h{JsZ&#h5w?%3+;tGgps8krL&n2tK z&gY4EU8I;KLQeb>NK(FE$@IxeHZRuv5!9AeTUm{bS17J;rczxNQ5g4@92Pqz?r7z3^sSVoP6OMv9L*^ zq3k}CeA6D~JYJzDk$I=0ItaA6n#X6!24jKJS$h1u*c!f|ryM~O11 zY5mc|7+1=O$MTYr>H>?)&dbZkYDVE23#3jn@>hskL@xVm=OzQ&mUL^{sgeeFc5)K@ zH26$5SYf5rY05?Q6hNned>wZ}%IbF=0QTB z9F>O6(aWJH-|m|aS$H=L|9Dz;E&JulGqxt^lPT_O)=8RSWb_$2!Eate$%2Q$ibqQ` zGSU9^%oj7{!1G1WeG|<$v6!pza7*47MF96_{0;c(>y(%WKy9VSXX011E#!xV_3}HZRUqySn42JN%gZK(Y(ag z$97alE4xyk=Va4To2|U$xC9a_GQzmAp^N0Uix&3e1H$I+F6RC_OVILV=hqPkns@_J6aya^O&Um{BK< z$FV-+oeX+3Mi@mn)<^;fG{{k`RKGb?4vn*-wpbZvC0W~$ZZ-w4Mi-FU!XcO{crpEg zaa3@JqAxAFESaV=PzDX=?eSdM&skRG9BOUR_9T7Sw1CZFCwt8I8J$0OR@FH~m?b6Q z9UX&rfm~SeRdf-6x{gjswKY`)HI;C(o$MUo4lH2`{`G+1b&k*_zo&4yz@=iXrd1IK z51CBn{3dKEW1C6eu)jp#5Nw{}<;24cPcDZy3YqJwBlOktO<8Y4i`7-6UV1m4d)!c3 zOb%(In5aGIXpxk(2h&*jB7S!;bxE5maICyFKNdvqCE_+`YjARS7tU|MnZIwIvw)u2 zvd|f8kF#ODn`I%?(o8uB?Hz|Qf~{a3hEQ63L8N>$KdC|Q*Fa7w*3X}Z?al}P!I0hw zR)-lj(1}=xQER2qPJ=J#2#`}I?awasI^R5{O&oH1t#tsi z>3DK_Nf7_`q7Ru70*sXQ`M=+dYPxh{}5Oe9fcyL42o1HaVN+=ts3Wi@eB6; z9LFuaUQ=Mb01xqZY!9?wv^B2hTDF>c;_W3;=u6Wzs>$W!%3nzM=5Ua$6j=;MrH5NP z<8hXG(%eTTB*X|^Ldj0G{9lb21OEU0c`^g$J@*8@

  4. c!VBSj!yqe>Fgm;sTUY)@aD zXvk>N4hNb47m~ff|K$3fRoFy<=vG!?XQ0hIS|8L1+wsxhn4O5smPi^hJ)~0KTWyGP zAgxR1bGk60`VRM(A{x#l^y=&+6P$PkPxBV$55)ItxZak(T+J^b_vRN6*-*5Ls@(8Z zDRTkU_YC;cw}<7?w-Aj;WmrN$-#a-&q1hW9Iv(G?)U}N#lEor~^R)AhQxlZ=*YJ z#*a%&BTp;SCAv}G9%P9D_MFsT*K?F7+oG|B zs6_%}VJ`1@FhPFdHp)NaeUYR8)9`h31MBMIMfwfU7=Ja?ALG-bugUxbHGw3iqp#B% z@xxU4q?{&78uQCpMMf1(Xg{S1u8eJi)I}{PIFaBV)_-zqGXj z7Es0hLf~iY#&$5Wr9vF-xGIts_MOmkXI%K z#PL7%SUN2@Kh<82E%epQ#rM3g`&A$+W5SjcF9Zaf>mzpb%0bsnW-m8MS)Oj7N?<1SsCC;b1&L>DeyF zIDysZ?bw)CM}q{y_YQTUPfr>79{vF~DrUd+M_at`f;R4Tf-|RZeJ7yD7(Q~Wt-7Lz zhmlZ+bVU%KEz9Vr<_Q}e)|X{;=y_J$Zmfb5TTg|m(WIzQ6{)PjLHuaPNQG~7=P0-E z=kJ6ExvirV8Z1gFj3UCw9Rv}>8G#-{D1C}wlt~`$6~8n3 zz`6JoQ~C@JiEW;Y>fIbOv)KTgFPHU(?DR|mv;{;CZLu<`F--659&$$7crbR3a<=E` za2k!UmQplBS;+IwlKxVpHV zK>eAO)jP+TqZ@mse2kkhy=aoAc)dXlG`hjbqgJVQRc)}clGSOt`J$Iu!z*qo7RFcz ziu<}3o8T0GeA#&j+4b#RLgLg0E6-7#rq5w)QEhJ*OQ`bzKUBwAR+*%0c=eJh+{4q$ zhc{23ze0m7F9;trp$OFFxf2bKMt)gu#vuAj_`$ZfaJX7|G(3v>u{R@U-O zYfrHobY)&?%?VzedUV1kAr3p*ImSSJ|$~RcCPP^66GFW4D~Q#7|;$W zQn}8{{|ZZ$*fC+>SEyx(UBOPAk>Nns@B(xp)$)rKR#FUe19Y3+ zi7X|;6;_0pU1Uk11oG226hpp$did@0*Y^*PC2dFq15SF9$LNRCSqzkB<*MXJT)zaM=1@&CR$U4nu){~zU6BMjjHmk0mnzy|1e(c1Lp{nYeciFPgk zCq^zv#@tAy`B3|OW!~BHgyC#KkFyZ|kru8&WlFeW6iIT@CynjE z7L_BFJ?YNTjcEyTh-;Ia+E|tv5HOB4#jXi`uMBaHj$E%>otSKD9uI7=r6+an$=Io)8%Q} zn_&Ueg4fTsr+vM(L#^RZeiYWkGS3p)9S)8<&RIoolALEhxZAn|)hE{v{T&F!Ea)Ok zlPa3z5x8&9tuD(mNaISe86C6YOD<%Myydb^PWK{prRM++(uYt}_@xZ;z+4~7>12Qx z5p-A^h29{gxfyRbMIlS|OjBIrfT-_py)f@Uye|xPoY10^2GXaXAGW(@AXx-`GXBse z83oFuizYjzi_Fnh|_$kXTiSm`zUoyMls8bJ?r{*YS5fpaA z(aevB&?r2k#7k*u=J9Mw6Roq{c1V?~u!ksef%Z!itn|lU$u=LzDjT=owU34y&tN}h z@QF9W_--vq8mF@sLG79VwOM*Xw?Y8h4-fZ`PqPd;*hm>XQU&P=KP6_k?r9Z`Dx>Bz z&f&BEDSnd&080?z(E#PJTmA(H_EFctdNWRiszBZ)mEeyJ`^(veLbJLyj z9-3(#YcdV2iTThc1sHWgG-^-G#=SAjRGZ)4Fw0MQUKnV7kR?!I>;ZQvKXkyrp^>4e6m;ZvCg#xzwW5q zOvq#Nid}~F5#?6|PQ(S;Gpef^iz25KJ`nYN6L-eczEiOGyY&in$}X4al?~}Ix!Mts z!QgJq|7v#?eSBWRHB!$^DvVlfGf`>a@zlOy6slXSMMgTU5q&v1AkhUEN;wh_gwKrd zDs7uJ31cl*CtAYI^+gnfOV*wTX_bz{;7jfrglLJfO(u3%uQUrAnx%(e`Yh}bS{SH@ zl=y6oU|k;$CKLF=Ov5NMfKTYoxc`DKlVGM{f z!L$duB=z5ecVK*aN`JmPZME3b&E{|LO}IFxcxth?yUjX>$5clv481;2_f5!bJ}mMB z$I@O6&!4>^08Q;UMGt6(@8!;lP|xVR8;`;%?}hsi){*Sb4r`|&gZEFQruD<4ue$7k zVA;a$PY$2E{Xqk$0KcO}BS)=1{C4|z3IvvMn}y`6c5K~zHK=%|N?~ql?3khK>25K; zK%9zovtYlqVWeRkcb_O+b>hS18TRtwaZyQ4qw+Gwp z%Q*zg8H&+@O*p~ZnYvi5ZrJ3p)FpZ*$+61E=AusBd5Y+S2pD0O>|-uk_WqDDz3rnTmjZqn>!htpux--zSq1)r|%SdO{mI~h-z9^6-bS&VS zbDGv{SqRda13{Net}WNFGaMhP*-oeP^9wbe#@O@o4Z1~cUm{r>q47a;e4M-y3O(vO zu1Q`>PtZ%RiWl-Sfv20HRHVQm1wv$ZkHThukHSWK6lywI=UmyBj1*&{hr-N8yh#ca ziVXEwmzS+y{L&Nf$|h8JZr{CxuyQ@2IqLM1aah#h@m@`#IfOGlExR=$WSlV8Je<pfR9zypY zDKg*Ll@cP;3lW_vNxwQp$Upm*P>IkX%$||jSTjCGa?7mXQ^ICe=#pWeSOaZ#I1#4E zw?Jsc-KlptfsIX^OUj2!KD()RGFMSu%#eHPbr>tmD5OoGHZ&~~WQ^FT`$DQ^Dk7GN zURiQWcXN$g-4i#L>yFti&2$1P%n%+P>eK`TcvTzW9khZqs0qo{MaU=BxZ_eXdQ48G zKE)V$R;>rayGG@n^0_#oZz_mvcne|o0Tctj#rE=KeS7^%fd;FCFHc{^&5j>G{lBP7 z^3T6Od_8=6`U^ZzU!Okz^UYTLP>POgfCoj@Rj=YYOs>Pz6--Fll#BI3#1DSLn)rqP zM$UkO_$hMD}&I(7#GB zBJ7WQ5iVL(d!}JXeQg7!J&|;F*@b9j(2i^PH9XJL>QrR7GVlP)0nKYz;N{5Y%`4(F z;;uMQiko1!jCMWM#I&VZH)JJH+`+UnLAe-FqvrRJs4S_Md_@hzL{_moqgiHc`OXv< zTf35vk*#mgXI(Hg@P?b)(7kYlb1rp4DZIcFcLGz?!mx*{EG)uiq0mRL*%SvQXu&*0 z4*3AH1ea?ifU$sh6zS@WDZ#^nyIo&jY(Jr0lI8odmV0Lfy;ZblDpGmO^mosOvW?T= zyLj}S@6YdEqwxwPErQBeEVOOG|5z}-w7xE@u7Tlz^Q7EAF>8zqZO}#gY<{_e|NM6U z@#FoLnQ8b;95i0?NNHQ_#RL+lb!|~=nE^o;ZUjJum_!X7(RUpY}sU*Lr^U;k}3zPMAe$2WaV2U z_2IN%GW?~;f=%1n8S+K1eO8veW5{|_*dzHU905GOuK4BniLp&!)q|52lVM@o+}ttJ z_V~~HFGz6xL$q;;1&=$LiC*>)aVsj$+X=$K)XS2U|7gLZCYg%UIN+#1p6BD5X_z~nD&Acbym4(GL>XgZfv#ZkW- z^?UrNbfhwFb-qAnkMqqMP#b#tmDgE|kJ`Ab2Up2q9mow7Ws;fig^S@F{f`q)tUaV} zt)6P}Dj`2_K@o>P?&p(w9GcQ6T8qYbKn!EkfSPF$+L|IiF{Kk&_lS_Mh%3ttmgg1G z!_C7vsOWg1L({Y<)jw0BlfI(JC#B6$Sdu3k+3ECzhc?nA-!J@Rt|#UfOa3>{KcL2~ zGh?Hv_)^o7;7^@sF1B+3wGPpFcmwkg!nwi0GOb%R^NSbM84<^UTHG_>OsO)g$HfqA zFX6$C^bO!MP%QvLV$=}3&b%@8%WGvrrJ#?;5;~M@Bw}J!TEb~wq|7GXV{M?3>?&2=gn@N9-VhC0*isWl zwHil}M(NtXklKLnoU;wWUM?0d^260PMPG&V$(|3^b1P^h5hkkCNrN?a>J1~=TP%wp zPBc|1_GL`OrBwbOs5h`Zo{0UVnYgtAtn{3oe{&Re23q%QkArW`FE>X+0JZvneH`6g zu#_`)7c7+-Qm|CEA5wPj>N+5(rhm3qW7GdT06jWkmin1!{_OkGPU<>)jp zRl0|Uj7F`*YS{$ElaIPZ<4%k%sn8gYEXWPv72bf4|>; zc>nk$EffKv5V7(6mkm;lsHYu=Nntu#&CHVs)a2*auVL5s9pd$Pf=2T@L+CQ)X#bQ$ zOp$;&lreeS)-!=pc);ifX$fzWqd3IPWJuw?h5v4iRO0O=C>{T%6bsRUdaoJ%w}*5S z@EtK9bft?Xx5?A=HhEHyrlo3}5Z;!HR+meZN}FhxOOFC0%=>C>8#4T%wEKms?#ie% zp&p`+1I{3dn!`BKfXn>$Fm5MEAetlxGk<2 zLW-Z-B>6O#-xM}hQ*$3}`?Bsz=HDnP$T0j9rr)kf6*F+>bUq>^nF24$?1?UMW_J?W zAx}bWrul+mAnKOvn58et+8ZG7<#wSRFZJ6e0@wHZhIWwo*)+#K*Y<8-;{Sv|uwOzaAr_IK;WD)XHnirXsQW^uN|{eiYaMB3Ds+RP#wdjy~Tghpg~Fg;tBxBT3snr}83Xb=UI&V~f|*B61aPKa57TT6 zQ#aAmd9~c0ZiFXWYea?~Gb=!Ub%mg9BiN|ET8ORT%-T<9A-()s`^2W{Iiz7U>O_l- z@FY9mAX3b@)t8NLzyE@=PAu&eeymy;!Gh$;d* zTBXbcm=YC;eqPNp-u6+{KP*hSQR6w*g(-*29uIR40+@!!>XR!!{E%o*zudeO zJ%fmYwwp2y>+#=y`HGB`cf$|w|M76}?jz;?vv=>mK0f_^{}sRg7&VPy$fXKgs*670PDr3;gBBvt`y-JqX8|Hpz&Rl zqrHoAwCI;6R#oPw1}rn`VC0weobc2gVb8{eKa{a&!5xh9YEnEj)B<0?324QxFu6$ zpd0dX>6W5ieJth>J)4YVBfX@c*lA)@dR+wR!C)Fk*>Tt^`bj9@%wAy#r#svtJHi~> z?b+4c;^O?p3Po4W(Ifn7dxgy>S`x2H&y6h`9kHwPj0bkcbd|^&)0VG19g3H&t%E*t7#hPi;2vr-pHx zy`o463IWW}2R7$(C1}7+3THi<4!PgIb3KuXt0yjh6b>(nsBzgwxp4M$EiQdwGtx~B z2-7XgWGO>e5nkt$<+EjjP5GI+W@&DDL`9&O^Qq`mdbzqvuUA~v=csr}JkyLa-FuRU zs@R+^#Kp)wDWPYq42{s+>$9_>RZYhygojce>_|byYGsJqI>WmtWxA_rvv>g?JKlm1 zJ3v>6wlUoxIO<+8mJ4co#p3L?2P$W`GeyrX*}BM{#dE%J2Xw@6+H6uWh~&7rDV1c*jnGRA z`WJ;a7+;?c7=t^@D`0%pwa-yx`Bio}{XzBga!}8M3o4qynUE@UAMT)T+;+xxgT;#DygU=`L+yW+8$_GrA=$xpl+7Ti2@a5?|3i7 zbm6f;Ugs^!Lbmr#;nL9QE_Qjw>2H!bl_lMAM>(0Ao-==`37qrg&mjNUI`WuusPYY! zNxEIONyP3ve0}rzV>r4H-Vx{yLU*k~`3`XOpD2_+x*pA^ununo5D2mRxN4vP# z+J<}#6eMR8wf%}sg;`;?=12;xXKIXqXJwbN;w6Ann`k)-#DGPNUafCgwlm|1exl0> z$`!>QaB?|E0xeMc>-h%cWfh=xu^gHy`Ur;p_tc>)9RF@%PGi+(3B4Y>@Q zbis(A-M5(*F4dWq z{bz5VJv@8&?6+rsfA;>_fAchc8I?4CIAER)9-a-}JsbS?Z1DGIgZIw{|BX+JBp%BG z7t>#xBWt9*CXIOFq`j|bijXvpL-c^fk{qnqeTXdMZqhI)xLc#1w$n{IO-**Kb(pdt zMu%1xr)&yRya15DWM+^u&dkfEl!!x#f!#Bz=b~U*i&OOKM6Z(@vm_^u;1RX4(^V(e zDN|@R9sRt?PaJ#bXz778X+-}feOf+6$EiuS!KkQwV|P?v#g9KoNCYtvMc3EbT$bf) z=WzX^8p`8GtfH#!Z0rEFKz$S%dliBfm5QvM5aG@3^-V6WtfI-K!25V6@YJI3IQXA6 zP}<=C?Ag=|+zods=VTmAgt7Iz=}G#UNzzxgujWBMK|VdJ+?~?i5N05c9kFEaGq<4m zI>mK;f)EW&(O1$De)+`?HK#_DJcWLDP4d0DfnHm==!~Z`M8;$8oTgr{ra{3PjfE5* zNL+T;EElN2-PI${wJEw95DdbsNGG=84c%N^JUuT`=gnd6?q{Rvh%R&=xBq&3|1Vk! zKzoS0kEjn(yhNWp8HeB$t2nfw?m)TLo$<6($G#?o>kIi!)ulQ<@}+9kiJ0FS$2%Dw z=H6G}_)WIM+r}eCTT>g1O7@D-T;QEGDiThN0#cX}Z!EEdZiH@;ij^!z)TynJ{N6NYwXUG$)8T%3rf)ZXAESdBCgukS6 ze?1eN+?`i+risAyQA@B7(mOg`sWQ!i-6mJyd}sy}xKnal(Xtyu#i)!`)Qs9JK96bu za6w63H1bnrxQ9Q02XEkjr{yGK>M*tF6WPvo9ak94bJJBp7|xWet}f39h(A&Wr*8jPrbx0^o~i=iJ6Xyw`>gL3mCDTbaU0YBPgwea6z8)Y$LkNfewUj z4pW7Nfa2;}fII#8Jle%8*Dg8^irOUZx87YnWhcq4H<4WoYZg2jr4{0hu)(dN3UyT` zDS_Eu<{6zi(9M^U#iUWb=rxrRdmWGs)uP%Ac^4sF(HRbR~ODOD&9E%?-gs~TxYa_KeTrvBFT8RP_l^+0AU z;E3Dwd*RhmK7g!#>Bjx z5P9nI_Ur=Un9q#2wj~;;G)~~Q;Grnh5Og89p#J}o@do_{9zi}PCt^1xxKw#kc;v=- zQnO#stGlJYG6QjvIz$%X=*h@+a&uCdsf&^fD*jM{SQZdZW@evsG4tjg8fgW@7HA4F zUDIi659tnSdBj=U>GI>?e(-Pq_ACCp!JoJI^MF6^@aH%D`MW#+Kxa;TQ=`54XaX;C zp^z%dUt&!_cwC|qGGQC@i`!ppVJS3H_ZdUUj9Ob_ym@}DL|8QQRB`L2 z%1EPSYMVnjLayb$KOd|JwdypkLl=rEQE4=>LIfx~``Kv{D=nL)#N+E+ZM-r9D1Xlc zX9>O2WY?7Ap}aIX-k~;*G>+FWXu{wpY=os0>}(6yQEKUGfgD}#v#WXTSv(-j)Hpx-;aRl-sf3auKf$x4x7b)r8y} zm_39|ic+x3jzrZG7C#N2C#uup(a50mHSk>IV^SYK`&jn6McTl^Giir^nC7`_ro2h; zDUGjyQMp^PGNLOAIjX!1`5tT_6@=X$s1S8$3LgSMj4URoZ(f+@_Ud%a2sK$&oHQx1 zV7CZB4VgNcEb~I3txAiFdu(r%IC&p9sbMA8mKA0G&em0@ICVVnyj_AuNN`eVnYqTo z+Eub^bOPRC5uMiCm@ZFRMkEJ+P}qsZ)r)!%?J?&G)lbWPom-$J zk=WqR0h30M*!Ea05a?XO+=I~wU`uH-mSeJTig=(55@-Vv58&(mIhI19@a&l-ci7%6Z-^l{pI-r0 zi4<)28l@n5SwBVKg4;L0KYe)kB#Nz6=|j*jY66Kfv{SAU6@dySmivSRML?QQgrL6c zHp%155grxW>S}cr&#L@E7LJt)HM>B86gV~hM!RM?jrPoyrOL;&tl@&+rmTBHWmOfj zb`b%$$*F9LrpJnCFvC&?fmar5jq2IJvM6(yia%fnDtaNPXkC6J+d#G+iEN!*6hKl| zL`En(Qwwsv!bY8M0jY|L*&)S{pRIKzERiTQR<=BH`&rr1!c#c4ACi!V=qb44-Q%wj z!kuDMS*ikZAas)4Iq%AS&d5;x6zaW+TyTvAU2lb=fNbZ{?1+>i_62w&w|~okCoi1C zuhui*q|h0b?J$M2>G-gv3Q6)`7dAjM%=Jx|S1@7PPfb|Y^3_0WGf5^l)M6X+06^ioiOh;EnlWHqS+YZx zCCWlSe}(7HNVGDlB&ug_&bNdxom(_M9AOOTUfl0H;WNj-ei)WbK;k&_7;W1}FK!ji{-nShi5+dn)+ zdxp_$cKGn;@$58T@J!>wfxL*`)jKslohd|Zdm}h69upijDHoBgf8P{+Uwz&+Rv)wi zV<*Uog=$!ZiKN9UKE7~3B2lH$ze8KBj*2uZ{mk`wd=*KHzT6-q?hHyk2pO8n*#Sxi z{^`S?2KZ?nlwlkjs@G=wf^hB%LKEL_K$qouh&}0?4ZoW~iG44B=>zGGT);CeKSV zk@5`WpZXDXHS06R{G?_Mwa;C_lNeRt1uvR-h=XQC;^^;wGHTDzrJ{bIxDMn07T+)Q z1|0ODm-aw~R60|XN<^pt=@cn4Q|V%AFOb~&NUV6y*a{!eKm(cO=ynmeJ-?iGz5_M< zp7SY&OLjbYdp(v#cp8r9z7Z##+PKh7@uI@$7!yZD40+M9iB|aTcuECxkwjIZ3n+}N zMBoAk_mM~M6cQ();=!!Q9* zoLhYe{#*oZkcYRwh(}p7$r6>Y9$?XVt39N9Tdbe%jd;V9a+n~3qhYEct@^~V45T52 zTU!*@A4J!hp-)N<(ToovVW;^+dF&!Jy+05NZbDk3FVuPBPZq9Wfxdz-{^?jJsjzFXY@vxj~+S^{U|b8 zr0ctb9CmoYmY^z~@`(|J>cZoE#E(b&lljregduk}c#iFSYq-LBdM5Ha%;q~pnJ|Rd znZAYfBLW-5EtO5COrDH=(9g)?+Nrl6K4_Ncqq2h~LC&HY8aA#UZ-|4~NPP-T5 zJB?n9OP3HntHWaHQ%By|-KDf;=^OFwsE^C07!3@MCDEB(l@lx#Rj!4|k6}U|iwO-y z5Dr>V2v4XG8Xb92DaArf)$i!FpTu6$YcQGfQc~G;!IdAHw64 zoI}|~K39SdA@w{Vt^&PVTr3}~_<(wV1^RT#^^V*bc!+wU?=bClAoRpHPjw0vP?5fV zeTn=7P18R3sk|sh{-*&#RXpw``pQbbj4eb@6HGD*)diN?f@SWa(3!+M~MePNNq|@!ymNmmR#8){{ zfuY=8+z_c^+LRoqBfRF#Gw5GR=(5*4%28t)vI>f!~Koc2xe2>T}YJjmXU>Enp>bTTzVZ#W*a z5hpbv+(oL?WhS7Rfmp8XDfA?8ZitHk#ZZ1JiJ|iBqsKtJU1y~7D&0By+%!gnozZU_ zIDT{`g7XMHCXt`iRwr2mP4%x4eZT1lz{!s&*&VPI4B2Si28d~B$l|<3iG)BhK+Q1? zrMOsX0>uZ6UDN)UKSx>JB_d5331G>DB^JK9lGpaP`8HN-6O^`-7r~0;Q$HmS3PnFu zqh`_2x0!K&c3PJ`0II>F746YD+qPyzyJU_jPR~a4nRt<>>q-M|l;#e)%P*(N62#zN zbZcDQyn<-sJvx^Y18NP*Q`QyO-2b1`fJ*F3C@8nrg~{1JNgvpBjqBxjyw&b1LEzn? z3WZlDDTyofjfa^U1UGweV8Jo2T$}9<=<_lD+OGWX9oQ4-qz13&4L8|pYhjC$m)p2VsBF1PC~qUl)m3kR`R(uf&JtTjN>%8#>5O19GvdTq zzO!Y~++Z<@Tx1(-jq=ufDR7$Vs4Ud+?q#$0eL8tMIN7}%$tMLOJ#BAyc;4XtnQI2G zHftudk(|&i7F_=c9ZNqn{s9qGdIhy;^aDzK=!bm(v2Fpw4@CPSjl)&55xrPFqfrtw z66GH7fvoRjj1VV~p3s)?$6^V=zf1P6g2J=P=#L5X6!vJ1?7Fl*a?cE*plL}p&Mjje^9E+kl0@vhzyL1DOZlI!=8 zcN4E=8Ac8U6(Sw;44Y*$g>bciBvg<~$O!pDq-E3jOaNzRW{I zwZxXDUWyu(sJ#bk=1h&HsFMd+BS?WD8Z!HSmkuyNdLuF+NXPVIWuvp<$g0CT=vxME zX8shxFXg0wdxQeBsDL6bsjccgSl88Es)ImMt>X$JUX#O=UDp$Y#Y2kF@W78VGK5ex zt8M@N{+Fv;)jjzO)0+$ia~MNnn@|d2Kex`Ql}qM-$`dcJ9&} z!LgYGhQM+tOjV5Nyw@4-4E-)DY^S z>(j?7bjl2U9(31*KEywVOqSpWDVfI)R87pA5jUw#W$1Jb^!+8lg)Y;R9ntDkqB)AC&o!{3UEb@i zTi~J8ttLT2c19{SO{EgMyf)^0oeLNHcGz!7mxVYob<4HI zoUq0Gvfs@hU>mfT6hsr!>69&nzJIy-`ejgrdwsil|LZjhja+>~!@lbedktg0gmK_N z!YLp2I=NQa*5jQWe2ZsPc(^cWcQ|V^GBSPt2KcPHr4Jpn%#qnFS9^)537M4g|@N z5Cm7R{yAF69*JCfA|AGOeRjz<)zWw5!`JUWp%<~5+NushYYyvPT(7KsIYZZ9mNms} zAhHncX-B3z;B-{`o)M*SA?HCX^h@hPIgsOtNgSI>As98DNzg4^8b)IAQsNJ_8q3W- zGzk`gvMNxXL?w|hTUO)>?u;Oc6JVBEBitPm25x!a;b(`3EdKdYD=Q&&{SZkBZaVqv z1uz;EofH@MqEgw#TwTh(&`o%0%7m8|-F&YQP^0c>=kRq67prkiRHX$BX!1>c8w zN*n5gCfIbCrOFun03a!LQ)OC{=;USL`h38Dbal3UcMeeGVh;|fyZhVk@9)9TegO|F zGr#8^ZA2W(!9`U%q#$C=;n!ZO6Vs}%|2Vun4=YTRM|D!xaX5Yz&ZlodIiYe;d#CD& zC3Tu=j}-Z25Ap$B3t_JSJP=CS9x$y@b12my+jrp$x7qqH(Aa`gX z>?s;FW>+AJ8TyNSis(+%3?e|Vt53J>PdE2>d!K&0`t<$!+2^afU)h;j|M_(A*S`<` zFEWd8G3BL0Sc&A#nT{9(>Cmo`juFui%;QVm3mT)|8Mto}!8vSL_e_8Rm>~fh8V;IC zEcLzL$#Ej&G}<3o>Qe?e;j%+cZ%;Na7U;q>*t1W~C6#si2Wnj>|5&E~qVl2#1DZ5L z$-_SoLT*nx4AvGjX<|x%>YP&YkoD0%Ea=`LXW*3y!oPiUYk^VJE*>8DyZ6qL->9lI zq7bIG3le$^Vix@0`wscg3#l`QR zuYbOpPL^mbhy8qLQZt%!RsigkmIHHjKCLP=>&B9hgP|z!MsR!s#HodQGQXN94g{lE z?8W&DWt#D_I`h>2Le{hblL;m+#wff+@;!yK!tGGfE;;zwFJVYeJa;nyc<{v{s!nbd zJ+q6^I88%ga*Q6q@_Hc>i{Y@4cF6UO&#enZkt=)x6_@UM@VvYaCPY;jaZ(X&5*&oE z566W<40iBeIg3heXQaZ#yK{I`-7TS5F1yt@F;g=JCZ}zLQ#%yv!#ya!FON(6QudAe zt>IhlajXsLJ31E#8!j%c+;!MHM{nUrJxM};`SRohx$Wx2CzvlfIi5!UUh&}Q$Mr7x ze3E=tj!4rha76tFIb!?q`xmx@`grpReIdS4j_|MN|5JUT2+{%&0Fn1}@c#~mga3hl zaW;BMeY(E7yH0va*|(&%6tzaEAT8(I&jAmAxc-QK*B_ARU`Hm(A_-&Cc7Em@Z6G|= zRrJtg*(hI6#^>dxVp{KOH0i`xnHG$c=m7EeX~CEi;I>f{G|>o&Nr?>DNPAl=p-L`f zB~-CBlhqdSO5}q0M~4aegk>x2O_I*hX2CU2RVxHUax5+e5+aTedYvjTmiBC{Ddv+% zrQ@^K4I+9(R52{`l_-$$S1lT%e7i^T)00pbtXo7`fX&Amrp8He&l0U9cYDMQOx+$Ex;-3?PMk#m zJA*rDRT|dEb=$S!=EqB=B_lJ{loOf@sFspJZxseDN7=mWO_RI*W&!-Kd(Rkbp7GO^@r!v=Re(EeR==O;P(2r zn>)gCqshT!Jp1n-aJ!>ZfEf%k{RAncQ5KgYRKOa@9w@#-SDs}faaZ9x<{Aq*P)o?w z593$vf95QO2@{$j&BcL8DN0KdO`((f7wm}G*IZK2vFMxG5ZIW0_{Y+uJ}#anpZ~d3 z!_K)9P=9oYJP{d#70Y-XL>F@N$m@)zCE&x3#p>)h&4}%pp|4_t4&W`|{zI8G;qmH` z<`FWpHv=6-GQ2rQA}zY|+TVd}YJ(NZsZLYkQ3ikxtteZp)_k%j-9dX9)MY^ifL2C8 zwUoC<#;h-WnM#EwfwNR;n;=}8eQiYG^CO(Ps=Mh3Sebz?OY= zpcYgwJ4dJuaz%uUlQ<6QHI6K%mvte^Be~DwTt@9obS}q7?eYv|-a3&sdflI``z?90 z=r@(VV+BG*Ee@ZALRj>CY@X zOPwqRk?F>g{>_^SCri`0{3YUwk&3YeoQP_^siff{h{m>xihBQY~-psK{#gTZ1~koD4GU?zXxN&iSC(ZYBK)k zuNMH5&J20K&)1*dfBU1oz4|=D{|EZdj;J2{?X2KoDU>BLM_ICN3KTThNwO=5$_WM` zPhlY=u!@VH?)I((UBaLL51=FhO3!)ee+=-aAN=85GElXD3=V#DWzPCAoY~_L&I}07 z1AuT(lpFzJb+c*KS(aPQlN`gAj%ZH0)|6h>jm*7aES3eLXkJTyu&{^U`Td7x%3kt! zqfZE7WMso|$coQq7_#(0tmyFp*w|80qq)nvaVa|$HJg6~WuiJ-kw5i$e9Bzyv7?9gR-5R_$G+bsji7Ef2b4k`T zSDa^VfJ73xO`a>1P$7N>>mQor1qCER&pD}@-_y19t4d9 zEiE!B2Ct8)p`C$Tigmsyyh&sH8$_0nFPcvP1mmi0IZ)iQ{GwM(gl@}xFPv(4^3l+B zVx@Qsn(0o}aolO5VXU=-9us!=Fa zl&9n)$C2>8K0{XYv%!x){wPUM^U+|A;274688)a!iF9d^YY_{>@sqk>_5;c9ON{L9 zM3hB53uL+oGSYF%D|otAP5ywGK$B7_Jpp22nqxGOh&K{dxq*=rskP$-8V}PRphCoVP9+8(Qy1IPsN<5h~*_J zYUP%S8TLAcoH=}jN^;ts=vdkl*;3Lk*MHD_L(CyH3bhCGO6iR|s`8kiX6i9P6ug1U zm;{E3quWs%(^nt~`XNUON}#|P^1K?-BbmH_{0dEf%ONJW3cbTMV68*_6yXZ_ zN;^%293_ZFxP!!!pUMaHCn#ktUUqrBIDOTgA5lfFUiQW549dC?`&%JH2^yXy)(H_( zUuOE$`34m+U%UVksv5oI11bQ8N^LBs_T{Vk!vZ!VDEp;P=?;4~5{2RXdb8a44fR%}SHU(LLzSc=h36#%iC(>m}liHYg12P7s?w9`{^T0nYH=WwgYFGIGGNeb=GaS5{O=4R(5RGg;;9Lo z0A14VV z%I9zfB^FEodBv{7F4Aj->X{4`wj_y;Rf{f=;J?$#5k`Pw3xid=!Y$NVFl%Uph^wJ* z+>WU@CuL^4LpW}7xat#hfs!-sZi5oB3SJFSKHMnL!c!WBkLM*|(;b)7r+#q5*DvAm zVfX}p9a?YqDDdDWVeKo21ah1R@JC`&NAh?oJ?Y>)huO~snRhlbEfNOKY%5*vsLzrG zaH>K234^S5|JCj{kX+TvzLwoVxfR8kl2lgfl)l^EA-`p9g51<#sI0RkR0!8ajr*Dc zaiC3qcg%KIgK^O$*NVfI4CT(;j*4QAIx0lEm>v_}U(wyFlZr_;9;1Y3)@8;>KufxD zFBJv`($58CB&$Gc%EVR9P#)Xi5b4VU=#=U(W)i6`(SfMYjsI0O=)&!;GiAl27Tt7% z&>fjT9QtEARkIJkR7HYp5B><)ocR^XQTcsYvMDf?X<8Xs%N*M=4csj{8ZA0b%_azN z8Zcp6jMkST`1V+ALKXZK8ZU@7)@ND{Cjounc`ykkX+68m>pcegu*30hUq4;lBLZ}Q z8syi%{opUGQ4(9^#TazzeL;}fzLbvi;o0IVz@DQzP0`#53P*3~R5;1`A6e~882ktD z?!?@ssn;kEc7ku)bo%(VO;H~L49saUWmA!pKs95O38UacN=9W=#RfMe*dS2m!@CDd z0%184C1{F^mlzMfjS5@NAwq=bkiJMWjSzWLVrWvK15v8AzQmJI43OxKFx?ECIhP%)nzG+2S4`RTdD$;79b{6JLlZS**N=Y-MOz(w<#}ix*Q{t;9>DnO~k- z6JCFKm~8LF8)KEbJ9#`YZ2|(;BLdh|fUM%70V|B=Q<5R4k><8Xx>T#F-sdKXND( zT4P~u^PjP3p2wVnKtC4$G4U>$=}v-V=H(NUjbrE}@Μ$C~h)3}*9olk4496do%X z^4h^J(rLJ+2f7v#g+!BjAQc8&%GbUpJU@WGS>2=HBJkoV!y*b|nM}u$v2Vck;(UDs z=jsI_XIs>PZ14a0h8llYKeIGs`|Hh@56}4F=ELvLe1!i%psnw99^WCHHglY$kqv`U ztjui|MLiCtY z6|npCy6;ChDtqDkjnXSGUM~Y%YTM6OpOB=`e!BVfdXSm6v}|pVc5MhN;Y!(ZjPW0i zi7GItEKK7%tZv$`2rrWlLP=C9a( z3#=i{Zq7Vn(F~oeI-T1&4PGHb`-1$^pgd@VRVSh6e;zK5w5go$(<<*MMh-=;SEX=^ zmm(R+Ga^P5{kBvI{dWjZC9AP2hYE!!L1Ti|UhKg5xCW>r4gLqABN_jL_b8=y|Kw!1 z9Iv(hB@*{8dBp!J|9twd$$uwGvcGu2=tNH*nYrfcEAbu;3LS$x*(TFQF>vQ(d2#ke z@uZEyJA3jMG6ug~|NBQ|1YUo+|FQl2)BV?fzi;oz9yMqTHY7TJv*0lQl3kS`kfRl< zroP^+q!G%n9 z69<1&TbA~iiXytG!}3H$7Ok(?BigLO)N!!eDRGX4Zr!1BbozR8N>iJUPJ+${_~K4Y z>~e;p;F$tJW{g~e?V7RUT6R46!5BG0~uav?*ZVn zGf|$5$3?Y&K(&C<^~>hAEC%HZ*-kq<^T=X$ZZ+aHw&GAKt7r1V- zCyR>{7K4K>i(oo6ctU(`3RLsOhoHx`2^TXd*!2@Bjj*v$`yNp+~lD?UIKp2lFn-dif?9+gvZQWRJ z0OPj%4AA2uftcl37U)p$fpCs(1q&mFhc2#H9=4pJ4=L7Aa{!b`gZI76A7ZxFo8!eh zIEbC^5g3=yrM1K^A)+-oWu&OSXEsD_H0~%QVrPxr{L1aD@yvq_!ZKSb){5~hasWqA zurA+%{+bxs)yxNo(uZNH>~%7j4XxKj9_&j3Mo^OrG={tEUXwgI06A=iBJPGjz_tyr ztbcIx5$!>W*3t4-2jN6!Nxh>`$yeM*o7WTzG+m)m&|tax1ZW`yPA*3KjB(n++N?iq=wt+IJZ|P*|4$T!Aom09yk>FpIQz#rd^hAl zZaH8RSd@)zaf}C2R94k@Ig)evc8s_8(1Z5F-B%RB`tku~04CW?1h_Lg z2)dSXxXwcwX`e&M<6mUg!Ub?B8QG8+#Hr3^eAwZo*`cWBvDyaWE7HIezB~v{4I0tt zVSt2_R2kK?k*pabZQV{PFY9DK0<|(nF&dodJ_wPQhV2eB!?~;}*0CzXaljzF2nc^P z8<&$sH4Y}BE{CS<<&_v*m7PpJ5Z$2ImP8< zEItSG|0HExKmjR7;r2mR9FsD-oFt6On<{-tNCo4tj!wZek9#6JJ%dg7d?zyUptHHG zxSQH4F?Hn(WK0ewciNsGQ5hPto+>`$z0@o)(62s6zAi}7$!_v6RD=nJ6~*m3@NmF# zw+GzR`S_ueA&u&L0%6CI4o3&LVi-HRFwJVaI6Pnazbi@opE8WOw$|9twd26%-~ zl1JMl`7%YDw`9amPwksRfnG8W!tS90W7ElE{Aa3={h3(@qdzeVoRPDfP@{~PXuwVs zI!Xud9ow=8tPt(kkurj=s=mq7P6~#&kEiZc&XipQCb+UIi)Y1n5c2JnXr>O#k3vb= zQes?r9j$PO`aF`})8I}up^c-&Q-Xk}v<7nvO*N6p zoiXLZSOZfu7(5$2pb*U-B2*3(+IdrqyY^;9O`tfSHgMR4fQ6vLGSw_05kwJ_7ciQ5 zi87ACF&x|4Ng=McKp2XEk*P2dSl$MMC%WN$NRp8IUyeqjv9=KmN=uf|?V6faXWgt{ zP=+1?&yf7~^$Q2336~`Rh_8QWJsG3Q`eq65paOSD#q13-anDZ{=O}2Tl8-gY_@8+_EIv z$laA6Ic9l!{@N31DALl)N|qT*5*$RJy%wQjU`yIeZ*h+P8K$u6s)Up?04%7%AL3?T?&IsRg@Mipp-u>KoY@Jn3rRQTkp}= zW_ih5vR@?*)A_}vVj=U=hsey+95YUz8yrkhQ>j?`16oKV!%V}@RmLj2SDU3fSizbt z0AQSGQ!EJ4T^WB{tg2${yVd-})va-*Ek(k+iCa0C6?;|y2Eif(-z6RqdKs|o*MJRQ zT;FeRZ@wb^=#Lk-U%!95`SLS@^7d#g+ZokUt}56Ht%O8`j1CgRX4FN4%*!_#MMS92 zu)!Ss);qvkh?_MbLwSps9Q0VhHktYtxm2tnViunUd1h{gc(_Gi$P6La$a&oVAY&OX z6OtDrRagl8!bd06Gs7bsE;M@C^=aWbo3rRp5RGBc%v@AT1V8-9*0xIYb^gKJ+cgS1 zp%W@?(Qe1ZIOyk)RF{2UUJKoD@mrT$divn(hYJOHW`y&Ds%#FM^KYsu!%eH6ORP0K zgDoIAbS`M$z%@o!!4i#8<%s0S#S%EndVw6r6uzIdyO#@A{eam3bP?bI?<{&DizuUk z!gjMdIy{1JT|tt!Z*fub1O+CMe@4_q{yAdEh>YSzApBmOog)fttzj5NXGJ|)lwC$D zzOpCQ#j>^haLdyq?*lXCP877ZggELr#z?Hk0FckbCmRV1)&7D+ zBNC?ptPo$w1rEiC4i_g!$5!GOZN(W4Fgh5Fz;wgYn8LAqrHy*2LnLhCp=m18s35b> zSrOd#cR1ZZ5;H;>K7B-TnYw_^0*CGL1sFomp?!!A%`E0DUcxkD z2J{>qUnq66G-8ansr{LEx7I6=~m@!lhL;q6m|LVn!xiUiGc&jL9BR6%<8E@E3(*Emg-m z2hmT+UJY>C6sIT|@n6Xf6369N(%3CH=+OFU=lXSR$aci5UAPEwIJP5O1fEtN?dpp{ zNfP@nkt)zR$|3y~J$}oE@v>^w5b>1b2p6~uQgNt{7e5ouE9)M(K!keZ4G~d)Y@J&|FAGhT=EoreB6Zf)^n%}&Rauds2(!hcgG+$ zXt8bvXlWdQ&RJg|{Os{S_!&GVfu7+NTOeX5B$M?FIE9wOLZEQPy@YSXx$_Kx+K4fF zIgBAjs1qGyjK;+5u-SQyK9|u-M%{7wr3+MZVL@`ZQOL{NzcpumxhbEPV!zG7A z-}yH_6k*V02&n;LO zVZT%&r-CSXm~EriVeyiYzxFd(2O`}2FQT39a6nh9K;M?44I8g zA}~u!u$@4a!~JM~U>8j-G_Jz<`~XKQLkXg8#<2ilhRXugvG$XZ#E>Nj<@2H`vv8U* z0^^`sWC8kNQ#&J-E$za@DJ1S`cLLQgJ@ldSTvT%<~+?4&cQ zl+It2WfB*bKnSjj)rRy-)OHhw$fnPMxD3+t(goaI1>!D^AbenZwN$H8?4Y(b()eEv4L(`lSv{7Mf*kW zb()qkX1AzTtu|MaJe}<_)ryH;XPI{3?aA(Shn;uyP7)S^Rf`=Q(QF9?au3p<6=i(A zco!Bbxt)(y)~Pm2mnj^E=$RHHAu%UX&9{*VFPi)2lRn=7l}8biaTNO+jsgYWlkJ zMa#kgp2q^G<{CI9VRQ?!=7?2UFF&f@8Hx@5ga5ILq93N%J`u+Xrkk#|fHe3rf(=8~ zsj~)bjcYH-)|jDXR%XHm!_sWdump*=(K|Qy_L?TFa)x3qE3d4|55hfz#Xo|@A5dK3U6et}#R#exR$Gkt>eR*w{86=;fb!6D z!R5pm5p+R}D2L4P;2+62BgmbXhUyO7-;?oM2%*H0U`P$8UA zI9c0lJ}|*=-g6)M4NAnq=b4%;<&Y7kiAt=Mh*EPDB&+MZrkJ5$4_w`SxR6C%oggB$ znol6spx;l0x1XS{ST}`2jutQsYQ_Y|S*e&6i;c#Z3ZigyUev~eVN;ksu+mtgD;<`_ zv?E3|S{Y#C-NBP*PN0V-`Nq}nCqI>vuLO3$HnX>qlLJFUc@f)CEg&J^wZp(RXr^>V zD=ecvkH62O9MBtW_t=NuFst=*8-Y!W8Q$SYmw!Oi4>`{g$J%k8} z1}e)!KASi@QON~ZJ&2@}C_SGg;Shpu2`bVrTXUswI*EZgJ%Eq^-DDq(ATh4(ZBsuhLS`nn=KYAR&33>+nEz4nfRtm{7o`KHp z)b^%U2_?mPIrR?t?^Gw~db%qs*m+ufv;joX-2&+3UUg;oyCggl&R}OC`MQR2E}B zXR@5dg@{_acqWXLS&xYS;iqK@L)d+0-_f??f0&i8nWPPoX|sN3_HwZDAXd8cL0Llq z4wbf?FWW`=Jcp0BtMvHU^(PRgHIi$z#$nOm{t(p<6LBN&1`bO{D$4ettBU+sbnJ|} z9L58h5-!7st7U48#$zzNk6%z9=S~nYwtM3LO(>``u2ewc_hESm+42RmawB{;4=NC` zerPtadscQ!j|P?Zi*w>9`30d$M*LX)57t(c9`d@YbUmO8g8c{YJLIp+_18Ru_LIhd z%2D|VxGm2|4OEW4^e&Dz)5Sw|VPwke@hS7iryO94f}s}964$ob$RX>ZaAE**Gpa*Q zwDk$P%$j*d)P_2}}4(~e?nR$3Dr zp%O5cB+es7uqEn{JxH)MfZkw~W z+?g#bVd`d!QbKvD=x`kH#E z6mZTqZYpG?$sFW(*+K#K7!40b^Mn2U;cVWF_OGAM z4#n6PWE@2)m`jiIHZzrl)?cykIHT^zAJsFQM(*CvbA3i3!-+BdP}U(@kv7b@9<;~! zXTN~tXmjw8eB_J~%9Qt4R+AZ`ya!N{x@7$fj8;DP ziz??1MPxUiI6htayOkIZAjFjzj|F2qu#}S>#cH3f!O=%@$x$hc8bZm^B_GS#Y)=tr zo#UL=UzSm~LR9El8i3si)5o-oOTcxLYbC53hpv)0bkh4u&k`uHl4(B&j3(5Kt4jO3Y#b8kB7rU zP(c?0^!{yH7uRfj1a3gSN>(E2ro8IU+8L841>NXlEZR5xuwg+|bhdTKng;#~ktGO7 zULP&cg6#}o<8(qS8UIh>Gn<3H9cYlF{#X?~%n3dtj<+pmW$cJZ$|?a=ef%kB``d+ zlSz0|C@lq7bFy8#bA9(vjf2sLZHwLzYsQAE-R$JwRzXC+zo_X&2@CUe#&=WO5s zKV`KH?dfb$Rx2!=2ijVGim8N+87Rf5oRL)G3Rk9wKWKyi<&3eT6iYnOv34QZ`g|;v zT^4k-?rq(bZSVzM*?J4)|FT33YKF?+K{m!Zdt(iC;B*on$PVQPgB*$TCPOPMZPnA@ z5l36_mM|bpervSz66Qj($i;}RupO=>|ByidM2q`IBEvC{L4XDIj!edpa8TP7!}7vG z^ZE^Og@*`J&Tvn21#>gdF=Xq$51tF3n0Ssuv7n$O9riho<5bysRrr8u(%njI6Mt91 ztlC7mLt>~{T!4AbqO*>Cpv$d7_7Io#8N^0hLtBh`G_>wOuAz0tRh1g`1t5(Pw(sjm2R|GB-n0}}Ow z*df9eVE-Y7R*?i`RL84QMRf1m)%#!f@IZ2mQEmQep+d)^(YY=P)Kiof3QCZ4}EsA zg|Me?g%TuCXsN9*=@v~)Mv`Uey^b<585UM)GaIX{Y;Be}M}2`U zLibroMKM1Hawd)qqwAh-JP3%_*d`Q3me*5uZ!QD48%}^Y3S9=Yuw%GsIiz5;Wq}H@ z(I^BGD$z8f*i0koLe7`Y!ML~y0okxg`kankb_(gr(~NtbCWKAIqtQ6J0DT~<%UKST zN2C~sufUCC4|UG*c(LD$qIjwD9dirg`81cefuStZmH(Iz*@{9lDpk{~c5)*(I!ezu&MOQhFqa|v4 z5{U=0V8mBK_ow)#EQXB0doMS|--1@0nRY@go1By@rcu_y+i+vjA{IX{bj75gW;g>^ z4ad1@LZmV9buZ$TUM!Ar`h|d&7m;apjx9RCm}@adUaq4n6LEgQ%=W$!U$E+Upq_5T zdBt^6pQMzmsoqjEYI;HslAKuihRC26`k&^1sbkaOA?eL&iihnH9p~xxBGLkpFnCnN zH)WKLT}wL0%M4WZh8Q5|U)q^O3E258is-%>zGA(1l2yxsYdVD*DxXa#!%wlVNrUu%Nhmtapc0i=+8)pOSNMR^^y?$!M5uK~M2n9gFU&u}PGwgs`;(9B zu24!0x5t+VjuC0$Efr2636T?qtCa!p;(Cw}Tfp@W`Jt{%kmHQ42RFZnIX&2KF)e(f z9R2EUNt|I~L^SNWvMKG|Z|z#d__^$a|=dMa~iD@ftL@bLPB<)|$<1-&c>&hFm6b0ddH9lOJ$-)fi6+n z2RXmyi&xB+cZ^I12Uqng2LUCeg~$*!JGtrkN?zsv(`uEW;0GF4+fCg) z#i|xc*xId|-dkUfa6}^3j*!4LPI6SJ;1n(@Rhbx_MT@IXotmLuk-e7kF6}g7MGF&7 z#7G6NkDynr0m@p||>;G{%^$lK(RB13EB<@(y@0+z?+M!abF zF2!`3#Nwv1FdA5=V9NOIa3J!_m9tPx&||>!Os*s(c6NFO3B@{<348SeYSrUkGv~_z zGozi_6d@hHf&&)8tpu_xnf`vs^i8|f<^||hk1m2pi&rCzspk)yY3Yn`$V`T%2rb0= z@$vaTd8C=ml^lWEEage%qif(6k{!^<^Y{CYPq2V%LenqNlB<1zZA#BZ6g^oTXJ1aW z*Lo(&ix{2y(U8iTiDp+D*2fm5VVRU&5Obk?8TKzdhVfRv?rf|(YMYHCt1ey~-)95Z z^!#I=f1ndL!m3#oRd5~)XcFx&qP{@{BC3gDucS?RBd#LY3H-*WLzC?i7DBPS^VRmE zx{vt`T?~>XDH9TWU;e}ac;`gzpu`Y(q zn*r&<=LNYHCEqD`!bs)V^F8u8e20$D!C&8wG?@j8#kohqXg?;R3MYGQ*~pEjq3B-3 zER>|xGryoI?V)U;ml8{c^mjb`#qiAIvxS87ncS_6>eo=c2+H%X?I)-pIY&oV))#O@ zL|#b^&vV;tu(EWSRPbrKdhNg8-+%r5leX#&c90WWQS8X+MKfk1QfZwW{mD4)ld2e9kB0XkE0-awsF<42hqV%@E900KYClyw9Y&{Q(^7fXV`Gu~UpvolMc zk|ZCSpB=?IB;5g{9F%s4o8!gl22pERbttgR-`czS@IeA~EG!4~_5J<*;3A#FFr7ST zN4|s_A-`p&m9#L_JTehGnx-{<^73Q>%6iLe>BTOR1{UK9pVni2EU4R?kP-+^v>(^? zOAul9c&POZk=EIg3(?WkT_sJl*^7bR{YLvt23d;9#(&xMTi;2N5~!hDt1I6N zXG4E~WcUfeqd?~|7#D6$f+w_DliK9rSg8_v+%T9ICl~idXe1jHK<*;JGLwJGA;~+k zB2~*Hv}=|K{Q>H>_~&Y}BmHtylU}5%u5g!xlImq=8QsBRL4c4DQFDG4)+B25!?~n0 zlE3I0nx{+_Bg_vP0*qwx3WY*qA<_{;JWz7;ID~a0h3#l}6f55*;!SJ7*|0n?b{61- zq)%)wO`lh5v|fOb(fKvU_#3 zEefh9BmQ)iZ~B`;7^~K=Atyun=K1p$Bk{UCTG^P~#Il5LzTDgc8hQWy_U`7l>pddI ztSoeUeUD-S*MJ0Y0m%Z{q`%6nXdXEqu_hU~JrEV?Xd+NYBO`X3yBRQ&<%ZRO$l z!MkRKR&R+>S-wQq?9>%b&7k1do5K;=GvMo69hSv0cqX(=4y>6#^f>Zmz3ydSpeM_P zfah7e@(#4>fLAuI?@|J0d`2(OF(RU-3~VL*6RVk!UooQh3t|XjpQX}EXHm#8l2Lhi z9%F(XeFvUk9QuCx30@BOQ`WyvThCu`#G8nWNnCbdgFcS3ey@cTpyO`N${xY;0D{|| zt;b`)PJE>SN`IOjV}k6EeVwV05R^4W&EOL}C7v;%^9QJV&uE&Ov$EyHC(Rcoet7-m zUJjWrOuIgWS{CwJxYX&8Za{oh2IOjVZbS-jYy2mNH-6XFD!X-ORPP?mmHkliC5F}v z(~G5{l8jZu{*gY6uz$8~S|Gml5QNVv#D^yfjs9)mWgg%JgXO^4fN&)g_dqG9wT1*Y zrw`{6zn(Rx59bog49O)n4P%@K;W08yP42{@HcBZ`dNw!&m^gNkwIGtp)oHMI(qNEN zMnc?}x#0iwSqRJ%5-(u0NkEKn5(SIemS>+q;jR90_4D4ZH(x$HlQ{7~z#?fP=yNyd zBTbX9lRbKcFXAJ0YN*4ELD+F$IPq~M|Qwq*|H1Av*=0Y$J0HmFbp7& zisunoQ!zp)^aM>65gV$f>vCY(3>$)iNrMJ5;qK=D1EXUa%8S!WsCSl<2w*+z+5w{* z#uD5RVm*^<OH${}{}fzhRa45Q6n!4f=KQG} zZIui5G^yqzO(&Dbo*GjgeQ3;i{DDw-Z?b*t*D&e)a3Ok0gm8M(<^M#sJd@=uC;%mw z$wycS3PAx~Ye)6tm_eea^Ze~LI2eUh;T}%V_**MdEjM0SqCVuZqxM}99~sZUT@x_O zLC=S#@UW>*fP$W-PIsu%6jv~IWe=v7hti-_#iOA#V|W48{8@@&1jGxXEokQDkUovN zsep<>$rUumUh0I2-IjF_bs$`|uUQ&wQ53|rI`>B=6(d}dP@@!=tto@cag*w+4ZZ47 zr9})9`s17(qPIO7+_z#HkpCW5YbV(h>BgIEy^=T*u+CIWPLPw6mENJQJ z2s-UQDUB6Wk?v4M6RAuh31&|o$oK>S_6fNpFPBZx{V&cXIyQZqhi9t|R-UdpO`q@d zaE$^vuq!EHzkVF-ef-dVzPbY{1Aec16rZn);RE}uVE7Ya_@cuyR06q@`d5~!laJ_I z8nIZzh?CVTL@WeC@rVVDctDeei`C0y{fwMyv(h7kGW;P;FPdj8$lnv&4B6N-f@lRq zJ&Q(h2@XE!o3^`L4s;F0pN{CSuxP=)7%6U$5P=#67rTpp@bOW0A--Vd38p=UvmQ$m znnMvL@+enT5uTETeWfUf$JRJ-Fv>6zIX@mZD2YJy(W63%JlZtcZ9F$-w;4AJCS5Y5 z`+=wr#Nb$=h|Y@o-|%|$DfWvX^3FW9_rU{y%C+2#B?oa7s|_`;y^qJ`bEAO+#B~RU zZ-0QupciZqNuiRdXH>T{iBy8LXPacR$PGX5j>}fbD!(~LbC7jX5@n2pj2v$RAK9LP zF}CIv!=gj^tni-s0eBCo&WXmGR@3bCUOJsIeFxG4l3Cy$4`vU9h6*EDKm4(2(Hy69 z$qBL?kay&^D)ey<@EMjq{>0N%FZ+$k!Ehs^55^IO6^=1k2?fav`C2Is$7Sq{|Cl@_ zP5brk;%pnAFuz_tVi?sQiynU-4E7fEh3}5&vPaHl4s7{ih)J8ewb3F2>S1%^QJs7| zdUVR4u~|@@&1>y?#549o)d;kSN?$0^Z6KSBRl~0iy#vW3BCe`ae3g49%32GeC^wqu zop_4EsfeyGM-)*a72FwFc~ZyWkL#=3QsKvTQ7XzgiQ7eQAxE<9%7DPN^@{U?=29EM zGIX7N$WhO7cTKr9gBH%>n&CYfd0m&xpv7hPd?;&+P%VsarfplVyyC3OOQ1THO| zy#OJKo!K1&x|EF>{$7;L5Vn*!wu5q(i1cz!MMryRHn&`g8fun6C~4??UNwgEH$cTN zf#fQ_LkF!|BIpg4wP6aF0^h{9pwlClkisZ7$jy9DBSdSd?}>qu%@iH3rtH%d+&inJ z-UwRI#&*#tCz%a7u~)t;g#P*a@9np*cQ^MpU%$kbpf%MWP}T&wX^;*62^rcJug~i( zLU8S6n`tKmRDm83kIv`~ujg;;fMZr)L>|T#ohXf+Tb_~(*`qzC=pLlm7Ah1_tij%M z>8m3Dln~hG13ahQB8^xP8;SQ!Nio@DF+?e+v24^CJ1DbMMmrDG**Bp=n!Qt%E}aTl z!ij1*t9_urg{0)^$yetw>I9Gwm!PXWX*?Nla;SVy$RT|c)xpSD*#T-u*cA993(cU& z%sGVF>YesE+mpZ0vF;iTdVaqC^8ODffA9>8wMB`89n5n8E2GK*{j`L(wgEp70y=QI z$_eP;zzHbAl@oU)z^-1bVNE&8Tn?1LWihTHe^fGBRLpS!p^dgOlE|(1s5!4MUL2#~ zKyvqt2X-dvPY7jrnfrndfC6Y}ZQ1(v9~-2O@U6%GVmZ1Dxt08KcHqL|sAyBAvVgx` zv{AzauD$5C!7QnP-0i>Lwp-N;c zp;jhJDKAzl!F|l`*|BaD8F$&p#BWw)Of`i-xE&7h&5=3(eA!)_7~MCY4{6A(yZ92}Dr%Dq1#@FvfnQ zW^UPr6k)uQx=5iVXJ{0uuWI@OVM*S~EDVRCDkHj>DyF;OX<#0|E zY9a|K4rT*VEl;H3>eI?%!6_GY`L@;2Vfj;D6I%s4O7e9L4vBH2qv4oo5u%-RCbPNJNbsA*y9 z9kplt2cqJd%KFDpKXy07o>$6~1Q}^yRNpj_lCoNp(V&?$PyP*Y1ZkAWEoqurh!}Ys z#dwecv080Tl?veFDff8<&&r!_x{O@*W7++VJQsX3Z;(ee{2g^)=*mdlME-&nT~Z9n z*Aro z)$k2SN&kwlR5FNS)rAsB3U<$YB#DcaT!vq+1E(-#j-_k z0QmE4t)p2h`l_QR$Ghchz4v_z_clUHhWhcz@7vvZtLp!_xeO9_Uc~~MxkpFj#?3rn z0XgkC>RMdYGt^if72%II{05GtSz4@`kA@aPOBm38hW>u;_;7%Ey!syL=NLCzRd zQDDpD?b6FAPY6HV-TeIJ`orM;FF+&_+=ExLruHlJk%b9x0j`NEvk<}?99e%H<0S&a ztYL=m)ghipF#(lLo5d>)3Y&>id?UJ!r<|S!9FuoJ{>li|DRH-5%d97~zF0|`roT{( z&`HY+h}pb4+rA@?E|vvCimas*Be~efY4CULVUaU|>Hq67Lu@=ruQ6>~onfxP0 znsHIZSY!BfD}Qj)(6Jn7-FgIA4Szd3w2COK1hkBim;#_Q z1bvO4CiYrUtpUHqn!z~A_|!SbHu_j7@lZmEu_LUigQi&?;2_Td%XxB)XNTm09R>$5 zYUiI&++s5F+kaHi<$pGt=i~W!z3vpQP+^5W^tOV;6?xu+kr4|qnPO9pa$Dpp0u8Bd z;+)kvhp8+QvSwWx7zfsVxc+#Mqc^wIUGPE;zJ?)=G6Tip`G(BM?k< zu!bFm1J*&No>5&B+E``xy@2qOZcOpfnhc0kg4T|ZZv=gzg#KIpM#5M~-o=mxQ3 z3Iv^n)Z}G$u`r&~F=Y-a0aB(MPix}*gNk*QB1-*}^zC5-@WF`kfsZr|0+Ra9Hb)x< z@0pRy*lW?MAeETGNy%YbNy$W3%63*_49}SsU9ly{6G=sLxkNE(WJ$4=AOt-#^d*D@ z@i=Lxj9kI4&5-j&dJ7qr`qO-;jlXb!)54|2>(Js5pz=G z*J%U@aVC3KjObo*!Gn(B#wjCjT#!XOV{wYA`j(0zK~{)$k)`s8>_aKqs0G8!e(R3w z3Pb%EL&kN>s>*v^u%tnd-Wg4RpTsE@S^mIe{&L9G@fb{0U(w*<`mQ;5gXJfmvupXy$n%KtGnO}jf5qFM~XdtdU90TM?0;wp6zwJR0ejQM)`w# zg({3GOG_>m^p$=gpp(%>ouQMl4tHiN;j%k|)NISjNMgAeqzd{WrYB_$>e|==bpDvmM>rMiANCR z3qA?`DHCW#dqe>#2>5MxP*;_Y#)VU=4bPUiO;9Kd4|j@!-tF%CetUcK_4elek3rj( zm*|^>Ch`J*EMZx4nU79i5Qad(5|lfc9jGQEVjxpnfhFPPEk3#X`aaM+8n--&p5;VE z-mMoe&yGWLBI83*?t09j&lAE?;fT{I>Ix)ISep=gGMY#>8zWJ<4I zi=?*r1uvTv930FXBmc_1KmsTv=_&q*$O~4^1%wuY?hTB{B-{(W8L82vsjlEWP`(0v zltYm^be+5iVowtP5bhjMi5w?amEHI*7Ea{hgwU<+fU3%pkwYt9DoqAX|G=CItAA)V zms_6@uddZT`x>cg=?y#z^+?&*L#9>dd)gV+{gmi#MD0KvBG13*2h-yK3p*?uNrgJg z{8r`T{D@C7WDP#BOml-zC9a#VP3pUN>kZ*^ttKVEI7gnhZGXACYf-D|`pX>xP;jjI z?~m74_up@?UE=?vL2UjYH97=y3Y$c+XV!> zbfkIHH4C+d%E$@aY8UU&420QWcskM^U(~Ajm3$V!@;($X@>#93Te=%zT?_e2%-Oz4 zgHqT=z6edbL&IVF&fg;5Je;kJD$_Z7$ZS{`Rwpk_@n2N7)tB3$o`Xvk^&lE^95o#$ zVi?7+j))6rTmU@lE{Y+E zFORnr#@Vj2(p0b#Lzi3$(iN(J;vgH>9K@vv5%h+xK+>GQg{9=HmR3yjr%RHAw~H5> z_6;JtlARxiqCBxCec<@0nMp}aV&;m34kg3G;c#|1rIH*4*=W>Phz3P49~EVolW3-* z6Cz9z2n-Gg*t^#d&Gd7P4O+e208d=(5U7HJDa*@4jS+IXPYqqf%**zMs>0y|e+DHa zAoQ(xqm&~x*AF2DUjTGBaK>nYH6P`;>lNu)&fp^hB5_iaa(iuVy2TpU4r-D`oyA7I$IJ|gt@fKU{C@ON-PBpqyr_pw`#-FZa*V zl;R7i$Tr%u8P5hX1+IZKaaYJV7}mfhm9dP>g^%Qz3i2PhZeBLR>opP5TF~ET#d;bv zKcZQvm*k~{l)TcN76@8Ns~@9jGI01TQ093xUeMWvzoq>i{$AO?*Y-Cug$YcmnY%cC zvv{{dVI{6&s4u~IQDv~ffy9P;3B$1mGA+lu@g)``co}*VK^Aw7#)%n?Cg+=}#|s6! zF^oKJIW<$5P9#CB@=!QTr6%2II2E18G<;zvG%<*s=i#L;>&q#69>jiTF2?Tc@*D^f z9VQ@HU;~Z=SF7aVD-{m!` zShUs^L?RWMOl^SvrmaZAUd4lpn)+Ng+3gHP%#^HrM3*Y?B@hDeBd)eUVQS|kRq>W> zhX6dykoa524V}Vd;_Pq9dQPf6G&e)|9AjM$EX158eL!WqwxmK z{)1IVbc!bB^mya`>|Lgh-(`wt(b%gX=9Oh1h*$(t71gY`IZIcq@VZI8!DbS*c{Gy9 zgaKU!C#$!}J=mW!#V`&_WWr7?Mluu#VGN|I{e2ZnqJNy0`kY4N~ z0UJ4=&RDI=nq(=HA>p>@YA-Hxs?jS0-3&+NPNpd$Sy)jXt-emH89gL@NAIq{&=uIk{5&q z2UP`-#R(uz(^>>q^9NtOa<9}dcX@Xf6$@jCHMRqaI{xHBdIU9U8AysRgt536l=+Pz zrA3P1_b4tTJl)?|&UaR*(|D)Fuqgw`CJ05Cs@60edsR|CnrWuHY3+!;CiXfN%~hkZ z@K_?GN*O3+rY6!PMcH?rs_-ygq7IR_JHBV&MIN6IZ;BelpaiEP!}>R;jpi+nU0HP`08fcqJhc5%Kq-9>YYf;$_j2;S}- zz9G%!<*VrJLcKT`slR>wbaj98>3XpD!%x3{yC3}Um*1{FeZK~Z>ODXO0X!n;Zo!MD z09Pl-Qu=FQz0gs@QUovp%szQEJJ7S)nh1?MF&E=u@R&o3v2&GOEY4Lr)+yAsdBL)z z^ciFwOqJ?AB*|P#>0Egav9;e2Ks-be3ZD=>C}=^|(aLVr=jo1!#%kIsKO?V=p%@;* zMHsRjDBJ!HAXOs15ME2(G>Xw7Gn2t(x}pa`GnOIZN6oBr9$lgT6P1h`mNIUEs_wv( z^~v|{o(`;NGhyEMzZ&1iTb!Q4=H9TIL0C4G`Po=y(Q31F$-r_0e2(BU6f#tT9qe^R zD);Tq(YreHGmA+Qbv&}ZI%Z4*2CTbQqw<5;EQGKz>=*)0{jWLNRgGsJGtAkgY!x8` zkJcouVGePMARVqX#M>Rk@o^T4ip|*-oua|IYeT{P2c(B^oCF!);;5jWjd+j4(nfP3 z8LcdDeV%O`e){M%Nv*K7fdv-& zC6rsDJYuyaXBBpIweeA2Kq0^+HmG;?xD(xBmcYd=H1ecHDdl^3OS6c+U?5sAR61qD z35iB#GFmwy^?7{?_D&>X4t0>UVkDCwG6`-CuuhzukOcN;r~W zKHmJS2m@+xu_`_k&n2_JODJeIT+l@??61>n+N)wmt2pUF4Pvc*OCBdKKw`9;E-+`# zUqrv4y|O4uBg+Uh-So}M1i75-v9;tOZW{h*&zP|qQ;p=T{ipxq?0*bMC z5#SbZ;B#ikCo8=`%;MP)Q$ht=!d7F=1eEw;M8*^zzJ!8}3}ccew3r8neFqq5@ux8)JYhUh9*0%Aws{7T!#a8Njp{M7-pNjQ%ij^#kX@5?X7upY0xkeMEE5qd4Y z%=8$|JdBFl9;m5fM4Dx;BBGfEXY7n3B8p780Zny=+=`e;K{+^h+@leck!BS#8@gI| zV5Sc!=G_p02PSiaEZlt2Xc05oz59a(uOS4*Vh0p;WL~_0Bd%^J>dagtJI+iNT)vi9 zMDQ;t?vTnF9BXG@j~$9TC88@=0OnXT9|&{^DfZY{9&`)5fqoyvBGgUez^Rh55M(@v z9)tw>nwDOol(FWMRNUFPMUeYl`1V?a2X?Of3?#wc?)vuq*UwSEnQKuB-7-u&f?unj2C$Npi`eAmsFjfrs>Zm6!DqNYRJ8 z6FV#RX@hN`sM7N^p>?9pYqo>+s0jdM=2st$V$$yjwJv#>G$K>}4D?c5uaHVPL#f2b zU)(9d3qZZYwTqaPk({=CrqBgSeWLgb0JB73dnY^Lg{*7Wr`_rjg=xBc;s(|J3aX+b z^4C2$)iM2=ap$bQ>4;q&e3?5$>47x+PGT6c4)v!eo3}Kj(T(G@y?9Anpxy4o?6nw)HLHRP z0U_{`-}fNQad@WcXyw7`^YrP=`;fE|VG+yZm^tEuHUbw%P)k>@XkZWn!VMTT8PAhR z4>|Y+L5t|n-g4&0w_H;}fupU$oe9VKf?W)q)m27x%OpW*xJK+_e}>X<-HaCMLF@C0 zHkdR16LTh<6BO(QE#lKa6!&UO9P`iO=9@`K>gK9pG0|5Qkw-8xGV>a%h5vZ`6JWOS zQ2e0FJ22Y}gFa6ycTXlfHJ1th@eGA37_nwgCmFZ4o}w7mdK%xH_J>kP}eGTAX4 zr*2#LNKJH%@f$~H$IC;(slT^OKMq-sCdt#FQbq#efr0TMQj@aGfKbgOP$&xZVdEmq z`_^Fo_!7*y0)PRtZm{w~Q0wtOb6eT*vlPr^ToxcuA$1oiXzi8_Hxvm6!E6d!8Ur%M zWy|Dd)S({V)1*XT@1Bm}B+tDmkW#0JE=^mZ`UH`su&oc7Oo#nRmRq1Cv|9{VQi@0G zb(hoKZz)|6{8%&xIaxFZ$Q@1s^Hn3Twk-I|J7T#GDv!uX<=XKyXiIivn(nk}Qpo(h zeECX@&c!i19Kr6u%W=tO`-7PmjRBWKXgol?dT2cCUat)`JVb4%@+}d1pxI=GF?Gk| z=|K6dKV5^0_YrPKYEtDcQ|&rq#_?=T)q^Ik_|{XJ73S}tI(R;29{FzI#&YnjN$R2S zaxFWZ?tK(B618eV%a~Wi3B-uAj7w=%;>F- z>y5Wq;Y|^40n-u5x1b6ZR+7^@9;T-kbU4Ni1hZ1>(&1ail|#Q_D_GYnL6UK<4xEt) zVz!@bropj+>OhFA*C%P_j)URHSj4fJr$q>Wa%f!)Mr`sG;8FYj)Ax^=CXyD@E!m0M zu~h7-=VI!G_+cdCyk4<5;@~jr0}!OD%5dUN_~0^ z$002x%BM$-;S_WlriNm6&~P3Klp_q-1U2hrb*d3-8+FvUp~8B^bW{&H8HUxUj8&GQ zHcQ{%84wwdoLsEf3T&I(7%K8B2xZdZE!ZhXp&|GI3EnvAH|Ppt&nUl~S>t+Nls8$h zj{@!-npWzr@PsNaZsAkXpoEYKr|J^Zg8i%Av##fgwL&#&Uh9)D!x|RXE9+Oz;426c zBCK+u70?(l%S!T&5ldM#yO`rh*%uO`58{R4=ZSd~s5qOT3+bzz_cQSBOkH>Y_5wh3 zSuA8QoBlYeWK^J!U`Otbft^EH+3QLcUhmUMghQF_gg4NLC2&%$;S`Ex6ovLe4d8*7 z67QSfziTgBOcQbwnooOsosfEr(^@$19Zv)%wTsjB;F-VtDAcbERuW$y-9T#LWVq}e53!LwNZ&CnDC}bt z)x@t*@8^C~*&q%LtjGCIZAi~boj`ywX2qrn{Ks>1BAKZAhcYKJ15=;y{#uAD7|Zr_`{qPQ7xnJ&lVW&rABG6hr2+sAOguRa*veS^mZ`fATX+f!=e1O5 zvD+|5D%xg!rcA7L-e<_bjNwmVZBYXvl$8n2qT+ysgPObyB8%?7e)+W5q6tac{&e^6 zt8e2G|K(D!k=7+s<(Lk10$t|j@rU6WeTB$9!?BC2x}2Ci(5)O1e+c_Jd*=l{^6Dt2B&JgFKM=WmziDYpN>EPc|T zgNa&!Ov_p!+c;L)kIEh7)*;jp`oZaMpWol#ehPxei^yk>Cnfc@uD+VOQc|B5OV2bR zrJU;xh z13(kCT6B$liQAy)Ckh*x6&&WC?g)6B{*lKr_gI8OH7#tIm_Vz?p@V z*0b{?FD{d2-+*(p%ak)fo6(x=<6{OnXiu^yRN@V$u$%(9t z+Tp5tvC%9^HoWWKhDAev21Oy9f^=5VPP>fR3ODQwP7uu#kO{h5ThivFZwN`c~ z!auH5)P{5eqQD(ZJVrj~I;KgEfJ%FWN1xEeoTww4L9GrdWs6q#F()B3T3W2&6vVC} z=Fcq5CT%b07AEoLzHNb+?Hx*~rGHz3GYTilA4Va_qKyL_6aX=>&MB-lX-}gAJn=kt z_=dmCXq;DK3Vl_~C@=&0%Buzxn+Up}N z@T+-0jD$C{W`j=915iFk835QFm$&oRmV`>FXdU#P?odV2RwnVjpz=?Myl=mML2_JX ziA9d*8OyBhqjGYH@<>lm$99R}y?>&lDcu4NBdUVvb*aNr)rTv~)iY6-ER{{7-blZc zMEukz=n9BvLc}T08qR$D#Mk#Y%;9u^mUr#l_4S7*+xGtRw+}bBgCG7AAAW%U8~F%d zzx>?ZUVps4Mc6U{$2blu|`li7|BN zlgZN7hIL^rRa5v89*xTh?Ol{HL~b$uhHb#L^P@7`CK~i81`dlJUBm~ggM-2J$MKI) zyN1ndI2}Qz-ClpXzPh`9I`|iSH2-=1`KRj-AFe+O(c5VCA6>Vac9aFlvzxlvp6gcA zeFsKNrADvQeP~3Gqn9kI0@cXWN%6xl=-MPKC31rhuFfSFh{XUof@u;UHF)XZ{-}HD zkQkSF=^~#DoDe9V@S<2;rDu}-YHBDNpOld2^YfwbgOnQ4nKs%f{M8bjjkJpRX1O?n1OEE1?81DId^VM0!KXNNc?oh%JPG z4p&lY05>pt1e}7{jr`u(kUgNrYM}(Dt6I!84G@TzpATrSLRWkycB>Ftgn@x_mfc4h z4;yBFYN$%GgHeBOnvS{Q-ghLWKBhk-aWz+DQcKhWqsGa_!_CUcXAP49#{}=mTpZ-I zGgUnXWfiFT;UR48${J^Xt*^i(q$ZwRR6-}W&k55w-fXX{@_{6a4q-{y9nq-eB-s`fo zk=U#L(LEj?1RzDS2*94C^227gll;B;kfA!*JkT}?aqs=p!TZ5q{xZNRvySUywGZK8 zX`5Fs|0@tWZ3_BA!}%5*BHLkdO59jIs1=3WJ$Q}~2^&!nA-_(dDNhD-q9>BM6Bj82 zE0t?B89%y26TAjZOjK-zv&^C7M$*Xlp)NFo3BVWXndc90eqE9Ic!rKR{Mut`aOC#4j1Fid~xd0O^lTX*46V?PYs*di)ML zyQy@G*l++6eSwOHQ0e5Qq31Bei<#G7?!W$0b21=V{^AU&dDMY+mnUqgfv=9zl0Uc~ z3jadxYdR^9CjINy9UFX`Ee-WindbHlN^bk}?bq+$U@zQ)reYBs`YiIZNuMIu{#TaEWGXXv{M=R?!L(UTQC znby~)y+CuA4GLJm8HN7`E6%~|4n!Dvbeh{UqA>@@)(uQ08l#EPNt=@f(@!^_P!$C=- z84#F7iKN$xT~CJ01+zd`_M|-1oY^B1rnH61s_me+$X^4}%hM$bC>>}{^v^70vNl5= zH#vdcel`dN)a6I&h2>s^_S~p10iQobGR-tg$Bkq^oJk2Ay0PPXXBUeXPse!TE{kLj z6ycFNGclPN9f5;bvhA-a1qG1b6}UK}r0^bS$?f&+ZTtPpr?2mSz5cNG zjehMrzm9)CAuclbo0euU&f?0%?h>Uh5ET)b7+1eONq-PUMWAzCZmBlz+Bb{yQ!Sz% zSiN-~>}V5=%!Rm0?$09xH~yB8-XXkg7anwgg~H!y!V{#^Ppgd z&saT3XKHsYv)E$==0-5~3^>6vATN!D2_1D*e!;$2Z?=QI+Er%=-7(oT6IG!%TgK7m zQ7z6m+B}r*vAUaproAqehLvLpEV1?M427gOfGhac6eE0F)-Pc58^nDMx5t+|1nPsc zA)#^w7(nHaXpM&MoxeRrc$Iv!C`hIK%Xmv5cqJe{3kd!2cZP5zn(!|@vqwKxb= z69f-ON4{7pu_j@IB#`)Ys0YO+*GcJeulHG=#T-B9vjwR&dU_ibiIk zz^vMy3CTdim~_E!FC(&V$`xIs{5dg@5I`DbZ}g7?eWhi9Sv!y6LeGe%GIfTopO~8P zFnM{~_2KXkC7J~w6A`yeqfjP@X{AqE_Y%i*wXzN%;5ST)*qM2&X$$HkLDV zQ;lS|>^Nt+LzX(^2?E;WD!iU<2l>lU`;zywWhqtk2W(Ff{UDwOl2l!S|^wqWDbieuqc;NWsTM{s$dilI>pk37`ZMCRrLy8g-T zckABy?!$6;444`*GdkOpqxD$6 z!Cb{nFY9C!)jS?jpgd4lQzkHk9pZ-9dzd5Tq=k_MwW_V~b@%7K4qHW$VZ zS^>#;FBB!?#i$9%3_ONP$0NYmi~yj7k~+HQZ_mzM|LYFu8bQZKQ?>Oa)~F}UpTj7o zaLGj?+#_c?!4sU!^&x6Abi*MQ*31c8oE#m$3tW0`?2E3E;aFA1Drc)Ui??4yZNrYRo@Wm8t-qhp2zGMVN~-K`BnUIN9qxJaB!u^2|LG^|2F~2bW8a z#xtHjF7w97TFOYVgzMv=q1zHTGB$b8EH|po{KmyU+_o77(# zV-Lo2i9K+w%VV?7(4YN;cnfxuF@OI*d-nk+MU^gozlNN1&N(x54&C*3`<$v@;dJeKclZ8x@80+Bupjq3Pj_{9 z)u~e_)v2n#yx*@ecr8yQ`Lnmohjlfh~01hn>j?5vE&e=&ZSVP zqn~BFPU)Uu*n(x9?k1@ zXxgZ@bVeN#=yR(Y)@swERl7#g;%+XN&B(p4ExlBAu12OzbhOTjG+#H9PnD8?Oo`Or z)sycp? z@1-1(T`!Z4)Hy(PGHlq;(Q0r~J;yC1GqL)Nh?I$sk5}WA>g67RfddB(3k-{tdGfaT zi5})mEIEtOzE%%;cw-y64W51$!JK~~kE;5UVnFVg@V7cga#sbl!x$ZE(@>p=SBIn7 zKut6PCpm-$ry4jZVlJT%%r$M%z{qa0P~sku-j$Y%RaH~ZJf)+CtJ*Y^2YKk@AWtgL zKVRgpRHFaI!s;k9`Vo40ByA}D2_i;E)a90EqZ@1b9-2bGu*HiGxSZj;6EnPANR;uj8ttItH47steOp9vJ(7gAT8b7A7 zrcScyBUtMHo}}Vmd-JcP-(OwKNI7Y(>&1Mc9+DL3GT-)3-uR=e`-{)%DWJ;0M0Yfh z*Q5Z6O_n+>YPFUbR)5}{`eT8=vMqo1U3z21?2bw{Q0Kj9Sj%lpolbLDiU~%l#!#&T z)s-^ywviBOtU%7R8z-DqZ^!5YB)W01JZQt!l#NPs2YPyzbZ?FIO!T&%JTaN*Ng=F1 zLFT{8Zk8qWuac>dbt+56IBY+k>Z;&3e!K?*TW8~(>z@>gjkLt0E%6vjJkAnNu*6SU;;xC4TYj&5oLZkOPnPOwd4KYg zchn!rwW*ePx+Q+v63?>4&sgHQmiSpqyucDKw8V=o@e)h?f+b#ViC?tDt1R&vOT5++ zud~FjSmKSA_*F~1#S(9`#M>?LPD}iTCEjg`_gdopmiR48{I(@NXo=so#P3<+4=nLf zOZ=fFK4FPZTH@1|_^c)V#1fyk#GhH>FD&szOMKZ9U$MkrS>o%K_!~=n(-PmZ#NS)u zJC^tdOZ=lH{@D`$Vu>GE;@>RsBTFnrRHA>$wPcn!g(Xg9iPKo(be1@SCC+4tvsmJ6 zmN&t&x&zMJ(?Zv&1DVaVbk&#uAsa#1$-Yyd}0-VuvMmSz?bR z_F3Y}mbj`Vu5O8ITH@N4xUMCxZ;2aP;>MP^sU>c1iCbFY)|R-fC2nttJ6ht-mbhnJ z(?t1O)yT0md@{{i!pD|4DYT3+-hE+r`3yz z(`zGQ;tbj=F>yxivzR!OmN|Li@5`*!iixvmBVyvL+Lo9&n|3WG&aUN6k@)*^Xsu%6 zoZ5t#IG6TnOq^T$EGEvQrA?Xm`|@hum^hyniHY-Ti(=ve+Pg7vLG6A_Tu3XKD)ILh z*4o6xMYL%#aZznYOk7O691|DUGN(@beI>MNF>y(4U`$*}TNM+R){e)-WweJeaapZw zn#A8yuha!eerWlo#;`zmSGVq%-tFDABY%VJ`Ob~Gk-YQM+C zF0FjJ#NY4MI>p2uZFWrT)%M23KJ7+K?AP+dG>9u}^!ruR!rPL+Z_`()UL!M(W#+`+*Fvit6Svd`$Hc9)RWWgE?Q~4s zMoX3@@%OdW95Hb_EgTcK*A~Xa9kjzSaYyZ5Ox#H;nKkkEch)+@#9g$RF>zOIPfXlR zyAcz2*Yame{Cz#NCNXhOZ9+^O&|Zs)gW8ptIHYCEp7{I1TCJEkq78|OquQF7xR-W1 zChn~z&yo21`e>e*xUZHF6Zg~B$He`$^D*& t=;vmPIHoX=IObrMIObrKIOgDyI41K;9Fut^j>&8i z$7B|XV|KR0F*{4*n8cPirzO2}S>oK5IFBXHYl-t&;{2AlfF&+yi3?fc!j`y*B`#`- zi&^60mbio^E@_ENS>n=`xQrz(Yl+KQ;_{Zbf+enKiQ_GCB};6x#CA*Uu*6PF?6Sme zOYE`4UQ6t=#C}U$*%DW=#8oYEHA`IG64$WAH7#*1OI+I$OMREn3rpP661TF%tu1jIOWf8Hx3k3UEpZ1++|d$u zvc#P&aTiP6)e?8J#N91%4@=zB5(g}C&=Q9%ao7?^EOFEl_p-#jEpZ=9+}9HKv&8)^ z@c=E26v3(%QzcV2ZHQJNQIV`ldwLQ z!}`c=7UXg>{q6{I$=UFMavO{%3k;TfG^k6I<+6m~gNMm2H00c#HgbR~-WKn4J00PW zGZ^&y>@Kg{7xjC5@&rYXCm`qZ{o$~_nB1Qs9EryFj(7Uw{r;fa=CDT`vZy^24%$8T zkk99j*t`yp$K!SCACP-73`?B6HYV?<=Ax|M`{(j{x=b@**Qx8ED|+QJ^MBP>t!h=#n;klSVt$>pkQ1EP_@ zu;DUUO8u5I-sh2T4n}N2N5n0w=yABBp^!K1i3XhZup<;OeoHnbAd{=~JA|kY+THOE zmp9@Lh2^Ffkx;K}o@c;e*vDZ1JH`#Nmxb!akSJ6|(sP zPG{6D*U>s%A*U_u2=WO@IQbBtkhKXo1G0i4b!!ce#}N#=-F|1lZ}UccF1!94Pd?yq z#)sWbo68&U_@b_W&n*vkjrwdsm%|ZuJG?%(+#E$EfZCVC6J(Ev^+a&H;$?xbClHcb zl=_{%h%0RK`D_7S#2t3JJpN$7rhjbM;D9_kP^FqLKI#tz0?|M;5apYXdQ0hh<_lkN3~+}?=S7YzFYzF;sKak|{Dp#F&gLnDJ_ z@5m?I_V|D&5b!zOo^Ukci3I&lTPP}1E+U?Q%ViJx70I705QBkC~|ARHVtsK5M-!yj++hC>mLU-Glp=aV#bxq{xPHyF12 zT|U`-(l{_6?6CK>`vPif-0_jH-R5*iW^si4UYFbJ3_JZnzdICgd40BMz}WuKfP_8? ziSnn@5$_7SeX`4Kvj6P?pTi%JT<-ALB0fn0chqMbVRFZlNRNgsN8{&ug+gk(Jn@l;I~bMR7LMA(vY#S>h)wdkH|z{J zqESc0I2J5FC0BjK`y_`+who89vZfJ-tXt3-v^%0U$G41k% zeO{+081Y5Il3&8^NKlS9m$8omVU~6VY@x8*9|<^o5wFK9GyT0DU(hF8@AG-=VV}N7 z!{&EKopQW{Tn=~G<%@=88IMbTEi7pqbot~6FqW2i1IDUE{eHV681RK;!y^G(RC0a9 z5e!Lz;kP?&{*bYF!f<10IZk99-JYl?BrENV*o~C*+w4v$LIPfW=|~^G+hq%d?9s5i z8IV2TaLQvqWLsQ*pDXOL2W-Y>Mn=fPHH~kVvcMIQ{S^&_<$#caE@*eV1CmuFvjtr8 zhzpf8 zb~zL( z$S;$f9X7wi=WsftkPSLrMz)b`pl-lve8OdqM7<%8U2?w9?zefOQtL^H6tcO3cF8?P zUG`*sJdz5IfWsr@LckRc$VEXRUsUF~MM9ydH*6G=!}KFu4nCLLZx5@gjes}_VH53f_-74fOf>Hp5r0w98 z3P)8`AtU|5Pf7ug6y{RX`J}FpR!2a}%Aid?9g;PRNM1A2B>bcl@C711PsHI5dL*m3 zBN127C;36XMA`x&H^;SE3V5U~5{k-?hdh3dON!Hg*RNVZPG`_*3wVqIDN!j9^*UWr z+S*+XmrKenSqX1Mni_VQKj3kNqedG3Q407YE}t9~5xtclUmx^KCYKE0xB1;RkKNe$ z2|=S6Ffv!z?uvM&h;#%!4!_+Ma62V^0v^B9;}6J68r8{@QotccgjcejBVu#dNhx3p%Hb83=9xVt8!n}yB#}>cgH(4xsZ@-ujV%S_=N%rG9CLDK zPq!y1JJaiqNSi3&jo1S699~t7Nhx46R^A?T*+Vu-q@a`+PLDh6cSx?2{3c;U@`Aaz z-B{e~lxo@O3P^tU=+%+etJ<8hiav)u>@mtz`F4k~xa2*zY-A`L@I^z?w6WXm&S+Fs zOi~y~t~9=#LM9qJrCRb!&XGe>ReS-L z+*r&WkVbAuDhhkp$Tm+3nLt>MqOcTl((v?4(=6ijOFs8Yk>GL$Jz*LcdLcu~Nc|EF zNy5o?s#Q|ARf|aDS*m)Qs&$Mb#3+_X9BE93{VtEp>6Nm^CB;G5=7|KPppmAg*JC#_ zg7FE`Cmixi84(OR>4`^zpr=?L0fQfhf=z9lYS-IA9>VW+Auqta~kI6VHaI~tCv>PoV( zkygV(Y=i7r`EIG1!v2sv>(6Ts%WiY2Tk}h@IvwWHtiS9wmo%1q^7SD(5+lK2$R*7T zhZLM%Y3>;Nd02>bx5@tT`{akc9=G&@0@Bd&O5v#rF6k&mjiP5*$itRKr8JQ*k~cgK zsoA1ISI`r1MuH)a!yAekdu&+9Yb-6bq9bUJ1f8mlElKJO%gyPcQq}vUOmmU>rD7lh zNe3okbGW5RD@{gUP>%Vi6Jd6^iYCMyUo~1PwJh3PgQ)rfE*YBo6YZ% zRS5^B8R2q=B=^~k0{lt6Bh{BQgry+yNq+GLBhrpkTN0Jh#3k)mD%hCTxLvv<9=R8h zv^V`xmoqGdfpkwIQh`e5cl#YuEgBgu=2OyD@Oq^bmpyNjD;k~BwRC#}^7)Wd0AcCb z86`wQ;t#o9j(D#$(xNu6G+{z+m$cWU)|dU~m;P!XDn*QugN&}J>^fh(v@4}u7m~w7 zTBi|@H1eH6zg;qw6u7F5tgo8!9Cle=HX~m0gfzs5_OOIjjMr&Ag^ZplMoscigGF9)S&lA_yK zBT2NVYHqr`@gYe^$$IW+K=p3}QJ-IWUQ%tyJ%}BippBFr77h&UrB+G$?Q&2`MJesa zkVC3er&QJfN5rR&G?!00<@zS8X~t@c#}|xBn@o1IO}e16%NZU} z_cK%LBlTRoTedCW@=L!d>WxV9c%_plm8&mck2qy2ztQ%I*<`m<3Iu8EtIdx1WO*sc zSNMY`Ag=9FuE*&gs;|3y96Wb)81f;d1Z^O_?#OT|5 zWzPj|ZfTKuXJ679Af(N=0><|@ey^XOJN(5ZkA+_s9maUX% zQFf=BW>XJ&sC}P+8Vr#gEg4BRK!ysWwd$1JDf?L3ds5znrQVXdQU8S}AMnRZ#&${> zAT3~fQ0fd{KrZL=g(I@b@;%Z|(wFZstj~amOnFy7VT)J2!>H6e(uI>@9j}ynPKPw8 zBC_A4^UaP^pGX)SQEhIQJzgpqw{$EbL7Vit<>q9P)1{eXmkLiB)}Dx29rl-TDdRVS zL8&#o(y3OX69MU8`=tRIa;qUH)hjUatC>(9zx2&jXV)nWX33k<_Har&LH$pP)e)fJfTf(k%7MW=jDiedi~cRX==u_IPPzrMc~q zf>vKcnq+pLY>~7`WV}WiVQy*Fgo9E=NkI|`N99MPv*j{wu_eEd_y$|jQyUf;(8Ks$ zMdCOA`aQW~Kk^s1?9#L%GA5fW5@*;ePCsLqOisJ0GkeM8^q4v$r&PnVN_B=TnFQ)g zQ!+W7rp_28lhbVK%!+zno$*krGZjiT!mm^#?@Bcyu2dt{N;Sf)9ElTf8qUI%xD(&S zqj(ZO!7uPC{($$fgA7uu-yMXja5vt-I5`EYmUG}(d=B5mFEC?rWBHQU9$&d*UFRfOGIm{0<*s3OO~czE92PQRc&V^kX;dhhuOWK8wq6Js!l9coDP6DRA|B z1+X0Yus*iMAP&X}_zW(?SMUuyjA!vjj7!UQV?ivBoiKt4_%!arBX|w(VO%=C9}A+I zC#1GJghOx+F2fDD3lHNN%pg+&^!n30x#e#e2D3! zz*66r7t5j_8(}9LiWz0fg8n%yg>I~iJ#hez!`ZkK58-M267S$+%p_AI)bAF=3Rndj zV`uD(V{jI}fE#fy9>p9oIne1ady(Bi%OJ3IB*V9#Amrd8V-%MX=Ht6jx z{dJk1q-H>={ZDIJqN|`P+PbrymsnVxuFDWGvH!CY^+AgJJ+IN&yHSL(Pnx=iM ztgdO7lr=O>Z$H-5w0kP9rD?w_YfC;+?Zi5=J<7V8mR~75r=+sJ>^Eft*>B2*(vDU( zlH)_!SlZFbCURMyvZ?GZr5rrNm9lduDqCpUOl3<=)7z+j2cqF&z0a@UBz#k+VP_3~GiORmZ*W+MjXOEI0*IfTb47&7bfxg44i}JxWiK7mH0AlLcM&K@7qQECLY2g zsF(M$+{eV9gB&IcaQj2e2giR8{4nf2eMpN;@nsei=$pY$a0m4-B<n4_QvHKg1!tJ`%^{6r71>eX@vn8JhLVdg9Hv1NYzoH0zgR#Ha8a zUcjq(18?I`_z>e%IV{^@);}4Ev!h-Q$@_XeB$nj$@@PkM97(T_WVt%L-UwS@JM4l1 z?1cj`0Y~FRoQAV;K0c2t(5$yM5O2lTaUZ^e@8fYigP-ChyoTT6UA&LKV{%nrNjj+O z+?1IyC+hW_yk3;JG*(0>`mqMq!zS1YJ76~qVILfX!*MK5!WlRRpTniN5?{tm_!{oQ zH}Mc2!H@7`{2af;ukky)hri-uOqt3^&-9oTb7Mg)j%BeDy0Hq@!Uot3)%AjEzjeYM z7{Pux1V`d{oPslP9xlRVxEj~vW>nWBs^8y(2ks<#MVGC@BT`+*XZ~!LYXq<@V`0H%q`S?7pz?X0XZpGJe zAHIX{qq-K`X{?A&^kWUIhfS~*s_RA7 zdUwMR_Q63o9LM4$oPl%jIb4b>@nzhEui-9y6XkH#*T>pUmsQkXFN~$IB1%_Tf8T7M z*CuX+($&=8?|{-3)Z++BS4@wktE4Mk8r@0wG@9-4#l$OcEpEc?Xtu-OCVn4J;K%p{ zUd5Yu7k|OWn2L6z+3r?%HcdJi;*F$w>y^7nQy7FDcW;?q# z@t^IV|874jX+Ih1{LkJ`=6S;r?6>ha70rIo0%CnUN%r?D;`O)%&Hm4R;zM{8PvLnq z`#sl*zr!E#Ats}JZuWPw5a-51sEa(oFlqS>F>O?&{~!{c}s&3?=k;v0Ae^>Hj&zemJo|0M(M@9dZli((lx z`z;<~eSAy4uP$*DY>j4rr6+MO9Eihl9Gd-Q&>codiPjfy&CgPk}0L^|vIbu8dum;vgvwzT*xC;ic4-Q7NUtrEp zn8xeR;B)u_n*D)Sh_~V!_$I!KWr!w^4se^K=L62KuM>NM%y#WRnl5I#|FhHQ|8+e)Y*#g` zi%n2YY3cdEJRi`LxEIQ)4*mV%D5p;JcnZ$K`M3mE;yN_P|92Aa!-IGPPvSYeh}ZBI z-opoIj`!>5JEeTgO#4mUK~0sH1ySAMOvUCnzq$jNiv3s<8=$&_mwMkE-&c2-RB;IV z;t(8#=D7ZJ;yJhwm*E;T$Md%l@4~n6Fn);UIR0nE)_h`(|K4)rpPhgEv44i(pRL!Q zz5ch#xqtQgj%2-)&VL#C{-1UJYYh2z5puR!8%^R#W0O*ck)Z8_n}s>b`iYoEeW(aW*bM z^E}on;`O)%U&sAup1(RudkjENGsuDnwioE1(lAqj{dH zA#n>d=lymg4x@Q~YAEq&dhZoU2uXKy}9zMW0Dfm@BPlM+9q+G=2{Oc0LB8(Gic5aH_sD&!|S*4 zXZ#&g(62Gi4`n0Hi$$h*c%7o z2sG!hOeLO;3vel}LUaC#x{t7`r(Q>WUZli_h>xNFm=?|X zlDUZsVM(ljPOOZzupzd<_Sg->*bj%IIWOfY;u$y>7vXX==c8;Sehqiy0elb5c_?Rz zKgTP01Mi?Y|Kt&IO6tQ5m>u(BQ7nU%(1X>mE;hl|*a>@LFC2*GJd<(6Q*ai}$0caa zFIh*t8F%77Jc#DJl9R;e@FHHrTWHQFc|aUTy_p6xqj}w#Igg|Sua`#$`mrW9z~QJYf*0^BH0OK#K>RDJ3nx^0ml`vnInTqq-mf^Xo7er>iOuW(%=sPWb%4!y z-@G2M3vm$5c^&5Ufg^d{yiRZ$v3b3qIiKSNUSEyo^@Cf9&Fcuwc^vQZ`Y}9>pW|T2RQGdN`FsH`#Z|Z-x8Uoz9}nSCJcZ}+5?;se@JD=z>RLdx9%(TP=Eg!;5-Xq+ zD`PEeh%L~(ezY5L82jN+9F0%m44jLLa5=t&8}T*Vjpp^I?-3tIbzgh6y`SS1yn%P{ zK0d;f8H~?m!0eb0i((n9gdVJhb+HMyM)UgCp2WRyAP&cII0a|nd|ZMnaUE{PowyGV z;t@QF=kOw4!&`U{A7ETYBYo0fX3T{Ju>_V!2l}xlHo)fC4%Kr3)cy-$UmSv?a3W5} zIk*s);Tqh4+i(}Yg@^G&JcH8w(bq?^iSD1B@A$LjWK;Cd{k`+|{_K0q^A&&V{et}7 z-@9CKmM@F{>hm6>_??M39p~UeT!w3K18zfe`y_kn>6;z%VNooD=5{5Ww=~l0|N42$ zFBwPq25;lf_&cUxJRv=1!@O7oOJh8`u`1TV#@GrwVh@bs033#6aWc-tXYqM_5zXt0 zUM1dvd+{Co0L|-(J|Vt>ti!)i(N2?eQ+?2 z#0fYJpTXzw1vIY{dWG05FaB0JUYzpcf2utE?~eDbVLh$o;x1l)3lHOmcm_YiFYz0^ zjX&e>n1bUaJ!ZqaSOiOBJi4(en&Y{RiCbYu?151nfWvSsPR5z|ESlFfzDWEszKT0= zFTR5x;79lgUcj<l@ikMUCSnHDYhZnBhHbG62C)wg#*sJyr{OdB z9KL|7@fF;PZ{VBwE*`_v_$gk-ukm~Q34g=n9FOTRE9Sw%SPCnm3#(vlY=kYb19ry< z_QwPqgOl)SoQI2X1+K+SxE;;w4c{hyA5Y-N_yu0Yn|K$0!N-`2amkFB1M_1sEQ>bu zVs)&CO|cDj#sK!lK{x`(<5ZlD3vel}!u7ZX&FcsE6Cc8(cnbCF;H9x_UN?B1*T2Ib z@gXLY0$uOdViwGeg|H-6KqpqlTG$X_L z@I5?^XVJV4@Cxw_yo2}g5vF8ZG6QDEd{`9AU?ucmHLQzGur+qVp4bZq;&2>?vRe9n z`@d2TuV#DJ<7V7}=59Cdh z^9_6x-^F8i8b8I$_%(iyKjCkfocbpnX2m>M7)xPAbYT^&jg7D+cEIi!!Ty+lV{j5a zjq`9ZuE4dp3Af`Od>h}#6ZkQHfmiV+-o;<=F{Yya$%r{HKNiEXXhSbn$9mWl+hAu5 zU~e3RBXB%U#o4$3m*Og1k6Z9{+>eLwD4xRecnPoLclaYd#AMWmX)z1t#zI&UE1(lA zV=ZimEwDXy!!Y*4p*R|!!WlRh7vXYz2{+ z^I=gegO$*O<~+Q*#7(d@cEX<63kTwG9EVeI7S6{dxDwalX55MU@E{(+lXwm<;x)X5 z_wWJ6F)on?Gh;3+h$XN*CgoG(IQ>WS>EG(dq-MWl#2lC(|5f*Q7{vFF!0|X0XX64~ zimPxvZo$`aKOVxPcnZ(sB}}?+fRV3`bDW*U&+!W0z&m&!A7M(`>lrXR=EI^`1}mWl zt6^Pif~~O=_QYN|5QpP9oPx7(J}$wPxDGetPTYqF@d%#8b9fQ2;Vry}4=|2)dK%1( zxv(IX!1CxoKi0$s*c{toR}5ia9D<{8B2LFSxDc1&8r*=}a2LLXhw(!^gP-A-_zm91 zpYeB0L3=$tX2ZN#1WRK)y0I$O!N%AMJ7N!v;s6|mV{tOh#Aoq&d=X#9S8)gK#dq)n z{0Kk63-}d&i$CD6sL@VOjhQef7Qm$Y1{md9I@;}7F%K5TQdkjPSOsgNd7rSB#2v6Z zMzB97p!r;eNyJa%JY0O{j5~239>gPf63^j9yoR^%9zMW0IRT@_H_~8c%!LK91eQk!`mrXO z&l71*+zz{92>ap?9EB5cI?lm`xD40e1~i{XvWxgFJd7XW8T<^t#BcC6{*1q43g$ni z$82am&!h-(X^clVR>eBl7+YaS?151nfWvSsPR5z|EIyAf;>-9d?!dkH4t{_i;U{~FMmn6L-+Ue~Vbb-NM*94xmCJwcypHpf zyO;1feuqEeLrg~blNPgJZY+c)u>v}=GS9Q9 z3+Lk!T#4&&Gw#HFco2`^Nj!%a@fzO3d-wq3D4)_`X3T{Ju>_V!2l}xlHo)fC4!dFq z`{EEBg%fc)&cTJa4A4`vT`-7!a4?R<2{;X(!RPP=T#c{bR(u2B#CP!+p2kn{GJcKU z<4^b-CTE;89cINmSQtxTMRZ{mtc{JZC3e8>7{UISfMakHK8^EmF|NS1xCyu89()_$ z#}oK5et}ozg@G+)hoG&Bh!2DPY%c2dvSRLzOQ*48sF@U{s5RSm{I2C8(0$hr# za6N88^SKE7i4WmXJcZ}+5?;se@JD=z>Y0lAyk^XTxv>zI#0qFW|DZB)Eo_J_uswFe zF!sZtI2xbA88{ag;c|QlH=_BRgWbdj@I5?^XYq5qf;aFE-p5Cnl5xHam>u(BQ7nU% z(1X>mE;hl|Xg=S!Cvh(vh{JIlPQh6?AD7@tT!))+Cz{U-K1ggnH~1v+IlPGG^Mr2^ zo6i-N;^;qWeB|$KhySDVtA8UMlhfWzhgmTX7RFLo5nWgXYhxp9i5;*zMzB97;24~Q zPvbmXj4N<0Zo=)j2j9l`@dSR1U*J`|iFffAe2l4RcV@&Km>-K_S+t=St7AQEifynn z2Cz2{!Vx$gr{Zi}fJ<=|uE#C-I_}3qcoa|JdAx+z@jLtxA7V1vn`to%=Eg!;5-Xq+ zD`PEeh%K-^cEd3C!=X4DpTZe97Z>4jdu(BQ7nU%(1X>mE;hl|*a>@LFC2)&aU4#;SvVh;;7VMFn{g-Z!-IGPPvSYeh}ZBI z-opnNM|(33X2x7t5KCZrbf6z=VgqcB?XW9`urCh5Q8*E&;~ZRw%Ww^Dz-_n--@?QA zA)dj{@Jsv#Z{yGSJEow$nI5xYUMzy8F&^Dm73*MQY=s@M2mWulujK{S=PUdcf52Z+ zqrI6LGht3FfW@&K+R=wKus$}!w%7%O*arvWNSuJv@ELp#U%=J)3U0+W@J)OdkKt+j z6ffh~_&xrFzhQFPo9Qqs=E1^P3MKm`wZHxw^OyhDywEhXGcsc?EQlqrJUY;iHL(FU z$9C8iL)aIG;3%Ak({TT_u{1DIJXZR(4gSYW#{2f!!&Pb2hFfSIt z(io3!tcrE8F(%!|!Z@CNv`=baeQbtpu?q&V4-UqWI02{OGx!|7fUEHp+=_4DoB02i z>)ZZa<>SBWcY9DDM6e&4&#f3qJRYauOq_>{(7Z3wYU1^{8UNnvj!(1vr+68^#_!R* z-Z-g0Y?K2@{b3^=lKR6&IwbXnjdV!r4;$%_)E_p|A*nxXq{DxDf4Cz3Vi#7y+SmwN zVh8Mw5$ul%I0h%-(>M^u2#VnW`lk(#~mLG4B@BY?!RBF=S%$M1T^P+iP(441d zj!W5jKPi72`=t>1v?NwQCsxK<*brM_d+df`?1w{fG(Lqha4s&w<@geA#Mf{)9>Di7 z>3U^je7SDGq8Ttd=EI^`1}mWlt6|dpe~k1@y8n-n4oUa_G1B4RegB{TtM%?Hj6ZF~H}Fk- z7tQh2r1SVjeoUIzZlpufymliU{?U2u|5wYC|L**tztxWapBg9kFti!)i(N2? zeQ+?2#0fYJpTXzw1ze4<;8uJC-^6$E7@o#Y@iKmm-{VjC8zyHQIUQ!jJXjb@VMTOd z6|9YouqAfD?ij)Tn1Ew&5_58+Wvy5ERVj_>BW zmjn179>=rzIbOjVcn9y}BTOj;zPipf17^p3SQN`(CG=o5tcy*sHFm4`|uzh!IO9nFXA=4h4=6Q#xV|@1~X$WEQlqrJUY;iHL(FU$9C8i zL)aIG;3%Ak(=q9J??(O|#yI_0oQyN^S$rN}#Fz0^+<|-X9sB@4!cXu5eudxS5BMu; z%!^5lnJ_07z~WdA?dZcASRb2VTkL{C?1O`GBu>Dj=e-;GC+T_bMmi)t@7+j;r02aG z>F_`GymzT?ldc#17hEs+XY;f2(cdbHWv~)@uo~9ICfFJ~VNdLZ193Qx!znlm=i?Gw ziR*AP?!Zl-MX)r+qZ_MY9c+xP zup{=sC=S43I2I@4Onerf#~1Nsd=+=#UVH~Xz>n|~yntWfxA+78iW>c?)R+l#VgW3U z<gLni_;yJvC*YFnJ!v`40eZ$gVX3T{Ju>_V!2l}xl zHo)fC4!dFq`{EEBg%fc)&cTJa4AU$jZG0b3;K%p{Ud5Yu7k|OWn2P5-WW*epAB$mGw4oQPV?At&ZLl*2us06E z5jY;F;%r=iOK}yh$1V6e?#DxT6i?xKyoA^BJNywJVzNxe@s<{|U~VjgC9wiJu`<@e zhS&nzV>b+AKOBmq@hO~vb8!(a$Cq#;zJ|N;0KSLE@hpChSMUbj!Tb0KQ)V{ODFbH5 zd{`9AU?ucmHLQzGur+qVp4bZq;&2>?Q*ai}$0fKD*WqT|iTm&%9>J4%4lm+0yoLAh z0mfx9(kBgO##~qsOJI3)pdV{u18k1%uq%eJFAl*`I1#7g9F%TB()ieaF+O&Y^tpz& z@E$(EIL3X_U}nsP1u^M9TI4szf0FK_Wu(Wiq=&}1PioABIk5m1$8u=Lr2A+Y=~eLwD4xRe zcnPoLclaYd#AJ;7q{S?l8w+7ctbk6ejJ2>Kw!rq-4a3+EhvH~_3TNP4T!hQO{j5~239>gPf63^j9yoR^%9zMW0#(mOYX3T{Ju>_V!2l}xlHo)fC4!dFq z`{EEBg%fc)&cTJa4A4`vT`-7!a4?R<2{;X(!RPP=T#c{bR(u2B#CP!+p2kn{GJcKU z<4^b-CTH9y9cINmSQtxTMRZ{mtc{JZC3e8>7{UISfMakHK8^EmF|NS1xCyu89()_$ z#}oK5et}ozg@G+)h+$SUE!2DPY%c2dvSRL!3RGUft#s8weXg(+OD(Q3sZ=-p? zPxEz=2QHm_q#FYRn(!s*$7);J2dC>2Z)pAR~Y#^X?}%~4oUMXjC4qvUty#} z()pfopLS zZpWnSgpK@?be*t~4*%$N!b$i0HqtHWe&0qqOk|vPI?lm`xD3}|((|v3bbFR@+vo8` zd>LQG9k>_Y!4L2w`~)xHSNJXdfWKnW^RJBk@Xvn!)qmRkz8^DgoQm<$jF|T2oQ(@`DXzlxxCLLw{dfqE;we0jm+(4%hd<&&Ovboq zTFipEu@IKT3h2bjSPL6s3v7?wFpT|hD2~Rba0brBMYtSa!j1SE?#2W79v;WD_&Hv| z8+Zrr<0DMTxM&8nYj_Lq;RB3goHq?-##~qsOJI3)pdV{u18k1%uq%eJFAl*`I1#7g99)RY za1Cz2ZMX~H!o&C>p25%XOZ*0JiQ6?ViP7{viN z49DVRoQcok^Y|jZjIZJj+>7tv2lx?wf*0^B{1$(}Ur}S8U~0^SIk5m1$8u;#AJ)M7 z*bLiZ7Yt$_9E>Az0#3tc@Hu<|SK}+V72m)&@m)NIr}0y~j9=sT_!Ity$(b*h4zpq& zER3bFBD$~&*2YHI5<6gbj9`CEz%e)ppT>E(7+2t0+=Sb455A4>;|cs2zrd?_6Yt_L z_!v_$Uoj)*!2DPY%c2dvSRLzOQ*48sF@U{s5RSm{I2C8(0$hr#a6N9p*Kt1{!lQT! z&*LS$j^E*r_z;t2HQJkLF$?C#LRb4ap?9EB5cI?lm`xD40e2Hb|b@GU%yAL1GO48O#0@HYO8zhjCVMmnX(Y?v2| zU}=m;H&(?u*ce-3N9=)79Du`cEKbIm_$)q;FXGGiD(=9&_zr%6AK@o>0l&g;@dx}B zwVX!!q{d8`6ANH*EQfaVVGXR0&9E(Y!65d*!8j5p;52*&pTie$HNJvd@eO*p!t3}Q{)i7z-D^$#mlm^NZY+c)u>v}=GS4`|uzh!IO9nFXA=4h4=6Q z#^p28Ckw@)D~7Ny4#8145vSuET!_nX4Q{|~xC`IH!}uYd z!O!qZ{0491&-gp0$Zw=mdd!A-u?UvNcywb`tb>iQ6?ViP7{viN49DVRoQcok^Y|jZ zjIZJj+>3G=C24-2loiMRN$2~Mab7$vX2IN82+il%RUmd^Wvqn_(VWlMp12!^u^$e_ z(fAb3z`3{xm*Y#g5nsdIcmUtS<9HT7$18XP@8ErWgekfHDFbH5d{`9AU?ucmHLQzG zur+qVp4bZq;&2>?Q*ai}$0fKD*WqT|iTm&%9>J4%4lm+0yoLAh0mgBCR~pQWxv(IX z!1CxoKi0$s*c{toR}5ia9D<{8B2LFSxDc1&8r*=}a2LLXhw(!^gP-A-_zm91pYeB0 z!F5mRF&pN^B3K&Z(T!EH4mQSC*b#eR6bIli9E+22CO(VL0Gi-}pFo=C{Fpk6tI1Qh{=kNtwjj!NV zd;{OackvjW#!vAwevRMbPxu=q=R9^g%!+xiFqXoK=)x*k8yjIu?10@dg8eZ8$KWJ< z8t36+T!CwG6K=;n_%^MPZ==>=Eq`K7H#Oo>R1n(VjJv? z0ql)~a0HIWsW=-K;8I+L>v0Rdj{ETt9>r659xvf_{0@J_hnS4>*J&{e=Eg!;5-Xq+ zD`PEeh%K-^cEd3C!=X4DpTZe97Z>4jdK87$8k6XXW@KYf-7+yZpNLs4-euIJc;Map?9EB5cI?lm`xD40e2Hb|b@GU%y zAL1GO48O#0@HYO8zher{W2eV#m=}v+X^clVR>eBl7+YaS?151nfWvSsPR5z|EIyAf z;>-9d?!dkH4t{_i;U{*p!t40|wRb0Q zHr4+h$Imdu%#fWdAx5@o>`Nt)iDJky2`R>mWoj_SSSm^kvQ%P3sE`msSt|(*2}zPI zS;tb@5-LXhKi_*_-ym!uL-uL@G`#tyGb5G%Uyo}j+{W=Kq zVi7Ef_#tk#}00XD%F_yBgq7UuOzKM%*1+K>RxCOt!y?6*u;2FG#S1^#*u|qIF7RAz79>cK~*26~F6kB0C?1bI0 zCnn*OI21?WIGlv9;v2XCm*9K27B}K&xD&s|H2eqjk0DKCE<5--4Q*Z{(#YMOrKfqMnjN5S!9>n8#8ZTfvX6JS6V9bX% zVkx`@Z^fE;2g>P$tn=yD@O=7T^?CV@oTrY#N3jnM#HVotK8Gnd6=&j`xENR9YFv+7 z@C)3FhwucR!HakW138Zzf?3yH^RAbF)^*qZ?(6;Ey?uk(ZVtmy_ySJEsrYC2=g-b> zPCwdUd+dZ=@iFX!1Ms&_uNnN^9DECx;Jf%CuE&4+bofuV|3CeBf7j(^Gt1BCxC{U3 z*URb2{#AdDUcb(+j{}A?ehljGC7Q&2{ds!*db>UzSj6~csK1|RE%!ghtk>PW`|{cr2fjH|4#SL^SeJ^m)zR~9Q`6|9AKU_)$z*XsGg)%y8&Y3JX4JAIk?@>iXo z%9@Yf<3Q8?Hf#FWr_UdK9(DuA6NRt@mc^{;pEdo}=ac^G^H-+rL)P-|T|WP*``N7R zk9YoE?fh-l^6#Baf7SW(tm*&XIsJ2UoKpaOuiKt={NtVPS6lwGrc>5*Qpbt@z8;yi zJb9OwKf2$~TJN&fyKA}L9pQY~H+Tjw;I%qmmbKjax7_~L`H?kUug!GT(@{QF;&~rv z0hG%~c<$ef6;Pl5ug(2CF#?-mYt--e=*<1@*bDpNAk@$AM|1y0oQ$vGY}EVVrQClX z*Wo9)4fXpvvc5OhJAbp@zv-P03wYn@5_}KW;zs-ocjDKWhTq{i{IlM_**Lqm-OVuy zAHpsehY2_UpTgld7AN2ooPl$35iZ9MFcmlBcHDyp@i?Bw3z&}Cxeh=u>g`hq>u)}k z%Sw5+Prvo`Klxa==kIc(yba!Szc`jb{d#9r?$^Qkcn?Nm8|;A5*aLfGe;ka-I0nb# z%QzkN`#KhKe;KaAk8l&}_p$Bf{sBCOr|>-Li2)?>quSgdm;ZW z?{n3kf7wGn4&YJz4!=izohkh}nCu)k1YsU5ge9;nR>Epn7w^Kx*c_wqA?$*2n1BQD zDIAVtaRN@k88{ag;d1-{Q*kqH$31uukK<{)fa#c>E;e31t-^DffF>b{#aUUMRZ}EHl34g`wIgZMW1+h4m z!HQTF>tKDn2P3f!cED)tfxWRm4#s30gX8gKoQ`vFAuhvJ_z`Zx&v7>%z+-p{&*Npx zCOZaoUMUFkVi7Ef_#tk< zPjLr+g@^GQJc~c#FL)i-Q_6(}uo&Kq6|f4{#yc?rn_+8gkDakQ_QHNR2#4Wld=V$( zYd9OMa3!xWr~Gx1Gaj4N<8uE#C-1@6T|cmmJhMZAK6Tu&(k^J7sgjpZ>MYhgWX zgiWy(w!==?4SQk|K8Ztd6pq75_$t1E3vda(hih>oeug{oYfQuM@Erb(PLOvw%7Hgv zAuNGqu@Y9px_B2h#^xA>4`COK!vq|FPvLMJixY4P&cM022$$mrn2MWmJMO`QcpOjT z1x&~6Tu&(&^WlwH3U9$%u_oSucjJB75+B4zuq(!6Uwi_e!DsOWdD zevDi3OWcP?@LT*If5Kn!dO2~T_HVhdAQs0mSP`pY9juS{U?jG|4j7F+us8O{!I+F= za6G<@({T-cnnYBdAy9-xSmoF=EWjd63by2*1+4bA>NDkV_SR} zWAQOe#K&<6j>PA2B2L5CaUQ;nEAd0zfS=+H{0a}_H+U9*#9#0_Ibo)jqg+@3i{Z^! z0jpqbyb~j^8Memu*crQHFYJeda2Srp7jZJahO_Z4T#E1GI{XB;;V#^dNAV>7fR`|U z>nY{LJXjcS!ceS?)$ulLfK9LkK7buD1|P*fI1r!45%?UY;8dK6Z{lKHfva&nZow~b zFCM}Zcm^-x6%5SdU5-L9KNiK(SRTW%7S_W?*c4k~JM4truqP(rlQu@v5dw_;7a1MkNB zuq8f-k6>4f$G-RkK7-HV3-}Vgg0pZwzJu@L8vGcy;+MD&kKniXJ^qBh;`LltDK{3x z;#dYNVpXhz_3<8z#5ULgqp=6}#{M`MlW`1=$Cq(B&cTJa3|HYtxCuYU-FN_x;VC?i zmob~X;O%+-k9n~Omc()xhBfeZY>4;b{n!>C##np|6Y+5zf+O*HoQTu#b)1K9<4XJx zH{hqZ1HZz<_zj-LAMqEwj_XC`!U9+fZ^jB(1#9D-7=g{OHMYmj*d2ReKOBU^a5TP% zlkqj2jc?&nd>_~0C%6rF;eI@dC-DcogaL)T%TZ3ugN5-X48_V=9dE-1*aTbP1K1H` z@KNl81Mz7bfzM$IPQ{t{CN9PmxEj~v7W@MD;vqbNXYe9k!9cz*DFpLlQ7nz+F&t}Q zJ#2(cu@$z%PS_25ViG=yLva+2!%6rmzJUvH3BHGGaU*_)JMn8w!|(7M{)~>iu&uU7 zIq(K7ge9;nR>Epn7w^Kx*c_wqA?$*2n1BQDDIAVtaRN@k88{ag;d1-{Q*kqH$31uu zkK<{)fa#cBrjcj=kNNOMEQPn=tymN9z`OB2Y>5xzBiI$=u`fP>&)~E80=|T=;4GYv z@8G+*20zBF_$BVcBlsn`1NPHeA;xv36=i%G95hm*V@l4nM(dxC{5=Q9Ox1 z;3W(w;hjD?F%K5Tn=lkBV|Ba@8(3|s1fIc*cm)IH4O?nC3c>tX6iZ`y498kn4;x`qY=!Nx6L!O%n1oN_P#lHh za1y?XZ{Px4g74v4+=!pyPW&3v@H;$*KciF9JAHEC4Oj?EU|Fn$)vzw!g^jT}%F9)r z=`W|PJj&s#M_G(Lmcfcx73*Mqyayw(4R*k2?1Az!q9uGYL)o_+OhYFEBkO;#8c0b8!(a#}6&KIqn>_mgIql?8 z4nIBq-tCs}e(P#Ex*b>BPleL1%2*w5!v?7LPc69r0CvO}d=&fOKztfU;B%OQdV4mL z`)}f6T!E``J#N7-a4#Oh6LJeGS3f-~^vMG8{fhn` z1k-%|t-tqO4)lJ)l;c}pmieQf|M>g-%inz69@Bp7YWv4Bzq5UMc{V*i>`iWe9E{00 z2FK&eI34HULR^Nc@FU!WpW|-K`h1jkeb~T$^i$0GzHIMw$@;!*?{v6U-qofPeacj?>L2~X@AAFh5Gp3plnsZ&B+uTFitCUuJG-K$$%ci*wy6MOed_Y=9GP_rmTe*df($d7MbJy+c*OI?JkP95i%@*-VzYCmGCQ^%sZed@Tqs!MUz zsoSQyX|6hTUd~iE%T@Q1rEY<%Zjz;LsjE&M2b&&um8(u2XPD|zU3KbthpBFht4=*% zH`VQM)v0M@s@vzPd&N?h=Bj(uQg_l-r=Ay>9{0SfZn~u|-BmZkQm4)#=;cbCzc4*+ zE?3>_mb!wjx>=Swb?smMxNlhMLS1#UEp=h8I&~as>WBKS1O2#jEp_VLh^|xTb4+;= zuDW@ax=2^ud`n%Ft4^&`rpN8*s(Z^)7wf81$K|HHcvqb|4{xeVa@8%i)D3dgy=|#W zcGbOOsT=F6TVkn8an-49jj12gTy^Ssim7gvt4_TxVX9l;s#{^HTk5J?X{lS~s(aT` zm+Go}&r-L=RrkK7ZilN*ZG%ny-RG+Nz*3jys#E7bO?f9>bst*l&b#W?SnASUb!#nk z>N^khdcMw5m&;YBp2wQ{pZ;pdsS9(}eQc?#>8jgksjKIz z`@~Wg;i^;5>rK-|y#}QFyV+6~<*HNrY*SuGSKX(Ux>#4;R!d#HtL`&PU6QMAo271$ zt4^KgF!dwZRkz(zH`Z16g{3aVRi}<+OpiOwRrjT(ZkDTVr=@Ozt8SO2ZmFwIo%b=d zZb|kmMY!s|wbVtr>b|qoscVSo_NnItrsbfctL~JgF4k3d+EN$qsykz;OLEnn zwbTuA)qQWNOLoRKiLF0ZN7x%1Lpd3|M^&U;jDyZezQdAdz9D&zLJe^aNYg{srH)iJWZt$wfamgiTu zyn`O6j`iK`3)~gtRFH1kXQT&x zQ|+_ej~jL%$Y~%W)#E-P0FXs|P(UP;R*M zW=Y;;2~l}Nr1)R*n6@WMInFw@U@(~S>KzSo=7xClhDxm|Z=Os%^}Yc;=$pRnOFJ9n zOqY?WeaV)*B9&yh&7spWr-g4`^0^=kOJ1pJj#DNxE@RsI=A~Sc(i(EWHO*fUNwkf8(M9EXv?b3t3>D#`jD>6T1nN@k?EqSeK zIqGA*8G|Wr(66$*NR`S<5l!ujmc0HMY3Ai`tmNtW`;sMZm*mN&En_gXFVqRn*uJP- zQ~ORy-dB2OCv~R0dMc0gV~QnjV?D>&ojap>#=NMU!A=F4fNJ_pv*b;0>fib{NG>L# z*EfCsRGRB#Z2#C^t&_Y4nawk{ZxSki55KU#$lz_YA)4Tft(%j=DaX%9|?{ zrs+5He#d#nkL53-WU%wBY{ymJJWF2Lw$fiU;~7lt+fq*EN3b_`| zMVj(XRta|0_4HKx7FzOhMmvtWZiXIAc`4O{oq>70d5bN1J$gCLX4QBGQ(i8)c+OZ{AX=HRV;7H-}XAV}69S33i6aP;f2%EdWhOd~x}KCCO#Q9bJJ>lv`_@RYslS6|W9!fK3zds6 z>FKx5lJ}M5sq4w%#W>>yZ|{#_n~@{&0y+piPe%P&n?va*e126A{qDUh>p*Q+c~Z)AZ|{JHUz5VWKH7^-{3YS;nipy`m{^f#j*>QxB%R(96M|V>6Yv zPl`=>{pHITQ*@YU$_q;mcGk#vmA79s|X0_9?R zx_u`tdA~@WKgajyC9kyPsQ#X|b$I}zaQTk;55)-qA4%EY>3lR#;ZKpWE%5w$cG30IUb4(4aqoumQ9>7 zFIm2PqIJp42AbLzQ6a?1S=QS=*(4eBcFLDj^vsMi_BXOwNXGM3*@PJL8nyKAcrsP; z5}1Cn2{GnPl{`5mn=zRBTT?FXTbDdpMU8peByU$1JvhdP5mt>dF}kjOOiajyi~R1#Y>(%P0koh?Msuq(o&`RTiud3 zM)DeEq?!90*jlz%hA|JX{dH+}Qs zC2x(4RC#sf$CTIaLI37&SXAcxz1@;GT=M*RzEDr{bbsqv@@7b0Yw4#Rq|`I`_P3+t z^^h^Dzx6G7KS*8!Z-nQ6Q(mg%>Fs?3OI{7x3Hq~s6nr3a`rU2GYb1I8v@cZhbo(MK zdBY@6z0XV!rv4^Lo?4jH^lNO%>(Ji6?O#&c%`5uZ1PAyyR8XofW0jGx+v5Me?f37}a0d zg&F(XQu6$Hy*pL%I+NGhk~c&0>U&z`{m<0C(Ds?zzbH%I0m(by$Nnf@^3?b7sQ$LK zIe%ppFiyXnl9%6)ypxir)^pW9S;R8()HNjJU&e4k@{0VM*B1&& zk@|H5D$`wg9bI|y^uUv+=Diwz?GfNqZ zZ9R;Yx(IzoRO^u%Zj&N+`*J;!xqa>|3EsbwbJLPnUEdM)V=1$_ejhkL u$QdFlW~_`*KPpd6PxY9t#luNn8|3sVl=+7`FP~3cn6xiikCbux=Kle|v26$d literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.d new file mode 100644 index 0000000..d4455e7 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.d @@ -0,0 +1,369 @@ +src/ASF/sam0/drivers/sercom/sercom.d src/ASF/sam0/drivers/sercom/sercom.o: \ + ../src/ASF/sam0/drivers/sercom/sercom.c \ + ../src/ASF/sam0/drivers/sercom/sercom.h ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/sam0/drivers/system/system.h \ + ../src/ASF/sam0/drivers/system/clock/clock.h \ + ../src/ASF/sam0/drivers/system/clock/gclk.h \ + ../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h \ + ../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h \ + ../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h \ + ../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h \ + ../src/ASF/sam0/drivers/sercom/sercom_pinout.h + +../src/ASF/sam0/drivers/sercom/sercom.h: + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/sam0/drivers/system/system.h: + +../src/ASF/sam0/drivers/system/clock/clock.h: + +../src/ASF/sam0/drivers/system/clock/gclk.h: + +../src/ASF/sam0/drivers/system/clock/clock_samd21_r21_da_ha1/clock_feature.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: + +../src/ASF/sam0/drivers/system/power/power_sam_d_r_h/power.h: + +../src/ASF/sam0/drivers/system/reset/reset_sam_d_r_h/reset.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt.h: + +../src/ASF/sam0/drivers/system/interrupt/system_interrupt_samd21/system_interrupt_features.h: + +../src/ASF/sam0/drivers/sercom/sercom_pinout.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/drivers/sercom/sercom.o new file mode 100644 index 0000000000000000000000000000000000000000..510b9fcf827a04aa65e7c00e0259a113db00b974 GIT binary patch literal 783664 zcmbTf1$-4b^e;Y1Cezznp_C%GP^?hg-AjSu?(Qrs?y$HQmlk(-cXxMpC|YcB*Y`b{ z^tNw*?|nZ1_wVk^IXQkZnM{(&9R`)D6wVkkV*i+t(TFP!YN{ zqfBxWHJq$rq=r*9oUY+a4QFdOSHt-lF4S!$KMs z(Xg0?B{VFlVJQvEXjoRm@)}muu(F0#HLR{-O$}>nSXaaP8aC9hv4%}GY_4HT4O?sY zmxk>$Y_DNQz_(lPh1U(Q5?&@edh4hSgTu|qNsLs6k=6({(u@r+k&dNKV;Ixe^hV(# zs&u%FN)(+sU8_K8JPBP99uRHJVRQYHuP)grymENfQj5dIoHBqSiWN$K8FFFXu9z#* zSPEIw7#F%Qd`hy0B?F8gL(Z!jUOaS5xH+#Z;HL04h@p5XaltY|O64}P7-V;D*zFtV zE=GmjxN$m0%&r&iGCVdE-7_hCX85A;)!}dV`fV-m2n{yU4GH6yk{Nz`Qy89sEmO?i z6cP6AW@zxAtRHU#3^sz_q4B@nI&4D%BZ=Wym9UR#R4Nskc25|;>1T)yZ}%Em0xojH zVHjy#l@{Pa6*iE0>ZHJpv@F;^aBN9`@8o7+soaB&v`=C{%ku4_lVsBPK!zJ$hB1Xj z7KFYNWoZl!p3Oqj&Imhyqi=$*hB1rHUQqUhF*NYfrWzu1soQibsz1N2LpPX)Z^h(& zj4U1(j7;*PSH*^XhK2cE2ukf8%~pDMNtD{7;*7HP*{s;83ric=#YoLJ`0X`P|8UzO zW1$sigyp*6yjcRU@&!6KxKJGD0vC+bUCI^%=6#_k&R;IFOiAIZ@dchK@dbgyNc}p7 zWg`F8L6e|K&>qL+F82^eG>&^X?%=qEu|o3`$K%h7Nm*_Vj#)Tn;FuO8Qb)xEm79WN5{?Nt#>E^6 zH~fq+BN>hqI0A4uaCjSG!jOhAEF2y<7><-UQsMB#;l$yEBOwld9EouF;PAzf07p_B z$#A%Em^d6b&~9K@pgrZp9phE;@9^BGb04C^m_01a>jDd&WwZ;gQEzCNb%EuvvV6H{ zr1sd5bFUG6W7Bv0y6M_Y-$`#1ym) zZhEAjAq~zP<60m~Q?~2W10}28IS<3E(Tf{AOA#n?E+=*6hS~R?FnFPkisJFx$1=?R zNugbN5K&AiDuYtII4D)&mW)51zzpt?+mnNF?i!TaAxOjglG|bMXY@cfs~fwz@ z7)5RtEsSo;arK*p^S!gu@N-;8N1xM?Y#my@qf1r8sAhQNAH%hH<$p=Mi3e_JN4t~E zV2*q#P)&}&4amT8d>l8VW5II|!*V45jEm_wTZ$VA9VPyehQGrf4J46c=MioMIHFfb zBe5e_Ez?NixN#j#!C}oqV{p_)?;q&+XDT<6I~KJNMheG@ONJ5T=(Nf*QaaY<@Gw$2 zHbzJau(5}c%W*rk2g3Xm#f_4VOKVLd%rU=$10kCw!_hVi(HOxaTUsPr9+<3&dNVvf zHSjRHc~)E|jqaY4lNv@pPmkfIG0^kjO3N7JD4Y=*Kw|^X?QpsR27ax3%TLx2ySf|PDi=B%moj&>1!aXB_q7*TFPg zjf!Y}oWZ-UenrKBs8{j^hSjI5CvPUC$#=Pk&{Xnih*KtDPF99qf{Qo>D zgO!9f=wZmBz%3#uPMcT`DyI%{i17d6q?8xODtj3+lJtn6ID2C`(vWM3Lj=V^i?MSv z4SAe6MDR7OT-!{;NHF0MH>})L6(&o?gN+2i*_mPGu?Z=O(Bcpz@+yKzPK1a(Amq0t zk`W>LjA>W}Z9)Pfc%(zCF02ULNrW!-Kqz8McoN}BUJ#1f1P2j12Ek}CTg4*6(1egE zVM~}qn4QrwtWaBm6X7R=N=aLSfnfNVR?e$PDq)LuJq)YV1LT>oTkF3Jt27B2=GlQz zC_`ymB!)WZgAPmAL0{WYTpj#l6XNQipCZJog8{ZgTpbLu32}8WL=o&dP$>_yCE`*Z zZcD_aJkpj>DXR=4Y(i{?y|*J|JHs(gC|Q-^SQ0W!voxMC<0vVM#E{{xvFNSrtGwGb z6qn&1n-G`bUPXwP;eJ~}W!QN*8pZ*ekd*3wP!qU0qzK%X2oMVb$ zs)R-aI}Gc%A}~QJ5eYyzVH4Cv&DjPn&=g+8GERsxYTIE?z^u_*RSrKPN zZB1pDwh8XCN}7@c9+Q-+T-vaz*c3avr3aBqRW0KK86&A_m18wv(GH?IyGH@3AZjQ% zBcSC~DAiPMEs{hKf3chHAlhkjDu~-xaqs`FDHMeNQp|9y_L`EIDA`Bjx_7iGoGybM zXeUjf2I;Q??X0z818u{8xC8CVS`w}T?WW|6fX2RX-d%-ekt6~g$A)73D4U`}_x}?EnQ2;vLZ=!X%a*{a zU86IYH{mMKnM%$Gh^m4>XQ|LEl0=}JSyfC4>_E5J=IlThO+gdbrX8q2Ka%ziO;NSp zXAm00PEAplzD$);hP6vmRIT5NLBe}9MI{rp5?5xQrclpkC$nEuVw2g#x*{?=nS*Sr zJDEdD&Iq_D@&+Z@|v!R5m8hxVVjDUUbP)kphTZ<$UGwT-%#>C1F;y0sVCBt}=E)cVR zvNGV=IsIWCge!9fCpnBz7Nd#c9tFc9$;8}Bz`Fsl&H3?Dkm7#I9C-eGzMGfG=J`-3 znIycLVFlO(413`l!b$iUw{X(`3Mc!Ia3Fu?7EW&SY_CbV<;$~s$0m`G*MVHJ7UvLm zVijq!`eo5UyHsS!W)46iDU@S7(I92Z2q<_47bK-}Vv#k}TWQ`9i2vxM;FMAI5m#@e z_yD)da9)CNRc~c=y;Z~z%qpi0SR@H^b@&+|Nh*gKR$bc~^PsA!2|_(hpl7K6&y#T2 zKvQBbRBis+?XV%A;C9$ZJDk=8jis@2VUZ*pcH-525dX!Z2&Hp8kNz2l;4bMB-z6J| zR?}5eq&tZ2ni3mCN8TM4?bgzR|8WP=Q^^?t3saz`dnvaTNg{~R{27oWrE8QitTEc0 zO1oA)+?ZoEh4M~PgDB%Pg@Q;@vt}jqR+>UjU%PH5YD#Qa5!~q;8`dN~oI+F=W3rMn z0&eU^g-%g{SR{$Cmh;6x?66j7b8%s<)RefeR%wa~t46(=hP7H#R9ICbaf7eX6l(DP zoztPAt<@Cu*i)Bvy{5#5zKkDrhrWR)CtQWTQOOwr?fyouut^1Lkt9Mt%>xqXc63Ht zbGM_jnh@8H&i}{ZDV`tN_9eW)uelvw)DCN3MBJB@3yUP-@DZO0#BN8A<9W2((UbTt zRXcjBDRJ%SnWn^M{gCf=2l1SjC0u3wLdh8clae5am&&b0k_h5A&*>L?!TxA-aTm-G zs#{}m7mR62Tsz{L64#DQO^FTb7q0;ycHKyE#vPWW%DK#Z7tW=s3*N#$aN?bciqbYIiD6OW%wWD;J5*vDQ;e&~+9eR4vlG0E$8lvQk zfNmeqKr^UdEs{j&p`sBG)gP4p4ttqh%ONfDPhh;=c!j;2t?QmQH z^haft3yUP-u%37T#BN9R<9W2(QG@s{RXb{^DRJ$n@qdD-D};Y+)=k7jcMwf=5WlOU z>uaXmS|o`ex{E$Q>>IyFydaXO{-|fXAng9ASG*wX{;0R6#I>Woni5+#-NY<+SpSFs z!d2b$(_!sTjhni^3dABwgf&^@NfaB_6m2f99YtzNTsw-=l(=>@Ra4^H(KJnoYe&;H zC9WOK)RfrJCyCmK!)`~j#A42%@Eh`fl^PVb;-L#e7Ob4bY<0ms)s>99)3 zB1xq8K)i9M_fVTt>2;)W!6QwHOYgC!P-zd7I7L<`SA|30LO)m7EdKU^;rkM9P3gk}#Lrj3IOVYN2M*XmhHr zCbWR-w3?#oO1TV<=Q2sDTF5D#rl`6qUo+gW(rb#UELGJBuMk~0FT%|~{bRcIDTVq9L*YyiYxtsI4!(dhi_r6YTWT}o5b47;oo$&}Uy&!Eb%@01(@618p;IX5Ua#$S--RXFep$ zYEs;Zx8LabrSgPshmiXwA$bVzaz~JZoi}chu?_*;dk_Z{Ejd$x&4kcyAZDO z9jE=~{DZDxymBH*(z=He{LV8gCPTiNzTisDw{3c`T8w|l~OY5kXv2SqG+GoCZ2XWjSNVuw>6H3kq z=$Znf_>;=5MUn{Oo|(%PTQ&D>bM^#OIe4Hcv02_VYeL%2@{uVBR}LO)2Y&0(TAnDA z7D?j4_1PRu4w6tG{l(l$!wC1}@{_s9ZSJet%5CnOk~0DZ6hz&BR|YJSggJ*i?>6VD zUV+4!^N{b{=A3e~+nkq@GXjoaG2QZ31}u_9`hhZKU~F{BrMk~OX`z5FsY`}}XD1sZ zIpHeVluFJBsGANcrBVhgl0^TOO?Gsf%Pv)S=}C_t>V>k(iEeW_Wp%f?TuROe@Gpm6 zD7P|TktC80m3zqC(<`Xel6JCc2u2h067rtgTq(K0ZLYLVcJT;Y>oUrKMUpUALwY5T zHCOXL=BmqV;MvL6mT%nV>S%NAH{sr?s|;8q33IJv1Gl->|1sB6_II26OBN?w)m>X{ z&X?}acFKT7l8N(LJ>}9AhT%Ha6}Lk#8I5IMRGdroKfPrWEK>1c(^dN+T0|e&UQp5D zQtKvtCC7}O2Yb6d*Fw(!$S#WO=c->G_0~^*$261&2e^{d2Dd-GphPjTtI+{C8X&_k zis!*eT%*bIKq(bBscYRs=nj%&Fl6Mx$y|}d9W0%S>vCPf%NA>h48_X)X zmE+M7^57J%xgP{FT*P#LO;Eb;2Bs@~?Lhd{`lPkCe zq8cS%-~#aAoc7y|t>CFQH$7uEL4Z z1M5-lDx4%&sOTJaB~6xtFe#==QdKxbCQw{mNs;ont|V23Q8GYrbtO%e2`~zzN>Wug zO%_mGT}jhr5T3X0N}3@*=t@#GI#d3mgmop&lE33u(ro$5t|Tlo6~?ujBQGFvG?j(& zLdw`Y7s;&_InYgIvD^?p&n0q@a-f^aQdvEIQ&}eWt7`YLYhk%eg6XcisjQH>m9DOZ zm9hzDP41?$N@i1BT??z_GF=NQu{AQI;_6yhD?7xmg>|w$+P}N0te3-;u&#v-vZ+d2 zHoaP6t71boVX4rGhmfhK@D+9^L`XNlbwcFCJqt9IAX zZaKh7MSVN%krR|fT}OLmVRgfJyVSzgJ~>(yeeI^PU*1u|3G6C6ATP$RvV$^Madnj) zk}h@s=)3E%OsTlK%8tn97+<^ZuA|bWxVp-Y$;7Iq=)3E3?NO3dUb#z)r#MaTx)o4d&RfbdJ|K1x)x zT6x2|tlC<#EXzQ@qUzKL=ujPZ9oG7xY~J01x4vns0}V9m;+*^^Uf(ivV1$R^$VxBz z&EL_?aC9Ry;NuAo!?QKZ3*66iESm@U6vr+>az%GS-=D#|p>7xd?@&R889(&fKlEIW%=6NNarl@k(_3^hF9W+V#9BXZrxcp)@#HCW;l!3B}Y*8 zTkuoVE_nw%%K*t@cF8Yj?oJQGS==rK1Z5kFy(J~=QnH{2GvOiBE~N;1jCr54q+LpF zr0(mcTgIUnFW2k~ElnRPG=xGP|sBRaBbAxtV z7?mNba!h5UzOaHBlbEw{6liwMHX)P@|BIClXVWlLKdw4)N@4sO)$Ly}_OZ=PtYC zo2qGxHimQeCgssDXz3+L?y>cf1$`<8|A((~iY7(S>ItaIqgT^YDRoe07cxF>gitA6 zP=gE(!+9#bDrF2Bx(rrMhpJN6R84!fFr4RX4>?gn%;)Wx3!;ReFWCNy1`YFvmCNBu zFEps(V#Il+jw+Q()wBbwT(_;14qBQK^>oYDs}ywdHcGb}r>0n{1wFq6y?YH%KRmcr z(3>A_% z=NEYx8BJ#)PHfkw+o+}@+_q!50`j65$~UXPP1n^82q(7aaBZ23SYwOcu4mMY!nCfR zs{mzEil56!0S35Eoy7eSuDLl~eX$wAS(ZOm+`O)evr*0EHMgK^3wDe+EAr2ZThx^u z??0SXxZM+ky6&ZiqpDiCw5#C<2v^hGaxM!SA)M9uPo-PQm3ciXrj{12=JL1+;o2%$ zRHA&1i{LZdm82(<7{YzAG9!ZTNLT1{ zL^6_hL;Z;0Xz7}QRv_1KzCl7*fP5OB6V;jQn3ff zG5w&Xmf`ITW^2KBsqQzu!f^+?s;5HDg{X!_Pv*D(psF%3yJP&u2vZ9h@db)HoOTj(Ut0G6JK!F=Xr5;T))e~ zUqen$CNlG8I-+i*ucPTI_z2v_N|J`%M}lCr32&#m{dBI(GZA`I-3H3J-oFL68PBFn zRdXH8ix$$H??kXXxSp%x0tmO@_Knrn^#dEWoGo>+i>u)b6kF+HA6K)qxZtgMX+%h! zvTM~v*lNRTsd5B!HNsQY`Iqu6f)_B?3l7(9mAVLC!d$-N!EdL_YnbcAKs2bob$JVO z?VSPp?R9w%a~;FR8D|GwKEhm?G46GC)b`IX!<*U|MWgD^WtS-$RravUtzyebjl^%A z-S{|^T^*wkNl%4S{hsqcAiek;uy}AE!<%|JDkwWahBsXYx@&Yf>04)Ab*m?%{>0gd z(=|-Cxe}u9&B>qb`ChC>G9&rJ5D$X|nnVg=bf>GPizf5}c%Vr)dkCXDEw-Tt01q@d z&fe6@G6PZGxslKBj}4$>N!%s`ss%Y22?96GzJsx!(qX1&*iGt* z^)j_V(YMG4a2?@UJaDdyGjp`XnLC;VV`k@A4BT{V!C5+v;%qst;q2jv!P#NRHPB6Y z;S2Wi`OZm{8Bfuf%dit~9+7cX43E+U9JZSTVL8Ee%+AGe4!MF6o#C;Z>lhMLs!7aV zQP|+>xQnyHvG=Wo{iP|R_S5Fj?ET4f_4^1eCnXNkL8Dfql-qWMUu+DzjP0@c$c&wN zCYE~#_Eb8Udi_q7Q;<&hW6==GJmdyq_GC|iX9Y1!6>JY+-s~mHd~!V^8QIzyECGuK z)`p6>mW5NpJgIxr=?4yVL8XzM_3eO^J}7CD?ZaSa03ym_7&*4JhS!heiiV6iyEJcQ zuulhovg$@IM$-$ni_tbw`m2NkceKGak*dmaH(jAKpyg7qT;|jsO{lYOk$z9&KKMfRP2Fb##xn69Jv)mM;D`~ktbb> z`S~*}0c}(?Xgf%w{T@_z1ZjLnu>jl{yoXURKVVKISfGJT$n&=*tVce1ts0SL%}7%Y zFg`o78Tkfl-hb$*mA}zTvfA!iq55o#+k=s5yH@H@HK4CFa&+pBs;^7MEhrYMhBbOt zk7|Y0u=8qo3OwvhGV{HVhB z%U+;p*3@n!kxMYPtT8v}@P#g8IX*Ch4qp@1osgyXP2?qfll#`T60#c1&zc#A%IE0} zFR$XDeY+%$=e46v6rG0Wg>*A@D4a+S_4r@~9` zHmZstBu|ND&~=WK?K;mGcM&+;Wy;PWM-1eN>UJh*!l|rI9>49WlJhGST|DYKgNp3GRSATS=c}5Rq zKy#^9lXuKe{2ahJISktQVi~wq;$;Xo1;8;9vPhCDXydt1F@@p<15V-WxF{5iJL*{9 zMdF1Cb47K&I*DR&dZ|_G$IlgF8!hg@>;~2Ps8CbhQuo98gRojQgFqcOgRpuwg8+5swhzJ@*$e_r+zi5+ z;XqUbTHvrX2y2A{3<7Q348q#l3<7_<8HDw>83YEp8H5eS0aFBq;;?-XHXH{S1V*|U zghkj40%P0^!sgfv0`uGq!WQ6wDFTad*ggnbf&&Z!%iIjYR@e*ztK1C2_Sg&p``iq| z4&Z<(0*7$eJ_tL40}KMk+zi4_*bD-v+zi6**bD;q+zi5^aljOThd68>ggwRq27#w; z24T-^27woD24Syk27%XZ24Qb)27&i(24Np<27%9R24P=q27&Kx24O#K27%vh24RSm z!X-chJ39}e$Nq63|G7UMCTNvxx?iju!4x=Pj6g~pwlTue*$e_j-3-Et+YAC^@PE2b ztputQh}En)ji?}t&r zEkjs+#i&MrZ2!-wy_G<90)YyxOCZ)!_4$O6CNt{d?EZ5CgF^zgTAlc6HR7q&aq9rF zbEz3Wmzqk}PM~J|1ZvKw1Zv@6Moj{-3Do@GIzbA7V@yxbU*X*pBx$0@{!xbH*J;di z#L_6a{Qsd*xik*Ts1|8fk2EO=vK3zsMfLapYSYj7m`yoH`!zlp*;1$!|6i?Bbn&C1 z2*><~hNAk5jgTH4lr8BJPC6YlPVgTbXk-K^9A?zUS^cHPnOa&RqpR_y$d_zgj4ye= zWJ~Et{Uuwv`NRl`$LtoL*#eAqI4r{+@)JH72i>Ct$x&=A19kt!zd1633VHVxBOzZL zh?M}{({`ICjD*3!+5Kk%!@Wms@dA9S}f}GC`8*2=2lmi~$D#;{MVCjjbudNysuj2s9ygG9*pm z#@06BZaE$&NB2N(9C%|;Vm={taG;6^G*I-egXR;m#-`(}{$lmww>(m>g2TgT7->$f z6ls!?b@2&QpeQ`(ZXyZb>hJ$%t{b?qDf9w9O_gg!nzbWM!tIpo28E*fqdu7;wv76b zX1z$W6Yw6Y3_X+yr$A!)K-FJqoaq|cS5YC#K4EmJ>5m#I{Z+Dodh`%lP?rhBUheGw zp%ahdrN93dd-ypfsbIEBmx+mV31mogAeLn^`pJ+^`SJVKe4dJFiv0Mk9sW#Fz?7NZ z*2b@Bv-k_oMAA8Z1b#8=&80Q1CgdFfGRc;~KvE>O<>Q})PZDj!7fV@W%|1(?wk`2< zU(G9+REB)r1EU#}X-X!SMluJ=kO5x!1#ZryvC>(R+dNYgN+C1$Ux~mndzvC^kXFf? zQif!tsYE%cC?bZXlBvCm!AObJwv0{ho<;_;!-za*TALi};W_h?A$tbn^`Q93f^DAo z#L{W(GfS_rFAQsjs*b+03>y2!GHUEQe!6aRe=xi%Q*u8U*3}gDi)Gc=Zn z4d(czu96$Vb82iT&!w?pJh#S%b4z0*IM!U0#z>CeQ7UW{&!@2no?m05c>#@0;RQ7o z$qQ*LiWk<{R9-}5(|A#hP3OflHiH+}*i2qRW3zau#%A-98k@tzG&Yx)(%3v+T4VEh z8I3LA;Tl`W%W7;9FQ>7^yu8Mi@Cq7R$}4JY8Lyo|5>D&6%Q&0b*}INnMqY$LC$u}vKBj1+A%udlH!yn&6WvzTr+ zj8`;GQxt0?lLw~6@1cVlOP>u9X!Hr1XkEXil7GY7UST$qMR$0h`Nh|@4F)%tncA0z zdNzHVYTb06rp!?F2;ImnY?928uQ6_dqE6|R=`rpRpT?%}#eI^%Ap`z=$K9W-rL;;G zmT2TItX5MVwu|}fe>1He6l-O3i^D{z){;N3h0v`w@f1x_`Y-7d%!MIbZDocph|&~+ z?X-UKzh!XZ^N1s)y$lZUz>nSItJ=2TunscO1MELB#aq_Vv_3MQsfbUO>No(-s&SqX*s*2xl`LxSx(&1ay}CL^?8)q)U7q+sk3rRi-b7d_{I28PaPY^*eq#8Vy9I zZZiF?&M=;_yG$Q~K2v1$mFb_1p_DT9ux*+mb59!+S$b(CYj2s}l@y*$k)@AJzhnw7 z$S{`56vJ8HSgox8*qD8mAw47T8|)0lI^#Fm8Ivc&`6jEB$$`f`9%#}Sk{w*5@l(96 zGnSktd@8=LB8}EH=+hB3@Ld3DWLt&Rd*e2q2huqD3VWW6b^VCD%8%sJqlHW30qzHB zyqCnCmKQ7gM$~e$Q%vD!&}gJ_eLbCNvywFOR3n*hIS3EMnlAoZhi?-YVJS(=2ls$9 z8WbUqZE(S)F}xyii)1Cr=vCx*`E!!|hJIHX_3>Ij8h;9sow02Rf9Iq~Qs*K0$Gu6? z_Y>KS{zG`4V`O0`z8fHoBRNU({$7%NaaQH^i|o{UPLw-$iTnE)Y2C|3c!-m*A#^^4UP>DCvHwIG zEpk)+l#LX7?jxeF!_!h4P4P}c8c9nKH{EXHhL<3l z)6&v;FGfW8f`YO?E`C*4f=GKDlMgc3dguM?!PLFN8%C($1${P%g14@yiCHp3`N8Xp1( zf76Y;Ov6tzrEwt%$xqHgc9IFQQ!O{qOJjo?;=$NT8eVhh{MRPp7E~>H%Nw#&0Y96N zMx9eckJ>`=8@dyv9=aq%g%=vqXtbStEv-xEhF)}*D*mbS2+xG=Behx-3(HLSz%FFxCf?vkV~{^Z>%WxF#y--`i0@ZOWBoCr?7)^G+(E0zPBv^flg563 zilo148#^8lUVIkW9I>0u0h>s&FuE*h9LCozu!->|QMOm2D>5?&(f@FgocxHaom5wU zS!;!R(Ya$)$~piqV5QOW1f3JsAj*dubl&=%WbSOHbLER<&FdhYWAJSaX(U)lmi@{Q zUS$?#m$(;szlLv3Nu%fk(i(J#Y{rDB7%q_RWPGm$vArglz4Pgez8B}s&53@ZI8hQ7 zB=5aGlC{r)luO3~Bs1g?(PtJUd?c|X#c&W|jTzuJa$FJg3zJB9j2 zW5q~{XLn)Z_U=r0tq;WQf#<$7o=+f|HK_=%pk$s0lg!i76wk;i6x-))gb%>ONE+v{ z$rY{i4ACQ1)^o8t7Fp~g%4ocSlg6Y`gio$d^x=3Fh1gD#$6>w{Llr#Q(AO!+iiauM z&iP2b-(r&di5Eu5Vg%`~?nL+%)nhla@n$5`bQaNj)FGRtu}2m?%qyaAQ$5(uWMu8h zC5rY?IN`shk$fQD*Gc2Y3i6n>3gJ(&X%e+pf^fgDBzY?_*=cZxC{BDZ3T<*B>3XWW zGUN!^EQK9axC&J$m(j~9h7PKJ8owdDBj!SAs~^Z(-OY4f+LPonB_m&#y3@HH_Fmxm zlAbKrJVY`}c9T}qAd1a;PhNJZp5eTD25rU!3H76%3&($u?jAe^(ZfF@%V`SIIr<6F zfAu5DP<2HH;v+k_gI<%(E2>{zvYjN$s2=_#b|%8kDDt~}3t4OJP4Z_i65Uhv=WCad z{A0WeN1v%$b*pnExwH^zjllP0@O+$1@|{%=nJWk7@{hXvX0|4+JdDm`>k@rMKgze$ zJo5574Qcf`f!&ctUG#F6aXkdPNsW24=-dLkbS-1R3hZ|`4&T5#ePaX0!H~qOJj+;> z3tOd)k9EmT@)Q)qa}ToLq#4;fHHISGzMOnT4=3*q?0T|{EDs4!6hrjT;&is~p$2J; z51?F5A0e6j*sft2Cl-^hXXVK6cKRZM(R&qn@xT^l+zW%retPvBY~Po-!*|j71bQ&b z7?qAJTs=>g%c>_-@HC1u1QU5Y9o|!%N!0V=+MPIxWfA>@>b(>7B6^fSFG(Yq3@aTd zXN-CVJahVUz(+F^dv)X(_Ou!@8q2m7hl6qKF^0-=JnK#!^#t~k`u&Nl>pMtJVj-AD z%E|042A9@CR=p^^?PiCLL2nOhI|i}tWgD=?RPJLls3+gg@={lOfPF{?^@A+^Oq33> zV0?j29%gCV!1NK8;UH?`C_9B^GkJ`yoDY%XOq_$w6YT00*gVN5EQZJ_7CafmODq_} zEbBG9FJWaY_r=Z{IgUrtvtc}M8jjKg{^2Ef6Zx4%0F(H#asZQg-xuIb;khm&vPj;M znr#&K$O^YpdBqHHJB=s9jz2k_m!cbO249V@?Z}zDRdV=S#+?}FShx9E40Q0L##(z| zWtzyf5tnR^C|()H77DLInAKBdhx`+aiYm}$GlYsXcnwO4mVQVSE2=>6j-a9FbMe;k;ug&L!9)h=o zx4#JDI-V9Ui{*CSjz)+FdGIcPQ+#xD5U=r9r=akN=PCs7l<$s)-gAB`0wS+?)Gdg- z;iItHI`IQDF&0CW`XY{G0`ZqvOT*|<<{9dZCY$}SomI{;*Cs?rkIcX# z5P5DoXx#F}OuL*E%=-Agq8uhY@Ip|ImUR|^H$l4So|z#7^Mf~6?j4WPB6*me>T6_j z8j5d}j=dmmmwx4-uveCB1ma=YBM+>cljFa_?Pa;_IMnaS*5eW9L)pv^k}qY0u^_&a zWh{t%k>9YIA%Dv9Z2^W@m7ha>q~(LoMvk?dlc6xx%H9C#3$3OV;ct_*>KjUXt&opU zKV>=6!q{yq+XF~Gv4)of_-K`z0pfQn3ZLzfV?3O1P@3lPq$Bj^cqDBGM=Lz;HG;7< z9`ZLtwtBqz4BmbZzdj%y^*CJ=r86ESY2OCCU$tq7alR`VDq&{ z`qz|$M+9Dg$X^}>oM^Pe9LsOP%4o-^od8oD2d}{2GzU)vg;|b0egN|v&q_mbxuX!a zB)VLg*1db>hK%@^&5^J%|N{8DEbiKv7_B+5Z^eazkEl- zZ+VvM43P((pN66Iujl$?F#W;v(0&kqdwSM`hvCk!Uf@k|UZ5e_6lcY!C{1%t$pqdE z=kLn^bDX<-Lt%ll6+QD8IiqHvw9J_f9gJM%oQGf9$u-Vx!w~02XLurrY!5CN#_lG@MPsVGKRI_$r~J)Xv=hJ&XFBTP z|2P9$p)}0v;~SL5dbvWOH_@wo3`&t+uQEY>mRJ6J0Q0eDumsRsPj0@D22adY>-_5aC@i8?v3?T>{&hWR&+xeAG?O^8QUzkOkh&s1I4~ zJ?d`|H+t8lG3j>i;_pG+>s_rl!aeLg?LMrW^d3?kysO^dvcbv??*t9*iP8t}y-&dV?46+$B)@r2 zqYmPycM}@3|M7l;Z(qovKK~GLginTy(2MXXM>DmtKJ)e>mI*!;i-I@VCtY8-jq=Hs z0LG^IEDZvf>2rj}By)WF;e&;8zEAXYC@k_>^Ap6yJ_+B#$`YR}cTrmIGocvNSNb$~ z43X778Iyvy-sfu}w5$z2GifBf(I+GI1zUXjB!|LwpGGus-Q{yR62#p;Ip}%4&u4=J zlKXv{_eLs*e5R+MI`gUc8s3lj_)zJ%PxC&|JLNNY0Q4^U9Aj8iq3594DlK%Zo*6*) zSVdDR$0#fXNzX?I4O=43-Hy=A*t{?vu7laH-AN6p=X^($loq*|V@*GkF0d&~flqaL2K) zG`(BKp3rz|58Lg5tj@7++3^$2kE|oD`jJ6rm%}jV$C0^}IU$y7tt`o_VdRjNc6?je zZa%|)%k11SUmI<*oY$=X^`?(nRJzK$EKiEsMZo z=-Lq2FI(aOVyRkzE_#FOG|p&!|0r}j#l#@&o*S^mOAcH;8R?8*?=yliiB(+*8&Ry( zB?!!C6V3yyVvDzexS7oT33tE_J&h+Jd6|3&F3YyCI!i(&7nk9fvT;rE^LGmF{@^)Kx78pQII)u5^G zNIo+$BuDXkCs2yugRg-%jn_YfjHdHj^n{tg-_X>084uY356k)7-{7s_JxfAw8_%B? z3fuYcr7*pN=i3Z$jAx>Zj`N;0Z8^_#(aPp^UgaymU7i;U-|`+WM#~}(`9GNPV5GK! z24ydKg)Z>$nUD7c7%ZIsfHy*PrDxwbaS!YAa=gg12$D0z+a%!46nn3uv_!O`ImA-2 zpaqB<#fSDVy-8%R3gSW0Y8mtniJL3*eUpC#Eg=>f*o@nkJEUMMXcZ|o5$B@6n}ApB z$_%I!FYpb?ml(Si=g^ejz%99c86pW=a}efBbuW)PskWye&ef0A0=-7WLg?0PlK@_8 zF?_I2)?U2SEHGF3O{kY2PfRwSwuG{ct-W_B!js#OOy>=Z2$mQG7? zmDxgfdHC_Nuv+$*FFcnku>dmVGqr_gg~3nYzhXpEG=xe`rb52*UqRqjiK2#5HTx#W zR9l&vVocl_lp5#z!E#M6s^(f-F2ZK*l0>P~o|Y8qcBO8(o=-totAFMoWE!-=S5ald z7x>+(Y&5zItTle*0eX`R)SR21#Ku(FEb$*$Xug*w(JiKg0B;#aOF*ssXi>Ly?d6bf zQyVW!tN@fCN#?L*OsQS z{YQTQWkB}M&>DE*JSc<8=7XKVtjI06AHrtdLM%gB*Uu;oV{O|*b2wXq&yJ#NJ3j@w zBU$uD5J$0c9YBm=oo|9Tn*FH>i(}ZIpU@l2vd;w=$D%PQ#vFH03)q~%cG5b@MD~y- zFq1Gag!*K5#s&2$tnze7MzTxQL5yNQ_CkFst1}bCX)Nb-h)icchk-bQMHR(`naPfo zhRs=Q7_Ar0W;t>}at_-X1L9mZ-hje9#uK14pCw!ee+yV7t#B@6PP#&i*e~k87BjOw zh)Y;wT2Wfc?q>#X84IO(#d5Zr78+KtCiuv)T*;D^MewWGy#_G7nhm3cr8O+wZIsrs zyCo6QIySiuY_4a`6G3kSD?~l#M&?V)jhk2`RnKPjR~~>ZY!trfE4Q-enNZrs>d*?; zcJ_i6|8}t7v>v;Y9eW4$U91+Z_3maLjv=HyY!trFfVuyRSCHJtHqgLpKUtXPq5FmK|IN7mI3h; zi@b!=X*T#YN@rMNT8=o&HsZxMp1tY1B8c;BLPwM?u#-99{UQtW2e`!E)4K0vmYf!I zuCN=kQM$_3(hTGpTbBYsTxVVKBcvNF=^T`9ve~;(y2a*KhRAKUu>l<2VK*wm?Opbq zmQ?Pst!GfW&x*W9DVmk1?&Sfy)fquNWbbJR`iOlT0p4S_^&Co1*lAj(d&*|#LJ%?R zpVBBjW5ckFfmyfrA(URQ)0yG#C7W0jLA+v<8zP8**%TV6zh)Lqt==$S>Y?AViL^%X zj`;CZmk!4B(@QHcQYn9LJWNO&_!rI_>rFft`qPHF2*yP**-&qQJ za{XXsX%hXDStd%q*xA!4{boO-QToGvm4o_Vo~be14&lQFA*7*v^DTg3ydl-na9$@d zA{)U!;$zo%Ru!9o(kLFf8>I;TuP@w=<_*q5atv>R^*F57WT)xHIDUB_9F6CNR>9E( zJ|Y<$P2@kQN1DW6heKg9_gIP26dp#S)JWck^rHBJXDH!~IF0(J@xJs#pUzX#g8dAB z%Rufkx!(_zX7R}MD9z?`hQaL|K84=T&E+plxShvO&>MvL{9=EU7Vy+J0T%Ksy8ssP zGv~lt%-`ojNK5#$atLB6-$~=BWxUmCl$P^k&rw>zr(r{}T*=e7N4Bf@QW{9C=EYV3 ztl<@Zg143@r`uv3-#Q#Ytmk>kBBTv`;&PNW@>y8ylbiVN^l-bGXUq@DE&NzJnBK~Z zr-u4AKKT}S+j+*@aJz%AreP(99W-9v#kWsDX*c(!dFUSQ@PXUC+&e#P?&C#wLw!Gg zITzpnZ$k6CgS;P&N)GXSSdEm2dGaM79^tF;4n&QrLMprfJ@*5epX4bp2}bi?egsGP zrjvaBJC8sqFeV2K7IaPqrO;!V%oYAhZvl#wr$<%M@!dcv_Td;P#XU2?PKnxQKncB1 zqrsAoX{j+RX$sgW^`QwYl=l4zyiDM6aKqoN2BqwMy64J$rD<^awlt!zP_i~GSA0uz zy-Keu!E)t+MWIz?{1j+a^`>a6-JJkR_0X0$*Kkl3*ZfEivRW^)L#uWK4fpCS#mD1i z-N7`Qtv8nDS@rLBMQjZU9tEXgGa7$3`g97E#`%-ypk#3qkC2Tf3Pn~^plg6bj zrV`%r!YbHoHIJqhnB({MHnZ_M!k-I^OQjAYZZLvj>r5ektA_LW}3j%L>}Rl@`H-EK&ZWl{7dYaDAr z3%cW3tv%42z8UV4?TaUvMa@r!!(wC z9Ej7|*Ows9VB;r1VJ55d4*q7bBB5|Jo9$eH(i|)`!_i#!jJnl%tQ(DE=Cj*9ps;{N zTm^9h55=F(7iBfA!c95%7J^kRK8t1t#0 zwy+Cy8*ODz%OI9*Y~ei+x3k10;AjW?Nf%`&6ZA~q#rBN>aW|_=_x~OiNU7{)Z}EdY zJU}aU2XQ|uc@o3}EN2Gj9b~(XgLsIoO9q>V@l!oGI>NTO;Qc7OSqH|Bv2V1Vdz^i$ z0}m%y-sK>kWOGIWoMJuJfq0rl{z2&stJVyT&axDksN(^eoI0=b?8_tAyujMDM(HAJ zRu~GG*q_A!m)Qjxvs__w>6PkLHrx-A*I1#N2=F>PSRPhxuz<}Fxye=+KoGaszcj(S z&E_ov@ebSb8hUrxNP1G=W67x-zt0+z+i3iJ1nLjiH+mQMkTvvy`!C6z#Y z!n{vI?^H68{$RDSp9BxkbvfbT7kl&;rQd8vdqnn!RlX0A!Mq$@oFV*k8W4x_ z95X;1##6tBd`3*vRW00dW&=OQ~$*2YZ2d zj1OFn(h0ts-cp|A?P(l)il@B`E2nwEeh@js@23Pf%YV_GbB_0=UgJDp*d7WOcqwdN zloxreRtVw}pC1BamwBBfh~*03F&DvKk9uP4HmuMqclW}X@}BK z(HZ}Ol^iD0HAQK-Xk7wegfOoIj1)I%C&wtUlxDOMLJ9;pS~!-XG)Am-0E`tWX`VPv zJZgc`cu{aLN)yETnuvO$7)#q_CW%D}5z=H)bsE4Fu`du-BE?Wzf{hXl5}`Cze4T~T zH1V01gQtt=$tcYbb*aOhDf&=Lo+X-ALP)d46>kJTNA%ADV{^qjdI>R48&|5D4q(_=7M7iwnw^Gzz z3$RK&9t+-T(Z2_HYsBdCNM)^9ml0{M6UHBu){95|5bg#sp)gEu6uu8&dXv~#4hnc4 zQ#Z3k99Rs6ts;3U5VwhzlVEeZ$ca6>a))@^1R^`dEP5TYOVr&3-fnS;CZ2mlova9A zukarT5BtPzdJVf@_|o9-fC#}mb1c$qq7Lbh=;DD`4vYJ%0gj+yLFA|?>jQ;j;%QZY z<09`-1b9Mt(A&|IqS_CDQ(~(>h^Iv}dN*)JT#taivtoTT{GAiG7K3+Q#6$yJ5V>e| z?V?y32)#=p)IjO7*tHG{SHy}O@NiZ52SW0i$W6Oqu8Wcp$moW6MC$-IMU9d0a7%oo z$=+=d@(Aj8#OhEe+!ZGa!{$9PtRRT@Mdy(ai572eg7-iSq!G(Qv86e9kA%-17<(+} z^8)gT$TS8Xo{DY5;V(wyLANZQi4QajdM;9J1Mh{X`5fvmMKleYUWrx~i2sUH^Wg8b zDAW!LZ$v&C(!CYwXe9Vfq@gb8y%^CPjy?#-aFjlZcd4N8Nu+xM_0QtvIPkuRN&{f? zt5{S7rEj7oZO!{GG8BW&A0pWTDEt%`Xcgj@XhU7eZ*gi9ME;1Fb^wFTA!(pK#7r|1 z9)_CXX`nF7yzmqrhMQ9+LSclNht{Y@ns4b1!zlCVJQ#~G?^i@!k2b?;SUASK-VO?5 z&Ac3?ac1j>5E*Z#=?D)K%s({VpJ+CUfQLzDhtcpi*>GjkOJalJXSF%&kKxj#XDqq$={ z6gHV>>5;YBth^H*wwNF28MoEEN#nC^X4YX)*lsQ=kBZ!3Cg_4#cA8J0!t^e4LL?M+ zo9k&HzsJm(5T(85tfo-dXMRcp;(l{_OL#b7UP%nSgXWSw5IJP-*$I)uW=`5vcf@Rd z8v!0QhxCTOV`h8o{+7qhLbL>S!mL^ty%o(DgD%r5N}60$YiSc;FNh4jO3(Ma*DmR$ z&fpgJp;_i5y>t%W7li=tu)5iybeBCVfmrUbkN-gVKHEy;x@eY<8qoum53@JrA$7-p zK@Uz&j?)E%!FKu^=oJ+&EXxSkE|nrDsHF>R2440Qy*sWn8>`bwBCz6Pm`ity`uj|O zO$RS)z`r=>I7CCJ+{2T@YQ6$ARW6u>ZoMK~>8>o^lkSI-tu{fc^o^UqE9IpHx>{ZS zg|$YRsEcp4E+fM3u#FaoJC{xf-EP5sz};?n4+49Kwd)FKJFWAy$?=HgxeF5^5qA9y#dxqgs5*gr*bg+0{^3-^A`xi{8vC z7lPj{>}V)JG^;_c+#ay9wAuC%%Qg_|k69>9;h(Z9v;!`Nbv^*zbM`m&hc8%*XK?w0 z1<{=JCp$tfS0ed7TAqpGp&3A&#>>#M<8)qe5frfO(FUbiJetN8b9mKkAkO8VX$m}_ zhtm*u0q@-iU=g24s}YNNGJ42u<>fX(avLv1?|XOf0e!*S$-6WM@e_YX%WI$ctd-z> z<&WC{eB)Wa1N`7ODRMDPp&go*v zb7VV1RL>3GERiKKO0z}zR4_JI}@)z~FONNeQ>0dtx|^%Y6JPQQ)tn?+&6j=)ZhV)!W$;f1^LFGo`c>b{|Hc;ZlfVev+2|znwO@% zw=H}pK&IuKL$K4T+5zB${4*iF!E86}lNrjE(Y#|An=%_YA*~AJ>g8F7wxDE8SunrGF+{z~OM`;^dWI|y(+f2LccChfBAns%%Zo}9v7O@fF z0&~6u@gf^fuct4wArizZY#Ocp;B_h8ch}g1-XLCQ#d87NU<0YAyve-VLE#qL{uCZ= zv*a(JaEE!X0`V^Uo7QITvHUc}zt5JOGD8LgQ8V*P2Q<~J+e0^kozKvV9){2}Exg#V=GG?aIyHwnXdW12b-=QU!$ zo578f2yiBUM6WYu^Wpm;GKYKl!0lXqItE}K_l|$-Gcl&3E;O$UdGT z0DAlRR}VyXkYA=(a))?1s>s8DBZ&F@+v@i*k@Yi(TScj>P~RqUErs585&1WWJH##; z-R~5&XuV*Uh`x`~Zqb?6a`%XyV-d?UaVJF8&Me{a$uz~d zCWelN$aT^6Z|L0+F9SimDH_u5=v(4PYk=Eg(LspZ5h=ak_O8g+4aV+?Ld!wCFRsw? zO|*DHm+FC-`3|NZik6*V<&jA91;IZS;Rhh{M2y-7k*DHhRS;vuhn^rl6aRET>A9Fp zt?q>gJpvCe#hG$2{Yq@v4e+lxNlhRBYQzbY-iUwcQT0}M+=GXAVr^xV-irzKQTiZu zPDJUW*ga~Ub<@q(+1=gUF}qt@Iut>q zk!}PmIwTYnMMOXl2~iY9EI`!X>wNb6{=svebMC2k-FxD`A7J5~tQm;0_ww6wuuw18 zZvoL*MO_5ZM78I6!c+x*3Cv9G;sC;2y?X&aE!59B|3Ad-GL=*DUjCKP+S`Q7y~8@K zhn9aX&&TJ8ryOdI%qfGWQBGsQIl5&$ILCD2uy$-W8;p)qUqfn6Jx^S7Kf8cFzn~aK z77QDN?+f>N;rpWA+$>~^pY#>=>u{-8w&GgCDy%wK zo<4KDxMl~pDb~g$!1}sEz5#YW4%xANA*-sylYh9kR!F%mmMgMsC{ZkrC%H`qYC%B?H=)3I;>!%8!{5nRC`= zOmnfX3#(m92aKJho!k^LrM=w2G$Vs{5I3iG?hvq`)Kw6$qzUUFU`1b=09exuE@j$~ zGe;Y?qA7kz`tSgjxQgaVrUetR%;|ppj$#(2tp~`am)zL8N$tkN z`CD{kIj|f$$iZLeu9*a)+O<05t=DE=MM2H9wpNfh{5U&>A*Wk-DarsxUJQppv zPEsR&?FjHf-25HZUy4^f0BXgqZUC>ufGz-aV!0mVUW*|-pS}@oxEA?VJmjkEI}y+e zPP`Xqe!^J2c!ydlGTaTZU}+Q&c_-WlaqvArlSq3B&X1y=+eDv)7f*Me#V2l3>&cCw zI81%nGZ1nJOgPyyl$(d)7>s1eV-StyH;2G!B4=@>_oU3>*0HJV$a})gmcqbP4M%T}%+zK};c1A8fx%i&9{yvwuqE9t@Oew|F@#@B24#bmI& zkz-c?dn>c~;d&>Ze1d92<$VeQMruHJs5VhqyC8Q`Ew2NosnX|p&|cko2<(iyz!{sP znq>~ovuaf*5SI0&$TE7W8{ZtGutpRG}D;!FoTC)chf>h^20Kv+v2drOK zb2$pXqE7Jh9;1wTw@s`HFMvRt>ZovS$Mtq<## z_&4ZavC@phBLBa-T$ki34ELH54o`bu;0rM<|0+(_KYr1mkJxw!I!2C|htW|3IhYwe zvKcB%iv?wI)P87KvgJBHmwLYeW!W#E!N1&_FXoEx zxh}cV@Gh&!e(XUJ>>i)b1=SNzuj9KOb^ijx`eepcXImQH z0z^AH-VWGl^4J7Mdn)0)@C^CnV9bG9_k|rtO6OYgS^BLD#+*od8JItH+y=P-a(fTC zAgcTVW5Kjo3xN~^szmL?!sm>?* zG#E$pnU-Q};D7ha!5ql+YQvFM@10IiGyd*3kei_88o?xY_Ia{~C!Q%I`M#LC>?`n0 z`>Pq0PQNz+Psa>fu9(jJm&^RKE+jzx?A9E5&-vU38s18Y&;7Uf9p(dvIOsk&VLhxL>gW!ghu?+a^N2fFsgK6;RXetj z@3`ZqIRrW3)gIsVNRMmG`ZUE27s7zne*k7kUM=9g4P|j}+m_n-W9$?;^OAR(Ht|Dk zPk+`y?HQVr02`ikV>?{-q6ItydQ&aWqdwH0?;BsbaR=glu9g&*4)hon3{o>oh+R;#uU%0aG>&a)Xwew18_H#ne?m zO9|~*0#HhSu7vZC=!`$a%gAL8ILoQ93qS?A_XnsX|M9T?nD%h)SVfI|6F#B2{BS>| z0~NrkXCC zZxG*5^=c5`()^YqqX02j+~Zp(L|9sa7%I$5 zVJJ*UzVE|DAs4}d7KohyIQ;_t1HyC@cMvFDPgJqGNuxXJCl zD-N1W~md%5BrClGm}#Tszl6IHumHeXyF2hRIq%ojM!0`U*m z-wVatn-C}xudie5fvEfhtXTZO$6g|=w*x#Bwwy(miURiRkx1q$L7BMM24m%7`+Qia z5S`L7Rw=^x#(XTkX@TodC64l%^+c@aF!rfv;JE&y_#qA8lPKq!zMkwf2S8so=eo9m zY+eVK4COvvla1trZ(+e$I<|u{6FI*(#!ku`TrM+}=ZbO8%w)^nP-ZUo@f~R)t+JuT zQvUS}a#pg4XKHKNwF_8mWY@<4L2|wwv;@oEVF01hkuP|dEbIf$a2XW{EfI40ag1G% z9$XQPl(ZOQQPPWFNr;wK`(XW|jOPX7lDw&hvCHxh|8~n2Y48qSUzMvmf+a>4B|;!p zuJMJIIJxE;i1Bg`M+6Ddf=_v(%&&x@B)N)T?oF0sIN(i@8~G_tl^wWncujiU0G1|i z@+*AlvWnNN44I)1EK^$ZxvrG6t^hoiC9|RPiL~hmC!WgsD*)B9CBJ|8OdjW2=5yJW zSN0nDV+zc^kZrlO`cn1}hj^`A&$G=dxruY&IyoX0#MiRnHk^MWzxWYjZ{=S%VEvu+ z9u4AqIsSJL>*e(WIHCr*VgNv+^sNTV2N`(`)|;diSF}IMU$F})F7UM{8jsVUpgymKK%}~RA6S%nQUnmKe&u%iMHQD0)t8iSG90|Dtb>7FQLf)Z;Hol; z0x?D{=Lt7f?R*Xpr)-~tn5VY?2J83K&a>dWuU2uCSfG~iu@|b3t6-r>Eo%W~50n{a z9K|Xu4W5;#1sx#%P+jMUpj4f)fk=7GDCVwWbqy!)!D~Lm}>>$*KU+RTIF)1b59G zD-b<2ZKuJIr{;$?7{ja69A1WNcCeucO|1iTMrx8cql(hFIzU;p=421Jdr@m6}lOx9JG@jh! zeywpy0Pzj}c2V1Q55%u& zr*gG1P5Ui37&5eTzlIZ;+J>FLu4|jkfU+Cfvk@R>X?_2JK(=<{Xb^8|XWfTO#oA$q zpsYmu{Y`*UZR-Ra?IW$>1&ozx?Kqb$*9LQ+wL-hA5Ll)5E#FIzwRcjXrBT~%F~&Y< zqdDFEs2#75u}@l`8L;%bX@o~|?xM17t4HHaJN-f3|thPuf!fEnouIZ8IxIoCqm zMAvx*h$nTDb8b^z=mEHErhBykM01@*D3n>~44gr<)HUx1V5K{G2t;e07snbly78X? zY<0akD7DkMK85O2y7|k1oz@-V(AHkpHVtR+j4p2)hz>eCeGnaWhWa3$)h*|+!bumw zZG&?ktyX(^LK+Z!qoYM(U-Ec1Adg*+)@_t@- zfp2|p-7W6W`RJB%KkAg9FPv_(Xf&02& zIzga7*D?-cg}QkJfg;@&epj+eXUe(AQ{5s?Lj>gI8aI->b+NC5uJuU5uErq`Qt*yw%N4c~|7a}#{TUSsf& zdNm4)M}Jp>^ERd%@7fvb!OfO&VZFgQe(cxyobZzKlZiiYnPF0jEsQOW_QL0qO`JL` zYvP%6`CotH`wE9X_`b5oUW~4)d6mx9@XE1+&wyf4yvEf_$sKbrn|p` zbm&VsQAe)|fW4-7JoCPx7aS(O zr5+1_y`xQBT6s?=cL3DWY;F)VP>Fb@=qG~t6hw)o+|Y~`V>w*7Bu=*ic3J${89J|sAs0bR7hSnDl_C6% zfL#|S4Z(6l)cy>XETQEaJX_r7^5{)5svU^8gyC&~9PtnDy(t!`5pz27%Tr*bVhL|J zdL-5!11J-lI8rVb{gW{EO5FMfe%6V3Twi%3K5^IQtw@*y?45Y|4qm?(Tf@LoFATX( z&>&WG9MmW(9RbYbHZFCU%idLxvy@Nf0<)6UoG)3+dHg8Y$aS1u*h*jSW7)~0yjq=- zRc>H8Ez37Sr@icG4;C*u8ow2Q!fjXf#YYAW0;jJOT%h!m3;DeG%aI&S1;`0jIQBr< zmm8=-@|%qyUY4CAfnAYba|=2~>Wu|QTkS#ay7LZ%= z9k-`*aTc2KG*7xPr4@*6{7tAYX7E(kP#A0QewRyC4waEs0&e&x4{~ zr~brY_paRl)}e{tfj(?iFC5A6)uX^ZqQj5i899zG=%_z?f^+o4TR1T@R{O%BGf^b?>+uzut@M^&6VI;rqs{ufVgZY$0SfFRTV- zOQa37{hQZ-&#i05f^%Dt6TWY+;FrC2glIw8*?uPYcU5ySYxfu23fg1A)%3l#5%6c< zEPgNz=nAKJ*uQfhz?jl`D})KrZ>iA{EbqvF z4FukkFF%U-tq;B*8t63Vyp7bH%ZVSTwJ*#z(PCc7Khl7FfKT+<2U%&>WbB zc;5@aQdrl(3Hpu`-$+r&QE!w; z+yD|#WQkk5fMpB&TyWkLr#O$kC2F`bkR#p=2X-oZFEzI5*@$F!*K>YC&SQ^Az7qB#n2^>5%35R45KMH-$ zKDd?zv!6vZ-$Z(HA{WE;W!`02H;^NF=YpZEyapB{IjS3o#&Q4`D@^3EAe@4eGIcwE zsdO#`i4Mqbv$mxRt9HpxXw49Z5cuI7VyZD`@bJEua_MGLMV%T$$ zfAOvFD#KjC=_dVnK5>^{S%4)$MqPqHqC9;8#AJDtx6r4^dx=n%D!=0`2-jrh&tOTD z4==*cbQv@Y$};4(VPMIW-Z>y%mxp(NctbXDke($!7ePz5)c*@!-;@qq*S{qP{|#b} z^yY1lx8-VIsJQExY z&DB_a2w13M4>)0|j{AUUr6!L6(OP+O4Z%j$6ad3p3%>)iQ>W$vJEivKtoOuZ4i8+NptAFEzsz#PceDK7hBXO$O0N-R8QduUfhkL_cLX1;Afr zt_BEDrmi@YKoxZ#T7p!U!!R4HHcf`T5EZ=>hC)?UHb9sf%E?l=ddFdEgt}h~mJ8}^ zduWMN12O@kRLiwsiB`XG5P4ABq=!lgvD?kL2Q)D*7ICad*a-c3=6)^H1}5?(22cT^WAfLzsvr^>r(5VPc|C4BwvsbzmaS-uME4ny~qYdGWz)Kh+)v`}4p z3bRGZdl$e1rEWq?v1;A{0wwBW2DCg>PNyMIs+w_V|49AJ)zdPCf*5XL)vg4@3e}GH z@>Qyhyc_GWn)Ee5m1_PC#-6C#g%E$L=5dvxT7BX*^_gnTWvS z1YWA=)1jck!J2fTw7y}RSW1e(Kua&mXn%`*&v!~x~&F_nPzV}#>_Q&w$Ngs8HI`Lzql`l zL)%{A9MbmwH57uwYPoj%&qVI}kNE2rK1aq@LUz>U7Vu;A+-iJ|IoKNX1xNV;EIhgl zvWvU-1kaLz{V}?;x}G%NMVQwiQbsfwFQuU#V3&Z}GYMyA!arCW3Fuwa%H~ zTxZW2-uflHT5Ndr0-qagrozgmDWAZ(xovZBZn3)nEC2Q?#pu?*r-Qz2*$`;n-oH0a z$Bu#hp?T+TMxgKNb{m|#Pj!aWo(aL=*}FvpZTtH3EMY|035+vF-+c+}ByHds(v;S` z0*4tjeuM&ZI>w=w1ua|)7E8L#8H5#m%csJcnsb@dhHRsN#Zn^Q_;FPB4lD`Oo%ci} zk|_uFNmP)Dqe`a4VHisxyI72+Qse^=uhF-Boztl8I4Db}+am!o=$H|RnPkrK^>r$@ zf$AF+!!7eHS}+&l*>rduJiAF(YQcGn>^XAJp~alt-zKl6aQ6L}h-#;Rvy5~-K`f_uZoE{G)34yHq+1+8Kc??EaIeB)_IL z;oks(8)6MtOS8m$4&buIiWFGCDYkI(d`ook05L~=IsxKsk#`K>j!5HNN+ zBAyKM#83wJ#Isx|%NN)GSDY7u4HbxPDIgY#j6c9qBz8nV=L3<<<)dQJr8l&ch#|9~ z`k@%K8^ltv))?3$Avydn6Xl%KmJ26tzg39#yv3nXe9blb$6`P_K$Y0p3`Bc5fb;S* zayJ(a9p%770B5BW7aW}A-_PO1IoXHH_|DRovwIi0pYvo_+0GF}H|f0)4!X-09Lspf zY>rnvr=cD+K(cx(0ES1Nce@$m!{j3zWP6 zmpsZZ`F8+=WxHgsgvjx{?IBdQ=jka-W;#P4T;_6=6(J9KK<5Q%R0o}r($5b9QF04k z{%HAu)0B%ch^xVuWb<+G<+41(C-RDX833JEi{DGRDeJ#Q7?QdVDFszB?!P-E#`35MVSo*r>iQ60E?SCwg*Ia zn5UY|J43yc?NDIn)zK(8@2%Q$soqC5{0-o%60QLFDaXkG{%S!QbOxwx z1K?+%3Y`FDL28mOh{0+?4U~nb?c5v-RT~ci3sYyg5D~6EY=;vODxTB*3u^Kt4stwOC z@ydoX<^=UC-jDh(RQT}>M0<6ufn@J7+{zfTED)XzwdZotKM%7&AAav1zK_r|gubbj zz_MudadSttRp}{;kAMSq!R*rP|8I+^FIOja}G9UEg+c+aT zv3NY_dbDXBROpk#1xOo^M*$cNDVU!jBT6X4m@%0|K-PrDEQHCE)RIfgrgW39vKcvX z{$Wn|cSIan{O$>zmh|=+1gt2(3`A@4hyjZY)%Sy(E$I({1v{#@1a^uBt$@I3`e`A6 zJ)PoO%Ncqd0YeV7voB77Bi;J}0%z%#19UpkE6!BT(Qm_`)0ui+1g8rXaA@F48}35f zjh;M*fIFpp1g8fL?FphMt^5(0Qx!v#6W8I5aL0!qaEae>DTX}I)skJff!0_h64+um9v3`(>I*QN6>X{uU#Nh z2@8=_-X6-L$dAjj(X?baST0fxM=O`;%zDUOrWnpiuaNo~#H-Zv5}b&kZp&dWmR4|l z9!KVWkc+22Toq0rLk<)YshV%DB+?&@`!Javje~_0I*$Ev2+C$~5$PIj=ju@!bvX%; zPIi1kGUy+^eM@Lu7{EhXcM}4S=)-Q9Eu-H#eJQ7Op3W<17av0t6 zD0T;weWYt9;QT~=4ukla+V+Kjo|w+3RbQOoU%xXDzPywfinZIpX(YCf!kDozC!Ae- zvFthy?2I@(4V;eRJeR4?im}td;v@=Eq3oQ<=fae;Xul7@MP#0aSywR!>Dhmi%6Gix z_u5X-)4Lt7Ndw}~LG{4EYS0I}9R+3dcH?6A7P3!mU6*x;Pe` z#~yPv{rH1^uyW!MCjojyyin;=)(mhN(6K2HHzX(CuxLave}LVXPH>~ogeKgC3jAc) zV*pcX@Wq%J&ExdMoSJz-iv{I$2ho!Ljsek%Mnpr4HKlcdP8;f;4$Kz+j{pZ}M>#w> zpCY|lfYT)6q0^q$a>#s!<{Lr4fr5uanIqlj%<(Mk=M(2d_SP6XM}5Pg%$eK@fVoiY zcyPK>J~uGk=tD7pJFU!vOCIDu7~-DPGz{WiB$@%7r~F*Vd6Uy0;PjyucHs0SKc1NU zh`6ZWPdhilLI6cx0x^&VF9v52ZQ}+h0vY}&=N{<90`R{Id@sYX`O_!2>Sjc zunRQX8Um5j_al@=ksG(UF!}Htdy#(U2mTVxodj^1@)|+BLNopXD5R#}0E#G31Lun= zdJD9a(4X^wJ){}=7%QdOQvn{){ZxpTQCAyyT~7Vlz-$Gb;T6A)db@rt<2D^a01J_uNms0;xp z7WexCl!zV`(DG13^Cd17gUulRNc_pYy)rTEPpB>zF@r#?5SxqPe5F`>4|0!%{SxS` z5(l`H{6s9}`QWKodKz-o;(86v?lY0!4mzKUGbg}OBepm~;DzY)4>(_nG1*|L6_s3A zekHmXV60AjF&P$K3s-)d?Tz@eH?X&&DgZj)iB`O*z8B{F6GHW()h5U_;Ags^+Cg^Z zn8W^gHCrD$y>lY;5v9IRoSZo=O5`WfX`(@)2K^ zVA-DwEFqHr&o%O(>v2#PCI!!k;nLO~AVRwF2A>P^*)?z$$d%tiu27n62Im9$9aq|m z<TT!@!TBYxs4WXmRCm2&W$O2ASj zm;MOwMCx%{;;HN?aeAtyyM)eXa^NIj&*gp__*oZXO3AXUM8+k(|vUjIUr;RgtWs-y$J z!c=x2$c3v0KIjNFCIrL_D*XwFk!nr}#G};xo4}&gzYhQ|s`Zfom()+3Ott>tloHPn*A4A#T!DjSMrzet+xYb_CtHs%GzHgQn zWcRP!4E+cDJ;V1y3pc}`!?qkQA2Emo{b);G;Ewt55^;PDS9VT}=JK8?b>p6|8O3mL zcZymKfqSQEWqV*Q^l=DST&e%Jz$Csc9oX1dS@f*j4J@5pww9Y$p&? z>C^!n_BFb=8N{2kXd<-SqPqhC?$b|KAy7bL_+*!nksfrGla|xF=d_ZGpfzOu9>jOl zkq`Gh*>LqtPYf-GoW6+5z?iuhaTP=hG5$1&_M-24h@TM#?=a>jy77(|cd?&K=KjKz zBj5mWfJ3qiVk#d)q%h;7jTQQQpT>!`yvrm_JUsx;bdk%yc%CD=@eF@kwBcR4h2o0| zuva8*W1aZ#-iSlyujzGo21I&W420W5L#IK@Fb`+&4^ODX=!joA{1`br4Lqa9&4t#{ z{WeL<`sY9Fbhy7T^R>$ljAwlnUeVoQd58D8E@L4 zn~<9R2Zv5GZmtIB%*wf-%$mwx&+fn(_neYtke$1E0(8!^YX-{vJ-jh&!H*62T)5+3 z=vkD_n@1KuT7l0cPtCxy^ef&IwCueLq?Z5M0Qw4-$>3i}T%uYv#s#0NCys^YHF;j3 zukFV7&w(wo@p*7%8%P}vvW3)<+MdvJG}Hk+$2P73{dhR9t|#ncz^O+m+?vy;K1~1y zWXsWwA$5KM4kPkSgs3q+e*nycj`6{tq=MbBX-c17foMh!i4ZWSWe1_mf=(`gMN0|} z1E&@3;EBzey7DAsL!CHPu%#J%lI%$52bNQm#!aBpl+H_oJ-y`s_6*(n49tN(@r~w4 zdya#6mKu4vaiXs{dh;h+?!pDoEnY8zDB(CjFuDm)7DA0}AP`EcE5R8?X|n*rX#=n6 z5!B-r{JcP41_4CUj~vHF(Yd!6izWl^G+d-Z9J5@aS)n-0%VfsydS9W@KLEQ*fASu~ z7@F||SYj!MpX4|ikqz;9`i8H20?ls)kVux^ASTh7A{D4Q$5RWy*V{}XD(Q)e}88Vz@!(YOu} ze@=7efLKG$d_*s(R~`hKXoLlv_(;C5pzJgG1pw%YRlH?iU)cQt%s{N=fYMMDb4ukP z@;Q)k6yw%G+)2FM2hMZCbsd1SnA!*ST*PG#_guw9C&JJNalsPAk3zNp=O>ZY9^kXsSqY#g zLl#5MKw9&%Xeb@$0vO3>(_zn8p6w6pq!}-?%&r1i6?7XEO$M8Nfhu3spndbu* ze>siox&d-{cMt<*v@ftAna}055ZQ%Svrwr$1o1GrFbOQ-a^e4SOlf=s;*oM=Er?O_ z#}D9)mb<#Z`XxDncUxSRZFn-jBA0M%byYTS!7x_7dj(~2auqME@v^@OK!Q9t335rY z^C}1=%X_@jG(~Qm0W4L{S_DIB^374mrOOvQxn{^UyMbj&r*9yBLq;xwc$OT<$wIbV z69j>q(yATI=Ey4?z1^1GzX0)$9QY8Nx$@;d;LMYWEpfE>q{|5y%9p3V!PtFye-~62 z%J6$&DUxPf&3GWs`+`_3ug`(NL%EG_sZ!bM4-g;8?i`wx$&+_LtdNrXca`#VPl!L3 zf@9n&`JAKnr!t$X=GD^cTVT&*E|;dB%N<;Wcqy;*4zXI9$a_6r$>ys7>g3HH7<(hz z{Q~e-e#;rlJNaiLRKJ&3d2MZwJxn3jC<9Kxi4XE`UU!@1N`9B}69jPVpJf1t5PB+u zvu%C#!ya%Ns{1@a7^%WN5WseqH&AV&Zhi*91{ZFjn=8qC`YhCk@sP7r!!|(9T20`0 z&TLc{epYOi;zF^V`m`M2w3_q=M0@oMCktm(BUii~ltnP)&Z-SQQ0=6SltA@4HG*K) zS*47CgRbiAP>8#!--f^tx)8g->7n#~1MpHI62$Xr9{1S2)p>4P_^4SrV18=ndH{db zq5vR3)h&RsAhq#NV8Lo`K0t`-8x8AWY7dtR!qr~BO(WFF0nm9tO=<;}C}qO&Z?rmm z1NJVepEzE)q}p(`?TT9U8sb+~Dc8zlRG=f|VpW&(AjYeWdq7N3J=tEO+Q->_lJeqL zMpINRCkv^{RR@7<>Pu^YG?jD{oEa+T2@GYbu_J(8R}Z;plBK@kWFcF<=Sb-Hy z9F_MSe7UVAU4i%=HEk_8bJa$!`sJyEzhLa1%H^7HzH;b>^KxGe=JdQ!r7K`X>TAB| zAE?#F5HC>{|Laz&B@aL>Rqcj>Sf<*S0xMS&^?+5VybrKYsoM7Xzl!D&*4}HKGswNu zPJm}fDyMKmHzvc|f3inmba?tl&_{SpgUONYG@wtr&V}abzs19snZ<*_Kg)`%F|$wT zVRX(m?1ld?a65MeN7?I#4&duOLqXSo9&?~&U;&@|!7I33IsO3m87BmBCOxSG2P%{G zLcue|@-29#R#-vrG#QTX(@iSzeMW3PTfH_7Cui2t%kX1%2X3&f&>V;UmBt4lyZUY# zM%U!WLEGBt?ik&vTMWuBAKuZkr-~Pty{q{)*f+HqH0&S33E6?KlR-b|eGimF%Z>2; zuwPebII^VxoJWhpK|i*r2^x+I9Y#+a;iN~8cKiU6KCN|w9RnJ{6*fcqbsc~a{XQB_ z8Pji^d6`g}0P!^9DFAy4;HtC(tzHTiM_SFl?|GK4@V(|lejJ6KqtU$k#F=(;1m!{x z+5osxG{<&sln{v{b*G@;q1pr0MT~h;f;r^8$cO{Q^JM)O9Q39o)fn?3^#lUGbdno_ ze$;FUIQ=POGR6YvMg@r2|LY6lCAwz{;uWfmgTPg?dIe=MHS9oT#Sf zKf%Fg)L}J<&nd$cT5729J+!=_HO3%9p$D`Wh}=&g8jA0@FJ~-T7J$=4yl?{Xq?pKM z22*ivCj`vI)DAFYF6w!2qJ>yK4j4B6j>DLhsN)siT8w!Mmuv)aufSHU2>^?o7{z7G zQ{oZVj!p|%4a`^cp9RcM)aC#S5FcXTb)ax7h3X(-!K*^BAb#RPL7J8E*a8Z~o0_P?C^eZ^8i|OqEZivwX z!ICWmhp;z=E(~(FMEDzU=7__bjo%g#(IDOt=9{1;SA4Y-V|T?duHNK{TuvVDiEPdj z@eBB^f!!B7IK(Ru`E0gOM7hF3kqGz%Wgo>l&T2l1jkVy^lT}>Z(3h1L01WVAF^Gop z`F7YdlG}n|$XI^n5|W9G<+<>r?8O0tshrAnc{ADXI)J$(3y51tV=nSrN@ow8B`XsayFM+ZDkE70d}&0Z|GCfnirhYaue?tvX^Faa13W;a40YbIcXyV9AyeG z(r4v;ex1ij2G#?dlXLzCaF)Lil(|UbZ-BYVS-cdw$tuoL+~vSIQ0;+D3^)c)ImHuW zUh)n1q0h^*F#z7!JApADS+Whs;49Ue^9BnSNg2g~HaXK>=JoVOP&@8tJC!-;xX!8OVTdGR2$ zG|HfHkozF3I%2Fzo-xGOM>&<#`%f~6r{&Mmxei+N)M4JCps%uX;DmvS&|%C_74rra zBUOWj)ql&(!_DC8<-zG+?{l4CV(|CP@i}A}-*m&m4?)#GM|GeKKUoLP5nXtFp7Oi<27I64X9fK;f8oyitZAR|eOU(%{FfKpgVai6UU*hD@cX5!H*Uk{n$P8+ zZy)#%wC$+k((|sWW{};zNgteh*8GbD+IyGR13hxs0H9BgxJqG27QCVu(a*dn8B>=* zP-8-C+Ca}K{PT1uI!*fqgYyhc<=5;TXiG6LM_M-r;4Fpi#c_Gl%Hxppp(5UV=SQv* zM*V4cf3O5lw?)7LX$x;_xkxiOjJiadIbgm*?p!;)N^aS3DTWqsH7S-hug6#ho#Os! zCPmi4!VN0>7OJx-m$%zx(;jcI+@t`GM+@muKd=;$J@>PUsqan@OK1Qu!VhU}Z;X}F zbk_NvmbAx#)l;SmSQ@Ek4Fo<=ZVWh^$dSX+j}-kAz$bDlg-f5QkYB&i6N9-8qc3W? zZD1gl+X6Ebmw7re61rq?8jAxLKr|7Fi=phK$Z7_6O+_xB7c+6z4=m=QFBfnv#3U|h zSPDyfIAJABdGWLsX9GcW76%4^=pv3i0jHZ7Z2@20#nVn0^AIMySmR%l%*2?NSjIi| z^J1PmT=EuYmcXo!$lML0uedZ9a(==+24ntW^;CcWk^dFs0)^>m*b5Rdyyqxb%;*iB zA>z?`m<<(vMPLaN*Dpdm9F;UEixBOjAbvsI_zJ{G@v1klC{bPoEz#nD1q3dNons(= zNxbAe3YW!9SE#-slFxwUs@PTrEioc%6hN#f;I%$ZBy&ABUf4H>vIJpQ0l7pm;Y%n> z5~obx>p zv3v^`i+(v6D-nyMfISo^UP4Q$7+9wH|BtKwSZL_=&p^1*`&3^Hj!0{Sz)0f-_&z#q zFEoz1xEnlU6^o90!PWioyLe;3gae#>O^p5#$2IBjub@wE*a*{8TJy{@b>n$_PCMKM z8m9O2#OI6`FTpcY{~`3uy2}sbY{y&BFz2BSD053W9i8XT3&{L=+_GAb&3i2uHXDua zi>403_r+G%p?^tye>?(9wfs0O>)Z#j%h%iDbHy$$Ypl$x2hXbCH^KVqe*Aym)?}Ro zeXU+c@T{|53cKrVmtb^*$Dg2V4CcvYlaW6tn^$m|{{rVojlvbxgz>Fd| zYDYJnfLYKt?V#C`#&d7TiW+KwSm~rDDEeul6MCj<~5p>36Mq^ zoC>B>U*1rWK_ef7Gm}~l26mk`Pk{IhI>cRyEDGO^ZjET1fnfq0+(s)j%T8Jc6PkUDa$ zsED2o#@GY;$l*vaJ>y%tgw9R@@gZ4qHe5>U6XDq-+Q>C#)cEzmSx!Mypat*#axC>4 z{}v5$Z)rL|FZd_HTBv?cEiZsrPnJ)CHBih1a5mCPURpm;P(3)CXaIL^9L0tSFmzTd z<|OHy`0*p;oW*8-&BsOT+z#v5BE!$Wn+OPo7I%@tJxC8x#CMOU=&u00#8+O>d0xbG zh~q7G@^a}T#$APVUy;R^*iU>p1#Khk2^7=04;Li7q9G704s+yzm^K$$ zLdC&(Acl#R>~6UDc{&6l#1u|TFNosqV2Kp@yj>$oxaxtJBc}7pavT3P8v?mvAQyt} zivE9qB~J|F8|96As$Qn(uI0ZDkAIgJUOu*#Uu5(*FQhPRj~zIM~bV&KNr*H+kXyMD=O}Ht zOnO#!I>j zn3wFH0ZtSun_$mdT33V9M?UAU-d8p(1n`qnhC$0!nb8&kF*0;NusCVM1*>>DfQz&V z^6ZxoPn7W!FqS0$7!8mt&3SuBiu@5%3#y5U_TaoGC;bQuX|gwO_9~Q?zr%Ww4CMw| zv23{=oF(!bK9LXQfNC6Vsr;fB#vaL#HxMtA6MA5*T;?suScQBR0|ESgbP9-%LkQ{VCl(O2V9HbWHaMIag~t5Faz zQeQ=ZXsr5df}Dw3F$lzys?HLI&Z&TUV9qMi8se_%Ag5Pu>Ub_rh`U^y!P;C=|RjOWF!TDI> z2TX91)qFSDYg9%2h<;E>TcGo!ddWraPwLPk2z*vseg=!4<`t*1`kJ~ruo!4kxPEA; zdBv%^k>+tYSd29rxrAz>395j-bDA#PL~zzLL;!QujOUj++%#6)vvSug<9x+Klf;RN zr>2g(sa~2=j;YUUjEv!nx273Su|AqjmjQe=`#XWfPjiR&tNCk2OoPrC%^!WBC028x z9xU;iP=1^eH2Ob+n5e1X%qB^LSsf=?lgTM}ismI}e5smwytn+CX2o?_Pt#1~5?Hz> zle49}nhuwtI!|+yOUwD1rs2?XU*kChW(zcvIstpEvDyz%rCH|y&ZnBk-$AZgvoi_c znI<|1ob?)AI&?N@*8c(G2aQ=5U`?7oxo7oJQ^xyHK4}_w_WrE7^&SFx+TccDmf9z6 z!D*#E%$*Y(ZK^RW*lM@%YG|k377fl*+FN|e-L$PZuX5M+w}daA+B%*py|kR~P+H#frx%LOHGt_8x=V9ojcHw1=)oO!M;L9uRLY__QwB=8L zebSEL7vMi@&8I*>Ul+j_&Oqn251tw7y6y(2k?xn}0B3YIyg|`HH{&T-&gw>9hfXKm zOMdLn>H2W_v z7NX0Ihn7%XSI%%_bb>>iSY0CLVDY+;>A(_n3%EU;sI%M%xg_0?zBoO}x=|0nlA^nH z1|U`ER0)=Ay34%0r0H(YhFrSt!UT+E=vw^&flOWe2C!V$IXnaLhR!t#ELpmCtASKJ|s{ro0!>zqx`h+7{)4@BiwOFuL_bTkvmN!F9py?{0u|$0?qh zcGkTC=Ps3k@4HX&!nvm{hj%tqeFFowRJ;Zwr)U9JUr&=^0<_pu67O$3Ly{kD2O8i6 zrH*8k0_-fk%6JyGRAypw>5w-?YIr19~ra&@TZDX00Fd;038AA4LziAr(zoT=~zT zIlOA$rXOx#ESFAh1$LM0_)X?KvWo)eJ(_w6SU!yjhqC+BQ4gSi7TIB}kQQx)mLmLH zbzl!@G4H}IrotBRrG(n^f691BKczvSl*+gq{fN3O23AJl{FXEJR z8L-C`#@i*TsKpEjJfTtDVBsmHJ%?x2bd@JE{1R$gV9)7qj+bhv>IEEpLAq}-_L4?% zSXfK@xwrO;yv9Q{{$Fh(1YXmQtr&YlhqJ)>mVVv<@plw|5-jg&dKHNEG=)!O1HIyh z>x}5!1F9WFz?bmstSE8^<|H;(VeFh(%{#-Lh5dREU4(|yGFQ>OKY*KP_Z#fFi*GsB z_YmX#fq9CdTx<3c&3KQ&c~N#1n75eJ8e=|U(FkC^;$8_bKQX&2Jo6Vj65vaKXcz-x zpg3&@v-oG}Tu%-btGRy`BCKWsgo<8VIt~+oyni!XY`+gDB7{#9h!;epA+Sg>Zz*&} z{Xd?*I-rWI{eRE&%)O|)uDk2H>#DoDc6WDoH+FZoG>Qr$sDy+F2uNFW87LqKDvE-j zqJpG^gd)Gs^S= z+*c|RQoGb6p14MVe4`sra`79m^9(?CRFm{FS)CR^p`KOa0>BS55%^Gih)+%6E z`RM<=+Pp9VgYe~lKMA5A-!d8M*Z6#zcK!M4UvMb_d=2d~59ABGgLt3skqwZ@&kBRy zLtY;Sy(E6D24XV5aURrD_($~^(j)%GuMkP)EhtFDf72Ah%45EE6nN>pVF0iU-kIjs zC;Y}`08jb#ouKfH?^^_$nY;zf8qawPs-V5#CsWdkU!~s!>?Qv%&83C>yF7qTy!l;t zDCWn|i(bMnu>!G_e?`^2GTxHbv2wl@bsRqPI*L>)_R zq2M7rrE-#|P)Q|qFX1|M?!1M*d62v+G}3VW1UssvT@#LcfNA^$L=N-JB6cF(rFZ4QLoNO>^=g(SZqR*oQdc~!Jer&fF2?xj*-@N&9GBuGuI>xqESmSnST2dv-$2qu6w6@6RV<;<&rN(qPr18z zGY#sO#h=H5=pk110CrQfry$^#_-zS@VPbpQaTzZDO0|Rt@$_YgMB;}=Ard7vp@%(M zJQxmMjA$8v0mh0ZRA7h`7q`aM#*3TEKui$d-2?HCID`Jo?XI}L0P6R|jdwAK`{I@W zSa~KMCI`PI= z04qsc2Hq*@*;jxw(#voVt)&l?G1y2tdM3_F(L4rdE8%xDDd9MO6GO6-`b2|gFJ1D2 z!g;BdgWC&|6YbNzDAoQB;3u`B)#94eq%ZDEfaFMbA`oc{upnuWJwUK@s1cGO6895$ zp;8e|GuNeRnqO{6?)0MHltw!P+>)BoD|%bn5eN__akUT$mqyXYA0bVg0KG`*T`544 z)VLhPWXWhEY(A1YP==W*rRt%VChai+cr1m{c8hc=g?cd=Qonz zQr(yHEufw#fB75Wp{%cmLXsS64=h<8lmaZUnb!vIixvkL4_i zQPSlPL*XGqUV0u1PvqaX!NXJemwJdilZA;GS*D!y5vHHZGiWh=At%r~oh9>DPXFLKNS7^{)p_W;z&yD8ePlYJ;!tCu^_52SpR zAL+sSCa?PmScB}}7wX^TIc5-Plvk9&t&x)VD?Au0uUCO*qWpOTz*PB2Eg3WAMmO-x zl|2KXV4*ysYMP}|dnvcQ%01fFcwPDZ6|CG)WKR%pDsv-%-BS9|ez@C;ML)O=Q@%We zzi?$;1{5Ncvs4U-RNR_DAxe4D9$2yxK$X1|X9=F@(Z=jt{A*YHBsa>2_|f2EAMKy6ON_d+$q5THn{RiRL< zPNVM@m8frMcWSBnpcs;6YCg@8K{V2$-7q9$ZSZmo z&_pw-2hUX7O*Jwbty?urpVh`w7y_S9zBIh;V1F+(u4QmGC70u%x zxb@N!Y{2u@`X2`Gs@6!QBpT>!$hTv`+(v?tG@7pYbI zK=OgcBw!D`MuAOF^r3b?urA= zaFHv2pzRr}T2O^#^@KH`ubFukGHZu%psy>V^<@1IBVcF4K&oAAoJMn!Idi0Tss&qM z0&s%KHV`|>s%e?CVvA|p$tf1O3?5Ij50SWxGwd3*3#{2Es({MY z2I3{w_!dML)`{jMSGGMHj@;OjqcG;qf~~;2jBn9^=)v|qh0QB0i4s>&7DC~a7ekng zXN*ZyFic=Pg(-Jf$Y>b5$IKY8`)p$!KqC8x7T^c$FDk=7WUZ%xn8XaJkCn_$4#bdB z*fMGiJz{g|b4XqJ#^*>u(vi5PI*};KxvCWTSR~XT&vDLeGR7Ll37Zmm3SB8J9&Fxj8qPnv51) ztF_=+a=p&M$_efR{aeRL&ZjppEAAmBgr_)7gUD$vfHq8?;l_`Ex;2+K10puuMghdL zTn2R%Y`J$-(K^SSvBV(kxV04g+jGBACHp*glUhL+IEz#$T;yUFK;40xdIfrpoMj?- zPMrQ46r8zS3iK{mAGy}(R?N5Uk&-oVO z`Vu&E+75Sz8#Nw!ce%O>NZ#WXUI6hvXGYB*{2bCTU=O$x^jtsWexdLti8H0@TrxMs z4IqVE5r@G);yPS}LMj(M9atI{RR9lGYVusa{;upRB(qVx~=5e`2kdMUi8~{)m+CYNPgjz zZ_vY!9n%KQT27?0RUJ2IDkST<^E)w?uUryBdsG}gWMM1~+;Mt=zHKUBuMjx8#&@Tb zGo0^AWrzqq`32CqS;YTI$wo2X zp4v+#e7{skmhuZhlj#0Y^Q#Zr3tI&_C18#ym5}3PifUwJgOa&4T zA>$k*uLvb{=h4(02b*5PCu(4M3qEBn2r(tq_-nhP#?f%obZOKr13($0-Fhf%UAI32%(*z zo-W+k4!sPaRXKQ1g**yAo(aqDKqOPBy9&MM!V6k2UkJZYQVP$&|H(*Dj8p=u0>rGje|OqU5S-vF}|+lsJqLhQE!L@ROD zTUa?IMxTPs(_$^XBxexZ!HTumw;Qg{Ms%X0#96V`SP*T+*M{(SPRyV{$WA=I6Tn_< zTm_rw#Rul_a6#O35a6O1k_iO|(QFeG9L41=fH{eOQi<1D{PjmDToR|yJm?~>?gJ05 z;@ck~;wEN1gMz!b+Y2I>#nQpxd5FEJOm;;y{TrC4_&5oYUgA-DV74{%*9py=<0II0oYO;KF}ky~PODq-IiyS{F zHcFg47QARN<1N%4rB46{0w>tqCN`5JL1VwfG1)qC1Fp+xwJnw zQ=Cqbz;n?y0b{}6!R!SuOSEeZ?4=k^1+^kkr4Y1O9AF5^Qt>94E)!Gx11lFZh6DR7 zj-uLph1iTXL05{8HDLH(7TR4?EgBg>(p+k;2e6RZ{R^8XB)emfJSnxLil&wHsXKV5 zq;4Z|4X34c6g{1h3O{3j*3uzbK3$}Ft6|ess(KF0UAi;^;Ii}wHI+Q1dq%*nNS9tg z#8X1~UqMMDXIJBUIr}kmfFj_dAjg zE$nxtIoF_YPkQc#vD}yPX}F2fZ$`i#NLQV~dnmbwftVzj(WIIzwcm)llp>|j#?nX9 zY1*HZD!rjeJWYD&4V#apTd$#)E}1G&$dLNdZ?Qg+?wi5wQz^C^h|i=4=V3EbO3lYz zdM>$Auj7TZ(+|WfY4Sg?@=_A05%o%%lL?S5J)t!`N195D_iO3;9ALRp`b1n`o@BTK zdT*p&43cl9`9lHTNtLv!&#>)Jd~z z0O}<_bAWG>n=3ptNW-2%63`2>CM*i4=i zgDTyBGKs%w8R%kk7zVo9QVKch72UC4(Y&u2#<2HZC<&3W%07Db3%y2$&6oq+{ zoZsO%xw#okPEl6kIJN&>Xib~a12WTXv*2;Y;z-bEmeO(7b3e$>ZcFL)9821-G50IQ z!SfnvU(WmmyI_C8+DIH1{`?3siw+m!9xZN~1(_u$hCp{|#u}V2vug_7<&GkbhH<%& zS)uNR{K{qxIIeQ&h2!cgbHQEXc?Vi+Ct2dU*6FCkw|)~%G8;zD#*Nu;t+n$ZTCvhU2!+&7rkD=x@+>^lk^Oof%Fz?kb`p^zIw$;CD~M4*1$zO&!C1 zt9cyvCmjamfctEmADkQsnM1jhrk`Z~^i>TjcDoMz)6BUNmwATu+YeyPdbq%_4ZD*I zt+VXKXgILNzj$L@=a@iYg&kW$k@yvs`VLk+*(qAlz1c>}bg#1bN~rrV><+?o$kJ)! zjvrg{64*7iYZxT`nP(pm1K26*1O_tS8?YI~ie7^k%$j;&NFl8B9C)E@KYbY2u}Klc zJFJvS^mp0A97x`0*LJ{PA`77Y!UGmZ&-_Ey!vvB^Y+^r%Br~(E5J_R-Gk`r}#S!48 zvfXu%Ok-<8fjwra)Cf*zT?0YPU^{yQJYg?sVgJPTQIn&H?Ms1`5*G0bKq+f+3JPV+ zm71^RXc7Z_W=rrQp)2V}QC=n6wiV;7V$CS^s%8Zg3x8py!(p?AWm9dbmQ~aHP{$lg z;IEz~P}}A!d)gh?32vSWk&|2kMO~*jt9XFZT&F)kJi|Su^5|8rdksAJaGxnR^y7|D zMdccoZwTPe-KK~ofLoCdn}M9iQaB3Y8kd3?%pIZhF@*cO2P8we<5Wqz&i(5J;tkF( z2LnVS@e>@~;@Z*=INs*kQtvN}yLS>;I9EZto+G%}ufQU?=M)JkHHSNE2b-_C z&pjcT#|@+q^$mC96u?`~a38F^;|Bi#k$i60YAC$tx?jPieBc7J0X}kLg8&M+1@x&D zat0~DK5>Zt@G)}M4}lePJ$FLAguD0~Ff;xRm9)(HC3={)Fr+B#m3a9z}H2`P$8fy&Rn)jvdlnsB2hsareJWU|ByhQzsbNn`nP3`zDPa$c~ zKmHD5*Z3Gp_3$e_LxBbIPFvt8i0`=+g9zq7kAXr6@30lbP(JAbY+mP2I6?ge|C;LW zH~GIpf!*SJ(SmuK-yZ`jVSIm@{KNS@)b@_xc?yOic@rOiWWMAl5L0*)s(q&Nov5CJ z4&rKHkNE@b;V7N|{sCA9zw&QLKH*PV0ei}Kq=IN3--^m1Z+H}pD6C&k0Z2aYIRayO z&v&Bzj~{p=s*Y6f1J*#YlJE5l>eYNE6*Ru^!T&H(2JVK-GIB81e5z#@e|GcdAfp=Je4#|Sy} z<>*-93q_-Gg5PwAqzJp_LFAF}t`9((u#vXZJQgx(#!MIb9ftP|;aBWj{O`(jg7$%R zNuWYtSAU9erZ`d!Y^qZ!DAU6!&zO-t5$7}Cx5sf-&K$_h-bD%goTvpjpX*5dt9gS@ zVhHmS4?<>vVOu;83pYH1%%aZ}-Y=dMgRv~RVF$^jtpjj9%aj^WmLH)d*YGnXkSpSn zA-}SbR@hZB^!Bc@kl$ZZ zg7X9E^KpLAo5~o6Mzq8EVLw`6j!dVF|7hpqI3C+XTPlxRuf@3$E1)pRm}O1{hVP=L z!ni3rL}8#AJJJ%EIeSZWFAHXD0CSdX=6~K=*5w)oVD}(5Ixyg>N2k{o$O=a8LY}*qIB8&~E`8k|*Q^9-4{Zfc)1#CWJ z(^i3($yU&u@thr_{>2OSMuh1s_8=eXFIiKXoL;e)6e(r1s*h05VR5vgzGlgLp^(eE zP~4Np9{vpM4Qr$b^DT3r*X|u_L8Y;LX8b3x_ssiG4B`VDM1%Oq{`mk-1Y%n;I7ifu8Z7;zcFeDE|g+Nl+CDDANP#r*=yWIx=a3?ITb7exUr)l8OS;P z1!FrOX%^C$`_*Y}v zQSyo#u?LQ_xw#3rAvs*K7q0I$_seid=5qN7u#(5sk=`3_*eqCi%bBDCd&eys49R@% zcVFne=N9e+?*nH}Z_Y=q1O2E#0eAl%L<+gzE&+VvzAS;GBJS^*P%q}jbp%$zt$YH# zQqCd@SQ+O?`~AzgwzQMsGpEqtE4U`~l2mfup5Rq+9Yla?uJIj2zHq~-m{P+TQn8_y zYd#+rR>z%c1Ce@e)me6bDDfd>Gwi z6W;a()J^%36z!VvsdQh=`3rww5Egt9#rc+emJ_fO{A?3Z8r{g+gBZd5T)Sd7Er_h~aChp%BaactJ9rKTAnT z0^e~GuseLzMey$OCialL$Nx!9mHYhRZLpHax2D>`1AbpFBp>p*?*Ni`*%*UQ=6|P_ zZ3=HneS=5*AJmLYo!Dbf!a}q>e^3fdtUhydz;AQiMw1FvyUp^JsYyRM6fLy+m zHhSdom+1|E!!M*gYH#_r6yv?)4NHOL^C@E>`JPYe3h;q9-3yx^`C%g=Qot8_L8Opx z7Z2ViK5;O{QpC6J3uDFn><%zp!Uv87v6TOG1PW#R)({ZO5#vGOGruPrybAsZwO1?o z$B%(k@#$xA3#xg4nv=iqjr2Oz@P8@LtL2xcgILE;Y6*pU{@5!Jzw#rx!t^)3fgC)^UIQ}};dsOYI2S5S`-g2q81QkZiB>QTbzR*?KC7~4Uz zK-kj+;FC~BwX`DPb!XTt7KV~!iQw5B#8RPZ1+0__pU=Zlxu8n}@3V0IJ$MzuUo^l< z;mc`YRl-;5r&kMcRJ#2l?53TqHA3{i5UCYbQM0Q~I7_9}df^$x_FsiN&p`Ypq&|R1 zgV6d2ynh$&Re{(jq*9&KNUWLz%vc;mfrN>e`HN& zrRcdG;Dp#fZ^ub-S|#+XM5}(lPKjl-QQ)-L+XCQ>m^%p{fVJ59BdpknzEt`ftJP_GwPYykFE zY}o;l-|+i)u+o6vegW~j*oG##M)9I0j2TIe9Wh*ENxF-XnMf~rsGCY9UBNSxQkp=) zT*}%35esS94G=A*+hp^E^rSTuPD*p=HYkaUxl&?D?FP3i&OWhu!Ok{;4_ zJBVD7N<+c(ltO5yl$Ye^0HU{)N!5_6(z)9p`ba&fYU(S+I)UgXwWRm`nzXeMM1Seu zLjVEN&P0qQPztBdJxIFP1;k)!#wv^~M4CsHgixt=0D^XHiKY(b-Xcs_?H0%Y&5-ZJ^11wJR z?*=Shn(z#I3DR$W0o;*N_JDU+>O=i7d_jkLmiMJP0}ww*60JZVrNlqry-@m0Nz*6k zBPF>-lJN_;EtcZ4FqRTYPjObMgt7^qPbq_@(Q;`46-Ylz-{!(fg>?G|5G$p-l(tn# znY1CGT53HFgZLs%7z1LBB=rDRE8W@zP$&Iz9>(gWO>?35RjQ-q?3?sp3j8%l^J!n$ zcWKKTjI2>=d=1P<{-G~0W4V4Z)J^104**Q%Y$`FE$p>j2^KW$KsR80IZmMF!pDI7&B8~y@_QQGE0GFIU!@{d!3C}N3MY?_0Ypj=SFyQ5sE z73i+w_cOpfYYQ6^A$piEl=^@obdLlBdcl-~i8mEXRAm!g>e4r7lL z9rg55l{0jg(v(B=BtKT-g278y2GWF^p@dSj_C$G2^_{0mSE>>{Q-Y~{nW>a_!dRXw z_h?yqp`4$B3(Ha#XG7$r@-wA@uN0RW7|T}L)6$xwjHcM_wUSPGc&;+-4zN7sbQ@rA zlu{pHZ=?A5OvdE9h8rqv!poH22D^z4E^nX&CQV*&~ zNtK~ctQa)HSc#HA;bf_jNAY}_vbPB&%atE!C;MlG{{pvX)Qgl)TdU@;z_V2k(QhA?d3A@Dq4$YVRSi z>8`#whFk8TdQ!}HMSb=P>Yi#el_9;<8U-SE)r~6v?x_=Leo0gpc7pl?b>J;XK2+~e zUnNP^9|K5MZ@+|OifTyB?MG@P)p}Faq(qobQ%ldm`(yR)Wq@=wlmdwi)p-lR6Sb-j zOg~izor2^ub$b?Ev)Y?YR{X+q(yS8g2z-7(pX8;eaW(U9( zZ47mwJ+=8XHG63@Xu0;*HZFtdt6Ep8b@^!iw?XvP-qKRwrycE!`*Ka&k1e+Vp8>qj~BbuB0mR&HonqhR``c7_(4TbfTe zL~d)gwAzPhNi_iB+B2FXBeb9214L?%{{@KB{-i`RS~Hsoy%?=`6(nP|P)bSTv>vpN zD_-jz1YUwBQkCP5mO+J(yIMLAk$c+uSg7CE_Vj}HMD6QB@E&NtQuO;!`*{!sk)(xF z3ou#h@)*1n?ILZ3dZclNz*4o%w8ExoU85lKSnCi8EM42u0j4uF@5{iRX!YIU;i>kp z9l$f~XdniWsdaLIhv%B%FTg6b6k3w2w086n*Jxjs0ISt<=vOD}G;8Xg)@x?8m+7lE zV=}-utztU7H)v;m1TfMS+Caoum$?grFwxo2N@=QF)gOZ}(?!k&(OhRryU#3iakRpo z)CIK$u+nw>9k=I{uIMDdX`R0>z!}{HO46)#iL~d+Mz@DDH(TBC7w~XS*Uk_`JKc{j z;nrSPF%HCF-NIfVhUf;b1-PNZCSrVyy6UUYyQOPRWv$z~`~3jIbaUyk3D?=*g-C>M z#btnK-9w7?V|2ffzgXQLq!*{#L2F06ZrXf|B|*1-4X``9!B+w9>yjx|NYv$02jzio z7FE0->g=BaB#|dz{zdo4f8rk9za!zjR+nrDUY#zLDp>Wp!AF37)lDe} z_@>)UA8LcHa3_p?*Ogrd)~I_yv$v7{5_JQN^>2TH2NV6aGGM0qI9d(O^qZ{#%=KN` zgJ+@to1zO#J#)v%PUs&{SLUStOad?~{bst?r}U3!7q8DJVuC)BCh@!aZ)2c$PjBBFdiV8%4S*%;$IvF22YR!)5P7J#r?NaX-eOaZd>I(p7>^i!zC z@mhcE2VlASHuHex>7&O%{f$1EN>Fe0N2urVPJhrJrt|g9(P|Oj>kk@2@`JuP<*6U_ zsHtIU);~A{$wGZL1-hT~QPh7c(ieY(WU+o7b%aawP3fa5)z78-S*FjY$EIBGK{bfa z`UNymSLmxAf>)_OOkrr1{_g@{)%x~bA@W84J7v2ydg&+dYV~s|3#rqaPr%^o^{way z`l|m(ZH;gGpMC|_pjXYI@LgZ|Gpsb~zfmw`WU!6WTw{Z*rw}nQIN}6gYQV?BgPVa& z*}S`f=V$0$G59?jz|&w`FYvq!GWP*^8=N^0g{uakR2}g#crXtBd<`n8!0vC*H3J~P zU>WVW3^eGn4(dS$<%W<9Hb|msc8I|OnlVES7Em4KropXX_`7BBi4ynQ2EVU_dYFL= zl`O*zRvdz(2!k>TDn~cgrzw3IdjA z(1SXkZwwX?_SV3=D-_-tgjho)-=O{9z}_3Qh{B!tV37I@BP%p0zXQon1`dB=EJX%g zuLCPKF!&h?B?fIwft4Dpq%L!r!OL$DsW7nY2&~fJ-3?$>2IpuJuQqVKgmHc`@CpI3 z#-P~(=+zo56X37jAS)3HUk!{-0DLnTvI@iogV&g1@p@tl`?yIsb}6C)PS@pGU=F=N z&*!kgpFtn7fC^qCcjw}K)X_;8_-L&XlrgT9kc~Y#2-h%9?FrrSyC~S2(E1@{CLX*3 z`AN|=kes}U_JB``AAs{k`zSY9TtN}-QZMqj%waE%%Z+lNYxtU;uod`@4%%*iZh_=> zU#f!be7_#Pc1@u2;qKn_&AdI|tHIs7%omdTV$E>eKdliK4&+kj;dhCYX*iL^8xyYv;u7iF~; ztSK$Tmdss+Z5Q^eH$+^SbsOlpGf&zRd6{KZL&1ZM2nO*A^Q2_jll|@kcV6swY6*F> z<0~LPJVA@-NKxT3VB0+5IAt(g1 z4^B`IVUgEC3}uGCAYNxJ-azjLezXhVCJUil^%hH}Q0+DgqGv6PO|=CGXY~#M5$vQd zOh>W>R78nl-qRo%%_9B*FNXbS4qhy)pm#71e**<9p1D(`kid2g0r3ti@x-OvWrgj5 z-D6frC9yF@qsDj+`-2|;*K9R?n=6l1n?d~zJ6sRmTV}lndheJEWzqR8aw}}UXMr)W z@_{wd{^5^oJdLG***XHlUsA2ds!qD~Db&v!+S6gemkfma^=oP$*+>>S3jv zxzaZ~KQlH93Ki^JBCtx9cnOkK>>%Z;)hyW*mjPlsoF~;9EV$@9(6i*W zK7q{>Tz{&Fp5*l7VcLpoO0kv$H&DifIdT!*fH`x1w8mfJT2Nusg?qmddaj)96IgNM zKF)%kJ7+^J(je}FH?UyNIt_ZE-18>zcb!|+7vKh0H3?R3a(WZ+ZgEAF`P}B*ra~`_ zTl^D1I9IorJ~*z|9`GW$9<l7-1)aqDCT<6dsf1Iq4cYi`%ZVhj5~K7Hp@B3CIFwg7*p^n zxP6pmR&ue_!l>fbF2*>kxyw|(`oiTk1F?n++y>LNTvaM~b=+`z=Ign97l5za#s%>A zjWeXYtARU93(jeNd>81Q;TzoH)`qv82FbI0+-eYQ`DLNN&hZDs!L#FCD1x%*oxTG* z&yT$aM;Ca{R=_Uu%Xk>`;tObli8r4}RS+Nk;B+YX^6#ir@5jH$h2%Bf@G%DA&v#3L zWB~t#0vE+EG68MJpUmXD+_2*&XtHPFlCf4T~P&-nq= zfz09qi=pt6cc5A06`x2ULN?z$1R#fxqE^{!zKkZ1T>kM%3@ML)I1|J-d{r8(yyfRL z0eHt(Nl?${?b|}~JwM?(un+vDGz{V+-_a9}3iygcAQtlL1%OZdFLcpGe9KH+STVnh z0)`U)EroF=LhfGhOohi3ahnT_su>nSvL`T0q3Q`doDkGCkUS}*QI2aRs5I}N61vk0 zby^tX4eX2%OnpUbAua@%jc|a%xU<3zUx?TWb7@=lIidAPh}a48M%c6$exsG=yzplm zNL~}xQsu#4xJPqApfF+z6oQ1#RKf`s`p*Ce5!!VGFH|VFgRxu}_EP2HhR~TJwwuC& z*Kl-8I4OdMYQS+wh6yK{!C$yAJs-pfp(zz&BZX)x8AS;p)XR$&-qIQnBYdYlyRm{T zJ&JLH>t0C43qMgpnIKqB0P(pnVLZSKVHy=*UJ8NhF!)zOFvWz~Lc0gxSbRJPYwdE%Yq0UO?f5 zn3DiwC&jB~09N9O86ch#ox1}&Ew)$(D`&)C4WVEyKF9~pM%+MkpLdA=eK3^A~Qgz{mxJeJmo8s@ZkL?z|(+iQ?;@`%w5++_91Y)>&o60*8V)0H8 zBgJ!+H%E!fJK&3&7)%$4f%J{FLa|o}h@V6wD)JSH`>9S=ES{f+PD#I0_I6q-?Fj6QWZxP?vX&lS2C$LR&j6g2R(6ECt+a=T=cL|$ z0oX~E&Ed~pO4&jmj`V;Eju#}GY)D>|W}XA)AoW>=3v-mlP=M(qO{1sIS@NRp-BqcE zN{l|z5{gLuq$V`7Yf|-2sQXJ+2LS@4y*}W@NJo6ZiMpX+F(8ccf)U zA&DRKS_R2_QX0jl_oW}{dpC*F)ddiFAYDqvg*}u~Yypy_PsGcTqS7JxQtIadVz!j@ zH`H^aarZFl*V1fSc5|g;7clrdiIsr&MrwN+#@II&^d~YYP z0QtiJCU$wPbhy;-NRX# z@C3X|%G_}vx+36fepM?<-TN5|F42r%C65 z(m;>*L*)(SEJ;e)5a^+{|DO`ElDrKfkCd(qR#KJZIACc?(@pdlDdK(*(-i|+Z!?tN zM*@4I*tLboQ)RshV;_~?^cof@pGRS2pOog*Xf0CyjRjV$WYN!9l_(1rgIKD#(0}HY zDK3M6l`BhWEA(e&{Cqg7P`Xe!QmNGc1yH5*TZfx$t*$D96&v-*MF3m1WdXoB_1Sso z*{Ob1BeYjjr(k5~)kQ7AyP&%2Vfv!#NJ*T7Dk957<$e7b04H_3Glz}LIgm!7Tsdmd?(_J<%nm&8NLj396n-ws%x#TC47=S#_{-PtB#w?Y_Ep z0z?wkDjkRq)TVP`^P!qR(?ycn?l=aYtnQ$AH$}Zpuf-#E-+o}JYA6NrY3i>%L42$p zr;gw!wIAK>mbsgZk53M zuC5IP)~Gtu#w{c57Y|r5*0i;dG||FtK+jYwuLLmDhK$9vJ834gWx!eUeFyI@+GyHN z;Hn*^?v|UDO2MqV=0my6Wi7o73LaW>`o7f_Z80r_p4yUBhreW4T&a zTPWmdySn2Re9@*I1+hkZ@c<%q+Wf9isMmg=3F51E(+%L8wq*w#HE8Cep!Z#yz=7DP zO*oCQ80o&>g}SjW=^6A)bnECnGSvmqT5P7LRnSh3WFjez6{o#$Cx zroFBY)!r`X9&7-(sN-xwbkG%1-tVZ}@eNkIbc1L!%2i$4?ojv9g;P!0SNE7wL_ghb zTYzv~G*$B>b6A~$=uXkszhiZ&lpn?EmfpiP#OuOn>tcc~Ycxde z=&WXAfOmC2(i?tH7f%oLeO$77zE-M-QLk~RHJ+G6?(NgC(0Ns^y##Up3uk9o`#e90?Grd^naWK zc1pjm8^(EBAKeq+jDB<=tXS*!?t|$|`pp#3x$0-p7AQA;TdJzK>&-CYlT z^SJ=Q`iGPKQ4{l-r1Xu!or->2Ou+V;|W~i z{8Kl;U9ey(C<_nIf&8K)7hq@cYAQ!9Y0?ySmcE|}%CZW|JeGH90(rw&+WfM@GXmCD zezyU4mEmh}SNH1zFKY~@gTA&W{p|8OTe?~6J^FyM;Vm_iHr}M=Zqq7yzcxRvf&7-` zG(&71M2*&M+0>@pZcekyjtTc6v$LFnh+Pvez`|}ric$A0>kpZ|dlEt4$1R7o{qK&$ z@&N}w_&RupHcT8!+ze}n^|am|IYJZB(GK^(JvMS7bdT@+0=g0N)We)H3;7c?6Siz9 zh^B1uFjzEW9sQwX&Tb8bmIbqY1EM9nw;z%xSPLp+pJaFHAY#SlQZM-wdmjX%1H0Y@ zz>&q#r{T;(CxUm0RmTCiFdO<)YFB2T51tzfr^v~jwWNC7W%kqGFzvzK1jEr4Hj*k^ zp3H`7U|y`}Z{T^e1K!|WW#t=S%!kRe>&lmTpTMa7*qCyFYwQ;)0Qs}@Q;-Z`T@~mB zV%I+;gV-`k{exM^W_S-_ZD&FO#f1TxigQFX){R@Db>{TSdEmlQ+nLGGx52)W| z(;T3FpSg?#mdIL$LGJ;2X=>21gCdf!>AhY(9NPR@|TzfK%L< zp19gG+@KD?tT{{SdE0P9`a|I?w;>z~wp^<+5YKVv5jFnz9ZEO_djBR=P8{$q9*P4$ zM1V4Ap*sWz|4Q@W5C__QJ9OdtltYM#|LgnwB1jKyLJhTHv-;wE_;Q+HM&we4GSb-u z(xcu4f;(DIkK~xkcOf%YdIgzrHngIQx9UrtBIu2nc%Gt=NrpRcoII0~;VBwbE2mnL zg=vX@fj-@ED{RhKMCFq?t*DAM_ZXFt=6$6gZhmvhLKjTMtpDHfEi4A!i=(xq_ZbRV z`b_)`{l42N(dhT*M_BAXn4Z%C8*YF;a0UI}(jdotx=(o&+76$A(B{9keC(hzVoqmJ zMDTVfrIKNY3b+3d+o>r(tc@`<9TL{YwRoa~2H*Wv;LkTJ!#;O2(pP zp^#tfNEz3X`BYh1+MYtKW$7J2S-y_aCBt|s+OJ56gx1R6;rG8+vXhdh;VFBdGs1it(#s2Z7^qr6iD}TmuVyA_$FsaL6NKWoP z6p~Ypnd5xwp5~CBR@DpK>0U;3J@@J%KXV6BW}T(V@a$zvU~SGC+RVN{MBDAZV=|@Z zw9la|jJoglKOx=EcNFyd|3;tgfTlF}4Ya0)=%CmiaXvVhHW&_R)fHBT8ux(jVJj#U z8r7B7z|sFa|KAGJnv#hg!+L<%)1T(lUdw;PvG*=28}@nG7TmrSQ{lUxN*i|u{Y(2g z2Rn4camZ^OMlf1vkkM8B8N8rhBt4zlfRy{y=2US;m{hJ;00gf zOlN{Se)j=rO-P})Z(`DSNKX1V4wT6+X-b|_Fcp$hZ~5bVT6@~zJbhFfNY2>j2s^WP z@4@+;y2;R*>t_$jyjtpz&p%A%)&+;?Ib7I+g1SYi?I5!_dpgdST%dSz=}KDhmUVZ- zXqR_s3yR@7W5}$y-48Zb-r0upRn_#plhr%+!sZ$WTJzWbK`r}r36$2XucXX#L;Ig0 zztLs_xxD@euOHBvMdLN`HWV}XH=(kV$D?$o!R>tki5iR()#Gau1*4Q zXVWRJyUg;acLAp}Rj#A3fGlG|+QU0W9}gNd@(OyQu`;e+s==0}ealIPlj`pbxSN zgt5VM9^p9TT4&sqq5UbY9adKb?(ij)sg7{}6ZDb%KcJ7AmxJTzA7}v>!#n=)e3cvCjEa`0DpTIElP z!Rm9AW3G9(ALnb2yFh;36DP>8-}M}l8|*3d-1vf~h)sXHLUMD9Js8;*zantA#&?C} zwk{M@Ztt82`i>2>DD0f=1<76JhOoJNb`_3$%C10u@03u`_l=2x{Qi;Djy#~FX68Ys z^Ef{=zy#I~4>E_$k&dyDKgtEc+OZ1S19H4YA;xCJx>EdX%#P8AWy1C^hnOi_L_fu6 z#=BZP>Hdz|OL}0vy{it0;hT zEPyW1j!kq0&z|v=`dwhBC0M!0=5+$*z`8j@#F1@y^}ol#i5e+=nykd2`ko$*^M0N4 z;IIF8ng<8`c^$`ruSdYdpd{)+4PH3~u7*sH!THcDv?dJu%0X*X%VoHN(cDo;j+sfd z$+5j?WgVB&0Q&fL)Ks3Zj3W7o+h@T3q}7jcJ~@^uPg6e7d^EK)y~xu>(wZ|}qRQZm z;=7QU`444?v;3(3F?;jc|E(bvwDoONHAUN_pP52p%=WwRF*b+tlX25&`WPSW0GSEq zH1|(jkqWz$e*X?DlMAUqH02!T1N^-JWscMKP%JwA;LnhmF@8OCXBIC4Wme#K=+0hn zALnzr^uZ|S{`Dh#&6^Mat@-Ioa9ofRh~vWA53siAl*RwXmwFg-z3h*H*SnPtygtRP zVXJRq60G#QO{-@A&a{7Pz;7wAK5(KZD1)|8>@n;PWf;TnZ^8M92^%3ZvT!TTM=ht- zXLMyK&c{rDOZT{%w!n_t69UWQZHhskFpnCy6Tel0GRd0~y~+NxA7qMC9L6&hUpK(j zPPM^t`t`Y>%os(%@yu(M_z>|(h<{(8n6mSZKfvoEQ^T*vlxzQsYC~Ru+Ib0O8(n78 zEYrhV|36;AB;2*msTRbWBoiM-Qd0@|b~_KpDH1Ug>dHC~ltghchfseti*F zHKj!p=uUOD0(Y8#DEYrv6)_9E(d9I4jCoD>cx*7upyPVAhW_}}@vuH2`3UF}$I`q$ zshS$slVf_o)0Ab#keS+&T4~e1QLSrw=R#0s^r2UG=HX9}nf3J!xU;7$!Ew%JibUqx z4a5lM1!qHk{?<@)SMioeh;U;c??}7mR;X_7KoF&-TJ`OXD9nZaq&K zytozo?Qi&f@^i$G`suD--gX;W#mZ3UQOTcF>=E zHX7$sR!o4usoU3qJ1uhrd`#~}Yy6CVXt%`7%UC4DS+NZ`&K_+I?wpgiaSe0*AHx1T zXG*i?zt6yNLE=YfEj-f_+(pOesb1WZ3c*Y6BFYq({<8_(Wd>j2WqD*Ij)wb6L0|1e zSG(p4eL-QZE4|h04Bx`S`u%uJ#0|TXK;IaJaOS^HjgB(S*^YVO&AAndIj*35Hs z!N}$hiJ@_%QYCibtWumW@+!gk;uVy8EQ#w4$)zYiU>rMZaK3y@Ik<+^wBW7q^nlDt zMn99YDx?RE?EW!u*DRn4)Y`lM8QCq`-Lc-%5xzFOrCD^Na2PV1jHwvD*{L(GWXo`B zYi>Q=0g~H}e{csapNCSYnRnQs3H%-}y$QMz%Rhh{W6aLh12bV+wC0(zV^#lK(63s8K6`&0 zgyuY;g>UYma@d}iPV>e5fEhS0_+cNE7KVhw_M*IaoG;E;39Th-Y2W?Q`)TmDtdZu- z<#)Ejj$t+R!B?!Md$Tg-DzsMJT8#76h1ED;Q?U|~YhTe;&~>aFl=b%SK-tjG9Woog zQiW+#IMu8-Yt3MJOFcdJTgzwAeHxUFv2EArd$>E&7C?9BfKfQ^nnZ!tZY>A$dptZK zzjqAf9s3SZrn-NA0VoHuyW)7z>J(%S-KNdLhx^Qi-{Wm*)-_@_^sySVz?raT!W?$P zktwU)jECSqbL;eaNX?$t1>`wP>Ajx2Xa+_xFaHlv=J!1V%7P=m;e6q9GPLMdT0Iue z*ofnjiz>KFm#l#QWe18Nv)ptbj)unfz+JJZ6OJo0Y0JW@PT7!LJ(lLsHBr5AzV-!m zm)50BhVJ?cJ#fC^%y`Ie%*U)RZfcx|JUhILpvZE8# z7!gm!f>y;0^P{w8k?%#*AmiwCjM+47H;5w%1#uzTK03z{)yCl3F(0 zs+gx*EFg2M{Do)xXo@}U5J_{{@hRD>e)cGhp8WFaJ3Kp;Af@5A{(+#-g=tNfR9EJw zzfsnWnb-*gyE8t=v^&?I1k~sWWW0{~iujxTf)O-gXObEcx0uB7_^;^~l@p$jZLdL{ z$AD=VI}-1WKAVRY8aJRQfF{exR@(GX7hpMNo`ZgPOhojo7V_FLZv{SLe6EO#skRwMizKLPK*oFg|$ zr`S2@$#0$LPU*s&AjaL5>AVRvx-pk2P_sL8GzR*feD3xq0WbQte4m8S?P2eB0`158 zbi{jH=|DW=`v!q(!dB9)8*C%XO~WkW*NwWi#Czj(vZ^ zrbUtkIb9?^lo3ZWl)2&>Bw|t2GSaLWA2k))tzB4X?OWAv(eKU zXS?EA^CMFJYFVn|S^F4qh&p@H0B*J}!ZXmD0+KAPAAxGneHyp5&8O%^@FkMULe`Tz zGjzoo)Y>|n!n3Xy$^7+vKSjG?H!=Vd{v+|(h;IFW6Sx6)$yeWAv@{cH#qVCX&eM)&bAj=@hU~Cngn7GqV`aKp_F;mNjL7AN2lgyjxe2^(A;x z7D__uJ_UtPhRp1?Ye{(4Efs>2dRB5?g&i3TnD9I0fE5u!V~aGA$0DjJcC%3bL`RG* zmJ32{T=@iyC;rEcppfv(D?A$RXw~c=gt}T8CqS`w%LBl#6G9eB^9+iv3LHi9t7Qy% zZ-Q!3K#{c%NqWJF<$)U#mj;;7-eg0w&2EJ9x<}8WyxxKZ7*E(+;=$pQ=>Co9O#O>| zV+3T>5{e%{ygZ@RB|tXV^*-8a_<3KvHwqzM(RdJr`Zigx z0~DH;Cn?;K(+}m%+Sa8J{z7}O`SAXDPkQsC#t(Yb16<9a|3h7^q^F=*`xXl-b&l#l z*Bmet<$=dZZm`TJXMfPi!JufZdLLARy+}3-xiNr7`1%a!*ea0pUH4OJsotfYpcWQF zw`+J0Z@@%E&>Tg6cpUFhV+Vmw{h8$RiLFHUXIyuGP>bJ1mh*&lU*p+eY&c39b|u41 zqjjV=G(JkQT$74KxoH*B;vFB6JlSka7L9NY@!aOWB%(a&G;E#9F72=o`I=jP07NZ` zZpzvvUI3?#eLCoxZ&S|#kCKtgaybW(L7QfQy7d4g6(P7I`2#{~lhGp7&=xSZuR8&^ zZf&|L>z#}TwXor&w};2lxez+v_`+_>c zKS-9V`4g>Dt&D6y)UFnfk<__FTA6uPMU({gP63YPs3+PBdfFMa)~|_+1Ye;O8#0nC z2%&*X0ArgojYjA;3y}4GtwbXXqWu$|=?};VGs#1dpOWMh)!+kAuK!6OAY&7BD31%F zyDWYTo%4j`CwOnLmEuqvt|9Ha(Qx7=jYl=6HC%oZ&!$f*qQntK(o(Y!`U}g6$KL|7 z`5ZdKNxLd)Yq$%#wO}1v8F0aOi8F`HzYmDeh}OWjwY!G$x;Mx_R4;*KhOpTyK_&bd z=@AiM&jD`adfKH??J`kbe;L^%qceu%JtmPjlYRJ3)W*ifq9ksnKc4ZuNCHV%`UcMi z;k{7Ou*(;CHgeoRD~%W327HrS|A2ne3-$1H^dv8Mvk)_Sl~~drG*kS(2Yib(n!%Q0 zYdl*m4+B=}mTIVNeTw){o7-04rrD#>=kzzUei?1(1Z7^21GTo>$)VrwA>B|}=ZFht z*Q5J0Ctx7pb1jWPp?wFkQFQ1{vU5krIgM{^z6iKF59b2Tth3@7Xy}6y%Z@KWCFsv@ zP-3kag8l_>JAr3NJe{%7Bt4!sgu7ujD{nw~y>lsOJFG9oU4++sjM|7%Btu2Ue+8JR z9MWa$pQ4i=J*@=#5tBkPu$?}=7hP{_`2oNy*woz)_Pey*csTe7LNYD2S@y0tIf zTlXYyOPim)QJYp9x3zNer;{dE>%CAw)ILXdY@H|HqQpG&4^Rv|OuOCEGy>0{Mx)V7 z>j!P|3_jHyy$qQ>0x+R-$tGfZ`8|48_kJec>pi6MusvPy3{P&0F-OGgLT%(>vMoo2 ze+-!V{b}8z7c|6s%-SVrF+Pm+?u7GXGHo!I#?bIsd*C)Y@D0t#5wgKGX>|pVO?xKc z-BGgydev+gNtlVor+7C1`4yf?E687#e37iDDMP~WY;oj1XtwN5k#Mb+LH|-lUOEG% ztC$%V0KS?zOEM%(zK*pp2MG~Z-lxH%pek6HZra1p_NUH)fa==%ru;a z+!kiwVRU9IQ~NhS;?GI^4#;hc|2nk3ojGznSNws zJ;szHkHc}MbYm2rU`F0R3n!V+lR@PaGnnMF(@g)}s5`^7*aC#J%;;Pco?|BFpzu6X zhD>x9n5n-4;Ue=3g(hBNy8B@!E;AEO0pSXBwLc24GGX_CaEXbc-`4? zq+s`8*E~f(d$Rmp46_$Ik9=Oe*#pGH`mlGt2YO$&9T`;nvEzCIvOhcZH;^8{evB=K z2!N|Oz#GJNB91wjtuz&dL)hvR}}i zpT~ww0LXlH8ws}ySbbF#E@U4LLgDZ1Llf{8v9IW8E@o?YK;05{&>_?VZd9%vi;HBwd|v=09nTxF9UBqJO5*V zY+w)S(ZWXd$}Zq-VlAY4ZDvJ^Hs8W#@&MV&ri}sKAMA|hz}v=tM&5<(Y{dZJ?PlvF zqHqtpV+YXpvXx9I+{d1Iswu~z4wM_Fq~d8jO=ehAU-~r0d%;w+h`ip^exLi)=V+Fs$X!a&M zvJ{euTmY%^leiLuKAF3`0+3TVV>i@I<5pcqZaVkdQ-I9mN=Ae9EbeF-B(u3$>rpqC z>z{&T9`|K)^kqKx-fYw@gv+Ifgr(dOajlkkPd#5t3_hxDefdq z$Qdr?CdPM`+eX7Y$E`e!s&92 zv$@GVC=bY6+-ll&x4CKKk-N*?bRfCM`H*}5K6m&VOx*+S`A|@K$hD$Bfc7VM`%H6Mp!oRs`AArg;t{-iw=iJJkD15;UBPHb}XIOyNUvXd2 z<^Gy`{1An2xC~MRy6~$XVtieB18tOU{4$dHyYs0XfY5`N!Z3zjd2Px;}g) zxib3k%Paut&xhUt$N>JePCdNePj8C?k1wj=>G|h5^r@0p13W9gN0!wpbIJ2xwQLgF z^jdr#&uTa6CNV5ng?HnJ6hmS9iSocRN4e>&F-kTu@^0IZr(Gx1(4U=g0Re`iozr}0ZX%@2ve1@6qoyQS9*)CP6> z3%#;dB7PKnEeF&>J}19SXn)cVY`bM&QUrNt>iLmfD6BV`tHNhfL~ul9lFK8#N}x7s z3t5@!>&Pn>%@8|`*+H{xuT37S*el~v9yjR|JmXJMY(TPN@0 zarc{OrOCKmcs8AyhFV9{#VBu9z8dHx&Jt15eCx=z>+s2P<1!;EDZ_h#NxsO?;9Ii9~VbYuN* zHQlejoggc87iKpp6J43BBvy69=fEiJ&a5({um|%U8KHYJ!7q{YV*2O+(wkXJ+Daeh z+#PhFFB5+st@dLkK1TQZGq?Mo#R1GYTBL!D^cxBXF^6cG1~aY5Ix&=SqnO5F%rCu> z3}@1N17rjoq1Q{9rw7o`GUhRb+bw4z==82&%6|sDl}t=)w6Ka9&m&pQtXqw`HH;6L z!q+l2$TGK%sp$aZdgjGapl@JI5y)+1zU+xEZDOvFLt!)1WCI|#Fy%HO*~&~@g}OhO zGZehAjoI81$#%xv4s|=2$vuFulPQ^ohITOnAA#6z=A{Qn?_r{;y}gWoSs?6Vex`eN zKl2&Mb_bXovWOmJHhlufL(CAeeII5@MWgTtQ#J{BN0}2O%^hPp{R5EW%+pn9=mhh3 zS0pEycn$33$vlZe?iG`jh3>v)vPm#~ z!}K6F^OgyzfEK#2edYk9D;shPxo+$zQeC>UpVEHm!6r0E>pj^kByRR%+ss6+H`~w? zb$!@9Bn*oIL^2D0&FF&e~{xrp3gwvK?AAHqJ}56Gcx zuZ1Wa#-_2!{eoP;li9d%AWUH^P6e^4>^~G^GL4-=chq$DdKPjs*enWQ znaS>ykekJN(>ly%pYKC5hxL^u95y!;b@SN9-BCE7{ro577O;ypBU#A4{{)4<;}5u@ zg+=T~$1#S*>@`RY5T`qnHESvRO$c(!*kR;-TFyR4xB|rKTXu9}C3}QMY5f>QvAgZ_RLiv>||SRM{XC}fdrl1?Ba3g%O2MK2I}^*h6?D! zKK74oXkkB_QxbItSZ`0v=RtM_Ij0V>?MI>RF#F9QGjR`3G)F?RV?ARK2W z=+VLncGxR)=_FgOJCalE2r~7bX19}?afa3HLvoh=i&VjLZ0Kzy=h42a!hOU^^<_t}cR0C~XvM8<}Ptd49>f3o99U4O*xqPU2^ z*wr5*_n7Vf3LsC|b8~?3l$}fV^S{}uR#5qe?aG1lGj_=yfIMd(WuQwh*zL`bykw1m z0C~j-eIgZ;#F?{2>7Bs#I+_E`nXd<_U?3 zw})H?)49I0KywDy@mJ8C$u%L5$SiIq39+-ezH~a~aI49IGnb2dAH?Qy-_tEKpIb!o z@dEAzxy=`H4Tz)s&T-2@dJ&gI-+C|RF4PCY60Qr)#8PfBvDjtYeH!0#ZY&Fg72Nhg z09nbccpp=+imOS#FtnPh)*c9JxMj4L)^c|ypuKh6V-l#>b6=9dbOSeK5{PZ$N{~}~ zGnf573b$}ow;{KcTeKf7{K0)a5a`>u1t(Cro%78E$PVr``2%)xCQ@~Gaa+h*znhD? ziewL0g+6lF$4!Be6S~Nog(y70{lp@7kTa4u=@8c?73hb#??|RR!mXZ%@?T;A|UbWy;jtnj{Tz3g1l{x8$JF@? z+;CE5E^-qGqwo?pigw*)ZUh~!D_o~h$X(@P$#!{-`;0EB>)gmqsJp?{9S($>+^-{n zev2D^1%rxAaZ@4zG$i3yDSmLPh-4kN@%`(Cp8b`Vn;lcpFW~AinE3B!l@+CLtNZm(qjS zQ2raT%MIgw>_8aK+sQ&Yg8!U^jFJ4?E&v(Dmm@8AG(Uzm*cg7~3lxs!XUzhYaeONh z+Q;)XKSeTu|F#9%o5=4VoBkv|h)g(>`HRH5rtl?5g`LW;j=LXYy}Imzu@v=~T_;f1M7zIrsxMK$y$_L)z**{yQ?P&F8I^(82=#V-kWF@@Z>P zxQKtb1??^7Ta(>!3Eylua!dKLbe%2ZNBJXJ&Oc^Aa|OThD-c`BPd<*qRs1jH99+#m zB|UEqzhVgL*76}|(84-?9l6=p^Y77=Z{TmVK|eS0i4{?}iLWRB;0o_E1nq6%H&Phg zR=z*ouz&EkR{>!ge~&y%+j(0rw6KG3M?S}$d|hf`7ypzzp}YABl~A~cpV1V3*~^dZ zg1UXYR|wGe^TA{)JitHhg5)6ImrOy2_?Syb4)gDIMskE7ISdF#`4i93>@oZnJ0Oqq zrGG%(34R4h&8PS-k3sr0zdZmn&+xO!9CDVQVnFNX@b@eMd7fXn2mQRj5BLBe7kPgh z3NP`d!$>akpVQTPg*TM}$W`9F3CT5nd>Tk!=TB2K#SPxL1G$^Lxi)Ct;$vDPxy{cz z1oS)nd-IXI%Qqpt;~xLrXF$Ktf4d(D5BM|0f*$gJCnNcj|Bj~h5nqwM2mXuycoWbc z^SusZd{6kF7NMc1d@s_8|K=-^LiZ1Uhvb)MeD+8Xd(Q7Ck>dq_m)vkK`2!X-`-;Ci z0=d_GN!YjWoAG0^LHaEpwiBJ`B6xm@q^mID641K|KXyh7-G!CqL8XVVzAX@X3eQQ* z?j_tMMYOjtlfI-EhH!T%IF@Bed&A3R^;u8zp#uhGev`oJ?zDgr8Oba;%U->o-oA zMAr53!nq0P%LJh{-FOp)dUUx>65h50>B+*i9CT@lFvyI;sX}*}vuVN?{ZKbu2ycVB z8Nwp+F3l8rlT%}sFuw(IvxO2QV9gP1B+#H45g{Z^G?GW1igxpS8X#lcIm|_6>9-);V3il#}3#9i6 z;dFrZ3u`Gd>wu8^9JzzSz9FbPB($O*+Bqz&EsMG%!Y>qNa}?pas5^$=Rs@yf(8$ow z6T;oQ068ht@!1Ry<{^#CqzC+&&~^%ngij2aCAQkFA95q zLvl%Y{RPl33$c&T&=p}f+1suP2WTCx3470>XV-;MB&psIx)GnaDVTgg`j#*@0Lg9P z5PA9U2w7zNyDR*429WoJdOo0eUsz8zr3ZrhR@6Nd5=kBSQ`q(+l1IXA(h>f`=jg~i z78;I0?up>(285@=K!W^RFj9czKf+LI@0qZI-2KmmF64=NA*4kC@}*F2HM;vsFl+_n zYoQCtA#ViJG@!o~4s1iSUBpchn9r`_0n(?tiM7da-(B=4^`nQ_Vk7W+ifu;&ub1fe z1&H+)J5cL=#7q9D>nm=gQ`}FC{S$@##jeDf2Z)9*L2RIStRFftNMxEI87$h`1AT}X z`Y8~GiX6oQ4HI+7EjnDh_8KjW5Iu<*j}&BbAiX5y9 z#ZhE^`&~RjCjUj^&u>wa->(R~<>D$5HCKpkW6=6aF>NOBR*7L` znp`cunGS?CVwavs)`|m3-d!iod5tcu7x(o>vOx@)i@J^C`=okp62I*Wkj-Mo4`^YF zm`#7LdaF42CUSp>%laYNChipgvRyn)LBl)5jB~)-Dc0$ZzU&eM&jMt(IAtU1_K4-a zL$X)wQWACh#L!=Ww_of>4Df(BFcaN9DB4b-?vU8vIqD9JGdm(VB1V#4byTcV5kom9 zHrtKdaj^n1+!NvkGF_b%d%Z;Nl=unxdrpfhiKU+rw{JkG%p_~m6S1`g2v5cDt^?t3aqwA?{zp7c`rk8gn27eCi?t>L{e`%if`(p- z@fVSMEv_ab&>Qj29?*O%P9slI7wOeQBweKsDgm#XRKkOfnpAlfX!el)N7rXhsRMn| z(@WYm5>$FiwdNt$N78jeuCKIq5t{8MO^gCUf9ZPx2m_>f;h;H4x=5zv!BVmZ+8ZLx zZv}**Qd2)9!=#lhkqnnsbO7E6>A_ThjFf7UJUB`!6@lDnY0*^Fjgc;s$T(K=pqScm z(m&*V9WRw2fB6Jy9I4e4C4Uk%CrQr^0c5gNk^GTUr1s>fn<^crBQs5^+!$S&E-hM) zWQO$JBS6lSp6)|#w$$ncg-D&5ng*UO~RED)AUKQu(^DZ}wNdK%2&6Yl&&cPpMe0R6Ype9l zZRGxtmaIgwO`1i4MBAm^^?((i$$$cS}(B zR2oemVg4;OA;tC|iLV2YXVQB+(ZX}7DcL+;NE<16DV-gUhF(b%`Xl#RO5BU&jTAsr z@K(yDDDE!0l>&os&Lp^j2OCZ-%cZigqUb;=cqp-KGQB@%H z(Iu2bvwd~*JAr0D-M}w_-e0$j?4|>Bm&qDBP?tjT^&s7oQpgR~-6aF`5ZyO)HxAX6 zX^CW*u3vM24A)gCi@uD|8EEVybwAR@H%d2cCh$h$xS5VbW8n^ zjMtq^0OSPSr8a<^sQdK;-x&C;3b0AaSS2Wc8}bnlaPJy-W)2avX*nfxce1=Ue19=>DQ;&rP~Bb5Xci7xx0WE%>V* z$ZgeqJqF1ix-j~YlWn>Z9|B>!ZZ)|}cIYHx%{z53+99_~7eb!2-MYP_0J29ngUlj( zb%9=JeV^{&L?rul^Gl$+2XsMMpm|U?hIE8OIun^%4(q0&W*skraL6VkA z^jH^L4h=ogjU9#LsqPE~MgFZTNnQF!7g86H&vdotq3*e^OMT>C=qkTO-AmoIaJ2VI zxAY2fuXQ_Sp@lcP*rjOwt!^91Azj?ZRKVhNbze{Jzi#gH7684wd%0FX=;5A0KBAuP z-6Mg}%l)_RDD3TC^Ate(xQ`72dSCa-WFzb6-k(Ij{_elFL)`%PfOy~ybQep3^dR>> zWl=cT{o1FPf+6m1B)biD-${Q`ewceHy0wS9m!;2;M!0vSJwMWYMr{xqOQajO6cy zymSj7zw@zB@Z`pHKE!Z8?MVw=_Q6-E^r%G+B2y0vs`LBcCv>5D2l~XL#(6qUwIj)K z8u*Hwx7NMXQ0R302!l}X-iN@Ak4D&rO!q7^V6>Z?c$pcW0m$o26A}uaFlWd%`HcB& z8Jc*@{6V^WPuBj28pu*+lsCFj<<$|?R;^CzgIDFdXxg-jHjDTFh?n^oh&lT{e2j9x z!z3sBUk<=~fXIM!bze7hyH2kcc$yy{Ms4602i`5uNyoGP_yF{StJD4uiH$^uZE0um z9_HT*?)es4B79hC2RvJ!?s)$8(Ta>(>_m@ zqQrkd8s;;gb~c_rx{<-}r~MzHGc`J|1G$k^dUQgcu4ymV^H$zw~Yb+zx`yy z{B6eX!0OJ-{|-q%W+0umLCoFWAU>G!BGqviGl|5ak<1q}0Y8fQlI-@QnccL5#xgU1 z#43zq7Sr#(PGC|7qHYpX<`EzlG2^}i`eNoH**lgn9m#%+KQ;dYNH1gTE0C;Uw$mp9 zD;f8ZXnhs)KVt3=89T+%ykb~y;Js$PC9V4n^V>rtZ<**BKBo&GQ`ivh;4u^q<+`^9=`mdHx2PM-?IuP%g#!f6B=_SP^m;A# z!42fja_^B!c8*J|hTK(7B$v)LZZ64?H@TLwqlUZUg*p3+YfQWU758-wfDGfOk=uI& z-*+)`qxeb>(E4cpFe!^;`3^TwIGs0;Vlan4M+b8uA5YinV!r&3n4abQ2k!%9C12tm zKu+^x2czyhZ`ll*m-)p{LF_s|>Iv#@^MMzT+~sNfInm~BX zx5IXZeQYyXo!|0{`T(Sh(6cGnLo!M*P$0@2;q9kDSRhIi9w+(*_04?kg5=o@kCA{Ad$v(j_7&H$G zIW+b|g4;=)Bszf=9wY$ghyH)6=alVDX3dH_$=>>%^%>Q5CULb=?`bHnGHf!QUOj0* zhEg3-YkEWXtp+<#yTtC;0FG_jUhybCRFU`mo)wwVdQ7fLeQ_;6_u3O?-@ zgAs()Eogz}mpB zCb4rPYtID29`?v3Ou$|?=6e+GV?z(2ktci=Vp08s@Rrmg!K*ZSv`cvK61m+%(jC<8 z5!Q`B3ws5_RZ!U{ME5}5e*C==CERt1F0|6iRhNa!R;I-90VzCGFviP?|KgvqIKJkUl3=y$O)>!iYAYazS|d z4GJ#`xQHR(2#xEa?y_(?8O>f1_L2C1Rp>y{%QayZN#56m59xT_5WXW92y6hwn53O7=a1_ZEK-0!-G&F(~OUavYwWPZGQR^~YzR(}$_i4c!~ST%(J97*mHN@zKoa zO6bu9#!R~C?@ULs5-(-8l3=!yxnl&#TBgegfNWwml31{fDMhDwH*<^(SqGT7g_xS7 z%mgdiImLW95>!qzWk>_Nz|5PBO53NUZm6a8d#9jV z(PinEmSYb8jTY^>m;{o5r!pVVp_;}FU4ces zFjId3m6=R?63AvT4=SVXccvOCGB^0la3I{`*=ES~7fudBGDldw6v;l}HrY=13+-jo zfpCc&HV1{}H$n4=@KrGSa#XlYhM8l+y2mIyDfrUZD!K1b6o)0+f0CJ5or$De~7ZQMLmurBh<%@68iqULwz=aQhkidpj((3_dY&D36o z@7fJg4K8P63>j7ETZ~*@M4O%Z8$hHF^JE^-W;3O)qGk^Bpa!t#GFuO*oaQ?F#Sm27 zV5gHA`2{-#w+JFDKHUzQgSfdAH8Ytj_Y$<{atW&evW&YzwuAND$%}y8&eJ!-bk7fj&W)(-n0y1#@F`Vv|sV93p##$)u2;5NiB@zFZc%lfrUO_+EvmyQFz!i`gytlRU6js$Uj(`=k}G(ZYVIHd$g1Nc+k9 za!`6o=F3CUr*n}UmYUr}azwgP6U`o#p3tp!OzL|b$qA`P1yDIDm8KOtB~>M!a9Vo& zIUvtSgGv29D-HSpAh6bvk>b4c1qGd6kcRF>mo7^4mI36F)Qdi7xGa6U5mc^7Pi`W) z3Uev&u1T+Hn_ibf)+4zgUB3*3o6?ZCsJkW2*$bMtrLW1Za7U``iMqQ|C$d%ClS*zw za$oB52ikie-6DzQq4YT&tVhzmKal%N`fxsIK9<_8LVHgnpI$(JDmA}_(=)K={~wj<&o>F+fTlae!4P7fb`eJEJy1DbV11| z9ISgx5lX{!jp?o!t~*aQqY=9Ctx-5yH`NY=F}kZ{z!nlKc^$+9}Ia# zs9qI1olN8VM$^#GRlNNM09W%L?*_~o-WUgxYx(wcGylP}djYbI-w=(VZ08pdOWVPB zBw1t+UlI%ekp~q?+TX{QA>+n={#6PJ5Aj!qBX^iLgdul?e@)>zNBN9iXyGJ3z8`X@ z_(rXeJIyE2^?Zh3Ov2@P-jI$KF7VO!(85K&+J`{D#D68Kl8*ORHBlaLWgxiWy^?Nj ztlK)MU@Y_Uz0z(B{$XJFpYiglmYtPU%^VY4EjuyYP%SwtwS7ufcD3x3tfb6zx1=8% zx6R6InU$EXZ;_golCAgX7~tpGI58(ZC9QFGPHu8)W@CRZL*tyx%(SFdiK!Wl6SLCk z|EeuBa;xSmt(uXUky14!v3Y9a)QqIG+~kzT>4{0LQZrKik6X$9Ioqw^FmrQK(~2pr zmXw~IiU~+ePc|8=sa-9aK#`!;FmG)!)Qp_}Z{tc!ZQi(LQj$Ecd9&!};W4(DYBptay27}$ zRMh6c*s^mHGcdJ9ZnL6yN!!%SLg3EBC(pz?s+EaSo(^TVI;VD^rR*n$&M^Xt9Kz~rDUh%RFj|o9qB)B4<}$XoNMeChqFMP1UEN@ zcX=fdgL5ROv`EZN%W>rV+%_fKs}=a5n#7z)S!>FVQDm_WRl8Y(71D8Am1Vd1H&o+3SD$$q;x>b z4r1=9nHeYq2+dt~Zd(Py^$ek;w3}N_+eFN;@}8ZOm73A=7bTO9;|A)^Oj52!6j-U5 zD1T4xOLN=`$WX^*Zi;uzXHsTXiX$oA&^9fXI`=+Gh~v6+%~|9!XlEH1p@Nl2Db^%0 zS$#>?N|JH0D0Ru%xynn5JiCBSX^(xQl&KSq!dB^t7+*(P801n-DnNRUT9VN|Jt-%P z#)9g$8u7O2YC&ce%>}Bma@2OwMjnDzm?QTbV7b{U-44krqD&Hjfy!9$qKt)Jl(Ep8 zJQlntW1$yyEO=GNg17AE%3#nVd{sjFRzj&G@Pr=X8N|PLc|rlcd7tB&l#YNq!1KPLjX&q74AE ztM&kIYV*oOgQhak)Ngqss)zeSU>Y9bvw;yWB-#aZNV8L0f_JK! zoYeG`_KCFVP(_*Swkfo|2(Uo5ZBDB!+;1-JX|HHb8zS(W><7h(X<%l=;#^q~vcytk z#)bEfFie%@fgQ=O4wbK<_G9b}`5M7HY^d@zfmi%Il+66`3JYREE{)PMg-bQ6G@)ft zElZycP|wVz=^$y<4d1@tA6J9W2qElKL{VI7H zI{KIl24jHH9P8;8Yqy2PIBXGiYjkvMl-&`kceBRDG?0se!^|NLYg~}g=!nY9)|>p@ zg2K%fhb7cvj}8k&O{7)tVd!YgP1Dz?p)XWNNSQhQ{PGNfw!u)a@sqxQ3_(ngt0 z{-KT#OISUJEz+R(P}jla?nL{Gi5 z*jFvqE9EkbhtcF)MJaHzQ(s~u>_$H&bwpcZtaj{@SgYR95o>D5O_RrIGsQT9%yzTU zIWT2h*yFwiZy$_{rcxe02spwmCV4j-1KeWF;bA5t2B^myrW12&11)Q?Ey5b)q1GA$ z%%1wro&OcYH}IVxe(whHe>X?~Ks?w~NohlgD6 zsT9eXD*6B)my-}27Dfj!GB_A>g!wfExM9bH$0lG}ybVDrQvn|^2br)HUq`oJGWi%iJzFHpUv`h>na5ci64r zQ4U&f+8bzBAK*r(h;9zzi{L0UFeFB~$1;moc}}3Ax|7pX&)YzOkwMZyo9IHbyjMC+woG~g_D2dGSR(?l z@r-oQ&5!U~g1aU$2XcP%+?*7L!^5LMwZj2EO1#U-8LSC* zyc>PgW{Rv+TFM(ycpiFqpv&GW20}rP3U@?X%vcTwZW8ckEP$VO>jpVOtP$X676;bI z-T>=}8#E#g{ftS;iONdN%u3DqIV3AH7t&Hoj|AK(?K4x8J@KYdh_0Vecb@IYBOuzIx3$pRTUsalIPM=wkyskM#{NjaJ6&7nXi=A_U~o|EoKPtDLa zq_KKY8`2n1^oBGBXd4oYHO?Q`kdoF_nJbDS@?Pubz19uPdyjB=uOH|fau9?ax^fli z#9`8#Xad-`-TDKkd?YL?Q#=K)}q;o6_jjGJ3bi@)G zV{2%YB@+mF{)&)SJeZULzP_}_1 zMZ|^&VkwOdb5KyU-a}(v=GX*pePz900Bys>v<`_sXTzM426J-ZW1g>CKIRGG)(|ik zZ`}0exaa`PW&W$)Z10$!(lXIIz{lb(-{k)WW%Bj=4^YPHrvCzE3iuCDe*dLg-g0}+ zmCWO|bh`yb2Q_fnI_fGGhEcYVd>1r`umr}&G*Cn{e2gT-6Ceg`a0C+^?pjrgaSHn;gOLP28iA_BkQ(Oaqc-M^#vH92@JeK4 zYFDMI5|NJUy!AEZS5}tf8fEjE?NL}YtFK35mF88Fsw7u|Hm4Qo6RYT(SJ5Y7VXEkH zQ|9enlcA9Mqe|!|gYP@{FJL^~%t0ihM8(>bg^o?Y?vg{z9#-bDZrT_~oi(hz6}(3A!rQW|jGD@sG*iu_7Lp?a7oirGfN!FrG4>$FKI z212>6Aij~fl+SblP7z|ZKv9HBj*AcbrjT_*1Cfd3G1GAQ3O74qEMYOwCtXY?pl6G- zIs)y`K%6rzx9VC`#6%Z{QRdl|zbN}6G6+gOHCbT8$Xh4IVpe4eKUvy#uDv}WR*}Mu zrb4XI*AW&OUs(H>i?!J;sQmjXhXoR@IVv!a)B#GN5|COD;Bpl6j)>9QP*9FkkBHG! z{hdbyFnL-?5V*2D8`cPOV3;x=MmJ4{kog7#U~^D7O;-N(Ee1$lVO0S$8vF}(RJL$9 zk9a;lZ8Z34e40)RlqtDqPQ}jPBTMO2h#eCjtttWrr|l-l3aQ`futo$$MPj`iR-7%E zaG*T-JDH!W3CCI;+)tJ7Z4oxR!)&)E*z9_URAFIN^lBEz-e#}j7H)@H6=t@D%O(*; zA`Mpm5f7IoY2xf*abea7Xm;3HMx%C6w2q)P;OE(7h{ZxC4z>qJIUIVUQw?#Dx+d#2 zG4@Dko-m`y5~X$nRxeVMs9uaFQQfIYML!J>jES~K#=~Gh!o$C*sOinKvvM*!BxP$_s>+TP{YNzqDXl}_^s$7?dMDDT z09CD|cL+v`iH|bgro2pykITCYMvN;)jJG0Zl6a!`H@U^cS>SGQxmu!dh%KQo3sYi* zj3e7I}VN@1TGcaI`V45WALv@lCOMT7HquD8oBj*P=y=(NOZiP(}U=+FY<65{jmXT3oiU*?IZ{wc{V?2#T>p+w3+A6hhe&u9$ID z?%-N2^N4)59w(4|5MAU{RrZv%X}XRg!fi6AMJijd^KP-CYP5!m#FU#NR%ug(uR@HH zL~wx|pb3i2U?{~@XuG(`YI!R|&$LB_ zs%|P-p>V*$8fuPq*m1`z2S~9LI-GV#y|?D}MhJj|;yD~GQ!-Mr5_2-M+>{dlSt=+H z+BS7|Hxb96*tPplY}ZU``XJQy_9~TvhyXI8r)Na7jvX z!K2}Dv`@`WZJwG2<2G#d71S`U3Z9TZ@-zj_9#YT}%}^8iyz0OcC!bIIHjk`^ryn&IYjXDvG5MRvOKnVJQMkZbPH zUazPIc8j;N)4Uo-@wyi;Hyi(Y({t>8X=`4qEs{Vi22FR(Rb7YSK7#$;E0q|7MB)O`LYP0 zyz$FZi1roE90YR|#Q%JKECND7&*PY?We%2cz-Q;qrlpQaOee689jms$P8fWHA8Opanl|qpKc^!u9e_FC=9}U?9** zFk{75X$+xg019@|)(1Nly>{wzMjvfn@{}SvT7{gTs*xZ#Cf*hVuX3n43=)W|`M|YC z>rPSi@)!#a-N&gF7OE*iB`gd>L@~yM!039on_3`TGzof6VP3A)$i5eCN;K^>6fQGb zX%cl+4k{68Or9 z5MWfUsS17yYYmGE4-LX`h-iSI4cQi2Y_-Bl_hl%2n-Hlk;MzMh5-4RB7tAA=sN<#%n|kEa0*2^EL5zG zp%9MTcj81ey87r7SfEo1aj}sZ4Bm7zLUc63&2NN*H9WB+8T4g63eicm^d8M2nb+2P zAoHV~(Tp6`HFeoQ>atN^J*=1&)2y82gmIZ|h;ec#9vMUM78Vv=U%A(ORnZ@DPUyDT z+3&niJ?{Vmc{UXPduB$l`_H%d{ikdrXh6m9KQ(rRZjZuSUJ2+inMknoQ3T5{7*@f1 zgHp2-A(KMv1tTa>BKOoBCx_@jK&lJ61-LVHrvQMwm`XPl15sog#U`ru>-?faOn7ty z#W1P_b-}%@IUtOF)K@>bubMjOT2??CbgA-mgUJ(3x@>0XFRsxm&Na#lH!>QGepn-o z+o`4(IwQ_ozyMuE7DCOcUEHkN#hpGXzkgvaP*93qJ6nX};`-Ocr6VI7@l-PkEHQ{% zLJ)|`UVPEA%puj&;|RtJWY!qjj;3(NhxN}IX_Mf zz}q4_=VTtIszSjOeu;ZsiyWwJofg%T+O+)xU4hXB{X?i8SPIC2YE)1ZIT~#65;^qVKDe5d>oUSl_DL)-RBIOru5hI? zhuYyY8v=rXgb1AYNC=avVKHA}{^OSqL7S04gGsYC$tFr#dSymnZdDkP(O-jri-Nc^ z%+K0%8f1GAbs-PY8}=+sN0V)DVUZy;E?Ddheg*^#LWk}c1(U06UDBHZVihe8OffM3 zS@y}}GzLG{g@X{Fc{&S}=O1!l0W@bYljf9;jEim?;M{ArlfCfcs@Z3uUb8ZAZcdl%J9kzF*? zuK~@Pe8?j~r&tYoc6BdkGg4f&GC4GdsxAaStu<2aBu>A>fv=^KQ%wD|#SWKaTnt3sWH^_Gc9Cm%BMl{grGk{yHrJ7w)y|Qu z2n8N${Jujgph^$VpTM|+!UO50rOO9>DH$Y4BqP9Ip62{9`z}_XfMP7#KK}2t1Nk5} zUiIb%6zR+j@N?407fu`Cbmr2~q1-8EoxA{lr&-6vs#7GGd|G3E&bFr}wUPI@a&^k) zR@p>jj142RP%$7fIxSq0lD--4KjpF}D+?Lwz~yAytMYY6RJ1J;4wweou_9k3{&}l6 zh0z)5ja@{M-bO>1Tc8!+ZopWge#Oy|_P1iMrJZOA2M3ldBt@0xN$mMC9h-*R)5cJi!zuDmDbSr|Vs+`{sB9F`!w}kjNREX72W(3gATum>s$RRtswJW5!2u`yCCHK{N zfg6qT1y1vk=NZgbnEy62yVfZxR&~gBB!H{dOQRa+sYHq+#8oqcDmR;ANOe}qy-^1Q z(K}oZd+9Zxok<_&S&SD%@g~#T?C`tc3+qu|h-< zi(n0*@bdo_m@A8qfku;aEBNVsa5hv1Y6QP6>dPJ**OZIP-f0I&m1X7^%Z0l z^fjDC2{OcKBL|d0;mlD`SmCRNfDBCy_I8D}lCDI8x+=*i3BFs<)QlqQltm!ZLjVp& z0AvbTS0;w+K(KTS>`}Cw&Ko`)!ZWs+-stZXG|eV&=SqOxY3_R0!UBiV6+z({^4^jq z*$8V4JkT!E05xB*TJ5!q^g*~Wu`y9Dh_1qkR;?iB9ZLj2;Yg^gMm7wEQAmk0p%e$I z%JWW%UyT}sJg*9t&Z|k*1x!v!KgbqM_mG;XOeMc+CU5=xaLNhRVj+wHnsEn{?)rsO zp^5?%T(BOS*!7DLMRt`v9uAydm^z?wlf4?gL2M^GLKARd(@usaohRW`FD@W1;N9_X z0SJe4wf0j4iTW*%Vo9boA@1Z-p)tE6@Z@on^+dxJQ6IWxdSaFIRFZ&Qim6bK zQkP*E4aGU^jD~l+>;R+6z46h3Os1+s)m#wMkdiepA%?VDe z=_F1DP9_>Trpf3}C(nVS8G;YH5KBiIH~E$!KLog{H40^?AvW^g%&?K#L>DW+Y1~tHYF|En!;KI_$6YSWV#<3bWbm2oqK;UwTKrtkaYWSpdd2`Qa4Xwdr%ID`F!Q z1*Pl&t;VF;8=xv$BQ-(3fJHPmCK?VFT!>g$I1;swSv)*K)!#8u42rN8_+!z?ghh+* zlq3{;qGlg2cqq*dnAT(LL4oAU^U*C7nyRWY%r^^f+k4St5nJ324?c(BBMUM-9rsE5vmdsS*t>$`=A@Y5r z+aV>f4H%^Sxn4qoj|1MY;=b6U5B7|HKFY`W#eA=)!bH6j$lLU8LV$TUAwQpY69UY; z2^mcRK2iB@Cntx@A9F8%E++>CSpw+mNhlIU@((S9r07cJTx-lB|JU3BFnknOQ}C{L z!K8D70}y6K^pc;CzCv1B1(J@{FP{NuR85smPAfajWJ6eSP8(;X+F$tx9O@PyK7gNh zfUKY_KV%CC_xV5kM41fo&R=J!Yy5X{LTN}ACt$e450zQ)vsV`Rx9uQj#v)wLSDz5=ONY1V?VM=H{l!DU69UigOSmqJ{QI6khfM}ACV@UTe`6-Dhc$pHX?=GY)76L58x z8c4{bdyqcJA-w{>T9fbR84A@Y-Bhg)h<_}~`e1@)q5kfLtNj+m+Q&Gm;8{JNZ4Htn26mg7qA0iRaiO~`w;9zcIalZeMZs$NARwKhhM$W_KR$o z$aBs@-Bz9YbQom^E{()bvn#u5VMYp>P=1Uf8W!a!GyP_W>`26WKHr-W4mE`=q&<)g zRX$*r51C)SQoN7)|73(yYVB=Q~wAN0|_;>`;c#I*sNt{PWntY7@S5a(~K zkDopG7Zs8qOon$t80m^e@PBwDA2WP>7l4%<{+)U1J;ww)dVabqL?tN zHOgTNf<|Tzg#iEuAb*iIH~A~%X>s11s>TxNP=3-5W2BTNbLw4i&Kp(Uj-Q%Rd^2(s5$$XjOQ?F3Jl+YS4Zj#b zc^)*AJ(*S1I4vK1k%#bdOH?dHKjNcO`r#;QA|8a93J`N z^Mn>3<@+1e24sO7DkKu|XSPUrytqK*pD@s*GH(h1)V#R#V@vqbBAPB0d5U83BQo~? z$Jm=N$8jX-g8C_C8nZUAhtgJN9Vl$?YqL5DG;yg4AfcXKD}zX=#Wy6#B|%C3X6OC( z_kDiEm63pYMg))%m6aLc;pgY>+X0LB4E`LX50O+SFvsWT$oz%y(frCIwW*+J4GBAp zDi)H39>-W}DnL!#HWtk+#c-nmWSq;|r(}JFBrI?JB!)8c%N4u2n815Qx$A;4mAy)P z*!z$>iDTN6Iw|fEjd92cWFP__JX!44qYL9qh)WEC{8Y3YBw=sipg+B`nl-{Oh&m~8 zxs+TuA=S%%M9V>0w{TqO4Ab%wousXRT}j7_({_vuYdkapdCN3YD%?ID*N>87IPBh% zm{P8^tikhy*rg`moX$VfLW)d&4~2;y7&QJY&W6)k@>Gqp$z6r8+gYNFwX@S|C7?Dq za+INf`z3XP;E(K7POQ&UawB8fu4Tjdldpx%XkN+2L4aewNfAl-)Es9h(8a(_QXO%! zKR$VJT&s`3>rM&XPw5$gq79>D&<@+^B!!_MXZw0_3094H=EqIH^-w8#CR~82soYbw zurlD#bhA`lEx@Z^Lb@i5JrMq4&k-dQ6D=uM(U@TJ!QMG~3*J}gTi7*_W_%Ao4KJZ) z$g#lFj?9Er0K+Ssrhw@*P{S+voCSbZjKVSkCio5wmK^_Lo7Imut6XR5qQ;B_X87cciq($i_bAMboG0+;N+J*+|h@T1mm>y*HOpw)Tz>Pd{t-^ZSrDP8$>|0S8q;oHN^ZR_tlP5jO69o%3V_J0L9tnnipza_EM3Q!geaRl1 zBwrG>IL(w1s~~J!z=*@5q!ALA8W?~Abz>R4v!@eyXUN}$nmRtkN$ktG!eINT!;}*q zjlOci@-fR96UI2x)rmo;vLv0RJVPZYzG1?Wt;y+^vQ6qS zNK%|bgfE88J;%X9e4T+J##fFjMVKk0sdV5@TPWdNxbAh|;LfP*t*a#w{joVYg(d_Y zE47cPFRv~xFW}dNcFYR3Y<6(}&mmO728B#E6?E0d6nqv-9e?;a^vZu;6gxGk4J_arXz>( zjbPlTZHvfKpBUjNqSTLx0hx06^b|7k1f>(LXFOb0;mc>}+m=f4VJr)jn^(ZrIt6t< zBNW6q)%N0af6s+h0w!kv**98dmZc>7g4R-IwwbO_xCiK^o~X6fqHX`cr0Mt%OhP1? zvtY`r(JgsVhmzvc0-^(tms=;~kAa?x>lOMfXK-2&u{KjNh=1ODt2`6rs zsYya{Lcx#Ba#D7SU3}X@#xr;NG{MOL)@;arT4}Gdz$g#B7;m_&H%(M77uY3aBFPq^ z4Oy+SkBo&NWJs7XWz{_Qsjx^Ksm2%$wVw!-WR8$y*Gq;1$2iC8B1k?6M_N)zkRl0T znu^zZd}!)L^n#qECVG0gTz}%wou^yn%qX8_P@Xxi$nvG6-OsPl`{VDYFhqjqxp$6! zrv>Jk5hR8<*Wm$V24Fyo13ns998Ar`xtEB9Q-s8WZ)(4(62s|=sswD2 zQ;Px(o7`*2(ueeYjErZ~t!PbekR9^%{T)7hz1f1fC)Iwi5SOUxbG@|~qyuh%S3_(h zBp+PjIxhL6mjm+b`^642lhwQ#(p(|uGoi`+WmZJD)0%w&$f;Py{!8Qxwb2@XsLta9 zac4~J6o@@Vez<*isB-VhB)u`uA&SdSk3!_O2{_oKZw4ODRJWO^oaRX!OKw&fwitWf+Yyx$4YnynV|#;4 zN%=>1H;5dqT;b*bu#=nSaJj~(qhT&t|H#;vO7zAkgJIqbrN*brrUdu|G|beiPV+SJ zhAv)8hD($!L7QUX3;Y9R`3n19E|1*o&y2=)bmT@Ocor(|GgAYm2pS%s0{2+Md%M@H zS1iJ^HVfASQBfEZ=NDwWiecodQtk6n zkIPu1Kgl#8(du}@Mth?eS^qUJv9tJ6)%HaBPW$Hz9I@4uO%(h#2;TI z%;fG|E+jQ~aYK9Qes)vQQ-cT?3;v$0+UOkHY@i{4kMbKD*PozLqX3lNO{hYaiNUm! z)lHtbs2p6<;&rUbrn|FvDGI^&!ce$sd?AbiB*TJ*bw0Q?AtxNxrHbQW5vqD^ShqooEnF|QPz zYgDAs7UbWxXlNi8ZBD2*2>72^q_0aP!gvA&N#u5bDgmg3--lt5bdBnBWSPjVL;%9# zn4bX@x=`#uvck0~@>vtzC{qBzjep%~DL!QGO*uh674_taA55`Pp}$-1Zc`56R%rvh zava{3>vOpMBXB5j?x9#9-~&G>zf~>PQiYJYx!A$@$y8WL7m`drQ;5RBWqPmNrNypo z__}idX;$b8xhH~|9Esc42PT2C04w~@2c2D1ec7LBC;CjNM}vnSn%1Atl0F6?vX)gV zs2-M^OE^zwj2{BaL3iE#&tLD~kgu@$G99D!i+ADpy_{qb5PywDbUYk)6|FaXnuuq}pa5^U(bW z%ABM&gp(Ib`XLz4L@$u!7->5=FMXOUhCzDNKQkim4m1mx9#*;9+w!JEPI1-G3faZA zZ`)3TNsBDEZOlKQ{H^&pF(-{uHytC%?$ty)sqa=SIF&rsuoFe~$3j1rrxFF*Arb`( z?i?tnxLzTga)#1NFzAun1Yb_h>Y%TKwGw*;U(Et+r`K15QC9HRKtR=3gBftwJT(QU z<*6wXtSLyyRC*8kLSc{|e_=s-#w!ccH&YkL1S!-|leIx&nwVBuoQw-Cx)Z@`@DnU$ zl|{{!fd)#n7Gv4=Szp<9t-^_eDx_b2t|0wBVttxcL8^%=W8MpM8Ylo*d{HBZzJ=N? z#&8Z(&T%*}turw7v(zn`FnjoSsDu0o9O~CwX)KL5&BOs_=w9$@ER?9YI>ZLz0UPyo zh(KSar;Zov=>_wZQb_GKI$A|#b;~vxGL|p4eKQFvcf;6>dj(?%l?RZ4$s9f&O7}_s z8z&pAS_-lgxnuw!G^q*w$mljDuEMIy01}hBim)$M33@2ZQ!$|=XkY?h5Fw&|j-vcQ z1B?WnjSGQ^19Efuh{j}(3@KTO!%P{6p__VsvV}oSKlRHsN_S};cUrhsDXcR^zMWi3 zkE!`F-L#W0i(pZ@%uxRZ-^I5CKpl|w&6z-<;O&;-B<-VId zvYoQF;E`jnN_?wN!_oo`guhhzRC83oZ#DCNNl%??IdfR$Y34LNLvWu|HdE3lFBP(A6v^gCLtrIM%`9xf$S~;@T>kQLx zXcp|$V`1U|fCm`OAHwmZcgfr;cxIYpS-k@!mI9U=nVD`l4{qU-!q+9HC?^23=!NDh zBatBN6p4+OmpL45)|8l}8t^NW13_`1a3+Gwy`7DuGKsqP)3RXO8z4;6CIu#+x^wht zbiIb-lxoJT`{oOo4%j-T4hb%*ttE=&<-&<7nZ(+TrBS32?c#B!V{eQ)Amq z9qJCbo>`k92P9KKVY{B8{!y5Fn&DY+SiqsBdmn^_2Y z1|T`zGe&8Z9@?xV*%+vO_@I>vsY|)wskS*NCMf;chc!Ya{BeJUzMdSU$CKwEp2QQD zIK+2IN{E=&+uved5*R78JD#2>(i?PzFM>#}ejh$9)SE*{^)x>d5bxFcbpGT16sh(- z1HRDJVOi_MXW$FAzVNn(qY#&ih%@GCoW4}eNq0mFg>|q_vTBNUAYQuP=t3QJ8eI(d zY+vjo_X=jF^K(ZhurUEmlgZIw0`-BQ8KnP)6FVA=k;f|BKyW8MqCb3us9nN?;imE(DQW3Sd1kh zj9I7EuoK2?{G_(m%#bLpq$}l?fi_n(;2^NJCge%$3>QD5m?_6>+$Ug?DI3a;hp(Qx zoDny_fVCCyAt{TO(;)(mlk_GjB_eeW=x&xNFzv~k@6;y=y+$Q8bZG-wxW0W*AC~*) zYI)B@eh2yQHL8kR62)|En5B_mw4{7CIyi|`5_@6M$bfcsaz{>jEteYU^r&a`JdbGD zu7|jSosv$sNvD%e(x|i7rayw7EfFxBC$o>J!Sa8gP|A%?k7E!Ox-@jF-n0L)j5*J# zf0|A}j;NN()Ylyyht(e7dF-L%tU_M7M@5gmVU6R5kNfv`$bCi*DgLV&5Za(Qi9wrT zf$QP1yQ39GsfmCor!l6*je98UUrhA*iTMI$}ev zMv;+)wkJq2mkY}BikeXX#$^6x#xZB(w6a_{v}E~cwkPLy&`iGBzq&X@Gd89(!Y)Yk}cE(9`v@rP^zR8md6=-1Xjjm*-i5VkOu#cE7(9N(W5z$z4%4E0Q(d4$5?NW z7__2>jX}v4k;4gDo*EE`$SedCH~auCCcp^zBT%6;LR!_8dGb&dr7(oe#DUNWxJ(%~ zv!g#OJWYQ3=^kangTL#;Sn+YYB;Tty02pwCI5D_b2Ak~;c7^X|NBw1|Wr9>Iq491! zrw#RjGdxW@c1!U zz2DtF{Nu~VpC5k1)PJzF5c$?`?;d{PK2$E;{q*&p!i3?TWEK_TIA?(`lA$KoD_pUh zL262z(-1>qm`HAUc3a77%7%OHUYV$0LP-oah+xd%1r?2iKpf?2LBTT@VpvL43uE}2 z2eYCQqEZPf3F0;lQANS$6epq=D7sB8N z9>*8s1_bMI{Bj@{#+?spz*y~msHGRD*OJx4NGDvEJUsO==O5J>+zV==y3By4FkjVO zwVms_D&&UJ7GbwPMt!~=AO`L?{8!og=IJ4m;WPwSpQQ%-XfY@Yo ztZNUC4hnAZ6H4=UoSeTx{z1?+RO9VVkOwfW>|!+< zArzot7iZd1Kn__BKkQF5=^vVRfPxR*^~@LvI){X#Q4W+xqZse)gV?ROqS{;NY*($h z61UBqF?u?}#>I#{d@;;OGOgs$J@%PWau@^7nI&|1=t>DR2ofFOvZ!UqMkBEBcQ^@F z_`R$}Ec;~c8(>TVU*_(_%N2fHOyJ(BN+K=D0*i%l#1)`y=)!gIi1fWcsjUQ`W(+=w z(_!9>L)(GzU;^J4kt&uk(K#wK^FV*%b8e)^wj^>Q&etPk$;lv#hu4cV~*154(j8QkTu9B|7Is3x!!XG(iwUN zDy7bx^GS}Tm(Mn@iRi>EnTvo9S!xax?u32u9Z-;cFVo7G906VqtsH=rV`HQJIdrO` zIm!LqZ{P3Hpyd7mKW^{e?(flo+BhDPF6065Q!@}52oU%#GA&a0E=#X$U%>K&T!{58 zGyNtJ4*FbjT;Rp_vT#ytpPa$LbFlUUMVc__dNhJKV)_dZI#x6;{=u(snimVoXe|bdvr&?0#UmbNbHMs4 za3XPy>uHfhKEOX$CmZl&=JN3wTPWWxK=k;K=dIx9jy{}lo@#@YLsgx|$Ifgf9u(+& zu{RFPs=-0AG35kj;JwpibzIp{5@veNHJtvntA4-knB0igmUotgH1dv5Ef7P!Lw?Kp z1i38_4cS7b{slZf)K7Xi)gg&a21pS!l`b(Q^hzlN5YfNQb|J9Ig;>&qO|snhrepku5FoXzMM%s$_o}S7pCw5mCA=%z4`t zIVK4`i!Wh?Kq=o3{bT(7^W;D|EWJZcgOv%grmk`?hBN2p!4S=^<%)JX1h)WQ8`yzZ z15^Ld-lw@MP}-sXU1=(Hv?C{@L(}SlXSRksswj$3YXwUkbkZSuSOh~;)7%id#6iz_ zXMK*`H{;#hKyy}a<|hG}WgPg?sdBUZ8EVCnpyxMmVfd;W1aUDMF=(Xw*{G*9wua@+ z6HzNvrT$IAJ;+3gQiI69+012whrbKDK>dtu8(?s;(_x#TV4G2=+_^{JMx!Usa5EaI zE69xi5RoZr>_jfFr)|icnJV5c3*ZQ1OK@W4*1*@Wnjc@B##*4gGb$&xe=heY${p12 zi3(amdVc=0aV5Y#ddi|(*(DVVx+_@53!4m~O<^s!+g+~LE2-pY`yxmk?hDI-xmy$kP9z&1?7_wUzZ;EQ&Bau|0hwe#$H3LX~ReEQT=7EKG;cJB72_uc1gzvJRC| zT?MD>W zBaA6+(U(vg)2%@vqzwB-cDfDzj{<&!B)h^h>7=xA-A*}b%rUmD#liEqGv zxNXmXzd&VJ7B=b(lfGO4govL41y6u0^Ft{q-AYm@&5}@SeS*F=_LpZzjXrc^H0f$`yq_g?W^$1dpSn{RVPlo1RU!|{Ir^xeAUzZ~So~!@44O`NsPcf7 zN&0N+69cSY%U^5jDDezXAE8K!Fbfv;KvvU@P{r`~JpbI??8e!9g>yMi=*TzMogEPG z08rpy3Ay)->dJ_IO$U>8{R^iz=-A`gh{j@{r2_jL?a~_Umd4+#TN<^vOhttcpIVw0 zYm>dQMN^T$K>)$o@-h?J;7 zD{)Y=$uEPSEK3PpdVfUCZvEj!@Ke8u-5SBn^#$xwmVki@jj6!`>l(gmQv>y%RA~9_J{oXt@cARmix6jLCG9 zJYpH+qc}DJ&sPq`&Eu{hbyrQaVpleFnR4rbV{f0MBgOLEW)}S{ecHS zH&NF$?-%3YzO;!uPj(E>#WYfO}UAPiukPpxeFb2h8Q-8p)~6`VKi6j>%XZ#oJ3SQe6+7C0GkB?2ZBl*GZJ!;!ngAnp^_NY#?d`T<88?qUa_34pmhap@vWw?lkQ)x`9xCc52bTiRPO4^JA z&P@qOBlPg~-lz|i16yEskD!_{&JS&j z3gA?Vs-dhmN~=kNjQoNK7?Wk>ci@Wr_tE~8hInqUN!+k}Z#R0Z2@ph2R3-Bcj-Uk* zOUSK*6U_XJJWpY({^`B?qa!GZlU;;MO3RCvWLZlOiQ!e8*aeK&x~m}tIy-~J9sp1$0f z;fhNAPC^8HO9Q8@>zMH*Pr)4y?gj_@cvh( z7(f4T`{h5M^8(9X=ryT;5q|&E;D1AienA6Q>HWp2gJ)!2dQnfY`}ohduM&U};N%K+JT??-+`hkyE-lpx~nz7qI$)C^uWAy)G z7+j7{-&GWTXbdAL+5L-Jx$f+uqhXq(Dhi@G@>+#CnBXX&ARLS^?nIy@FE;dm&Obj+ zzzFE#D5$LE@#*>%z+`(Y;_Z8en-9Lc`(JmoMSuGG?)}5N`+a&@B!;syL4T!%I(Y!| zLFIvF;lRJf;HH?>_yyK=u#UPzeu^re)nonP>-z^NqSwGpd9#!@b3xP5tY1P2;f`s) z>h~|l;>GW8fJxX&7jII7S>cc21XR1ev_czr+N0t#r!@<&W~e>_f$3%mHbzf$8acZ&j5N> z)=d+9zyAV2^}CPv8l=qHgom;H9;1*1d~eO;4f@j%Hpv3nHEt278#qQ6Jvh=|9S(o! z$ER`hsUD$2=@A-q7eU3U^0Ir4)Yg>7)RkY(&^;nrZq0B}FIEntNH5XsZR~odi#0#K ze6-vpXRHaf+t1&_sK5PU>&idw-r^7OsG4ph*bx*F05?Ij)^rQ<4am>S7ZTp3=akEC zmF~J_ujajFuWUp}AFn=wJuW|no}WHCkH0nc)}!+_{00@Al^x3>z`6ISHbcJBP+cs~ z^a>hs;9te{3fC%U@OJJ~q6a5A$2ia6G_rzuSK}CHN}JlMMIp=qvKsXVQF(*f{qzV1 z$_$6$eEph8VjTD=2?c+POC4Og)P2Zp6qshZpQ)E6TIz5?x{D>fn4e_ilZKN_D+s!@ zyUe}hEGgztl@=(g!Qe)+iM*SG+z_RLk0Ci8l~Fw!RJ$!|?GhUa(#a?56ZFGkuVl_> z_tRHK^do#JH@|O?eRJiBpI+TsF%F=7=wZRMDtwo+(jW}(;1TSzBgKH?LuiU_OC_Q# zIx`uSoJrZJY6wRYIav%<`3MD_-znIPYUGpWnNv8VB^W51S!mA2+p)Q2&`8Dnn#rYn zE$cx1_<0O*Al>j z+5+9CYmxJVP=%~>HWY#lYSQ9Ay&PSCP21Pqp=qbVx5llkl|928b3eNXxX4e(`ske&90Gb|FAdloIxn~?xYvX{Yl1*Az8_*P>sZxqnV&e|5{BeOd&hV z6&loEa4>3;AW8{`WEmK!9Y+CZ&m0Msw=8Ff=s@)fsgofe(}TxzyuQ4WU^&VzoH21$ zz6j1N)HAhxQ*Xk2bPZYAlZq?tQ>J6g@v3MzJcY!ZUuh?ncJ_y+9kqXC8r(!O&0KNT zy*eC`6jnQIKm(~<6?0rY)ROTu$}9v>ixs89LZ*g5GT;hkZJ7J&QPr(+3FnzIEX)t`Se0gp>B;;(C6&)|Pi zKNzfLD7}@C!kSjIa!KKONP8@cR18DeRh{8xZab{H*xR882Qo7immM=to@J7d6)6=V z2A>=Y@314imf*QWJ_P(+R3aG7%GtJ}sp0bebob`n&+qOGQhkTAxj%mt^HKY4TIx;c zD6Wx6`|^{WLMyesswI$UFR%@8UbJFEUP-*{l+zg$eh}%#oae2)zBtTvDOIiaU>m##!%!tnsuHPh1GvzhA%+RJb6kU z0`W?^{pjy#wqSQWyj+>L3AG{V>XVMjN3eo0Jy2<<&luq7lZMIPTFI;F!&9>Gpk@b{NIsxUl^@_|pf*kAUr?^1PrD@~fGd-1^Tees zW}9Ajn(mIux>BE+wu7nKa7x+BOCV`oQp=MF!RuvxzT9nt<7k*U?+0!biGce-)eEFb zo4CenKPs)!w;&Wk?G@IemXlIJCK8N2Nv_eD)me_Y>m%FBX35K&hD|L-%yi*q@S35; z!U&J5KmXP_DG=zrx;wH52@m12whgkr0Jiccuq>en`N+-G-f8lvQR*p+XPVmO23bbv z<%9{a&Xcf#=WGps|JnX`O}TDq8s-_1s-)`GvYHOpr@%ia^1eH$oA17B2N7rO#6+cw zxC>Ku1Vle)1TXT|tg{Km)bAtjXS+8>wT^MH zvn17*R-i)6b3Bq`-&`alYZza*oTO{%j&bK8d6M3w&Q+VBaNvBQ68ypa?T5SPpFV$l z`1owV-v{!$XQ7=!2?hph^GFif`|0=={_~&JgXS#odZX$#^6vM{Q~T%L$Da=#@b1sQ zJluYMc#a;Qhym^QAAkP&%iV*I4dn87gU)sN5d0*jq@a%1etya-Z9jtPo?M+E2m0^p zmBv8LYC+9)5NEQUslB3ux{mwB`UM;fD3P&WqO*kt$f9LS)Rk^U8!GUkso9#~S?Nds zl`QS;B??AfR|g0N@g-g*$P-;8A#glH%@1JB0l@(Je>A*Hvh|rM z06PaT^Xs)h%W>1RG#QGg5R-v2X7HTkHTbe2=lYe;3Oj*=DiCv4TR6R3IX3y{?6^c5 zx*Hy{zkRl{;4^ z>5XO4VARV~gVE~QU}t0$!cgCP!|p47gIJ6am1v-g&&~j(LeyB%!e;3wS&CT9)Xq;w zjPGfw!-@HxF6s6Faq)SOK7phQg?n={4?8a z_mlD}k?l6USsKkBNYlU^^Ftzl5Tctc>#(bx-KGtZaP@S}DC7lsC1Xbn89AR2z5;$i zq}+Ihw^qd;JluXspg>E64qW{S0D@fq31zf%!)g|q9VTlqbqqqdg(t>Mj-C`g&EyCV z1A1rXCLAm%yX|h7gf!x}wY@9e{(%+8oM^rPSQx|Y?sKG~&Q%{S4 z6FuF~m69+y+7Dw?r^jq5m5?(Z{}8%+rmAAbdD1y5fgav{xcmI&>rV#{zkYiD761ME z>!)Xfw;z$;cfeo2`|HE63_Y{C52nPwzZ)E2dieV<$@H(~e7TT*fEWHUc=t2B`Qb}s z(*HAnpQiZg@4oBY{NMivP=4~izYP8x|M%N3sEP?ivV%oLoG(yH5fs5tg-|M!lui<- zOjt!JLz<}Ny>oP}_b{bd`HYwX z&~R)Jn}Q)Tz>i7SIiSeNJ-4)C}BaPOP$rdIPn`9iqMJhCiSTGDP-e?1gQ z7$K?wPQAE9F@Wson%-N?&_#?~xEZ-azQgm8CjFGbfmCWH1_Z^6$rFjkur-e%HjL_P zSRdm^bc}+7fg=S!K0G_pP%fOi&Pe6hb?4}*GtnE-Dnkn7R25JoFnn2esPb)D zXQyrZNLx{kKG9ZGraa!zQl@A_%YB4o8z@!8N zyMi%+R|MA-iIzEA^^4k(7c87c0k~O7$#>g@vLbspapgdH>WXoPan6TRAW#9KIT1gr zqBt#p3?6}`Jc05Bn$t5$o}-20DVrH!DjdXB9DH@2Fts;8PyXlr*IR&kWo?Yot%F>$ z-2A$HP*FHh)sQ=NHA}tj0vkjo5IoN zEnXrDubnui37#nErF#HDfoYOIf`Y2KLjx5UvZ*(}606aaih`Y-^+X}X!^jwhITtXDcv&dp*?BQ~{ zgrZq^^VjaTj%R|Zk@8rtm&bGDknP>bY`Xv-`Cg!V%r%*AUE7A}UCdHdy;kDnj% zthAuI(PDz9a(}kj{*Zvh)5}hrozDoFm2tXB5b&LmihS?R;Z4QCEE`VO8k|X<{Rf_$ zUDo}j--KGaKyd2i#2_P3E=CV0jln?OTF|_rO^(MiG6!Yii)N~TryY}d-DrdWOk7UJ zD^W1^S`xA(atOym3E`1ZX_ou{_jg!49ga}%8L(Mkh5GKn9*pJ)L6M};=LI#@+!4~u zjw!WK#6Xm>$mrk!R^}{;>RBtb{-1B&|L6YAFSqymf8ITy$@u-xXhi$=?&n+N z0es1vierq2%26S`Oe515;^D_{ z=)d@b)65gIB8vbQ#cn}MDUK=ig4vX&1&NQ2wX~|C=o5?@bK_w{Nq!*yCWQqUDX@ip zswW+{kMQH@@<|W%U>rP0ydN7=JN<`;jQag(JX}SRMR7f+RF-67Msb=>jIznGP7UP_ zr5l!&_0{d9gK`*Icaj%a@jZ&$j#{RlbAUhhdpUE-1UY@h6n9+&(X~7#R2Q_P zYP;k;02Kf2FWhx9$a@+QNFrp0{J|5X)|& zH{y^^LzJ;WDTe&;RVTlnuP3}F3XPOBfhI=WS%965 z@tY`6GQz$#OpQbP`0 z%!-@`lCBL_$htaBNt;9Ad))!Vx};0VM$e0Xt$sY8pTW$SZ?~w=$G9_4!(=q!0gLb} zRi|b$f(3%=u!5zR&LZ-U9UyNaRSxUfNgj?#UCu{S*(=wT0R0RG;uR}4uc6yJM{gnY zh0oJlgT^IcrHkv8MJ{LP`uf)eZ;Y?;X2XgG(H}E$O)4mM=7L96g{QnRlZo>IJh?DF zWK%l`DV)kMpl|AygH)H2G05idzSYsnTi565yF>TOYS0hAA6}nsqa&`#8oaQjnK|P+ z1BGj#92XtU5)PoDtuMlBfKbxwl@};y=%c&6T=XpTg9}`s989XFz@Z}Mp~fkbLeF>@ ztTT@^2}(Pk<;CDbhcM*=vJ(uGqO7N{E4+dhLPP}7ys}hO!@LK`B}p9ZUaW3=O?H_=Pn?w^Xhuzh1^}Nrf>M^CV8Aoym$E4Qc{bl7$(+2{9;O`h zb*@a2MWIIKM3e-jf?^iHn!}mYy)k%RKRy;9zP~keHuk5>$&|-kmNI4f^j&6;X5Hx* zJw0WLDV=i}-0DD#t6BhLwFa&!dcuK~k`QTK2)gdGv?oyv25@-BqQFg`54~-k_Mu}A z9N_$#*L28L6eAxpbolPp6qF<#;Qt; zHS&-`Dpo$A^1?YA@2M^}pm7Bd-#6mV*&Ub1G|gThD_I#WdqOBlV&#C3rACgpc^-py zuUbI66$*>F9h=ua-%K8(ZMnzv$r#U6zsab`H3NKPL%dmXghctbqFEDXebn$xoAA@A7I;@GMkuCd~!G)%?5JZo#wm^C43*!Gxp{N9@)Ok7q*NYL-av> z_GGf^3{^oNopSj{=BU7X}rHa6`Jh4rj)w>@Doxi9_|QB zb?5*Z`g91~$^%J>ze32nLX0q@e)$X{59zCCFudEQb!U6i?I1#LJA9k95E?Ff=q?JD z6k!^KN_w#rD9M=kNZbJG>Lcb;5W%1>1rsPDzJv0pdBb`e#KVy2_2+|5%iNi?%w-zM zqL~cFTDL((5Ml;wdZ;%D;yGd&nx!<5qdA*sTB6vMvzZp9brRfJlhtblk1{W7D^A(_ zJEQ}%+SUF+H4=kKiBCV=JAy@KErZHsOt9H6F0PKz5E=Y6FZ06dE?BywB2gmiZ>F70 zQ0M*Ar?tLj3boH!tQ3^-LWM$qC{dn>B)UhP{yW$03;<$yc?oI-Dl2peH5b1S#BP_C z88Ky|nBcdvCHBWttx_xMvEALpc~G?u`sY-%u16+waiMv;2#XF)DsrBP3TdJxVB3z( zE|KhLuV+E8cI?NHcDk6psa1vA=m%dJKs`7Jb>r~ov;P>P+LzepD|UEdxk+<6sNOB; zN;`9!7H5afViL6>TVV$U00OFgcL8z;RbHiAV$K!e3RV~pdcsS(*8ct7?U#olX)ENh z3zs zaUXXEa<YAR~+l10w)_#m;>vOSB??wO(HFyg}H7 zK+8s&_mQ_IN?UO&M#h2B#jWTA($o9eyxWHsrNvt#-;fB01>RpJu0gFFU9#V9PhTM; zRtIAquVeAC+gHbd6Zpx^Mk_p^K2MH6RdZ;N>iGt3_rpyH8by=hcKWNNr%nG%SyL}OoL-x+MFtElaqTAf7wGcIZJ}_lzU%o z5fb;Ow}AK~6XiXOcgKuehIj)*g8}ADGE|ie;V9*jb)3;S;EZxMt$+$-242j!!0RD$ z9`?N8{@t0C{eSA_ve3~76O*FE9cq|lFj__(dMjF}k!-V^nZ?s zSuBt*nSsIPEJk#C41svP3Ng!}r3Mz4xS3|+X6j!tXtn|lcw~ltZKRA?+Mtur&_5}@ zswla{n4PR{=nh{ZhzC9{iK5&YCw`*KcF1mVGr zH+v{T0Bnk8tydj$D~q4wHD}UA!sX&(n1z8u6u5IemyYFdFv=ot<6`2mBB`a@;KYF$ z*+vE*nW|;x0fQ>wu1`1jLa#k9Zrz>;5bJMP*WXS1s*QauFa_VBz!xx_Q4yZ%2`bu=igI)?p#G?>6?l7Xu)@x( z)A(ZQ|FzXxSs?`k-YoAK9$Il$N>p|fqOzv$042ZsG1+t>$8^k(oT>BC)y0G%C{hXZ zv@_=0VzH|<-AHzXoQVN@Ej}Zmdo9ybbg0PZ$VahhU%uRZet7(3>Kr?~azG&>(sRit z2&{yBgavMljW7+0<7rVVgz&wC$sE=WJCfLgT)Xv&@H)Tm$Slbq>Z3~uovG#^D1oAl z4hPK$o&edo5|#HvWC4{4?HJ8)jCeZ$HUYG~T3W*bT@YrNXmY^rdZ*P8&;gA&xakyS zXe|{7jF|M)^$94C8y-5u8|L__g>=H6!O!^#Wr~!sSgEF9^P4w8rU?B9jgDU!S9FQC z<9q6VOeLlCFAJWtwF50$6wiBLsj%D6sPgg)X8(p@!=1j;(FAo_wWy`J3ocP)1vlL< zeuS$)3y0W)k^?I2F`=!>ba`kqLz=^C#z=GMz@IGL0hirblbslbZn|O*o7fRRR*9?e zB-zGQVsg}uR>zf%11eVBM75rcVqQJgv(YBFObR6srQE)BS*mHhKB^y6w%Z9G2ENgACZNh8 zU0%hok*Y5?ER=S_8tZEw4;Ik_$(*3P#mVjj4-UXO09Hrofz=J(YJ?L3PVF`-CZV2L zK9!vVxTZ`H9DznH{D?RvzKz?i4A@KluX zUEOvBr|n!X`>N#6hS!h}D7P3?Ojn0h+ zUW8JDato|B>s2mvUgV~m1(j|i5#fJV&@!;wR?39oRFX|KxNlx6g<52EnzTRx(UpyZ5dCz)Rzu z-Eyao>+BksS7tW2{Pwn6^-0`qhwQeQoy@dT6{qZAV_TlaWJIxqof1GIrZRal@S+*B z`p85|!1}!iNwj;Zwn;7n;fWfRvR6pRal0LP*oB&J=e2p@?tMc=}^go0rVNa( zQDIcD{MVPOcW?d^#Nw!R9xG7I!2e#rj>XzsUNY%%CGej$%~<^JYdA|hm!gHrq5N?7 z;mwCnC<^(Z#eW0+^BbsQ;826v@S6>R6R8XC;7C-=XlPgaQ-U10aY<*wqEoi9j||J8I9GtfExm z3W^U(OIE5_2U3y~J=64OV5oVcwsCKtw(*l~+9I<*>V$`FjgMl4xKF|zfqP;VaG*!A z>=!%&uq^qJ7H9~7zQwm8&=j`xML0ENp~Z{3c%2EG?)dTtNW4(-nKvdG1`T|ZG?bc=#ib+aPsLz=i>8bIESqP;0nf(KeF_6_wP0vKQmPOOLEr2a?|sjZztn0AwCGWQxx-3`ST!8)WNmub z+0)58uvSxriTT1^UkW#A1-1$uf^{);=kV*rs z=!{hMqdO;3uvN#ECQ!$(ZNX{F1&ym6XRdFs4zFHS4iq|9`9Xq5HBU`M;(q%YCHpde zQg@sW>bjxGb2}B=n{z0XTq?Aj4dK5eoB*r_t5x?Nyl?=f3ZqG!Z#4HgqTdr)h6G6rrY6A%As5>N8ykHoiZG> zlkCWF<0UMfE?QYSEC#tLijucM+nONm<1?7OjE9&sGs02Kn<<8dz$S)+@hK$w@eh0J z!I4|5!CB$d5V{*gdVm9ka4Pvns38?~=L-pIhmWg@Qkx+kWrnJys9JhD6fWnD1i(;A zcp({$T#HatT+G#Wer_&aVC+@!4-dUK)rd96PEW3`PEn}ne04HE#|ApnN?~!*4n}oH zKXn8a4gP%qb>jUUfm$E;Z$5qXdZD5^z19LPEN>&YRWdl@R}sSs45>RL>+&bCjbCq3 zxat{&WMvYUrc>u`zAGiA(AtbX7ZQh_0a6u7GR1^KPoX~n^C*oxC1$f)Em8xbGo~lV z_MHl}v8p^eB8s-fwT3Cdqx8rWlm>N%)dP0d3u}%D2Oa}J-|Gka|83UaO`Gv(b?OW& zL|@dy9!_iQCzkRfFJ~_Y_pnn)kYba z(&QYXq~y3;tdx5GD+>o9-vA^-`HUt$N>>>~0I>q67n6#clT&CA)nMg(9|kM*O}Z-Y zA&aPF%Vz)#Oc8a(Gpreqk`=L*6?>^d=JAZkTLKIBDrH1jaF3)+*V+2&_+ka3ix>he zOLMvVyC1~h?+zoCS8?tT=jchz9ud24i=4$}^za0tWsDQN=;{QLTO=o4*;31Tkb(#H z2Z%1;TeWL}eb{+~0^mTX-SRZ^$n?xm!VTJ&2XKN`tlF2S#1z_B=!G0qA(T@TkF7$K zKtf(RptxQrLdD0r*>hm}-TFV21U#*{S*ab%*>E?lMA$5{XWEp(eU(-!^W^iSQL+6{ ztSCAL^)vaH9>w5PaU?x+c@n0PZh_k_C!)s~TbCP*(KlAPYJXE7yAvmda&*GdJV(Bn zg*pQ5K-xUy=mR`3aA)Ej%95#Fb*hXQr6|}VHUkH{3%odx-vYw$EEOZ^t1HcOg5DA} zw^@3dCAfnuU7wz;7;*x0BE)&XWRQ1na0uSrzei=B{hlt9(KYx(pT`7)k9L*CxU`Jr zM!L%$PyrebSQ{v1=$K>e+wjwSw&7RwY{S^`9N(=!eGHc{Ci=!=I1a_O8ib<}EOr$m zXqN5?;7Q-~4}H-vQ6``R>iv&tKmCdUwFcHSudG$bb8p zwZa6*_Q>4s`iQU3VI8Ay=#KmJFVq}+dl%eupZ*2*xPqXe3uVu!e@bVrrz1z`YKi2K z5Kru%q;KZ@fJQ@~Cn0jY^rQuLmtHJ+T`~rNv^#lmj>zg4X;myZ&oUO)@~H;QSfWcv z8%G9-u|aBxk{r~C@yxqYd2xDBDU<q}Kxzq0QyYCo z!^60lb#F?Rx^Iduv?-@~ea9XQMF6;J6-dkyri*rIx1aApXFuP4zPo>O_vPTrzdk(w z@a3OUEcMF=bkY9yd+WA6`2L^wU%%&kq+@>n?cm=q_1Rx2S#93!mpAYJ*We%DemlSo z2H)bBZ1~gXyIsM6x>2P+ffR{zXHgp%>V;#edK@CFT5e`(; zi_R3jx7ow5w=gYWb@frHsZrhzS_lbEuNA%PDYWP}ixU#PiVprjOzaA99(WO8A5&8e zT2b6pgOzlqR^H;ILw<-kbp!NfdQkCH7kqiPhr}N ztJ5XSC3vkw-g&(bN+zsmx7tS>aJ{4RIU!0lQO`FgFIbs!g*vg4|6IN7cj^wxt>#yk zbka=^ZlC}3{LS;X&+nf9{A}PC2e;1$KRqA3ffwxg;O_YV&902OQ8ftqubRlpMq)UY zYEeNr@B^7y5W2tQYIQlP&qxwE7nR(7+hEA6^(m{7wLq>?6RwT;yI05M)`&%1ZBG_x zf_FgdEMg6r9z3wCj_g?NS*R2zW{7@LH~SIt(vyUl`RVKVk2@d@ackzH7SfIDAuTBa zo%cOsxfe4c~iF z!-7O#xeRS(>$Pq@EYj;8ir-@)y4@E?J=v6c-8W5OR@%5mdNT@`gP$&{+5|kNm z9kyu=QaJL1e2TuK9ITMGWKQJSM*olQO6flfCk$+i0sg2(Ytf(fV5R%lm;9J2U~oLimoZi;Y`p6$_phv# zOu);luY&GdI3zlKzL4iu?f+%PhSbZHe@fo75X)M-^3yCFi=Oeyspy-jhk_L3F%;`Z z?-?=1#iHwmP0lTm`{%meG5=z2S+QpDiE7C2+KvXfA&bnaY&M zt8vMc$7_1Y6m3J`rUoqxaW%QuD>;3=bM*LihCP+}v0L~YyM>y=x`kq;%CDPCHCdCh zrxta#<=y$RFXR~pJ&{x@_4x^B(UitXF3Gh#7ZJY#i;&aiQa&4VrdF9DU#!L#5XH2U zASfzI@n|Teb&-DwHm9Dn{Pc#;7zi4QhrnAX9o*e*l>u+yV9)+{`Q3Dcip7{|o>TRg zMsKLtEJ*AJJ2d=J1GNUvs~-6@1|1o%DuQ)A0KDpQezM(x^3~a?wM2B6V1EWaUKv#a zNTs^=0NX$-K{wW(0pEk}uxtUXAGjq)3A}Fuv^1~@z$viw%l`6;Q9tm5{~~w;?K|mE z|NQLv0DdawzoTse_yn#zqgtPTaR2x9_F~P#qMtGN-yv6@izB)Ed?#`NWb$HJmSp`} zs%yLcL0O6yNvzHYROH4bHYzjWp@&+48$sLV^}$zG_h!&mf29WD2@@8h8EH5wiLg7G zH900L2o{onIUiAkAnQ2**D%4=b{M_rYjuvi0l8&X04h~g@&P3RwM{$O7*o`2^aje; zkl;O4SAJ)i7&r&v@qMH|iOgaP<)>$%ZR{VIh0ugd3)-{|f=2>K8encup?qj>#Y8KGjv}`M zQ849AZilJ{xJO73x9r;T8k1}jLs(kX5?x@oQ0|w*dz=rBzz6Y#7V|t(ureAA;Q%@^ zGQC)MYlg`fA}PD$_TVe4>a(x}JT8BJ_9yxK*?%;sEaChsm5W^g9-`nR47-AD5^beDtMtjS2w-(+&oPJhf(7d#42Hi? z5$NRqAPRmTZ2-^0|G?yhIl)tq#w<{Fg=&A0 zw*+b(pS(CO4;<#`)_Qd~I$P-Qwd2j%52u5}iQNfd=<{>9lh&&j zsk_N3C><2?%!AL>X{68WjW@`(KvNL@%VQXem9fAvQtRo?(g9QLoK}QONuFFn1HE&U z5^R`m4do#sTB=-Y6&Jvi#FP`$Exxlp%*H*Z@**Mq9k5Lnj z5uCW`F`{y&s|+9%eHLU2G~*|z`k7FbX}M&uSKH0}1buSliN#TT4wNPz7(c}VPt5k} zkUui*uFeE`?1%xIc=@Xk2{e4%t}p+T$z<{e_Q@*P_(zsM0avX@&oEk+1m{ zZlFpH;7b_pYICzruQA*Sh3iO!>sSjw@;Rh_?&oyDOx7ff#Wxjy$wrd5-7ABE<+^-&k_&& zw1nEkU7}xa1sDGAWsYYdTcJdO@Xo^H;kw)y+CXQNk00<>^jf8OD|gQ~4hjeyLfrEq z7b(XI3`3V1QoDvtJlNVE3UD{8{=*kTFk4Zf(@v%jziJxOeDo2lLD@8+sd>U z(Q{~rsZCDIW<3+VlQ4kAp8sO?(323Yuxwk11SMs;jJE15u#gplSQ*l9i&_+$$U=)E zASg)q7;GCZTVnP|Wz^@`r|Zwp(6LnvM$L}t6BsB&r1GK%&KKxB3f~mQN2@Sb4C?cg z+ErJZ7{d%N5>*xS9%9Bf`1fjYae+R0EHG-)+&rJ7%@l4q-}VN80BvKIli0{nDmjUb zLYlD_Q|bD8Ix{K}wEx;0%4fa|}M}w8Z$M2}~FV{36J0 zA#lF-9{w`~hs+&;7MMIc9c5$-g(f*)?u`tr&&UN@{AdP08AyiI$MXwk(Dp~z7Vxd) zurat-@Y>3Fq?$Hv*1V#H5KcjDu(Ao&X}10#Xa!Vg4M3@<=5%Hb=O_WwIYMawb+H`g z9t}+Q@85lSFaxC>hEV1dSvqFFTepj#$2bsRK16NlI?}L(^+bDu$5=F7hag&$HpqhN zusmEkvb_Ox%UibckxDt7X?cg;cDa{g zn^8*gl;mDw5$&;(h!zsj>?qSFq1`T!s1!`naMG;I$X+HSFD387f7bhzkt%z4yL6m5 zkIGqBXziA>u1P@B1tVpS7zA{vWAuOMUXw4xZj~dlbi9~EG;kO~+D&@d-lc<6=5>f( zvyBhETZ;GrKv_Uf!@Y9_o$vZ;gIXKF-FUT+G4uo!5kXukwbK&;poTg;nOgY8{Fp%M zy20t2A>Gon(ybP%&z1L*tx*)t#%r8!oImH%Ig%+Kuy>bQxWlY04!~ODQ%7%$iW+$h z*bR$H;r;O)on}6V*3Ac>HgD5nD3DwF-GZ|zyOw)m(jhR;v=A=pMs9Z~(#kqGNYl#* zT-S_>dte1{FbZFF+r@%wP6=eW<&dt3a8c-c!f5OA18t4TNI~aj5Vk=a2T~6s!zXRU zmhQRa8#8CSV`yp|H*oEM(XGv@u-M zepgQAHU9&0Ndu{Y0h&Ch(!Oqo!aPQb{dhLGMVG;!@ZX!10PuC{Phkc&KL+e#Y3Yol z+ElkZvR-#)(*2aMlgrhmCra$&?FNRu$}M|3IW+)r z3GTli2@tC+@|9Y15%GaAc5g!>U;F07mo zEM{QQS#@%7NcEU6hQ;-gRp-N6e+A!TWuAoLI+mK}svJ^Eh~`v4jH9VITVo~^)jvsZ z5_~aM_V^II{d&;0-+(Ou;v-it=P%YmC(K+w-Z8o!sD&s29wc#4b6eVr7OPZ}j=`pm+` zSfS@EL|?Gf0k6hM>yM>4Q)D%jK{n$-hj0aZ!wSh%HsX|ah$+feRBlPunpI^RnHNp4L~x^_1l}o0=#}VIJS?~-2Q44- zm8{HAR!$b81#c2%VJTVgN3+2Z@GQ1a`b@eLu+?a}1=cEv)(}GEanIQSxHeWw^vA{U za4sKXhip*Z012WWzdj%uBhmZs{>qF|T!<0AMcaC;GwNLF zwc;QrL%|~-u<+4haGb#$A%c@L)KR>#ozeIx8KSSfx4u>J$>Q77>;{Bu!+3Sf8o#i|NplP zz^Q`|?UB>D8Y5wFy<;8ds51`Z08pLz^_AoLjZj_90dt7f1L_o(K0dP^8sNX5 z>adGBs;oz+iqU`2*Uj?4Ne>og1e=sqw3@`|V5 zFl|W_h&6i&y+eKnF5veN{A(wWTN08K+nF9=i=j`z6{v`g(1>7!WKmRq5nMWo7+LP- z3aLk$#fiEw{u`_iy9OM){WfQQr*+V%cd}{goosN~YbQ`#WURIs*7>tscmCA*lyE0{ z_t&p^H~dgFHGgvW!_R!6KyThI3~90|QCoI)asRy${GzjEu)T}x6>Gbk!97g`t%hP>ZO0xik#6L6hWF@^B9JzaxTGC6S>I$C_C0wmdf_w7}^0_LZ<>bTniy*MvSnuca~rV6#c{ z2G$%<`GGxvosH@axtoW1m9w$buXMsxR#9u@9!K=h(C#a9`7|7-6jqQ553O1n#Pgf> z=O+P4_IS47ovw`fLDh3g;gy}!^m6r>W)t+3x@v&5DXV+Dd>Pb&{9>*WWMkQB^Qzrq zgQ0FyZt7N?EXhQD!^j;2SC7;dX>{yt87t26-!6NDvq?FkgrtBL$<-yr5edtT6YT5J zLJn05u@m3}8uA1PP7i4yo)~RIm20}CDJ#>&n`A4?A^jTN;4Mm0qD4FKxe$H$&LJZV zzAN)4=obPmf_~v>zj7eooz4e4X6U6Fe8IDNadkP?x+ls4P^0qLcp^R9rq-}X(UyM<7S7YP8?w06L>1IqD!|aqoR$+k4 zrO^sg(^}tntNR`tI^{sVD2rh+p1Qb?I`{+AQI8@7fyehic+WFsv+~FD!4Wv4)2wCj zq~%PNgr>#|0tF>OlbJ-#eQ_i!8U>QkzHIO4Ci>q@f0a0Ei1LW~s?iE%e~2dy3S)w6 ziTVcfrDeV!s>>}ct-&p(JQZJDHlW11-(UUUIoJ)r9H=6Ae!V&_w`+EUY2cb{4%8sbmY5w_>n$@bm5aFL$|zHqg*h#r8$Z z4;`Cwv_r;@@;l0lD1&l11VR*T(h&C^DFnR6YF*vzuAs;S0hHV{ouMyi zCvnDS8B6eM>@n+9Pm>I8G_h50B0Y)L9gIEN2J%m6poe1jm?uR9-n{?#<>^ub)mgN( zkSF!Ge(xPepHA2M8&VP;D-$bsc`{w=Z;hpEiMWYXlCEwHt759;S?H>ermQM>;72wi zA`66iMh=8FDIlhxI$BMnS6hbxnc`qd@2e0#vGQ)6VL60ZRZwRnrx!SWRv8o&4Lx}K z!+i)+Fi;`SC2<2eJ3RJLQp5jTO4%?7om<`ol{4A~+BO``tCI~d5Cee)T7^iINue{y zxQM*~bak&FY2)$+%4HFsrV|nm68LPC)JWfAe^giP>19ha`yxoEH3*z9)(7=0WIQCs zgCIZ9T}(F4_6-0fBrEUVef;T*py80}1g#zl^%={caHi&zx!GNViV@|GcVMK3fq2wv z#c!##mR+x_f$1mZ6&y6fpxf0Ng>V=wz>ellVHsf!XL7wuWNo90|BF{Z%6Y+^2(U^< zWpnrm#n%N2n4+-=n5QT|800VO;eN43bi{Pzj?7a<3GRN@9GCSvl!V(LIpAk0qX4db zL>Lj%yOux#U!x#33UdH-eJS@ET}QpEEi{FocXbAG6=PnGQ(Xcw234?|gWsS3>)GIU z1a}AjGPUwBXyi3&T?*_!jR|UKFqr1up~`+&CUHv=clszdxySypXt0xIO^m4^Nb&4} zvj1|tThmF8&7p1R8cGal+n8P;1*8+fB!srdvzsswsDo;fg?^t@q7e8(z9PdMG9CQ> z67_>#L^4z-WP&})=LtbR77Qw|w6#zX7NWd^+}6o2dSPE*Ydmrkl%qMaxDiBjOP@!B z59pWWKnApoW^v#+X(B(^Cg%s0qZ5?@cVJ}3pCgU&uo0IPMnE*oSaVFIV2Kbp2lx*E zxT^+_)-e(fh0V~1$CkX9K=zb>76RZS#c>-|kn(@gMt9QtZqbFuSxIQ`K zse2%f#~58IQ$XA3sKz3jsq&2q9TG34133QrjrsU3Q~^u!k&w~n@eFaJw{L^@xH)n| zrtlu)q12h^_>{}-Vh7`|gHJ)pa0mmpJvDC<2~y{0#|6hEW^w@2ZSvM=>ZS zeXr@Fl$bV(RJX**MsSpP-n`(${POA=!D8q|;$-R_@@K0|kY{s~$4r0fS!o5`+OVFi z7*uITec3zh=;`zw%!y=!oI8?DbV9p8R~G8j)tM%*;>whyZYL#Mg+ZTOz%@&8;)wPs z`4j%feh)ZcXyP`MSngCz2*UDInUcocU{Hef7Fxt&B@~8JY{gIKa+m}ERYsPOPF9jN4Fv~k9KF5;Su1Qu~CmFk2|iF zF!Bf5bd1ragI{k@c1gG#0*aO;D(odgiDg>S5YrCY&S`1 zu?Ob03Ym|i%8)>NX;f^FEK0s|=VE--Vu(P%@ZAdv?X5sR)hjNNI10a}8~*ZrPC{UP z?%HO8<_=xHw*$FeU0Bu%JS>dx8qK@Do$%+%sIJ5@3hixAZnU$9bc;46F2#7HXDL{y z@{&+~QS5m)e!S=vCZMo9HCNXaTvbnvD&Fg{1RxAP>f^%rqaZhoO9GJV0MMcne~Leu z+8|&&v_7cn?26C>!DbqIKm|FB!{7qcHTVVTB@6;v(m-CIJENO>=2~`P9mj>pqez&g zrYvBaE)k0Br9jAs6Qg-Jidc~tFw3vzH$&U(K0(yAmoGVEkIq^FqB^i&hK zgnU#M-~pG;NBk!+;p}RK{pRERjvSy1)W{a`F^FBl`%>s`I^xe<8JEX4`QJsAQ~W20 z@+{Ch4uM|0nVukUI%NU~ZGsK54dx0RG34K5|;ws(%6UMjmD;Vuk@Mj(%pOOw_~ zg1aQR%)51x4BJrgbT4)*`oe?Ri+%#45I0l2oLNZ{)*R1Jsv@ZnftGNLm*q~;$^<sxAEI2vsfK1mG-O(a)tf`kswo*v zmlu_{ucSx2YzWm79F3M3WUjFFi?Vcn?MVvVf4^rl^>$F;`1hz4eE;P^%A>oPVn%S_ zgUFdn6edZyvRlUiIW*f<-i#NRv_2J{HjxS=MzfTf?*j-SOorZE5je`ZPyh& z?I{W&Et>Gl*gd;rEX{`; zeL58odgOtFYz_v-BXfMI`tBwx#{CZ_`ua6bA@*DK_~DTxMG}QFN=lHI0KOaW&2R*> zFOwTf`0qxl9jI3&ynPWZLoJ=WeTG;yOG%|g!T$A`Jm_|NeTf(=e)n#^pT0gwkLIuU z3|`&6rHhEyP}<2apg5UC1n7UrO^7gJx_KK-?QT77Myy($|Baa7|9LU!95 z^enG3jW{ej0uC6~EuJEjVS_pW%%XMr$PrQIAd#9)QliAp7P<28m*B;giEZ`M?2>$4 z#eYN358(HGBTiS9aeIN#cfxHe{-oic;F~0{FsEwAH$y!U2A}t%JT@)@w7Y7q5UPNc zZ#C}SDvV~cYX;--sjHctuc`LAC#8ZALe$7tTqS?vT%l$=MlEo-ae-aDeUHN82k*f) zp5H&eeUGx(2ZPt2_dmUR_@Y+_2lvkg-wl9>mQgp-8|aXFTtJAdTr)-udmau;Zc-h8 zce$cHwBNXgh5XW)t@(tsc35%>tK%wRlP?HlhNPY3!#y+f;|cHpiZ=0pXitKj-6C*w zxf@tug;^aM9pjIRaXZpRbA|K?TYd8uGv@^4m4ISEU+RkseZ_bS7ycIRyrld()qia?5WqCD!QMNt)Ti& z2R#99L@6TI1!49j5$^mQR`(P)N=<}tLSoQJIVeovWEe4+vjj#LA`{j=?OXqqW`oftu&pX z>);O4!%ixNI7|;+Z^#{{ha<=R7G@9K-9R2Dt6!g>UrugL!=By@{LW;%;9XXw2)a`mHJl0k>!_f!8g)js1rpavH<)nVV|-bu30o%bPkC)x)P$nlPqX?J)SAlyAX{lvR$9>UJESX= z@Bq$>90G_Dl|&}f`b#g2#h}s?BP)-8F7}?=NW%EG1loh4=Mee?-^HG3x`!St)qZTpXG{1(@xCar z(ZI1u#XG2NQLjb!KsAw@Sb-&)$K)`^f`;Y_LLr;LfFR9N$`Na-km$N>SbLLzEi{!^ zCoJ^f%DB}C=#I-Yy&t5rTwRq1kiI<0H+Avm)kO1qzuZ**ls zd!3~#wcj)4@$+8NWzeGO?Er?kH$8}5CF#L@!hZ?PQ@odXI)bDpFJr<#deJGP@0li# z>#n3)K19qe%N$98E0UZ-18p^f@*anJW-NloqEa3s##b9nncF@8T-bGItMp647q!1Z zhlCu6p5zO~3Cj1VP0`nh4CSQwF7O%7%nWQSwz;@YBQxv_`4OspRut@(~;^{%rN}@(nt(qozd8=?mg;E5-BO>&??#B~YX6!^C~)Q(R{?6nwH3=_RF2U~3pg^*U|EDT z8HBay8sh?L)R>fKm3PA9R!1w3Tc2l-3)kL~6<)#n8BZJDG?&ffrT@%5zh0W*biIK^ zMb`q~gls7t@lC3Y%l=3N(pfdaXFGZ$yTBIdLTP8aoph>?Q0r+Yt_)>b4=%gb!*@5i zd<77|MWXMNLrS1I??abJRuaMU)vx=zc1Pt+`4zFq$1BixI2Y+<{buF!$Ex|A0=0|( zM)CzHXACyvR??!8TBH*gNipn@UdwHybb7G+4>M=*fYm;^E5VL|GS}S_*fI2k@ukdv z^-8f0GgFSlWWeUMq^~gxvPMfWy_PRRIz4fI z;%7R zC#!@RRmLjoRGXzMWhZCkc7e)2zZ#v1xm*mX2K)WRC5%Br za_!SWr5`(zC~ity7pt}fLrD%~I^bulJ1l3+IYhTWm2ilW7ck8^#0ZJ^^5)QA=72qJ zz)cA3GDz@xrA``8JVpyP{3`1YD#6x;%+q3qJktL5;qLc$58B%9j`YE`&x!yYdwR2ski!S;D3pU&Jbgb+3%-S}Hr0ikKO?-*JAK zmff8uR82yoaKh@q!dZ&jTz=D&TOCTzZf61=lzvkwq@6d?Er;|?qP5k*y=h!3k(i}< z(}>e7A%1>^YVXUF7`h--AZOJUCNZW~&S&s&*a$q^?hG!%l?@Xk6GKuD75>2gO+bhkhMJDho#tr@;2t3Kg6oa}MkYgYtCXqa^_$-;r16$3(vejxP7OGC}quh(lt z_swH52WdF7=A_iG!7xMrn15|`hI~04ZP#rJqe9mt6Cn#}9}pHMZa^~=tfRO$-n_rN z{S2@7Cmu!q$h_bFw>iAwA0ivE4U>)}L&~1Olq;i3kKAkrILAF^@ez|Xv6pcguS@fAw>47$dXl{Fnma`{_5}dr^=J$>GW>m&yD>|?T z+QL+wx&wC3;b(U64Si|O{lqVj4pdZOYCPE#K0@YpkG)L7eUo*Hqm`T2=jk^D&#=|z zW5Dp5DGlZ~Y@-LDg$IE2;3nzv#w6u1Y=63mziak@JmYHK7kNdo>FO_HJrCoef!-SD`>1p^m|X!L>2 zq(e?PT#IsoP>yr5q)Z@a5lu4yJ24HZGvqg|OptqmKE#<4(md3)f-%Hwg`cp2AS%rG z4;gSpI_Y1W4eAx&gTz-MXQq5T7xTHx-~wIYY^o&TPqUT`p0fa>t9nxsW%@ ze&eJHJ;V>s-2W4|fw?~q*-kG8=XRf_8bH#mpfZ*`+ zzCBCs9NR(VJ%++%-?GkUP>3Tmdzn6G*$a)t@|4eo zlc3$rgsPivDdxi;)VP)1IQZACrL zyk2}xbLk{~x$e#|+pHT^JB)}oDmPfiG=bz$Zzkr2uW&f7QHqaYT;RM0)kss$Y6(Yi zBqN^bAjQqyTBsZXuXNu8jl}~E|_zmXO=K3kvQTCf;F~7TueA*YzYBJ z3G4a=cY}xZ>eJ+wq8cDk-y$E&ML1KPk;<9s&e1dVaQER8nlM2)t>CC$ooJdYu=2v+ zBowm2E5PSDQxS|4Z>s(B7{7aRpS-YozsShU5$2{0gtcqifoRovYvpkK{{7JmeY3#mD6{drSIT0 zfKUh|YetiZ7|Hna+xtwMhSg}a0)PVF!Ag5RAtuE`{KN4ru%Ci|fJnmk|D4rV-S-TKz|Y$?P5hd0@iNlaws!CFd2-&d{fr~zB&x3BFq>Xv9L6gw`ue`OCrg-+xc$D;0Qd@9#f8z>$5RgZrPq z-lN{}$9we1d^Ly9{KZZ{_fjMr8g<%xnupKhTcHW#Fr0qf!JbJ%l}>z#9HZWl7vb-p zq>G=aHhvs~q7A_z9uv{TrDmb^tu5A+37hC7iZykMS@qYm`8k@l1S7vYs1G3csj1XsuOW2#_A2oDNLcP_c=SPsYvsztsuTYhP_eCqfIGctD+e=-i!t-T^#R9wD6 zwj!CrPjfi#>7a*WI*PhPW1^Ctg`L_EjWLNV{(fl>&wqfd4a~o9LPZAjPUQ-THo>i2 zM!jJ;O@Udo=19L~vG z7_exnoFkiCQPdg~!XvMpq|PXYmrym3*E6)aY+N4B!Gx~j(XB-qG!HLEniJo85Bsol zsZOXOd8O`#DVCI!>yxn2pwDcevca7xTpenW_gRB2_yM6gCh$Q;%Mce%U*jW#{^YeO zvJ>Pn0Y0Qs8Yle}9^Swi`ljes>S8TMO_Jy0GHztH4A}bM=qSyTvS`#yyDAKSr#ls! zIs>D>VdYc*orO=y>1U(CtW_-LVmM9Z+LYCGQ`vQO;}F2VueTRw9uR@-2Rj5*gCvua z9m-N?N@m-Jj*ui;r*<_jf|}DE(Zz8F$&(dNDdH)?)72@=?4a?^K;iK!#}Rn5+EOS& z_2L4x986Md)LJxsUZmMM^trITiL!5l`s~-|;2+53f(!ZAkMG_hjswE9{24IkBuiE+ z}#c@ZpT%q~ZO7TRBvfNqVgC@S_{}-};dC z7(^~`e?=dRub&t{CZL}792TF|1-J)+Ar#juk6zBu=XSyk)fIEX4L#g)!kr*jMkX?= zfce-ncG>BBcbNd4oX?*sHbA$A=P#~Tp1+)-&rb$IL1h_u7IRV;4i6@c8EJ^P-<$zO z5hydeu0&}xj({VL9|XGlQa!NEF$|c2^t5X+PE&1Mc%nu@i7zh-OuMhojFR4Ya+f`v zJg9z3pe#z`0fC~S*D_!}Ktif>=N3R;VmZuw#ckl~5%(9|XVid#o-&iqFxd;ef+%+& z2c%sPqW8^=(h?@g`qjnh{OaU%eem$FPj`sTN+S^d{tPUZ0a`Q#kqE8(DR|HU;lqx9 zh8R-yBbrvI_y|+z=4|}}44AdC=C8J>j#-qxnqU3?;qITev-L#I%42ho*7NkFQOijX z12lOFZQwtFCbO#*ipIdlOt z?o>6Rd>O}zMw#X~J`Bgy!UD!0*XO{}Ca0lbpE9hE7llda)eNO+PAl9{98Eb;3X@`7 zS6k0y4Gd1)O*B46iBVdEf+92w>qE$Y(Tp5u0;eP!5rF*N^iZ_6AQl-Wsa|%4raLHy zj{bL3x=j2@G3sc>w%vZv?&CvC=%)0`0SKnoT-YSLQ(6!T+~{ ze!>FB$%dki{RZ{d(EW;M9v1EHx7$x>EBtlTGJ+ei6v^+L(oB;JIvc18)HlS@OFvhi z`;49yPF9bv$E%p2=ZlQh+uRq_1G}mA*=oDz56LCK|L~~DZuqeO<>S}S z8qXMW!+ygXh}K=vJJ1IB_hLQ#U#ktK!gTIT=$e^f99(n1GSa|l^5+y(f5tKZ1Myz zQ%?#j{vn8EMwyFk1a(kSDm+eoxbjdv6MZWn-z5*2wI%pp@Ikv9s+_Uqr$Y9RR^I zl{jE$!x4_J^FrBj#_y71oa_|pHB>2adBgw1*qboNktFAW{3-Q%&19ek-Bwu#s_93epDdHA5+a~tG?D%cTM6ZiIaDl50roGoO=pT@Cqu%0yB4kA_FB^$VpvP9*2;dx zc+H}<2jr^aS1LpK0$6HFOw6$pPT4Qc*9Itgd`Vb`bLKmSQw*Cwn2UUlG|Jbu(Dr5F z8W!c3&J2i!q6v=HNE<@g1$Wn*z`gzgW=!Ta2E%Bk0#lRcLREnVWd-z0PzpuOVy%TO zUjy-~@C9Y*w$9o`E51#jor|>;FN@^gIY$>z9%2t^qR6c*9zt8|!t0%2h{6-vpxuPX zL_C5$y@YPO5>vCt4Mn$N}TWhU{mn_WW*@;==?13 z@pRX$933P#GEa{GwI}NA6n5tpohNTTJ>1-G-+lT|*BTVkkR`Ji_4tT>9qYRf06u;i zc#K7h$YX9Ol%IG3WRM^uNP$Q~XuqqvMlobB8{@A*-aFwlCQIVVRjhQB4*`|+5lI7> ze#@_$i6Y1GZ7)67YlHf)Ws`)%=6cH0B9$1HFiJ6XXXF_<& zX^oj3+Q*@=d`L9=Rcy_nQE>av8Af_51WJFtC`hns{%f!1n33WptG~5_YFBT`N zNycKp%$_@Z1>7rqU-fC>@mHsT2#V_!LMUe_G4M5=*CXg**mp&|=wULKxhfOr>cmgD zj_#Gc6tGxcb(^{M2_cZwoYk$+FCRXepUqGW#$3K{&QTr&rOlB$apt&Cxb@xD4`7

    qoPhOKxGWzEcKGnRGPvj5E8m(SmzJZyb>gZexz^-6&8+8sB|hCE>*PGv=7PnWPJA9{d*L{$ZMK$n;L7 zKus4X^2dZtxl~(~M1EzM5u9Ouy1aCWWQi|EX~i5N!jdNeSr>%V=45)^3A+6$xmkLY zFL7E|SMIW)M0S5tOe+iTAEQ);U#t>b6R{&{>M}vjBN3ZPOFXR5y}&ntS%+TDn!h_5 z4jNS}WNF-0--Q=`D0ty6vekfKmJ=J))UNs(w8vx(m>&YSWfR?U$BWR-BIMNy8!R=m ze6+?}gK)&zKz6SF!>>geR96kf8Eew_&hfxkn;ZF{xWRWS{4SC8sb2P8#QKf9uxUMwge{QyiX=>v~!_hsWERgEIr>Hs zdnUu_6*AMG!t4QzH)kooFDcm%>s2|H*r_ifTIA2cs|L z&Av#fL<)ut?n^dkVM%2di#18dOtfpRod{Z#Ym(Rp#w$_2gEsYWAQONN|G8@<5yZm8 zlYDUa^{ae7pYjZ|FD8==s`fr)T!-vWt$vxMq@>P}{z#1ty7ZwvTkS3L^dz4HnV4+C zfxrWJiGtDzd@Qqfic8xq=ri$8spf(5s30OLqe|AU?J}rEgWdj(wzY(blo52ym3KXJxo*RVbW8mpks8nQDOKYMw;IG-+7blrNDl#fH<=Y*ck2w62D>j+`4HV$Ah zs$aQvy9Qo|Zx6m7eh(y>elzYL3=qt`-$9#w*uCie9TgV;vitbc?%liHyYCLZ`|1An z?(MHV0=7I5`DHLV8V$$)@okY0gGls==&C2H%ZtmaRys^M?#hjs6_%nSvt)4-tDnMV zaXp7b^}+C@A{&TL8nUm$FMJlUf3C;18?{2+Lr^!sl5X|((0FE4+6 z`Tphqc+tB>7p|Z1-&_3m4*%`&-_Q8(7yS1t{(F!A{)e!6oN)NxDFQ0`J#xSXVCb$W z3jPMmMlW71whmcMZhF3WHQCC!C)(iOK9 znR`aM$DrZBv0Eb*M%|vnqoT6J&3Y9k2^%!cOmwO!X*3x@rDuk3L50olwYq_ncFjgL zk~OFm+@g!NMo_x}t+z#qnAz?qjs@};QvHQGqh-eEd~r~$Qdks8Qvuc3N3>e>5TsM& zPIjio(RUIaxK$R4w+Fetf=J^6|asCIB#lwjvJr2jTY`U$_h8tOHShnm7B*2P57a} z^X7B7P1>Br+=u9MJ_lS5F}bB~45@dpf5TOOzV?{hsLE1NbbYp%pI%Q8hY%%+w%Th3lf#10P14br8Mc*5Kj#_&v07>a+4 z24wOG-Jg>C+hNo%&SRtHkZuJ|-S7rI&*bOG{8_^r_iPO(;o1ZpBXAF(#VeSmHSyvR zrweb1@Yt)sZN&D;hAQl)GKnvd(Fcz)cwx>$HRfDIm`)(8*$jOs{ z9UroShzG{K1qcsl$pN%s$Mkx4piG;hehCu+jsMNWd+I^`udq- zr{^cQ6B{()O~}DtjwETC$}x=;L`;709z^}Xgscfc!4@$+NEBf~5Gcsq6dkiYpzCX< z@qVkL6H^rS4}Esa=Xo|s9B_KCeom;K9y_?Kb(;}f5IaM??6#5UAOj}}7faWO zPRD^F0Lek1Dh#jb8Zcl9JLh(l!R@wwNgoZ~AB`?SPD=3yFO& z5T{*$>0oWX{qp_sa^1>5!HUz@>X;>O#Es%OIppTX9NvLDR7Wd3qCQVK1&%rRj*vdH6M6&> zd;b>5+w{^Tl}TrdV}ibL&!DL=2yq3B&!b)l0`nOaR~i-GHE%Hszv-&S8R>8r`(<(G z7A%HwbJ4!Alk+J^1K~5E!Fsv-un+iCAu?2A5&RMX@7Xl0RBNQNO6@tiLVozCeaTx# zsshv2_3&rc^247FX$;a;8frUStw1-Hw8s}zKnYO-3n2D68-8~T_`24Hg^rBbq77*^ zA#CK{+dLs`1lSHu2%~PJ0%UfHy2toySmDkYm6h(Et1GVRvWhL}aX4VY-pk9%Kb&YH z{h>$*%%etvI^wI<{-msx(gBl+!$Bn%bY~0rnEYSn2A;^mH}q1Vw1!%G4d<`O*acc| z(p{t-llh2XBA{&QmrVV=CbQ;pREPXQYZK(_8S^~6D#(RFAvlh0!z)T&%hGb!_o|-!b+_zSc)lI!Rt%i;_5~o+7U7=2Tfj?Q9{~N z{GlN`#$75>*Y~?NqUBaOBQxm0 zXpvXJyOm(Fbu$q+zbLDhxfB(4A8;5Iljy+F22fQmr-?V$?O zt4!kKrcpF6rBszSCOVITGRy;CL->VzI?;}z7K<7&Xvh%RLpjDCRuMo5bygxg>;x18 z>|jjtDJ1?nle8m(Kd~>tfn6E36YAC1=(mAHtPG6^k;Hf{7nlmvODEW4$OgrY$ zN#xPQtV!j_^6QNAF##Z}d`G>(owkUYjJlmq+RWqJH z`%2oyfTAoM4uUg8N-l?#jHUY)m}NxqYZl)%`|~+>{(7w0E%^CYTT=Xb5r6$5{`%Kx z{QV;N{fBAv|KiDnyEu-S9zmu)1pz!m6bDf^9L5z`bjN`6$M&%BM@bYJpzrA*Ans)W zu`58h&8I)gx1(cdVu2X&BTT7d&$?liL~u5QrJVyUE3Gu?n4xRT#}o~OmZPESw9&SN zg~uutLyVWH_}6k@_N zLgWR8U7w(@R8un{3EW3g#&!9&s85N*EO3~;=lPkdCY_|&u5EE)wl+pMUioB zq+GasQoK1fi~zP8?gOD#IPMVMXu0{kvo-`xFpPArf z(J@V4v^(hl6*CA3S27+)f*}F@Nw;&Dl$C_}QEH9^@5g1bCARTQQy0unL&qd}&PY05 z!6JqQNXgvBwrw}#^};P zmwD}Rx$nM!poNMz{lG5Xn5tnxE{dRi0 z`vw2hXy#XD=i#@d{U*7zrhw?J5e>I7z+c*L>oF~1?1~sRK$a>Ul{9@uRvL_~l)cT{ zaF~ZY%n+0iTWN*CcKK#WwWD>>8BlA8u{xTA;`s=6DKgeIPL=kk4-SCL%|XXObOMmrQ{z#!ckpj=WA8wAxjDa)1EAGqkwzHj$(a^4p?o~> zRcRE%R|LBY1fSN{h$CgYW%2p;-KQ_`_``aTQgr>x*C@7alZP6#EaSnJwb3oZST6e} zlrJvTbY>EzUdbLTB3sCzW{R=fGh~`ABD*~&$3ypUbyF)*@oZ{@!7y&8$4o$AEoHj| zSB*JOTLZZ<*!o~#10EBGSXOTo{A+6dqqBTxz}GE+F@0p1!;Z!z4+fRSm`ID7HX zEbhxXIJ&9}E081ldajj3tNCpDBeF)2Rur_Y&N+IEL=8g2h{a{{$#N)uAOyX53E*L* zs<$k&mE!P!$3ptpqH;Ix4sR3n)|Es4uwl^?CdPbLWdALGYp1l6)Rc zeqf{S&PTLFSsA^*Xph5X>1)P*9}r1ohuh!Z{wmAI{l&Cb*VB+qb~PJLz}uMEgWQ%f zUrI(1;1)IEi78NopPK^Ae`dv)+@niReA;|mB)BMe+v@W293b-0>4;^4U5&eqm=4JxCBw;j z+U%w(E;ICTl`-~1uvAj!`qJFP(b%v-AaCSlEY49*g+B8C6OT8aYE1YgexIS#pMM!9 zzB~x+UpwL<1;CGgI%bO|K6Z#sI@+THj~RP?mv7}(X)`fR?zW6Q65tkFagv#VPD1F2-DsulHBga4LvBD!wW<%~T>5(GD5|C_7L>6yZ^p^^+y zMNZP+&?cc2Ro1sy-Fi5TWVx_Yl!pefSexZ!-7z=aqQQQ3j|Rbj%!0|d?3Q8~8w>q> zPFQu`4zA_n{)C-(k!K9dk%t{MXlSKjXYcq}Y9mR1c7}qS!6x~c&7rA9gCLxs!T54RGvlxY<5&q_v$&a(&aLJOtM!)EBir8sX-z+eGzen&%fGS1?P&9 zcy)>_3Jo#W@jyScYmY%;$hrfc>m2h1uguW9wmUyg^pXstEMg7OQCRt39(M1bN8zM8 zmMJ+swO;d+g-t=hIycj+b&~=&IUcJYl>3doIXR!eC42)2^J7$g9j{!K+bahux?XDv z9~~kVs|3~Zd;(wqE$`63SlNN)Q2O!A2#W4Wh?kib3`g*rYAV7TGz@w&8HQ_tuNMX> zTpl`0Ff7`GN@*}_m~YwXbi&|_8Xl!3y`lOBA}y0LczDU+8yLe!ldU{S<{Wt2Qf%z8 zu<{tfzZn+3GFDmp+ALlE$b^!1Vr^XsyxW`R)4*_H}jtVd( zW}7nIN7Ue;nyH3`k9ikO1R4Vh4W__|GoDJEB;Twcllcwdq@*}&1G>q1BK6G2Y!jmy zm;tmWYcu4XxS1*P&-Aq@!}Yk0p4e@equVWK$f45PC#3DfaC#2vSdLQyH;3L>)oPRw z#@Ltv%>>nFpg7SMvV&bKrK<3(Gm9XcNC0octR+lT6vV2T;$WE4hjN>W(id?EGM(?p z)d~ObQT5Z!p%Zzgj^MI?Ug!i~qSK4OxZze)zVeacM39*U|l1wk%WFzCRuD{+;< z5CoGlHzl0zI0tvZX*kBz!o9;*^bgxHn3SzybIkrpTW?~Yz*jm3{8Uzkg?LR8siutH zVk8^3{7HQX`siwFX(EcdU+0_C#f55Dkn?(Ci=t~cdG}MV3z)j~nB?n)g@`WKz814& zhxi2jF})>|)uF8K6Nt2BV-Lpi70pKoNb^`#?oTWrsKM zXD2c}kWhP;o<%+c%M;wa&`-~gac(DeJt~pcKhMv>&BGJ8-a#De6wedwT4czVW1cnB&u8!iu;pM#>dy!C`-J5&7<|3(;;lm| zHxdIl;X6jT5}0@UoBJg<@ET1Py3w`nPm6J7ef#QQWFama=are>L+vqblxuEu_RP-R z=vq!V@@m4Of>6k-QgdYwL(eO+=vH`HZ-UYQ`Vj9$j)4c$X5^O_o0Gu7s-qQVR-dPQ z%{Y__Vke2Pu-&JkQV1S>3r{e);)Mz^#?Y;}#$?|X*HpV`tZNCJIvqEtom>a}d~c^p zs?ElQah1@YAi%!Hf{kEV6GEy?17P4`ON3vlmu0t1&KI9y6ignQii+o&;&;O12$9zZ zmCzI6O&1!>XW;g$R%9*i;4|J7@MpylvQm{Q8dncgf*N2^UV zs{P&=F>}jZZL6AG_^jdOe6g9#PUPv~B@&e*B;P6!uBL*h_NCw9kToJB+Xt{Qk%t~U zX86Jmqr1hZSG*lkP~dEH48-g)^&UInqi0UI;^(3lv|j5c6~Y(O?)>pWHL@8Ty22-k(aCbTxT( zKH1ZbBg^~SUq0UM{S*HR2CANj>E-1n2}vj)n>3?<3<7fUm@1M?x9E_5H9I?fz1U8# zPEmDufhfS_>=X&Z5e+P`aQFYVc)RSf%5zjL!W9PCjTkspbq0c&|HA_D8f7 z*kZQ48U6L<=7~~rPI$KK4W>MuLm_vut~V$!nVha>C}u%JAUwCpAW`<4*bb;RM^2BJ zFsMfyAmJ%0c9L8pW^RW@)2O5=sqdKa$3$w0h@Cbmu;d6pG@hs%-4aPHME~oEGNw3? zGs%YM{>M0IIIT9Q{J?4563F3fL@J5U??EsI_{G~xMI%Bi$v;x9%j@kuIqZzKbCN7` zd90?x;o7~pK>bnaKGd_%@L`6F8N&tx0G5FU>DxmL1l|wu639S#JJdkPb{I>KqOl7h zg;l7^QCmrWgF}C<$^>~Kf|=NX$D^fbpT7Vv9x0<;lGP5n{JIC4{e z811W0Q-6qB!_wu!6X{G3#N*4YNqWv}`v?yW_ZgpQYg=wGYEe_Bh^6VD5M1rg%7R4g zw$qU@cwXu36GzyzCUw{*1i8eO3R^1p*i&)oEwgcroJ!<4`9v?2U-s3~FXO2~raJv>~0c z9VN-f@E!F+}cEn2sObFXb)AafXXDk zZy|bVp(LtdCYHr|ku^#q8lhyB6~VgbRLdv|vY~X@+Y{ur!ULA8Q+~L=J-a@`@54ZS zN_zD<`f;$YYGl+tnGMS)XwM?PGc(v9_O~F&$9mdW`F@gacrZe`;Q`VOBTG7JrBS+t z9y2Ms`0Ww+M4Mt}OVli@Z8FmMaR`=plj=%!7*o^0joC!LX{)Yt_(MvKf-lam0pl%) zWMSevHlr4AQd5QJlPN`LreFu`A;bDF$M zG!l{s13mNv05v$4?Hx_N;+d1{DeNiqN95403xqCW874ykh5Gf(Z|;gv|$sn$t7r z123XKj5&cZDTw(^Vr#VXg`_jNT8siwaW*+UuiA_U#}l4NKlW~ZbGH^6UGGzsg_0%b z0Y4cB$2qt{_X{*_Vj#S0(0NL2O2HaKiXC@go<{hH+F*s5SEngQ=Q+}6 z&Nx--DMHzVDZjy2)PjPxC2)5t1Qoh9%xkOEnxaeOk4Ps|z_oWZ3dWkML-kqdR{8Cb zu%72Gs@Enl2>qqDRMB%z>|aw((MJVOQlB@WKF}TayZ-n4XDj^|`$bh;H0`|}eg}_f zp$KvuQiS;q8jRs_L5uV^(CJp&4Z+b&$;i2c%gv5aeLClG+WTU;{+nVz z9gB{phA@^9mRS~{fe&#sn(}x@=ShaTE%4bj;O+Gl3Ufs{D_~eyzh?mgJRy%YY;JIi zt;8NeE>;?Q$S25_J87B6ET#-VdF4Ju4_Ma>r>V|6>1kz^av#OVori?O&qHng)u1*# zSqu4SFa||)j8&VVNe7B}R7@zx11y~-+Nxh)tO{sR94$7C+(S`EQoS2%d-{qCpSz4q zD=$ZVWvX|m@i1`alN2@2@-l$r?>CadaYpHXsop|;HNz3e8|W(j2u0n%gt(j3P(NL!0^O`1kym-n?DD@$=$W7 za%b#%8s241q)7w`qNo&A;uM$|yku(Cq$5hX%#hW7ixFl5cH;88!>g0m>9fmG*`g?X zd6pWq$=jtf?B*9=K781IxqJA$d;9+9_q%t!JR}2qP~aXC(=_GJTOZfEg;OtY0Z0_r zmD&UP0mh8wet>5fjvPq8c@9VaU^HnIgQNq@_^Tlv%d}|j<)GpoOqK^QW82*r<@*-H z`tl=Y@l0w=FzgR0t#XVqu=Lb{HJMTx*q23U!%JMA!HD7|aRc1-TZ1=|gFw z5V)SKPJ_#16bx<>fTHj%r||8$#+hCAN2m;jLd9GHjgIlks&viN)!|0k8MNTxA*G4( zHbrv?n?pk;y2+Xl9w~h-&G7l~ALc}Aj3&4w9GIYokI#1$we-yC4ADUid*GVx84OX> z-$UEhUjpb4E(Xva{7%px-Vu8)=NxI1$vu;+dRK9qz>P_8y69yCPe5(&?cFasbZB_| zgDV__fN1~aNKyOw!7Z$ z1c&`|%=-{An?&Dm@Myjp`d|G(f`w7e7;p-`FxZ})zB)0UJHoNX!$^n#&7mq8-*Eib z0-m_+kpnKLD}~b9lC442%u+8X+6+A!O566=o_G?Dj(SJx4NPC)V!ph@i6g?7B<4Ze zgY>TT-l1Dr%syoOa<8F&3xb3EgRjFLhgg=>a~9fh)Qt!G*8gXTW3Ok5&B*yuo^GHm z?wCO?OG-FVJZ08t#;nhDX9xX*ay@Np2mSqL_ZD;bhUz`ueSQ1ki{J=U9mru=9m^dK z>nJT z&G%p~e0axp%wN!S2jT87pmJ!ik7&KD*RW|a2klOJ^0d9bBp1MYQ_<=*TGz)J(uHeq zq2dxyOd6^2^p!q9I>=GfT?v06s+dAxOB@*I%S~z!wFh-6L5^VZ&4>lKv`pbB9XSNb zv_DS2h291|nK{EV9**)GgwifoXqNnj_r?YpOG9Uz3P&4u6fO@#Q7rdYWm?jo5M34O z*Im&oM@5!7DP?$R+R3tW$ZuGkAa~6zJUC=R*@o{RRCVEdroT~V0BNd|1CboDqmifP z`cr#AcS&ivNpqIqPL!+YH5B*=a*v6S8$B=t-P57o_=ITN0VB+=kJz3w z(vlq5%BVhnB3=BzE)pEpWLOF*t;U@4)AgIDrs#J_>~;vC3fR=CQUmjpMh<>pl{*G} zB`U+R7+re0r-)gMsB4Ad{mzyLG+)31XM^<3wW;yRJEn1TdcV)X{uGGZf`oN}CG_gZ=K_vBx50d}5 zJQ9)*S1Tet`;;6diuCypURQIVU6r-wQ_nr!!`4bQIsH7M9kcX=7SRKMYFu`;BaE?B znWG$uEx%UB)J2~n%YzZ;{HaBMei{IR{>Gn;!?)Tz)qtrpNj%`}YMJs| zin?q}*O`~yr^h6ZWRJ=r{Q$`>gt3;Z$r_xp3>u>I^dV?0^Hqa6qu2VdzeAM;lLJ~t z&RD<{+SqJ*-FZ-H8d+jXLM|q5Oo~EBqrtSLK||eZ`)>C$I&-{Jjl;D2aTjv<_<88? zG3;zL$OYL6BCENk1{ls%ZLo5*s?$izP~TDcE1J^eYIDiVjAWBqW4cS32-Fp!{(#>S zGgFLji$zSYfaYxb7_;|BhkaV#;;}F-n7~__{*2`Rt2OG;!kZS?D=%5j(D%z8mUvNU z`v3#62{-PVQ2B<=srprcazP%*>7?%gl%SGwH6!bTj$0U>s^$w3 zfrVZk+$Ya_J(?k`0J0O!eSCGTkp-5KK%ZnuW1c}GhZ@*?nPb-vH0%nw7b=BT9PVSZm=1?qgxLhRZeq0-LZ)Goe~z@r*0i=+2$U#^wE~cV>0Qges(8T&S`C zHI(*Z1?>4}C-_~>70p3BK;9+*nWQ&wS&0*3H?09D_sZvVXQF|Bh=e4ZLai03UG{tV z(a|hc{fykqkF>fFROuwyL@$6&%t0<9!H(ZLGMYHg=0(y@}{ zDyqXpPS9TOU{LeJS@bon-O)6jU^#|H3TWI!VucGkr8cS3Ow*@pgB6xpoyJ8Bj-x>x zSpxm5YJNss_V?RvFPEFsbGB4uHY^w0J#?rO@>q^un}gng<=>pW7W%2_R#(eNa_1{=itZTjUM@5l4HeE!Kz5i6(o|A+ungelE>pjJNBP2|I7h;$72F3u(^K!RnS3#u-Xghj?6aJ~Vm4Bi>*l07uqW%*0C2&D1} zYKjcE5IHKM=1W5VNc!ITYRcBc2o3w*YEBTJ+FX>{<|+xvW!H6iD2Cd&klt*d|Md`$ zXrkCwFTj-!Gr%+iwXti#MZv-YMaJ3tc3h zh(sX(K9fhjWJ$4b(Ag>}g#FYMW~*U#*Y1Da9ULHSOGw;ncYy+mUxU?C8LLR|+AI=d z8ahyfll1~IMQ_s$lCx7uibcS{+>@XxD$lK9q3@q^X7%vI_2xg!sT! zej-opI-=+7+W~6JjZ|k}-CUp_v^>L6IcBE@IvUUKYVm`l zDFiw*H#oJTY+SZXh&oiOu2xe12R<6Yef0_UmGn@F=o&IJ5OodH$rW5-E$;>ZMCjd6 z?#E1v$p+{E3Z&wlk$B=Otz|@%n=~EKjt~da_UGG&(#xdQ&WuE7PbJ6SOuNdczJ&2? z`Zittb^C-$A_Ew}@B`2ulWY7aFRqz1y;?Be`xU$!QB#dvd_3$ho9PvC!` zwf4HLm&iU2a;jszBCEP)a(R@S;apKd+K&)2a|@9SFP|bS3u&c$54%rxUKdZHtwfJ^ zUBllFX+?N5oSamN3vX0hue?b)L*F2IKZ{K=`hh1p3t4YZP0^EIup>o^F^eC2#T!%@ z5-=$Av@?IFjN?I-Rcx+yh%XE|`IeuR1?HE!1&~$sqIuBB>iI!SL;W?Sm?TE4dR|!M z_DWFq)Jc^Ue{#Amzwu0#1W0Mk0V`E~=c(p^^GfPrd07`8wH3GbyZie+^umG>p8Tl> z$CCN>B2SkroTJwB!w(KvNMuNt6DpNv%SYRtb1o zP;w25QHolYOb^K+qaH+v^l7}rx@orKZ?~dqGokSXQX!eQ^7-+ei7UB!&3RGJ3_e@{ z1urhcKD*x|AFjM~$3)%Rs=&v7JgpH}UTH?t8N|uH#coc}Rpj}m9IaV$IB+sh?~6Q5 zklL1L@^DO_&ep5##W`@(FXj>fg>pM=u7bZ?GG)d3;jzl=W_%r5bCgWuu!xIR?VIrd z;-c`(q*2x_n?NONRvou*2}+dO|DvwrO@bN~u|nYhpdj|bm3>bSqB~3_Zv)ALgEMdX zNMq&Mg^-SxWGAs3!b zYG_Tr{R|W@3eX-}+bL(6En;@Cyj^awdxi(e?)X zf^1Sj{%|~njA$c@%GZJcOlQb)V2Lfy?Ir+jmmf-`Ps))TlVh!h zedMHeaV(BX3rZKJ%`n`1+#4YU&eX&bEqXt#fui1|&Po2Jeo8;V;*roJj5ju`M@N-Kv)cJiD#$yGF2wZGU;`x?B_pWfr{&u zr736VqPS@e@R{vm0d!NudFS($Bst@dxrp3Cag+vD;? zB67SQT^%bkDM$APJBn!q*9(0vI^;W5`K;U%Y)o}FI1r#8b{DT3Hlj^XQX(CTFwZnT z_Sotg@yDr*>*GW6rr1p2KPDyw9ax_#!(|~gWD(eq@Im>X z778te*{YoMYz<(BLpIT+C?w{QSBox+Qzji{UZf*3vms+GQy@J*3lLCHZ0 zNOXeHU(%J%@_Z-*4sG>T@Xhg{IMGOHV>Z$0HPlHiU2Vj-qc$%4fqgQcyb+Zq=TtBI z&B{T!5nCF9E}m$3I0EDzV`Oku!!=xHw#w%ZM(gLMzz_W=raXI_=Q}HoFa@`v|4NsZ zu7d6^@jfbx@l4zXHVsNbD{-625tS4>v6@GtM2I?O;~>o!GPRC5^5uy-CFvRR4@OL# zO11tho0u!-P}$8lNB8E^h?jNXs~ z8sT-R^QLTNH6D$%!=dAM+)59Vt1&e!(<;VeS1a_s{jt~6!rrlZ+H$9BUTyQJ?gy<4 z8U4_@RIIrM%5Y{O6Vstiv6xNbW|}2|1eW!NXpr`VlP!$`R<~aquYO3lae6s9uF5Wb zP^g6NU->$^3RX9l@p)S#mB($*(WgD;qHgx?Ub444oLb-g+t2SFwm-dpeE2)kF#hG@ zc#Z~@>;LHeum28P=8Tjq2^s%y&qj!fkr3!Hx&>^{^j=^7+E6WFO7jsmMDs+)FYPjukqq4GH{OuqUviJ&o~RzjlMb~ZfgLufyxgvbO6br+xv(96xw&L%0oLv>uwF-4c2 zefxoEwYz4jWBM^6n)MsTN#zUmS5;EAgvESuW@LwuVh|(=e<|lwSoBk~Nv`gs!U+XW zMu=`9kf*F8c&gIW>@faVN;t zJFi4T$_W*sadFd{no`Up^Em~FI{*t5vvIOw!^_mR2J}!M8}nzMr&7 zU$J3HtYjD}X^CYb4oqa`lQFQ6Dsa>o@faNTSwkm22{fQ*yPOT+>{*SlSivuADY9@N zjsTZPocp~lAEHb!luh-qP2g43MoMY#gCWp}-vV{k%-+F(bH;dh#hZb(OP_u zyr(|qG~O{o=$t~#Y=iUJ;uN+bt#4wdz}G~r^>lNLeGAkG)_W4N)Q-H9g&_}MV5U!k2@(8BaC#i-oHjR?)_UZaHr^0%q_uoQ>F3O{-1 zarUnvB8{;zP|jzXX(I>hbP=_od?qcYeyMTNU35Rj#Hcm4=ba}^!a`6l8I7(Bpa#dX%_Ukp*%P6w4^94L`O;W z6j_@474CWNNo{%SUyK5-Nstv{&N-An^z}&jHz^PeW z!?q0@RvD}8SZ$VWSzYrYgQfx6F{+P6HYL&QV-&dvAWo_*Cn{E=y>3=BG<$bWq%7pA znRWu>QJHVhHXc_gwBjD^cexMupZ6Z_!+D6)0Jod<#SVY4Ew++e23+2t|CFm~25$nD zlby@MuGEJs8`Ck-j?;AU3i=%~8MZT27hG(B0hv6c{l%wr)kH1fl$}VFzIigt-^>~d z&PdT%K!^4xH%FFs7qN0t^)nMfKS^#`WEoj@R22`9JBrJ|@&Drw2Fhic0rC;eZ z8553)AkQ;5RBdMhABt;4VpvdYtJMXL03<2SO_Kd^hU{rD>8zgcl26eGaeD=bVyX5B z^-$dgt?Rk9QVv5wr1by=q=oVhF-|>`f{j*xX|=;)3t0WcYL|FMAV4DL$U6&mv}!+t z47C>g)I1&K_56|16(oIAXvhA@l2yQNGIPnzP`cIT9UzgT}~HCOP=_oatL1P(R~=TJ(G)>*ZpC7II@0~X~Bu~LxE zH9W>;ntos$V0+%?hp~7~(L3(i1?UE~^;6Wz5K^%L7f1M(nqE!h(OV>CyQU+`WBAyXr5NxLg9=$2tgLKxnyxENPj#eHIIrM13F&#| zkUr1Z0tRGp)1Op#In?7NFnx z%dm)(w^i@_z9h6{)k@Ln)M(mVay!S|Br%Dmv--i6yJu!OJ(w1y?I@D{0{PgpYNHBy zeb>{3u=t(+66^~AJ|nMky`9XUH8hB9#n1&0{FDdY3^5BFRwl?7@!`{mPoU9qphBOm zDN376Hk_g7@OtxFic7fwy`!s)7oaCPnG;8vAWc-RGG;C;938k9D>T$W$7VvZxqx_T zRw-MHx$?%4ahGr#%0Ex!-qy|IybF3Os-Y=uV9UqrDew%KH;~kjOCZadiL{SFIVum! zB{nsMoRZ8(t2Pn>>YSsL_xS$f?*8q^&wG!*eSY}`|KWG>;^S}l>>q#IKJ4E1{yPHG z7z`I)^!^=sZJ6?fbMV1r5-+{z{cAd_J&AMRBSAiT|L7@06{C`Dp5x%O@G0G?lweeL zI+cb{iaB^V>K$qew<37u$TwuOBotT<)?h&CGW2DSpl3pt>d1k^p0#OE$75!f-laXs z$+A9GHae$n;6E*v?4g-^Q#vNe4T7?(wjl!7YBo2cs44a{3j~&s+gio-LQgC{mbxVP z#(7c}8wwQaR^}+MidlR`=PY?2b*?(5{3~KbH3v7QztG+&-Ngd|+^`9B-bP;UwW((1 zRFn;v-~?f!@YmMa$i+k-z$jIV5j|RHRRQ!30G#O$F&4RG5;ADdS$G_(`&PM>-1obTS(Y;E)SmX&wYTt zD#BXP8(CswQ7b%*mYi}r&~U|>BMKNsbOl|Q3-^RyXm+_;(1ujSo9hbZvqVf81rVln zn3`@1AXR-?|1eLF6TDEKASkU-7G`f0-8CfuClj{lL2zhVHJ<7e`5VaMnZnT&d;ex% zt%`FwRlZ%#l3^2qQw<|e+wJuAa^o9{nBzLZci#K15+_M=qL}_sIb<*U_Cvc_q zK+cw0<9Q?Esl-Rfi+#bmGzikgU%(4>;i!@3d^RW~dJZVe8ki>CaYhcq?TV%_I5pD5 zqG{Yjx1>8HS}Emfe8b%@;V__w3D=p~EK~UxYQt2L-ei9itjg})Pu)sQpP7Pk!qRSV zM1{IZk&k$HvrpKq?DXP#frFsl95T<;s6m-V!pVveJ%&*Dp{i1yYQfD1CJ8stH^1y2 z8CgY>AHw^g<>!kYFU7wf9^bvc6K2Dt#!w+@9mQ!OoJ;c4C(j;i$4RSHuql=sY;T+e(dOY~ws2jO4_O+Zg2f-a2 z1Lv%vqBuedlA8so=V5#M@UXjoe7dQs&au9$f{S1DQ*Emgpsd2J7Bmg5n9j^EFpW zARc^uzWNcknD`CMNIZ#8%m`EiQ@F1Tn>B;L63dTxSdehWp_v6bTxvE}p@OnlnCg21 zrTWeKkB7(I$JwX*-Hb=bJSp&tAiWYhlx3vBw>=n*sn}2#BF(TEQ6|M8D$*v0s7ka+ zA~#X|guN;6hKZw*`Sr3_*G2|uN|JiX9C}=z!Rtj$i}o}CKU6MPJw@tZ!d@RyM-jkDip^? zP&)$`s|{8-SaljnOP{A;l;!}Eh)tAHq0Sa-Ep@hL(XpGbu89ql(yACYrQB480N}~e zg+wVxRTfd+ksJ_?xq0)dbL7CdgM-egBF-cUaSH82?b1tc(G2wJVkY@c=q*X4Lud?0 zL2Naew6X1$d5A?$hU-?vSAx692GA$Tv_1Jj2_0OL`H1d2=)|l7Y5kGVNim|+O+(8< zoXDAlhNfI#nui$Rq=SD}tia_Jmx(OR)}P)>UqQ83ptcDVs{xI;V~OgVLbOtw!@jpZ zCA$&#N$Mdab~exRo4Tg>Qn=eBv+uP?u4BkKDg_8%A}!XHr{X|FEe&BDIz0I*<*!LUC{lT=h+h1=V zw!5#7JGcQp-GM6k_s_fA$1nH0AiAhx!fCAm7I)|P`?!?KCdo^=-H=;=F)OBl3#_ZE zuKy=8myrFDC^e#-(9JB}KN70$oTD_5`XPv=G@1VuxCX}vM|6!i1=Pmn#pO`CfM(J- zOFnDGpxKz;w)$zguY93*remAuz^+>(6<*z*!<(v=?et0;>Ox6ppxvWEu6@k{$w-fC z8B{5d9_iU}y2JCN7b}mF4eL|LTW9$wuDDi4bmzFS;#dx0m69ie-%y|zPE(cXT7#_C z>Fjhiqj8$6dwj}#Ty_BjEX8WAoG!T<6T0#ewvm^xZN)s4WeX%LP6+6#Appj&E=Kj8 zofYtutBM=eyggJ|`N||+e<0FaEZO|De~8#-a%N!Ikp~qGQ2BsKl91*33h;;>`=a*G z6HJ=odM?x_#W3WcDan?}mZ9+sWEBky?ElXXq*fl9IYL`3D0?EHVb` z87(7ZX&v|W+pYJ8Th#1$>ph9qnZ0O@RSO9&DfINKI)){<52grrhG|v0ShRa7&X8C2vWFhiXska9z0HEY&J(9McgWE;+KSxNKpG?}~bRhy$zwYd_9F;Tc-Y`vKF+CI>2Z^LpjbZ>9+pJL3?>_y0M^X|n z>K=b9@uyvToivA(Zra@}ka%*fB=0yRsf)p4pdN0)#C=F*{D~mMw;#UE9nJB<#an_0 z$Af_THb-s~z-D)d@@YSSZHodu>E*2&jYM)fPq?|@?;-tBnaPhMYf zZYCMno$SrDpE=O52}sU4ec1l;;nPpIAKH0k@FvnMet-X;+xO7SG}&w~@EfDE$bgA$X2@yU!yU4wGEqg2uTA1F zq+rd>nC?loKIC7+-e@4upjbb#g*L36&=fPYelS7mgW`|Mzol!6en(W?2m4*ov%%>3 z<;I*Ow8L$-(9R5SVjvDV>KQk0 z+*mUkk8BXuseZ_%iMo@dk5g9ef`WAa+c-zKOlaUUD=0_e|@ z71Mu%>Qh{=kYhPR=`d&vo`R}6-5_&p2qTEK3Weet~!1~#=QJu;f1QrsUdauSn5EPl>Rsbm}tL+&~Qc_v!(vnZ2Dzmz$ z|9#H6n=j!(R&^&yg*yTf?$_^f?&g8taQAGHek%Alx?h7^Y|pk3Zom$1Srhiz9-z#B z`ClLYWrRO4&bY-Bcw}xj2ot&e|=3K&Zq~+=ygQk0qsOVqf-?2L#?Ww`ZgX* zSg+G;4h8`Dz>W=#_@1}MW!FRG*(zN#$QKGB@LG%m8K|MkgCK$4NMm36b8<3Rd3Eb7 zQj-{@5=o3|?Q1K71+2GVQ$k1L8<7XLDo`G%b;8tqX|}7tb?>?_keGkPs4P!`2;pSv z*<{+y4uRUAK08J|k+KRF? z4^MTqMxr}Bs9Qq;5Y=r9p%&8kF!!t-CPWQh;W+6XPC6wm1t57IW$eqcur;(XYHV_< z5zLKx3(O3Gyx!|w@-?jnWI_FODei{K|Lcq8B@z>pwUI0_1l#%qT@CE@_LM1}c*W&2 zRM4aV-DkGo8>{-i6U_6?xA!0J-+#)l%Ay;ML*6@l$wkku+M;_Ize#FW3$xjC7xIgh z;+=n>SSwV=AZiFX!0lK#;v5Ya58IIvmRPX{e zvVGlmqBkmgp#)M#r2=yG17_BwxCcZ5vydcpstd849n+tNeTmFk10d^B`Np$r6c{_h zfB4sEe4Ba*zC>a4&Bbz4mWq`2f-%%!FEs9|N&FtN;j=A5cy}+(wr7Y;hPiTh7@|@I zYMlZZd@Y1$dtT!)T%rzqKpsh(oBGZX0+hx$69{WUW{m;gyymbhC+*4Z0IBN-sD1X2 zuQ%`C{teNZD5QkUQ~q+GNzAz0a|BtKAs0Rk1aH$B(jhgOk|6i(s%|G;P@F|QG)0e2 zDc%7P%TA-nnFe=^qnlNSLJx?(0KZ|Rb3@<>W}!NXrg&6y3blMXlvIn_^pEAHP7x%K z8<@@xu8JJ3ff*&k=(ij9u)zY3Iv_xwRlu%4W-cZ&Vme(>JVaLUkcQOyVEpIdcp8p& zhYwXE=8Vbs(HYvy_h+fgqzB{a$Jglmo`Qc62P9Xt=9~jd5*oHH*4YH)0gtwv! zw<@;|Y=Uf-FQTjZuaKB&8Xj6oQe}&7FF1$zTMyzww4l0Llrvj3>8ShEGvqr(6kFH; z|313}jReW!>4fj1sfWBb{PCuYAi^nEkMbEd3CblB1L`RcjSEgA$;K1~ltQ)_a#)Uud_F##X~pfaZF zQRC?5uth=|ALTHVWYpVu;rU&zBFi<-!xLCF6GN9+*CeHTN|h7UZ1t-;FbB5Y5lU^m z)k^9S?moWx`sM!fZ*LJ9cK`a`lg^-{QQ@LVqhV+}1bSi3lu&Z#xROcUM;804>iIC} zf(5T8=^N(6o0T)wut#&Y!d!E>&8pZi+elM+Pqv^Hi>8~Ho*#%BfJ;&AVl7gb3qUo2 zXn|d5fCU##{?w09^>;4rRyS*vuP(;dh(?FU+U1BVL`4})iRKe&viWUu_e-8zwP1Np zKeEg5H0e8GKNJ_s!&-bm(JON={rMJ-aUKlGQP=^7Muitb>k-UetNNx%k2tG7R4yN$4I=-m{ESpp0!={?K2NMDP zt5@L2^GOyNmvJ%VAN-$huYVr#$L`)^eJ0Zg=1mv{;S@0=K>M-YGp;wqf&<@|n{_TN z^2^3fqYiRqP>8`%FAPM|h3$FZNo?%zzU{Pdt3C%-{C zD-$2uenT{(kPN5Wt31Ly*Bey6aDu*Q2BZ&VhfTUits_c|bf?3iR$qtnNAGkvFAxzy zj}V?(fkf!)N3a8Yu^JHS%J>qNL^P#~{)!mW&S&V7sP$V{VFLw;QU>8JB94l;k-L{T z>5a?N6j2W0u?vT~$}E41%`5nn6UvpdqHKyjXZe~@!sPNNuz7qlOahWTodu{9#+Z^2 zm(}%#fUIZmO+t-=*v5eyL8$^R06hsnH;O(Y;r&=^XE-A(#tv8@rXp5G)iz#|O zeSG}^@zyN1$r2(D6!S(p?&6V3-QD9=xVw2bk;XcqD9`9DXYut+NzSg7GWV!ULl$z3 z(tkT( zlY-h^_d}sE#aU)0gEZ6!eY&YkGNhO7{eUe?_9Pr*kmc-|kYGku@e?MGFF;4W@o0Kb z!Do>3EXsL)gWN nib{-l)N5q(wZcnraO&Q=v{l& zfAybYV4mHh@BhO9;CWG#Tr=FBw4(bD(Ec1ci^=M)R~n77x=Urz3R&GvJVr}5YdrHZ zq>}N3rPlBXl`C_zSbVW+1q!e{;?ddZik3Y;LoNO06^`(F_m}nNsthp92At0}J|_!= z?rY242@1ag#XzMw>SvP)%1QzhKw`Lb)&(-X8%_Rz7R=*=>0-XXFV^+F`+*I01KcCP z$CXV7Ns|F0T6f_C6tR zTN3Qi)zu9=cTuCwN&^f`k{h6A%F3zvi$09MuJ2*U=mwi=QgYsTH4OlX`u#TiEaf1LS zvu+Inw4Gvg(u{p-ks{qt@tF6x9d_~6#roNDcZ7!=>SX-;$Gcx%@6V2=E-(Q3RT64> zBa0#>lGRj+sT!OE+V@p>#<{wCZ*6GPy#i zD!7ntr~}0MZMR6lzVZyY(dmi$)n=3B?=gTZNNOT!q8Wh4STRyr*NHjh3WmZKktwV^ zShOe`QT4;w+Mr@wMgD36ZW0$Geo8=KX0^+hp}P-5JWX0R_zMdmTi?Dk}vDQ^7sd`Q2Y)u1-dpeaF+ zy345mXry(@n6Ac)YsHmK-_HlqT14)I4a<7q)5p-pU~OwTS`v#Mwnl_mCfqClO`p_= zGl)?ouxS@Z)GLrmLZuF?fn>$?t81|My&t9r<~u_Co5M=Tw4R}+SYMJm{N3M zp|-qa#!i(`)iRZH_)W)UGek)c#)4?G$?77snFfDE%O?tGY0PQ@6*cPG7JvrjdI&@i zD?Dkb2^b-@JO_(A3p|x%n<5RokFsJ}`fVUUw5D?MZ9VBivKFb^r#4GaQ@laL)cDph z=)_Je2<;kDTb^O|9b1j>e*Jd;cJFs-@bSYB(&A(H+vtCe#>mA(2ixD?e0#V1vZoN$ z)6pK1ommw9=?Jw}P+MgU0~e)I{!Ksk>j>3WIB5U;{`;q+F?Ny5pB?#9cn&~w6rMc3 z4h}8R(-|@S)#0v2i&G=^d+f=>IY4@_I7e^6HMM*9MTUT1bcuE-o+u^Nu;@^|5Uq!j z3~N9TH^A2Z3o%H?M{+a{unfPW^b*=(k57Op(rsoY`cYYoRW_U{D>^v}8k5LVY zjdz#eGw2%!c955**6YsM`lE7~T(63yC3UOgN4cnzENqd9QhU<}6YtvczRR4k!28@$OjBSEqVpPkb1EeE-YP=<4ezH*CZHY zB<>Q*wOt5v_Xs;B-X;P4=AM?(bML}@yYVy458pyg%L81))MF33yRKy zp*0d3fzZO=Gw&AtHZ{ZKelDaM&Q1ygAk6yxJ@S~}e*5zE{on8Qn03w+%rAHMufL#p zLvzx<$}9+nxBTNhP*5Z*zkAPGIr0#`b;&){c#Li~ri95LGYdG1fE|(@V%5*Bd!%ij zR8<#-Vn42b*q>q2#&;vbkuxP0+9H)$m=r3+9-QT8e_*aK05dX}0tQ8~b~Yjfj7|xS zgjWCvuS3rJqmYrYSBhJ3be0HirLxO0^W z*3nv8P!Nc58mvb3+sV_b)Hm#*mX&P`*@sF73-ty^x-3PjOoSu;mIeeAd;xDWDUm98 zWFY|!2&>^Z58_K%-%wT=0ab#6%X&O_>PaViq$^6Srv(L$^NZEJ^0A@%`@!!ALdg2U zYha@C`;3IeN&r59&2A`}?oqZzI!40B?j-saV{gxcnAWCD7K3Ie}NZWrb| zv{RTTv&Ey17t9gGm)6`(*)+m}JdDi+=S`V410`N)=aSIp2fuD=LOl?4(=A1|a>3FY-nnqZ`*?S@vidwgM8 z4ikw3p7A8OZq6iMwG5Zt1eT=n5iMn6(LL~ksev2G`_iBsUjY1z9NmEwE7NDM2bgp< z>3$sc`*F0JFCPjTl_~p=PdRve$^ugocq?83EbJw!?2PgpnNYn@9EvNRA%*P#fESXG zA29^U>!$?+vF#|xfH)wi%(ZbNzOr7ukmoFaV3CI zUsXrvmoatZ?0DV)r{CRuewS**0a5|t!fU`!)TR-_K{;^-_bDC`=Oe{_;e14iBacjn zdpvlW_`|b-1}p1LA)V(zo-EsceRGBS5=Ms<nwv(8Cz4v>%J1 z?N~g%8w+fPow~``-E@G~m&cTW3IrgUT2?mmqd^s_ZJ0RUla$=B@yo>NQ4M&xoe zg4{Ax$&i(S^R3J(+n!w(x2;G z^uqH2shgZm=yc%DAG#H5&ySPO5VTLF5vV^GKUK=q{7R^ekxcCYgoUO2Yh*Z-P|@--W2k$~Km1y`fj7E*t2~<0nPBY~ZArk<=Tg45fNJ9PInO zL|{6i<^#cS^9Y7BBSM!R4Xoujr7jpPEegRTBB{r}9~N944*vlayc?(I;7T?7wvCaD z9KbHx=ia^wYs_e<9u)%GNuKFa+sTQ07*att7YWG7YBKe+6Fh{4(ves663I^eYy#E> zxs~h=omeDjB7%5=I5x# z&`dxO`W3_xL5DJ6AscWFs`oirs-!xLFGk+t0%8*Us17{v8i*+ykN%AOG%EH`7>;yz zc+3e66}xkiGbMfWvA7VPeUz?m-S_A4C8d~04ujf5K*mdL*e|btqi1D@>WWWyyLZT* zf&YbnpvK~tE>%vJCTc||NpTWx1(mf<@%(~g42&wxXZu4%&H9U* z<3p}EwN^8QR)f^ag>Zbv#mdk$xyKGQv(b_I=5rsWbt4sf0nn z&Cv#HXwOr6hE6$sh2uJ;G-D7-@37L0@%1C)r&O9VW2SO=;mFkJ>V&Cm4dt&H zV4_l5o+AOx=33IdU%{*y81QXw4$DrvCEV2CapOj6jToKI*jbANTV#4Lm3ge@{+tyQ zVzr`+ZTx|+W|$04W)Tu{i3kj^euV4|4*46kC(yWZ$5-hy+n;%S962c&I{CJQI?SVPxngtllo#?pn>GG0wsb+Y&576>zwFB$(X-5gmngJlpvoZ1Gh z3>4t%m*esvJk6IEXR4=~@k>?Nn4>4qz|X{{meaYa^i-U(Ldu82HxIv)@W~A_L+lS& z&tPHpj}2f!EHr8d0eYb6=+$ZJv@HgGbEVUXPl(V|k31I@>J9X2{_qzSU`kEla)P`m z0eLHIb9&9n>*2buM)YttfXe74)g050$+3C4IT9qm&;$j{Bx*r;n+J7YZ;rO?F;IXs z@VXp~VNXNoA^U-A^_(?V*qQ{A4qX%w+zTTv? z2WLEb6Xy9pe3N}cvL5)JESIDe8Z2)-QEb_{j>aQKFRP3&2WBJ!HBDb4axN{_){ZCw z&h>;!4?k=OxF^}GbL~>+cg;t*mB954(04_TD=@%w8`@?&wzM^T*REafbB=+2p>wsq$ujjAf6`87eD$*P@=#Uq-1D`B}H3TpH3K7B25 zMF~!adV*SDDRYe9C5i-3%>qDKPcg;WnXWoIX-I$WlYD{KcOe;PSxS9jR>veX)Qrf3 zC}!rvGH0i}Q|i^iFp7ov`uXCHnWgtx6a_`7?5fa4Em;lwpchbG5@Oqm64A84kd2v4 zu!A8J?BH<|>;NX1yDhj6x!YpLNPGkakG=!QD}PWU)F)*G)8^*#=rr^(<-EONIf?Z< zpv{bQqi>HE$Z=+wo8yIKZlWMEg6}M~-GcSJ9*_o2k*o8B zGL}j#%LR<*Tuf#IzG}^3Svr!NX)WoQmROVGcshMqHe5X_cjA$@@CGPCl8*-&+q&vc z)f{nbDG(7XBf|7!%3h5!5G=)duQzy0<7hdWT8x9NalwtjIV=8FclWMy21*Nv?O zqZ;2TeZmlF2qr2PWdvMf5;xC!Be|a&>rBe?ZdDUHow(^9P`0K`SZHBd7}KQgj@%p0 ztDjJqbT=w`?@gkRf zl!^~hGnj*=*0OeWrBO)!CUN3}Ly72npVfm1=}CPj1v^C73HVVP0MCrgye&N7Iz#YW zF(4a=59W%2Qd{RwA% z4#1~`BBDns=!BVN1HMqLVIoJ|+^hgXPC@x)<+-W?Ps2;9txv(;Sv+ci?DoAOI2gmo zekY)tf!53lWI~pzE0eripS(sHbysN3WZC7gzi6d-rAa~;@$CXY@XKX?fc&VBrR!Hf zlw3{JKU6MR=4wtOS2NBNn@#GmB(f7yV1K1LUtJm(kVqK;LUm6bj&uWEGLQ}~3DU3u z+-gVD6#IJf>dL7XdW=? znY%(F+L+zXESXRaj^tBxP5f-692ho6krRM?xr^OqwS=XxqpOuqHIGwKs|Y+3De9}R z)8NLEe}9MFM6TBo=$SOgkiS7P;?P%tNB^!!3@2BbDc^}d7Au11ak$f$M5MG-@TNk7 zOlkB#o}&`v(e7-;Vz@|O+_I0PZbXMlt+dX(B=1@uU~*L`;U`slJ1~apTgXb z-9f5RF{CPCPQ>B#J85x2rvocGjV0xhTpvkD_RiKUI|PkU+xDI?Bz7h?`#^mJ8kHG2 zNoO6~1m;bGGQ+fFDL=;lvOZNen(u!{Y}yn7*bK5|C<&EEEaJt8>AxgUL>mja|&R~th5ZFsy8$ZeF6n>0a%{)u%x&eR)!o=WR6CCX3G#5E$&@cIhn z*oshxYE)mrZ6L9^pv#>waeg{^4hfJgwevvM2PFia?e7D#^cy%qsan9H>q;F%DUO+{ zV(BeJ&T1l1_D#)k{SMvtz-G1JyuW{YP(N5z!nuG9Lp{rvuz7J@l}p4w$R>tdMphA# z0tfr-oI_AF`H}fj%AmqDMUFqkPevus*Q~-V_y+=?8x?MsE`itvnfhQT`4m5XQFu?O z-WS9Ca#6dOq$gH|BB_*Kkl# zfFN2DKQ(Z6cw;h&YG{b_&~&g&fta!UwCELxY_JE*+(l?g(`f->lp>zUUr`_eN(iD9 z&Mqgc{>E=4F-ohSbGRlma_o&MMX5G}VyJmN*N#E!y7Apy)mxSjl!aE*i&7bd*3T{g zvV=yj4om1T`zK4r7Yl=!G1t}4g5lSVcchY6)D%u4W72OY9+4~4f5N(+<^kA~S+9p( z+${C68 ze){hHm#_DKfBgl2H+r?c`~2nO>w7#CG!gmeZufL#pB&{M&7D4hRGlLtIx!U`E$8K_ zy%T2%U#Sc&aqr{MS=Ga%tj6WsfhpDd@VcI`;;sk%GADm%(`kx)7e|b5grPBBRfiN6 zN>8q(NIVNM6P`f1JC;L#rT(^Q5kMMn7c(~%_hVWHl_;pw*cHJ@uauG8x;t0#|MkW7 z%}?36jZ#$fx5%I_kuz<5Z(20=!c^_3vh^p(>lTL6&DjbypH)%qB2d1n(wuk!BE7O> zIh4vUNh;XjIRY>Qr9v5Dmz9Ex=RzJX_`Mu9yygaHD!KQ>nCOzL(#@It#vmZ zg7UlZ<5)iCSb~FdC4?rBFEKv5Y(+?&>JW>yZ1r$gyIM)Mx<2`vfeQ8w9IB>rVFVWB|e*UtW&K@`k4H%GaI-=a7noV(fFUfHXa{pn-(w` zhbMRHjVIWb_@W%;L;je7d=tS>kUFA`!yDlR#8+b6TZgye)RJaNo^3URBlwNnveGbH z)40V>OqIeOrOV9kWG|%JyaYo*S5V>*IWNIL@`J}-`o=;Kj1R1WFY^8orp)EZ@dpk5 z7mqxAmtr72G{ttkCduXQm<6U1)??45p$;lSI72@k$BV5KQ|+59c-#tl%*v9vaaHI7 zC%OL#s6UJlFGLEb`LrJ=L~IJ3n+ zH9c(aE8{@Fe0zI8Vh`}QfAh?cu2HO>O{rX>Dl0Eq_CU}Dxdp1&p4YH#9=@@>I*2fn zAi(cj+?$?W4a$pyV8|!{s>5+ zF$Xv%Ey;|((<%xuCG)DALK^nolWbhpSdMSTqz_Qk9D`GklQ%7BT2~w0YVast> zSdr<$%n6qlwMM+#?fEsK8*LZX9P>>OxF??>tM682tB_RQ5TZTq_a3~9d`G_h+JhEG z3VTCv?bzf;bl9V57q0TDTO{Txch56+JxmSQ0p^d^B6i@Fy)pTEk!$QFeENKgK1P+= z8c1Do16VS3?|`K(Lpn9J*aCahuQ_FDp5IFvh50kDrYRl8q6Sh24~|5OC#9hg^8C3c9`x`%1p`!RbNU0(HEU*rmVLFYAvU- z4saW6relPE4Ef_Y+G!LgLsA_7Op!XoaHt!>I3NdYrFc3-MNmM$e4_QTHR@tALvk~p zWA;Ev1dhscz#&RsN6l622FQwfC|N}A@^IAz{h|l+v}MY{<5TuAg~*ZyG=kGfV59yF zN!lK62+)Cv+){qYeqNMGKvOLQT;vcin*IpwAD=C+oy;ZcR*RS)u#7vZJF(6Z_Cy%} z%Z=yQ!IZ_W$8$GF8;{+dr%z3G?WcIRLB6nJKiUHo0|NddtO9>}Gtva?m$k9_`6g_t%Wh;qH$P6lQKRqF}~h;iPVF4tO353?!AHA2`pb6a83; z6PfbxVU;NlA9=Q375?gYNwWwhb$q!=Xia-%t1jihk96* zIjRv#o+)U9U76us8XWQmYfb1a;*bi$XYVFx|A`P3;cKibkdP43D_lfstS$t!Rw!y* zPLEU$%HycY)s0hU4RGYXt%;2KqN9tMr=Dp4fPE^pG5hMgDqNnNmkUw{O0%L_# z$m_$yJY!#w4qa}0T+Pb!GYSN@hwX&ybJI zANAFA;~mxwP+Dl$<%yIJZ(h*Njlg2q~QWD^o<_z_>6|mF+7)BtTuYIqC>+G zpj^Gov`%nvS8Ma)dP0a*ndEA@3OT8)O5g>FTS)eW0vb#knxXZ!rVZ@?pSm`{#CEzl z2ImRI(#pWj>m#Pv!whbaqB72sU9X}`ZxCk{&C6ye(|z~)!`C|?dS+A6$v^-Vy z5Vubw`q41M1gR$Sb_JRqF^*3j9jfbKtBdJk%w7w^4RV*1ZGv)|F}kGiq|0}cddoI+ z)rdY6y}M^OA~OR!Kam{jXE;Sx0mjk)q6Cc7#02?a%gqHV_alC@-m`&O%44L0!+7DS zXg5Ol7O`(l*!qi9bV;&WNK%tb78J{>;XB$TpD4025D`cC0wJrb70he)8DN8f@vH8- zn?Lv8b|~bQiiQ+{tU*lUg~YMi2_xqY$hSVK#o+KMEvM-L?S<)C7+`2Y(rGv%b!V|o z1_8hWj7GzZ)J@x9rmO7P`pUPPe< zVmRi_JIDj)Bn1A zclY}K+ZU<%5KdZ2-e+GmEH=1ydXK5p6_UqjyoRPs3XBR?K!YOr`3n_`ek3>*a zQ9o~rEQ%5yq}WWF5*uQSRs>_qWcL($`yKBtBCi^3ynS<;zJGAbV3cm73uKpvfr8;? zp0jF11*Yo{>SpzlUJI`ru_6mh*{wL&LV7cyZ~P1AqNgO`BhGEgl2Fb>_?%qHfmwXb zOfe@6GnAtAPc+_~!TnWlnbMY1K7md$Gz+DP_7L&7iRbmE$g}nsK|1kBlk@g~>7w9S zQ0XTJIhcjewF%s)x=ZM6pBXCS!xZIww%yQpLdSO5i3zGiR^K00AP-Ka=stbO_al8S z4n~XpG7Ujuybw!aW&^}#>4qVj@MSR8FI>bupEBPW&!(;QGg z+918$oIzDQLa|GNevB#^F^Hr#(WV2Z!a_j@h&)DE~+zXiic3U;`)Xu$bLW43dh0fCkM&(~EZ`Eu~P$ zBJW`4-kC~nAjd}v7Bdai%!-8o;%q!HriQ+Iadp1DLCdkx-#>pqZKV%)3EI0xZCP&V z(NEZ4#rYj;5C;jlSn%Vr%{y5I_DMdIxdt{^8(juZ`Qi&j`rhX>JbPr=`SxJrlv>P96tb&f8gcPODqmgC9N$MOo~m6AZJu|pjxX~CqA3J-5?^G+hBvJ zx3@-^^oG6YYmT%(P-jiA5AiVpu5#Vmx+aiYh0dCsy`@R2zLj8nbvp#x@765cZ++LJ z2i*Lb1|XeYEb=*XeJ`~IOdb-A<6nPHjyy5IHIeH<1Q4SS7RckrR}Xq7I0H5G;@Icz zCyjgQABg@OT^@Fe+xr2UlC4vB5cCA2%meBXi^+!2%Fm>sv3Mk5V5S2uwRhq;bYsyx z=Ht=qut!F--6;;Y30#%{%TKLJ-AXhNDUpy@c?64#6OjxMX_q%n82|1N=u<_TwVX18Ko7}po4a2E5}A`5U~!Y91Q8#Q^f%N1@|A5)&}H4dWZ_C2#xsGzX*!3$u2RKaErI-Phk< z|GGcZe5t*?Z!jVDXS@3+J3mFcH#Q@U$z*^cfVN?`LZLtx>(yG0f9M_>YN4_DVJ##{ zfbQgQS-X{IrgtsLwaJ< zt!jU95qg8v4IK49pbvzXhPIp)eG0jRE`F3tY+O<(X;$CEd#=2*|J};_N&5z3l)(y< zqBT>EJ{*B1vv5yfd9{50qhe5BfPBa!+fqw*l_8pSoY@ru?5`Ow$8(w;cyX`s2i9?L z$myUpK?s3@UX*$)nP@Eg5fCkh#C%Id_d-9o8iaKG8h-Xp1DcexNr#8Ep#aYokQ3+6HO}9v}ilc7so&m(}S&oH* zVel-#K?Hf7<*2&r5~X&o5LppOMTxdnvt(iX@Gqw!M5%=`-rLw$Z=hrWy$?!VD3v1J zX#YUutJein@Iy12QecA%s2mdM=~4lSJRX_{D8A5x%>>V~lasvxH@zz4XO{>P$+i0o zQpu;-Icnw4q$<1ZQT-@MG|X9hG*r&@YH0OY8;h;f>I)q<6gH&)DcRjXs7!@FdcH$o zHgF*!AdPuY0ZON0U%!;A`q-xBc89$bN-Brlp?B{nv|Lz zbE@l70Aods1B&pFR5b2z&0Vw=M7TBH6_LwArj^Fcgk1bx7MeLONmCnXv+wfAP_ zZM5J|u9gk1y`i8a?RVNI+pG_2gKWcsyj;Iz(%}v`7cIcU`REW170K9ugBgv_0G6pk zN;+GMtmZ~0Hl97ni!t^QK+ z1R4O=qBOnoqSKlR>4M6*UOQprFm*UBEaH?ff=s0Ax9j;AIq7fBb<31ptr%W2iX# zTzlwcyV)Gq4dqZA!_6zNETJ++NQ25YV{I<4E?dn9c-apKS!(ea+3%z?I&(u2tL*8T z})^`NtH-LqMjb<(-7(t7euSP3OH&8mb6Bm||i ztc}cxI3xVTdLrrCACKX^4Guqi}FLDYDlLOFEKY0TnK$B<*)BS zVdG;xqm;a!5HGIZi}mpta3Tp-Zw&ZG^ggHjMHyW}5{?ju-snA2P0+2#36`#qtyL74 zW131qhH~z-V4x`_YC|<|&Em5XxHg}bo9u@RY>Cr~>%@%;-5L#O1HK^5&*`G%9U{c& ztlL8<+xJ){Rmp-cr35ly4J-x#ll22>2A}_ z1c{bD*Lq054-F{KHFz_{gSi-3^nAf01{N+IN-?kq=Qq%e`LP3td$$C6{#7Buf1mts z_1aR=-|+`vhgg2TRX#9qw2CRZ&_;4Z^}7-A{S3V!G{{{Le!M0PWSTHu<5Q% z6H6oYOlD(Pw}%Blm%OTAqH$^xn1xeA7^yad_UZnR!#n<+iA;Q7(dQ9vZtwzL2N@u6 zTfF)F?bFY9KaakH5q-0{Lhp5nijr~U)j+`UooNfaMBM@UsL$6Y4kh#bT+Ulx3=qZU z<~G`$dM;S$Hu6bAN`3?HJORHE%9Dv`Zp-c1$M$ezHwPy2>vKd@!wLs7sNq9lD5q1v zmKov7n6@X!5J92+4a~^75(ePb?^cjm0{fMtmuJ*zX5Mk+ZMQTYx99vCG z)wyI3BjsT^mOLCujRa<9Ku2*Aif4|~=3{!Aa<2eTE_y$K>Z?^q--KY#;|DY5#y@u2 zxCL{8xs+-3_IcDYdoa@t_t<}Q#=~ujk0-dv{6UYiOkw)#LrHhI%fX{F7Bnf`Z!_d; zc5mn@qB}NcuW(e64hQuRE>(1AWT>i~Le{eK-Z2rBD^gO~F{vtH9fJ1T*}F!x=vL#P zQ*1pw%z0@5H8c_Hvb|BfBqh%!>zwES`W%V8Pn++w$j7wOy--wJSA}9gZ2d7|2vA-^ zsYVq)2lhNJu^5yOT;FVt&rp`mDl2CHWNpRW7xZ%x4hv5#{0YKgGsf2RhD&h^rmC%9 z%CfIts+WHkF>|DMcp;cwp(WtdxuG4erxI>8lWvv);`KnJ7U437m?BuI zSh3cCuXAHq)-9<&Ko4t6EFP|V)GytQVR=fW!L#G3Yn;iT?dhFAA?7ETc&%J=u))Hc z)A$xyr6Q_Qc^w{+B9cDW!*!%WiD(%I?YaojlcQ8V4x%oCvt?J`cs$N;(1H2fN7Rv};a>)oeTLDc%|prP=cFp?&G1vHEWJ;_lPWpTF#^Jl^W_r+4pv zffb*N&j6Ug+(ea?BhO$SrGwCuuwKNHe|etJwdPGC>Bb zBd;-Y#?BB>Ea-)BWbAgP16S7@C$65Mhb<#}bq7i){V@m!<46cFPK&sU!$VO#$(W?h zX?P^?=BD`rwyD_;&m?-T*yZBz;j#ljqz(74faJu~DXx{O(-CV$q>^r^_9x_$=i&i} zPX<#lptq`5eHsj`H_}+k{v2IRUx$9>R9S~8)=;j)EP${=*A(u@BYeCW)JO9Gv~=~+iCM0A<4-TsU@LhvxAKJsQEyHihEO(h*P=L)cH=dK{#f~S-%@P3_*XV(yN4YhW7Qwa!Zk6ks zE0na8_l5Ab#E)#}(iB-i;u{D@nL<*&KpC1?!0LKq{puOId~bjKhLp$;um7?8_H|GG zKpP?X_uGHG{c!g+U5=%kI)5yJ)h5#>V1moeHep2giKBJlPP_VYy+}^&bnDBuB9%`I ziBaBryez^5tK)$l{Q-Smb7JxM z_!**gLpL)dj-1c3An^>1{e(}ISuf0Dv}&vU0T;rJ&k1o*flyVvS7*K+(x=ukD~L$h zN*sElUy<&P>b2Z8Po|L5xV^B=i8C=C9~X+9xIFkH2sKyxVzfc7?RiSHekgNLf>IOp z{`$a#8gv4L4%l-(rfV1{ny#DOr_FKcNMT$Vd^0-S$+L|zAl~pVE z_Ac(W zWBGUVv0eXgaQa+Csh@XmeNraZN*cHqjSD+6nr1iRJ{9w#mSN>}6dBTNA?-pde+RXR zJeN56Y_vgb?RiRVI3%cWL1@2v%f;>KMl{X^GQ;3JFtUUPT^Lj<{3V+Q1$8pRihX_a z?Ohn97OkbnRk$0x>o*sOdLTLloqc511L7Y%vO(0I1fn2!8vie;)*yAd$Wz{V(qqO5 zUy~RaJf{?qz#GG+LZ>KHD5@32nV14beS}$+`o`l3ZC)_4IUP}r=>ckFMUPPNFcV>Q zURUhS19KXC^w4bGDPzv+^bm(=3E%X`<;c4@IdCr{&is?&=@ft;p3YxsXQ+j7+RLy?>^kU{wg8!QBb3U6X)U@f$^K@`u)1m zA;S88qa^q1ClG!_mhmx>G2k**A**mtmFTmpjj97tGzlmzV%p=mXAeLvW2yV@>JX!6 zv}NuAO9hD!0YVmT`XOixJ@gP#vb=Z@cjuhxKQU*Z?|{vH{zV-7SuU z_4tCgefN%n-T~8y3Jm4kM;3=+sZ!HzX0|TiOg3&N5h5VXRpZ8pJ~0n> zjbw)!LGhB(0<>_B9r>{Dlh1LiMMXe0$^@6Ym;3|iu9B&O$n}8{KQE1OIW&Ie8!9Ck zPKSz`Dn1GFk|%`9+oAt*RukS+_CIZgSIcS{hNYWRS2#ROdh|DH^<=q%&j z`L&97XG6Zba4Sf6=wIfg5BavvQ^_@cbcC#}Mq=0MDm#z>6Xq4v4cTNu}f&@B%`n>2_-+g}f z?(5xsNcO4>8q+V(SCCb@ye(7ivqNo2aL?s#d%R>vprF^J)m1YZL_0iJDVNg8OhhK8 z39)E=n$yq;LVRz)#gLLEZ(L;zs9=TOOip;J?fB634tp1lj%q|%4v_krE0|&QCtTiG z?JGP@=bnhzxWEo4GpSbuKt}y}c~d`y}3eF z78G^}0vnvsAh6-NN?>A`ARSQ|!^sxbOdW7(0^U~$dy~ZFq^GBuw49_WDnr6@f<8y> zLz-9X_K;Ww{Mf75a!{a~gZ`fE{;lL;{uVJl|iN~*& z3}@nesAM>kfWI;oJolW9f8WQFnR(H}A6zl$;2`X;(dH!Dncd@h+@ymZ=Ne5jasq#IbMV}1|)LH5x-u}EYRK+%E59O-Md7ZT)8^B-jQN`@A+Jv3 zoS{3oYpnWv?Rs19x~{JoIS&E`-En7zK4FIxj{6K9S$B(9c4~;?QP()cVNCD@wuL!x zC63t}miJ1B3T#vl1O4f;Q7D5)pBd6iO4}7xD_m`8Mj}ln?mH+C!6YJ)#I=P@jK~i- z(B`S?1#7EBIpgSmF7E2?kajAA)$kE`!~99Qo>C?j6Z|bI5z8m(0z4CCtaO?wjXLL! zWuYdF9$&IDizFyrKL*LKs6B(?<}?ObcMdFkx8V<71safK7IWj!jPp7vxQ(&KL2u3C zK8D|>i$IiuFLiTgSH(i$vYU=%1r~9AbiTZNPPJr%2=4ZEm-W3-K1`|MZjhO1Ese&0 zj66zQKzGR1oL#45DBjeV!bQx){t1FgB{(VmsE%_iRZNIK^iJ4WcVb!?CNZ+?60N6n zK8QHhK!emeiZ23HdtL;}-dD$OXqG&Ss4M0)yLn}O#1MP+m(kwfkZ(bIg4~vjm&e|6 zW5aw+xC5%}uv(lO9L7K)>ZIQpd{<8XKzt4Oun$9e&ASyF(c6a6#t45fN1XU zEI{!G4_qINe}o%y2sGtzclcmPA*W2nk5B0^Mc+~%7A0@IkO+~4>eZkSp?bG;hoW~M z5d$6KuS8ZxVMh&4VR1*3=ax*+%Nlef)h($wmOk)L1gkPuHPG6Hv$Xn7$Vu_2q9OBh zF|VrU5vrqpgo+=cCgD$2K>fN?S#MMbERH{-r@iY~#xk<2exKea_xj-kNm_-6-B~I`7(?6$BYlv3lAM;ht`gFKkvT2|IfSK z{Rn4mAEq>nL>9@?`sl($+&d0VVkHQ|ES$}xLVh97=~*t7px&^o2U)07KI>YFW=HSi zz<@7rb6D=^EHBmdO3aT@N86*Rc0*)fuBU+^x%J7vkC6t3GHF1nErpv(HH)DkHUoem zK-tmT&!4{D!{dL=<}KZL@AcC+Pv1WM`RU!$cTazL`q!uLpZ>>_Q8qey^K|qUa{lS) z4t>?1j(&MM`s>rt`=_J-098pg0J;;5(0o1qLCM!*>j5pHGYfgl0s2-c$W4<03O-8e z^zHRy)?~8NvrqNSGJ0kn%tS0k9CC6(H9{^OU9rs;Hstbn;vsZ2;;%fw$iE~n+dLkD zDd>@&N(wqc7^pq&BMgQ@*<@bupY!XBs26ky!hCjl3-x7#ulY01@_#)2_?`jPSS=aY zG54LhJ>QS)3f2;=W;goN|H3SM;7OXX$Ioi?NxYugWb$^<5aX0J73*%+RIG8?wK!Vv z091VQG|R??))2oVPZpzw>^Bv!mtM%P_jo4hlC?^K+9KI5H_g|Hyv+E!%iD8Xcytm? z(E*48!G`cV4fqmPngXJ;tGrQgo>_BAup%z>R2~Yu-5aGPA+y7`p&t@ppWttSKu=~< zCdm;Fu;>G+$9T}L$0(Qm`1d~}&l^Uc^*DyXEpght>-u_z?39HKynbVQP(LU+=Ay2` zFKTESt6J;99Y-0^n^%T=86J7DTAu~NzEJeQ1CiY#?bnc!f;nbFAT$>}Kj4kId9ggdT{BUh=z{Gijxm9}#+Huvi4fw2kdGimf1(7>05f4zF`;N!Pxl?7RJ?3Q8`m_*|3K4k+{8;ah zo7TJJH>n5Y28Fa^kSKxZBcv;CDlwAvliWUfF7Rv#_sctEykd#=L1R~7>LL0 z7YLFkRXPtI%%b3SY8oR-{-VUdxyRJQ9b)b1NH*Oml!Aj!4mV#yB4qf3D(_{g=0<`F zC9bEWs}ko9fkL-IK6S=*@#yIkN}sV3)XrW*z?2u8#tZt0pKq~8lYh^`a>C?bqp01h znv{wNV-0G}!58~QDw!sPbWK7V| zmf!q|kNglLh`xbM+BKE4TvQLzIK?MBXP+w+Y9$$A$;a1 zpiLM8X+0Jy*4>zh|4c95?7eziU&8&*&d3e~Mq zEJ&Z=peHA15I{v&yR1$19O?di;+5Q|Qw96d@)ZO_42WL=f1`U<`P@=^=10Lfj~i>Qt;=*6O% zx-+lfI^8tHmV8}HBeXY<9ZLuSl;c86$!8I2XRmjeckXu=L%W?o%`drimiNLjqGWPb{{$CRYf z;BU{7$D+>VepO4J=``L0$A&g$LdqdCEiw?T@&<=|E!q>Pf2xEm!&L*xqCX#o(Efro z6f<+ei%b49l_hnKGU<>LvNVu`v>Rzb^au0QmVSlK> z0~?dLHQM;ed9lR)$9;v>xEUgzBW`$EGp0XB?`q4B#_6t>OaULlSY0ol!z_7yfB)tE zn{P;r*zN88cK7;kc#zC=#d7FMW&n`mEqaIv5?EikR_=e^at_ln&PlJPW-QqyIy);VwjlY~hq7N3zSQB{&|gAA!z z9HX}?d^sXYB0&-THfvQ~xlhxN*-gWb$rvC+No{^&_6%@=*z0GdM0327*)88X4emA#}V^V zvg1ip3G61J1md2V?|^Vhd4-rtsfhTuGviw|1eY7~ieinc)2X4})l>8}$;Fhcr2~iU zHCQe$<~hwPl9#9%r>iX#jTgu@KBuO!R!QHXMRoQxa)#&uJ6}DA84{s1LsQbzEMGk1 zce7T=H565}!bHt9I*o2>L*W2V8k#7aNo+tXrxgST9YhK4<(J)?_xJDK-+lOb z@5A3;fB1Iy^yBNVe|zc|fpqDVuRaX41>>Wvnn0S1XJMs2&LD5Ibr{b*{|tMZr^sL# z;?OmfKPVI}!Jq-$Y<#dzkB`Z^@o3aeg75e!-vmr|Av2m`h1VX&pk}I|Ld2#*Km`(es_2OkC>Tx z#Z$}41l5mWPo}yq8sp(Mf8(GCsfoV8@-T)Alvej6&QtRkxvC@yyTN+U+e%rFa)-Qn zQ}!_ZK|QeTLjlP6JhdHsU{2!zKQvnpGR23P9PqGRpum+TFwCPJ5Km6l4aiS^guxV~ z-$ndzdGaUW6NJ_`+4}}>(1?GjpQvry$O$=S7&(ev4;VScoX4#lm2(bK&=bb0dznV< z2OkA8HgHB|x`4{7A`T$5kl+VhgyfW~(zygESEUOFBJBfr(jl3{b4*yJUbLH4I+Vy; z<)*pnQR>wO_!)OR=&>gsNE~X2YaYN3QQhMDR@qE4Iaxp$?P$lpvq7s+v->VVDgNw| zKM58vnMw@~g0HCe1;3OU-@PC>#z=*VOZD&p4LBP%O(+a+(Z~Eio-7DWogDA}vffbgdw>7@{^uuq|1~n-EHe52IAT-m(ZB6>_aA>9!Of-reDdob zM^M{&gZH>P{v$Zp`_ccD4}N|}Iq~b0Cx0A$|2-~0u}^>hz0Uk^s0#o}m=V7^U9X<+ zZZ0$#Uaaf+;b^Yi2wtvV$p6a@ok>X?#`gjQCd zim-B)M~MHVAndee*!lA8g6nH*C>%|hnyH$~WMhf3!1RxvFy=M*GSaWVgS*ah;jP5z zBE8KdYb_BTi|ktUCfRg_B&HqkmhXPQhIX$uA3}UX5NN=tq^KgAfkhBP?};Gq{+tH; zbji|~EZnmdw}6Vat+)j*bc+`oh+A;R8c`J`3mJQ8LdinL5$xv=8`_jxG_tw-*pAZ( zZUzu;Oi!pGq+%XPLY5Q*QcT;e7d)x)5roy(26l`*?hBiA@P!HcpkEgM#Wv*^8~aiW zCpnhTG5Jf0QQjW8RJ+}mJ9ISv^mTXt+xxe7IKBnAQVqkN^#d~%Qz*@WBYto4egd@iOl|y#HI{`)rV35f*Q>SetLzpaX5icTr_KetjD4lYiw3) zmhKrvPq$B30YXkyzGnFI7QxjwSEcu7aFR&@+QWjO(4>!7P7wJFa4z3DX^dj~i-lBz zEkjfEi$t}yo{pQ0>#dSKZ=QbXhKI)n?>89OO7K!O6T`nhk*kv#C6Z%?j1S4AiI+;X z^3d3rwYuvkmXO}#pM?G+S4!45PPH8OzLL|Jq_4e2BiiN7)dgT`399)4c_lY!299Lr zSJ!*|4MhCO=!cONC^Hvr_T*)D*K9F6!IM+2C~_W{zd&y%6B9T!8A2Z9oug9-hKP@_6gdJzyEo}KTQedf*>ex@8<2>QbfSp#kFcV zhJ9NUZy1^-k7FLUE)P~gl7qxbAqAP`0zeW{eWybu)Wo1w+oZogH3zcnWnE&+J?CCD z!e!7PhHjx97#89QUI5aBy2}(`-Dui#x{Jq1i0ZS#a$LEfgQdo}Z?_wWj!<2iqYbgx zp2xMa_#o815^y1icDfMhqK_L_fp!*1nX8Gx_)H<|{)q@`%n=S6AMpU+{>>iG2JRMc zA1X~$u?ZrQ6n0}QtTFYFY)M>C7vr_bhosvjGxSi7!1#A&mxuP;GkL}1Gj98v$AQR+ zF0w$G)PsJj=sC*W+<2>KDJ|qiSr=_s1pNgH?kQgNK*@BGpA7_1NKijW3SC`nk%ayX zXAL#3!tU!PVLn6b`R((I^{ulpRU-)Op%;6~uZ|ER;8jF3A;z2Kybe&!D^dw*6KcgF zkNIm2nWB*L1{N1P-1`zfgsE*;D$ca5qR_$#Isy35x_g8bK}LaWvex|*7AXygK0)C+Fq<>_Y%XAxTe<^H$mG0>1BM`VB=vzmy+kL58~lu;o?mR7@M2gG zc2ChSDu&2mAsgfOSd}IgqUf!gm;(55)sLFPB^L}LAFtC~;lrf!$pL$JI)cf-{M^{b zVbV`xcXHCRd3hd}|L>TuZg2bCOSVM)WVGqaD>L;3+~q|8MG__#@bxVZCfEbUpc%m&+` zmPmyomIL~2z7xvX>9S|dbw$|d$qfB3Hx&?%4o5(G_u)xt4Mg8TKSg@$s6p}N_2ueRgX|Kg93$>?$kfKoUNB-jznxgY)!O!iQ(`Q8$~p`}7!fBvHl^LC%t;hRhTV zXsjO${!Q@pdV7=BrwYlSzkO@+x(H38$p-7A@x#q%)IXKy7 zlmoW1UM)#OHnMVgI1b=QJPr8ehhg6cfJG(cJc4c!<1iR-g~PICnm=5(H&UB8d9{FG z(Eea(N<+wYnp@&37r;VPD9{pM=+00A%9DO3YT!MOYXlR3lfJ3Jyk8t^NIe!g$CZX0 zetZJA;Ycg3qFtDynn&=D9-hKDH7smpcLW(#*BfM1&rmXwO<=UT)TtEKi}uvH&O2ek z2G4=V#jp^4d~ZF__~PCa{pR9%Jn;=Dw|!k`3k;w)%9|v!lMktH z+P5ekoad(;YCL*lk}VsWQK{W!(~y}KBA|K%@=V+ouf2~L_OeH zxQRZ1fimTR2@Ksxz@wQ3y$OxC8Jw!`ltg_zNvITKjiwX$C)AkR>&;eEtfg{|ovZz! zgMfmHkDY^k9D~Zt(9V+D>=+=h?7zf}BXorjr#d2RC$}4N(ycfMR2uQjs3kX%?nP{P zi8fiD^5Q{oNL$j%Bb^rMpyW^|WAGnUL2(MrKr1K_%9*Y%Sw4&BKQ!8;W!ynXSwT>U z2&h%?A(mObh@nQG2?Bx{B+p7eQB#buF(5bWkuYszi(^6@!jlnkMwP;TCH+8v3bl$G z^W@1~==;5yQmkXr{b{5CgJFa~9XlMv_r#WfZ2a4Hin`Wa|Vf`Hd7J)wt#;+vQj$DaT&72qxo8a!lOx898Ffg47O(26j zeKz5*C&#J&l$YB=jG$_r5|L>AgmyRo`1LLsKwvj!^xteyg(B1sE>lENW-X^0Vta5Z z4{Iw`lJUo_9^w&~3`)riPfM_rqp!jjvWOzqPU>ztnofm8Of*9>@!-D9TqCT}z^rKV zj&9G{i5y}m0E^?%e@VU|)mUO!%pKJKjsCkXAbbgG#JWKj1jXl-g?+#|SZkQ-Acdof z3d_Mqm2?ESC;ru#Ag>R7Z<0g15^tjk@2(vIc&8OxmIc6@AeV)Q#E>hf0ju-nHtEUL z^~N^WGjw;8O*E7Iosw+^?k!!#lUsHo>VuQ#_+-VPlF%{9D8)UlPK1ti?=d)9pot9Q z6SQ3Hw2RIwJ(oE_ljJQ|F=?5;k^79bMB%VkH>{XX5)NTz2l(ju_)%LM2014w1BhS_ zK7XHYw1$myXnjoLmYbW)qZFEEEH4HIb_Kvinxfl+769*@cc3Yt^Nx9eAG2tJ@j_F* z6F7gubzMai3g5vEIK@U zO8O@a{ir`#j=}Eh-QC~Z;Shc!`01iM@(6lz_D-%T7(bL4rd+*%{|CxYb^!Z>x>aOL zm+;nt#qhVVCP`^ie%2gvZqWR!ybsMd-clm&4W?YdkL8e63Mdz~9mj!HQwxI*!Z&;T z+@PaamT$o}s<58SL=GXvot=a{yE(sDiR%0V39D?k{OH;(KXBRAPxVK1)H8q7^qin)tZNCLr1au=U(P7XgEwqv@uJ${@-D@yZsx|g%u@Q$ zWNV%g=0FUP6WN$d7wOw;fQD5Q(`sPUw$PjzRNUn#ZEjJh)a`||d)Ro7vXIQ##>h2Lc72-zHv1m3XRblaY!knI7h4t<=8WvJuzK!f&rQORJX<-x&-Jal&o%W@&24#&Ar}O?NWepi-9N`YZVOA^PZrOu7LQqc7=a;pi zIOa)}d{1v6C0{KsFN(CJrt(MZ6E(KD+SsIWTzAN98ros}LL~93-g}s=G+%u6BW14< zC$pT9-oxRo7f0a~&{XVP=T**=;8N6RGRY`yo&>4OY+cyS1*7Y6VIvs=f9g;28Va#a zT;l$4W5EU{>dJ|0U*MaYe${*$(ZsM7XYG#hP-;vcb+Fvb_ew<1MFG`zNCfw*9 z)3%lzlC2nv&>CzkLvxxgL{Mp%R+-ElFWo2=N&h@d@90HJhbm+qqRNgUtc-M_*H>o{ zk(WpA&h~??IeL|1L7r{qi^e#tkwIYz^Nk@rMq>UPO{Syy+=6#Yvjj&k)~aRWV7#$h zLo;>72=IViGmwHEnl=nu3#Qv2)CbKafbsgy*|)A0e*!tdz18M2wJAL zhLdK`GBib>D20ZIX?x}03pD@soO*t5f_$&ItS1O&LsX%E0aj6AD9=UXH?T@BAD-t1 zF0^Y#FUa*tR-rvL+hCD)HN!mvM}nY`@|5x!2F4pZI5bmt5DZi@A{R8W@&A4tfpn;W zQx3~}^PG^+vf-EIkz`BV7}ZtA3Z(K~2>3%97`**1wNP!r!yN`F8f19>{$w5x(&`3duYX|Z4=LgyLK zdFH^c-@c$W!zjr<96ytcf>1gpgow}W#U-l3?!Eo=`lFPbd&SqfgnGg}8JwK+B^oxd z7T*a#*5CcmX^XUeE0-)`y@uC5^7+-FuP%7FSNO{>m}0l+;uzE402X$ zu^ZH?P!9Uf`taW82{ll)mxBg8x>w2>X*sBCEINJoU$xFW8s8`QwVevkVh}lEk>sQ1QiJPHt zog!n?qVylm0{rk{EjIn_FbF3zDYy&it9mrf?Ivji<>i(bDLyBMqi9dyrGaQ0uqF#@ zuZAj6P`WaVG|vtitlf-maT5B9=y=xAH^RFWjLl84fdMC--muV4DIc-GEU%>L#b;MY zKsH+Kj=)>ZVi#n`_+Fqg-I55jZqTHQ9so4WMKxO%7i;J;`v7(sY8B&lllqBp-6U$_ z00w70VLq-LwP+f)*+}hKJNQ%2uoX6Wi-IT{=$yt-M30#x^lpy+eGTnw%&^Su-93t= zzW?Qul+1Yg>FMhazX0kO<%Kj*Km%1|kp;wZKp54O&B+X5r?dUOiWH&!8Kv~}sFg0wvYaYDCXgK7w~j5hnjIq8_3=uIj(5LAk+&O|8O&Y;TS z#xWb1$nOByV}PVp{qSC_jpeIm=-Sa5b}#_o$GjlBr~SL0H#Kb6FkQ#)5y9-FKfs&GDHGAs*zz%uHK>B=}_d7w)S@(B}Ms5WA;0L+F%Qp_1krLmUQ z_Jyn)<^y^%P+tn-|=yzH&Fwe#Y3d(`5%9Kg3Kq zinzmv_@zvlKR#vu@hP+AgPhsE>G3)HypMWgg0L-r>7lGDvBVX69qtOOYkQE88VK%w z!0Sp1!sUW(^Xe*L$n`*jH}<9|XB76#z7qDwZfv4##$FeoYH}T~S`&K5cmlrj`pZYK z9JdlTEBYkBg;w!bz)_k3te})|urw$ACA7(7lh?dgOFAKRmQng}iD{&q+5|mEwbcnN zd3lMXiuQG9hxMpD9~?jLKi+-G0{^fOP{C0^FyrS|e_h}xR@}$oMbk3e0F`UQOcGAe zYC9eS`t)F%{XCOQnh=k%bGf_Rym0%tzT8*}#<%e;z4#%K8KBX` z;#WvU)s|H^0VS8U@xxut=TU$dsZ17UUX0` zxLF>n%P$YmlViFXylk62ujxRRD+wU5~*Gvdj?A!h$d(>l_9`L(6TXBH}l;nrx~y;-|SW zF7$-0gjkdE>x6Y}>0pI2YfFE{g1CX2h8j!Jm}K<9Y+BH|bZy3}A2asOC56&^w9CroaIy$w36tYyq4IV`ne`N*F5idLR^i zJ3-1G3{hQVSw>U$tfClIFlgtbhO!T>7n~g!)wNCQ)*be;I$UEueEe0rL%b?C%0lP^ zz{1|>{+SO2$J>=ubYLghA0cb_LFoXJP#XhEhjl)zE_tnD$`EwvJy+U9a0eIP<=@%m z3-7B88JweG70Xd`8%Z`58ao^9BYdbQqeC#6XRSX$n2EeAYnR~>m*QX}4ZweBz~aoM z7P0`A$4Jjpi2C+3wI?c?;zwv+&f$MJB@Y4AE(hD;36)g^B) z)t57{tNq;`@|A$-2uu6(`6F>_GNBeGLnUkGkIKw>j!SAvhtR9ry%8n}P==>d3Fl~9 zmLWVVH?`;hTGrmNaC_UK0H7 z-ZVWJqCSYaTL6=8mN7CvnPqdZIa-s-)*3v&DlErczPLqT=4}E~T^?j(jdR?Zr4PLO z_U79=Xq9ZIw>&ySH+raXo8_|$bQ`ysfRg{c54o^~2L-Ykgl%?Z4z!sEN zIeW3&;aj&+5EDtIb|fb*StSN|EcF$^24{!px|e|UNlX&~45Y=qd-XsCtk)mJAqr&; zk4U@sblZHj7|o?%1Z5;ZWhHuy1+aKr1%GGk#~A1Y>9P9t;vT?TSfJqq`k8N<*Opgp zQ{!^>bNOu==JtAxd`&)lCOxY$XPZMa_r(PnEIE=$_98&Q1daOb5tyxO(w%vO4Rm6EQ61hwmi)Ducgt31HqsE)H#{A__uF}>d4v$0xEcW!6!Acr_3Co$0H-ppU=B~1xEHBQ^BTuR`Yo{8OPx#WrS>OU> zRv*1K41$0}TV9}e-x2O0}I#oa^)hbn;AqSi1Xm8)j8rJLn5G3F7Q^kUK94m3(69~O(AAH?<)8r-q{&2v~ zCSOAZnuA1{34#|?u8iVT68unZZ*N#`XOPj@g`=CDVdCPvUS4Kd8;I~jgF>E%+>~W3 z$}5kF6#8=;0+xVFqK8U;OtD}a4aNj1)_0Ic&FIr(`Hp@|$HPA(h28hn$qi zW~&Ll7$Ae&uWytLnDJy}z-1C6$wc(gbL}I~I_??CKQv7_laWTz4`>buQ4QKiF9`uk zOE#`HL}xk9x5zQJ=}_z(T75m{Tb)|VSI2lRH|uyRE?{=O@m%!`eX@+&f`I`-U#{ST zzziTAU+YJ!IZUn4;v~2kPXbkcGlV3 zb!g~WBDZ$gAEz-W4@8LqTm2d8wAioggsVj6Deq5{Pji8c{zIEWUjZR$)aV@Hz%qOL zXQl@+|E3xUYxnAH7+!E?6ySvpi#=UlKH`#ho58&ly`|MtMpy=m}c!@R&bTdj^la z87<;a;A2@oHxfJA=a}Len)m|&iM=^0L(G8*7~q0-9?XZubhJR6diW}2F$z!vCkk)% z*bINS!FfT5WD!s_HE5!$*mJ^o;|zigVUIQ69c`hzpp_8Jl~LhCfPB#+J#%3d0P2C5 zBd~vYxz(84aEH0WOH>TJrTTQny5pHK7_=;;kPS3Qxi>{AIpIHOQcFLZ^1oo@Ipi;W z1!}6h#-8YLn@D*yFuChx7qExHCLJ_GWcGB?>Z$WqPb!l7l~Jj93u$F-m1z9akpW9o z)wkf%aAc88x(@9l;JTq0&eu2PBKJlbOVyvFYZbaMRdAGNbItYbKi+=0`?~uT;Ts>n zQa0oa$DY)d2m~U}IiW1!D1V;7&xdCPx4os4_Q5Cb>Cj=Msa8Xrq&6E*sD|&hPfzr@Ho~TTx&AC}9 zZGP%Z6-&j*{AKeDwnYlk zgU1#P>hg9VNxNhRR9hwAar5pw&|hEH?aNLY@XQK1MtDIU6PR=|o`(wh4#(i84}Mr$tq%48R!Mm2+Nxk1asR(m(BY&}WW zKok#RB_g7_0NfHBb?r<(3N2fDhW? zkWzq}KFP}0A_ai9kvE83@>{3I&gxz{f$#Aa*vxA4)Ah|2=8HJTPv$v?;!<92$l_`O z-z;stl>je_jrjIvV~yLkX6d~RL{?+Z9KvO@(k*jR4Iph z0I{zJslq<_#ugR(439;LK3mKr7iPM^=C#qZ;}ay|3f+u<4@K*!%j=XN>LXVv#5)ZZ z4P8G|H2kWQU~f!_Cs1})Y}kzs|8V%&|JM7c(GCz^JGs7o^MO6i%BHUT7YE$%{qaG= z_s2&K-ya_}e1C+MjreL|*OvZ)vIJ<{pb=)BWzwQFL)IlDiCzm!BCiBzI6FCEpj4(g z@Q9Uzm578Q#bdOlp0q`Ue%T5=SoKi0AN2&;k#KGhyfNp7DWIJYP(dt?dMJw^eHFuU zM_zTM>126(4mTODGpfj$c&02I{dA3ri#owq4ILq3t=I>`9SosFrT&5Xh!SGOk`PiC z0uq~#P+jzOD2L>MQ@q*S`?u67v$MlTVZYg77_jE>cFb0{l52oB^rX#h21{-=m8cN+ z>gLx{lRMCBBMZbyL2Z#(+iyfbxsajUN z2Bx&Ef^veswqiM(l_(|%-eA+(8WGUz@D8r)=Y_5+hiH(SOZ>^#B(s#@zxK^E zphMmn${Vv|urFu8QHMtScr?akDRR=VG#oMv&Ary+n_NC-$A&b{RC_US8-lYph2N@y zs>WfY{oAEie`I{EuK|3~=Zw7AuHoj=x)td1z>?$uS3}#KkFeIhqRyXauYx8r-a9Zu ziKz!&S=291-{6q1Y1X zHzCi3i-4hcgpLvg$x$hQzf`zfa$VKd8b?Gdj@ptao`tf&4Cm`)#oW{gW<}Cq`O`cY zlLfatO|~AWnSV9@1SOZ4CV8{b{(Ao=+$i6f#Jx@EKUkM=_t)LsmoJ|_L&>^rcIfK% zZ#P1whCtD|Q^bvugo`mfFX&ArPJq{`*&qCZVm}k+-QcA02`r^X<=Uk1v_bqtTwu;(_UV(% zTeVjo0|=Q)s=+Y70(6(HM1N3Mf@!=<)C7bqUaxMRuOt43+m%vz%PB&hCX_37#;A0I zE4wbb0iM$hpNJsd!zceiOH*)Ab^euB##(s&XGsn?LNUXyZeo0yzX=6 z6l6+*qK{xonR3`lpwsOGrP%4*XH{-2;U#&Gno?+4<Iz^J}r5;1cR za4`kb38SkAD$1zGVaq6pxq_Mb>B54MmJ+MttANz zXG&#Hg$6T6A4+&Wcl2nQ$XtSUn|kTl)iY?)%C-La)1^>VHZ} zL5gbEjTtBYefYZE3}F0 zvSE)Remhk~kz7AD9uWfp*Yg zE*GYd?qIo%5Q|W?xW+r=tFE8#uC8y+F3$e4yg9qNgy9>!rXSWFLddlb2|FA2rBFFu zY7P#h9piW=y@4F|up1p()C>U1%&?omR(9Sxv7S3fg$?EkybUjlN~uR=+DOLRU@Xdo z)kC^&LV=FpkOWnQOu_j_T}0OjuN6g?Uh4ip$;o=-dQg=&u~^h{!~BFS797X8H`<_V zp5*>KrEld|J#B8RbC|4ohA7f~Q9)vc9a# zX-cLmx+J)sH(_q$NYe)WQ}FdfbWq*08wG$M zIKA_2;G)PDF9V=R_sj%>mMELs66ql+TRq>-{`P&I_sFZVNcW6Msxqr8EAzci8NlKv zbi^g9iX?f+4`+;K?yAm_b)?KY)w`2JuP9g$6v%f1K6OpeQw4Y+f1MUL`sTs4QI{3n zrMBB&9&SFM=&GFe&dpaiBLM(kZa+QU-fi*EoA*yUa`|Artg6hCX0I+q&4X!OmUjXwihJbCr`l~`61xq&{`mT**FV4N`9Sab zb??X5z4z!&^165Py7%#Q@2A(jp9`654)ZV`2&*=0%c|kv2v$bcb&8sJAQ3DsrA84U zqTot{q2|D{UyIkqRt`N5$UdmzX#ntt0L106brh zJ53FcNE`!M+ph`r?UUu3>GtXht?o>^nY4tP>E`pzPuHR*ig43CLkk!|{^^=B9Jqwk zJp&H`Jq;nF;~+r?O#qD~lZypiPRFXQb#fz`r9}Y9h*IH$PbTWg)xtm~9l;}$w~k@8 zy9CWBLEvP?UL^7S#vohQ_3aX}1OWx*ny=`0SnmgKLU4%e_2+bmq|z}gRmdIR{(ZSR z%dPv#fG@RjpArNJ4p}h^q!LH8sSMU|r#6jGfA!6$`@5flh0?5Zv1JO59d4zhEuHpD zVZYQ&ln_^<3SlTN64hbif>fH&c#RmR0Z)BCy_OqXXr+eSO*{l=!m#qkPtE82No+|cz(p)T%^37g|9vBM0UJ2 zQ9f9f;U!)!S2O%+DMei)zK6AOd1TyNBaN_OEMaa};jm*=F(464q@ZAGVIe5YVRy1? zng%DvJi9B2I|+pd!+|_Ar*b&Y&DMjm84|}OOV#zN@ZkY8^+~cx{F=+OjJ#WU+5@^Z zPA}om3ZyadAEXy^dYRoog6g>%005%)E$||I6$uociYkriK_O2#HJ40{s6ScB{jHSFOJdS z_pR0;i6CWyS}|S&r;eElN_E4gY=Q+hq;TR&sD6eO;S>D>7?!uUWZP-2!iP*CxOei@ zAY2IAf}##71j40ZHHn>jGE7J&)V@-EAgyN!{6_n&rthsUm+##8=6RyN;gp}fc4i*( zwVrNV!O_=%M5@xbs<~s@a}<||W1+Sh{&w{!+6~iDfgmw1Aa4u36hNSb6R}gHv`1Y` zX!brhV0+Yge?H{jaBJuZ?YaaIPAAD=8ugb1H1)C|>{v@0o~&`6v8bW^6Bq|6_G?UZ{6m{9gs+kz?2|`O=(L^|pnOO$AL7k2v#e-cCn7fo9tr74Qg#yAaMP$_-M6UN-zzl7YhQH-jHn*;dQq4?BdfOAQ!5VKt)XG2x38m zX$GF&_d?OLW{=AlOQSBg(Kl!^>?Muh3y8doAPZ9femE@Q0~MTVlLVw_tg#g?4>r>U zg4Tkt+8R^9O&N+Jqv(MF&dWGauSwUQ)DCg)S9PIM*Ry$^P zEeE=ENMTNdEYrVY!FL0PB&EGRPl=3}DCZ0!i~u>4K2X9Q5ydmL@D>zSgiRK?pU4IJ za%X|)B4!(YHYE`VFbqdi1TV;zd|lI**r57#hn3c-Ec!|mO!!ajk0CAO_BUc8NCm?& zshp~tgwq23sg$=GF{@LslT!8kP-+Fw51~(rt40K**!qezjB;*ySx>iZbcCfAj~RuK z!WdW|EZ+<=fR#ZjKNrF`hSaPFu5TiNqr6Mpt#R2VjX{s4C9*j5{%ADrkMeTs13`gKRu_NV}%OIQ** z13B-F=KmpM%tsiQn;Vg&w!-%Ivvi>P<>^6rJ0Xkz5t(AGVAB2 z)=@<;ZA>H6^!q@c23v$OY38ZRhQbglm@{OK(W`Gh+){Yg2FU{bP>hG{L#2~^#1X1C zE+}Q}wy5+*ZC=GcR(i886I$K~QSb?N9vmG*gHh*!R@_g0>|?RTn2g0**U6pIWPKNn z%r0%5Bso&T6E#5-8Bfx>(j7B&f41LWpzRw=%3PkU7O)TSvjf5+=w3ig`#Lc4PFlhR zQ3d`H&QGdac(K>=vc63u!sE9Btp{sRliapm8`c|>uq4I=ixwY5=k}o9#I1{;BCbw% zbcP|Kwg&z+pzt7V$m1~X1z#22Rc7GPv(1JC8d~YFDkfOr_B4;$2?W{m)|A^e=tq-3#G3Q%$uDu z7+1qsz$t=BDdaFiK-x9fDJ;)Iovg}`o-3u&aIVzby`&QwxtQIBG2Q}Y2o7JO=zUhw zuWODzy>&2_-&{G-H1oOhNQnuOmx6l0(9Q{B``^In*!#1_y>d4!_0v~x2OI33dk4+l zoJAM}{93K%8@IG}4coTKuBp&?fH02@%ebLVfH&wkTCYF}mnSIaC%{y8=t#x|kjT-5 z7HaqSXpBk(8p-8|gnzHA9_QZfi-dn~*K`EQB9zZSF5@aC|0=-(G{UHUd4%jyh#wWjg3Bm`crpUuYTBdG}LW&q@l3U>w~qeuf3IAp*A$e$f{Ce%4e zsYToV)}i+ct^9_q5m#^45x{ryhzzO+3Z|D{Pg&$ z$DiiCF+1VeyV!{ir8p5#2hAFSnWMnLa-z;P5Bv#5A|U2^vJw}B@Uly|N~Xt1L#ki* zQ)`dPadmG?r!?YQ2C%H%Esuq7SmpO=$Pk3FKL`o5e8u<^nD~VTq1g|`y&&icJtZ^L zk_RfDWWq3gO{lJg9|Q(C`!`3&T1p>{{y;NOPmvTs@k1JULG`jd6;O%ci@=foypfLI z7UPH`QH3Z@f~Y?}SW+S4m%E4|#nXdy+Zw4zwe30FHMNL@uSU$k$(fs|h;#{6PD*lo zd5m7{eiqRjG($8$NV(^*2!tz#oukSN2mFp>4r(fp6f*=YoSBH` zm*rcGO^+XGC=(kqN8+8>s{7Ktf|bT|}9-`u|chn0~BAvh7`pRLCzJU|xFN-1sGNE*wkUQWgn9n-9poFdynKfsr~3!E%km3_`3Hru zgItuEe>kA83fi0Vzl-zL8YK*Zm|UNrH=9nA#|pYa0|l8I-~|Bi8{lMAAf?J4v>Oh; zHds0S>a+|1g1K8Wbyin1R4~AdUZz=zel!qkiJ%9>8_+&AOin>w7{yzQQTfVPnDJHGC^*Pg59u7utQPB7i%X%u&XgQ77CF< zT+a;pr`4v&5{0x_W)Gr^yVHJjP_e8 z311cuVl?VgGQuQCE+QS^_Xd8QK5eut4`CFLo{jeY(O5;nq%xwQtKSXANs+M4B~ywW zTd-p7aPT=QvW6#Aom4?9hxA<<-Z>{3rTaDESj9+~4i_#eSb1E~9_#5S1Do}c3c$6) zQYBik?mObPOEa1pJqD9+5Q{v}`f*SQcP_Zaf0W8{ul~LH&opRp7*t09j(ZE!i#gez zHOW|TSVT$2C9>pmThflt(GRB(Z>B;@@r`?8`T0@uwi;d?nknch@%NDZiPoFNoHfvY ze!9Q=w6m26xE~)8mOdQtU*F)|+0FFm6w$p%JC<-mbPN9V`r#FVAmq1}oV~s4{af#k zeqsCg@!$LZ`PXy;i_{h~ntTRhRf-vdWCj8Zz5^+}xqHGd5K=@OeB~pX_?m3WX!4yD zSH(gw3ohc*#b^T61YHFBFWu6#Zh7%7gVrsBXWsJp%crM&VI&>TE=+F87AChmw=lUS zTbSJP+`_Iud`NZ+5cF5Xv>#JHONR) zouLU?^dbYJXyHgo47mXUrt%(IWkjaxkqn}ax3NUx@Kh-X3cJ$!qQjn1VI#pL4#k8( za`oNKmPlI1A9SE5{t(+z3Rxr2{bmm{X>NdyEvW`=Ig#{GJgM9BH_MqDI*O}`{1L&$ z^rU?(!+QhEGt*N+Nk9`taUy|69Br`pk=vqv6zH^&?(v1P3MN~_39r9GzO719`H-y* z$^oCB#}Rt2Pn0gr&?`}fmhXe6q1(;bcD8KB48bM!k-rl5>go(`b7zFGAD7FL*b?Y< zBes@+X4d6k=D4J;clSc)l%;03^F?^SvcX_6f~Ab7Z=VC(%W7lk+Q?MXfDU73%4OjH z0i*WNSh$=q+hqeqlh&}nWXZEo)DMneW9$;>uX@IQOZcYtfeMo@d1nr}{ats+B~T(C zNzV~B`7CAGopbc)OrN)tI6id-Dx{s+kJnk-n{v=4)z13`loTvcJf7VwOivV? z7s1FEtBx@BgIR~zF$}|FbBX12L1;!3j?s|Bh+O|bYxqGl@z+U}M%ZH4Oesa(xqeFQ z1IYT3vT2k8+=zxBCMUP}4TVY<-Mph_TPxUFL`lN1IQfmoDMJvT% z+Tn5oozhW-D&PEo-!$#BvuAR1=Nx^6`3toO;bx8=((@}&Sj1IxrA6H_7P!$MrP`Q2 zkR?jxcr+Ohb;Q^e7AaB3Drg*NI@u5vci}U9wo^MtU+C1XQ=V;~E>oUw=B`s-e#-Ox z-sLG{Y15v%2vG7|lUNVX+9VU^5lYOmv3`1i-$z9djnv#k?tWD5QYBEJeuc__10ew? z9~Ky7E6wft@aSM8W(Z`cQaO^$RIpcMslvpdf6z+t3KlU`iiNpth$^|gKlLUomW#tX z9zfC5-+!~N0=V=h{<(x6jgQl=stAF$uBzoVX2-eArQXlVP9T1U+CI|u5&XcP5>L%P z5RUfE#(4^b$?Qa{#kJ0T*}6gROB63Puo9VC8>Dy&#k zG`*`72fxQ?BrORD{@AXoD`eVs$upeL>|)ou|u)9HI%Kn_L-0hGMRi( zQ95jIe;-C|@k%mAkUkx=Z?*=C?JdX6B=~G6Zit|&76 z0$^WQHNvS;I!2c$e8#)8Q%cCW{i-!82xI(}fwd?(Foo%oUS2>~$AIHsWjJM1Vu5UL zxEGRg{>C6q{0B(N*q&eZm$e4vRoF$ofea+{CAL!Hmn*Y3T&~UqeQ4m(O!uXG;6QZ6PLok+AJ zU8`7f;aH@ml~mCoBB7WL=!zz|R)-v}EBER*zx{muwYT$l`` zx@={Fd=^CwkAt$5-ZaoU@MWkUDPN8iS8SkQ9OXE@6YTgZHmfK~ntiw#hEz(LTg*rW zaER(5c3n4N$^xUMlQ$_htK$mTN?M~Eo)u?#5)L*npyc z=g3!6F@yg=d_wrgdX)T~+<~;VWB`={Dt7qQ(Yg}czPIIdN0!nOB6bz!0PL$1+5f>o zjM>qw3~4(~a}9F$g2^Dn@3iMsOb0HjS0)p(VM54>qo(Pdpu$CRo?TP)V3Sd4>Kuzc zd32_ccF_ln<3S(iUyAc1u}LM~Ahle;P?9zWS7pv^uDgtO-NLZ`Utew?&~@g^9m}fU zeAxN!yYH9}-!8fSh_Wp2KYjUtIcUZ{MdRZ)Yf0#hY`xi?bnx|Ncke~UjyY<@MW`I2 zT4zUSc8Wj4$?+ufp~`_(Cdoln>KhSB2VU7N^eh%q)9)d)MYhVh4{KWU0_@xM>khB2 zQNAAi-lRRB2hsG?I3eU7pdzA@kwfR5jBgY&5)EK6$i#F;sgNQHMYo*G}7f-p4 zl-FgSv)S3^Fy~jcf&T-US=23e|If!a} zr7XJtmF`cGtw4zW@be#pxUClqt?E*!MjU=t(U%#>Qi6(WmNeKl(fqu1&1zs{y_+}q{%*_r%||Yi3BwbqCSMIK0m%#z@61|&u8N9q|>FOnBz!K zH5L>ix+(rA^W~X98HfS64ovctzKR2*;*=(cp<>A!GP%XkdWz1uw%s&JCoUa$L#hCP)H%Ops@WfOjg2`+L28Y-<(OYE|g7H@hZv@21E`4UOG%=`F3qCnX8Aecu6 zu{8*Ih?z#Ri-mNXj;ReycU+y6#GMkdCAL;9VJ^1l8L~&~<@7ucT{iQ`RJ&Z_-R*m1 z&!t=yV*r?3I)_}mT=Y8NH?vwt@X$yGLywb{dF{(mkG!foI53Ht4M;81{K^KB&hVm=9`R zY=MgX^WzI3=E)jmZ{0J;Qa#lX80TS)5&CoiTQX>4ecJkB2GPi0tmwB~p0Cb8_GufD z$O<1OT8l+iHxl${1wjXeCiL4X&;zq7D&RRLLaR7!#B?dP6pM>2^6 z%v>iEb)8Vv5J;z)wJoEH;sxeQ13cV|gd(pVJc#jl4ZN4fsnV|K;YW+61K!P)ID}p9 zrw&W>?%oCZFnrFjhLyF@)U1!{x>+g63uGT6J0>l#UmfQ{wO&fVVll0G=_d#ftTDVB z43CgE5MmMXodaSw%w__eP;`R9jenqJ*mQ<8sA|VB8F6T>+$k%=byF5#U|607XezE( zV5ywJ$3-<1uD`H!cy3g~036esv6M>rAxf1;WS4cfNI!EIK-)|@hA+Tu*MjcYM>Fn8 z5haIRoHE}Ns6shS_Q0`1A(wK@8dO9qM0!pX)yv7nyQ4L;@_|SeSi>DS~IZi zD7~ORu^Iq11>P~-@cX%m0zgj`v}Ivc;iCf9P(v{~;n->o4#QcXoUtlm^FuA(g($T{ zq8o_K;p*%ZbUajw$2+VYs_&4}d@;O1X@zWw*3@{r?>3wj-0hcU%ckQ~biZ5C_r-|9 zL`EIL*PxLi?XG#6v3K&YTXO3{Y}-)wEie-*%G|)ryqRvz!aN?ujZRu+g=!G6F%e$< zoBb>T-!Zk6zF&1?C-$^at7nt>$q?-KvOR4CqpMnO($Kwt!R?_65?3ZE9)k)>pu047 z?0@}e|Fbwkbx#)JO7dYa2i6^c&F=W+58FjmqteQO;1o^Qw_?!kae*ov_WDq@v;J3X zI9W?lP^8m<`8({FG+)xrhXU3QjA-1!508?5m7}U#6A>ucvKR7#){q9Kv_|D4+>af= zoEm<*BVhp89Go&_o5ET=1)wsbxD=IE=~}oe*?vK1O|FSZNQqEf#M40>B9a_0rS5U> z51&54{N|x&_`Ed|p*0vOHIsMDuqBE6uq*`aEh5OP$z26nK?j-1{zDJ#Pi0+*$4~98 z2vmZ-l^?J41;WYlRM{=OGLq%kp#M91QG?KENMF(#*r2T4NhX;ihqT?EAi|XeMi5F5 zs*U9ZFEfY$Rl4PwlWTqe$+fP?Ef+?rNvGT!uPw6h0#voiq$;5+j1Y@wZ*5CvgQ1rQ zghP*&jF5xa5>CBv9+FrpL5Inx3k;o4kgv~**&uiJ=@zv|Zyz6To_3yomCn=r_3i(D z`W4ao@98O$>vG`>uBc-rH;pAWFupt=R4|bo@3Zw0n^$ZvSFmnytCRxkcJ(F3+wU#p z23k-iBK%XnW_&iovjfFUFIH$}Hq2e9nix}65Kh7(B#uNC>n*9*5P^TJx?jKUN75dZ zvq&M&;u~VS7Z#eKm{AslMymX&qZd2k(Uty$lr)z-8&=>sTFj$W#zfLEP}!l@6x}69 zw7zp{(_<$R-C(j|^st6zV^X)q0$)0YeQSg=B#h~0^+S{laem&X^ zEG*OBMj`x~0s)`}rab975M+T6%#UgcED7Z#Q8isSYzWr2I+I+hQ)G>VhQiT{8sl9d zno?LwsPq0cq+3aCs7>^p)mhyqnJW-wWng-b=#i>qPp&%JTIGAXFf`eLoPysxzoGjk-^ z%nBh8e<#WYPGb`if|N`ia{)C6*@K(Q)g6|}6) z;y0NQKg5C^UV>{SR9Ku=`KXd%!gsrfxaU3p)*nB@ZeT+d6b%onQc+-D<#}f ze};Xfv;Prgx!*ta{14yj1r7^HIVC}YNP^gQx12PCV0RezMkAC%P|78Fx7gB3-Yw~t zqI@h;72vTPr~qSYib7&e=iCnM9Ez1rR0eHA7^+114(Z7!C?-Y#6@*cFR)m8f^W`k@e04;U)~6W`fA@XojSAN1$a_g3!=Y`iE>;RsXq4={ zU+vMW%S%8*z?a%!1yQQg_+A_Wg1zZ*0P?QiLKZQyJ;yF9xzy0RLvO(X>=}EYLtHQd z4^ob&VkDzz?TsV0wOFFU$&`_n8t_B^45~xiJ$?Li`;VKQ zkDs4V*y{Di+lR*|ks>t0`}R(f>NYoOmzSyLsL1V)PSPrpbF$l6+x{Y zszHHBmrmtSD~cwWwY>q7=deRm4vVWrnqFnG_4_g6)T-PuL3ufyY?m0g}Nc+Rn{pTNH zZ@YfF`9>R=jnfWis0Y{kmw)*Y|Gme5AMoD|{`=_0ZA$&N+YJRWL2L`z&Qur*P#Eu) zXcd7WQy_%AQs8_}F9f-2pc&|+mdMcMP(pO2+M&{!p#E5q4b4v+NtPmXm>&Y&mvA~8o%5tXz=vi9B9YIGXH6`` z0XrHTW3EAqigI@LPT&EiNm&F=0!`tU>$78&m4kY#OII%jPsXhMt*jjkIm6G)UO>(u z?->)Gz18IA1D3`kETXMxh;}nZ?0i)HL9Jo#Of;qPg)0WYJBbfmE{^CyI$y0tDgx*A zGwDJKf=AQO@~GhN_Bv7JKtWi_8->ynoyPm6v0biC>c~Hy1SCuP_u36U_}v5lB(3VCRz zZMBy~OslDkT>!)}goBLMa)Frr@L2K&HUr3vwBv8!^n18Pktfg{xc#Ej4?e$t{nuXf z_?0#~h*W2nZNr{imUZEj+xG}KQo>OPN2Q7RoGEu&+NZp(ponbSzLdA=@h>fW6H!Hj z7um#e4jV`aHVmkgj_dP$pF_z|-;w0@&N=#sF2f^8Y3Gn{N__&+l=kv6NzD~S(sHF> zbNKqF8wSA~GP^xg((M@41v@Z%1c^}R0E$@%Nr<@y#7Zt9X?U_V!g10ueFMlHE{ac* zxRX-*+tWG^8rmnfD+lOD zWvB-&8sFo-AZpSSQj1H>@=feT`eI~2rCoBW81 z#5xZ#76r>k3~UwyXuHs!V^Cm@p%{_R_+)GV+&to}ffW#K5jLu$AGYRVhWxwWinGlIcKO zo{0vjLX{pcf5N2-D!67sgOPJq8V*XCAQX&=UYe#oU`Gpn6X{1ds~9^DLnZsgk*|AI z%y7{CncAFD`pkt((>f{2m#Br@f0$~?)v_2Usr;W6`V7y9$m9yI80^lcNID3ka9vSY zMJ;EIQdH=b!=zM#{&xnVE|b8B$0k<%5#X#cRsplxEQKA7#IvV(Bsk(iIP z8gAl-g|fbF#$JVOhQoy@8oawOM53drTqAu`nQbgpNe@lC&I1 zZwNg0V!Z+JS3>sE6LZlW(pT>w=QM056i7EDv=y*^L2n*>sr zx5_i_Xe54GT;Ih8-KobQNmUhFV}}Mvmto7L^JzbH-V#`av<{txM2jIRj>T&C!9M>2 z&yYr`nBlBCMHZSNv3MA4t$lThw!|MPZ3z~n+@{6l16-?*k%VG0V$}>3dDbFt8p(47 zOQQVm{M%;tEk@A=X!|5}<9mP7uLj6LO)L5$@`3yx`y%RJ{XQ~2h+s}FG}J6IK*KQ1 zZblO2ECz!99t(zd+y)|Qn+SA~7Uv=z zm7>H4<-G?ae43C`A9E40Hba0?&7T!lyR?u=jv=aT!1t*^esOTw2zyC1w)UWcnZN>rGf=gzYzrPP zS4c#DpFGQ?TI&Ivn1fMOjWJ@03<6jG0_H3*;>txo;`Wdntg;=8@Le+%+-WqV1rpti zYK&sXlIpO(tn(T2&H}oNND*fl_(sAAwweag5()^LMTLKvMTJljgzgKZgJ7!oWqGxf^Y$!kRzw?-n_X*X zwnPYr1z+ry|VDF&sZc`sZ5!9n=? z`$!z|6(R&KAlj>X2-*l5Y!)@C#NW7>(-Dko8e9Yze`sp*P)CE2FTlb(FEA%~Xto<( z*3U@{CstVzq?r*td;RZ`XWHe2;&8M7Z1xwY4EirmX)pz(>*5MTlO>=jub^^959t~# zLoBwBtyCoDpC!3RK;wFmn%-In!*TysW#LGgI)|?Aay2)G$M2VQa&kDZC|GczC+1=h zQW{Azhz-2XqPOxsY+g#{0W4;eqC>}D_{8yzY`*2yYP(S+w zz9H^AJ=({NDvS+r2j2|_l|HzE4UuaryxAh>>GA2q|tMQ()&BQ-Xgt_@LPI2T=;LWQ1j7J*pztRVuC zo;8)shK`#-42dqd!Mwjz@jV2Rv?9jB$ji0mrio4Wq4dyXW3@A z3Hk!*Z>_Z~=BVFD)pe7tkvz#Re{LX@jAg?M@kNSRroc|%CTO6dmD#0{2yzL8}gMJ3C?%$l&UOd5%s=qdS#Qc0KJq%xWag;E$TAZEvS1vk59Dtd0vIaFBF(V_3-_21}nL&T8%YMAAK|NkGOSwyVisodwAF4V*H$MWRdg08S5UlIM7*i~r`uI(MpNaRTo_V=WUl)Z{<+NG>ukU}Po^pZ?D zuzgu;j`3f!t_~xg4M%~Hcl~yhNCqB2^+MntKQG|}iCMw~30P(;5$7XDoKrc99Kp3d zGlS~!=TqeHC~Rk~2`vJ5@(msOv22%GJ;gh~Z^MXc2X1cb)GLWdQ36*tqPSus!)qeCMhP@H!sccrO1S(v<`dspE zqN})K7<9rm9v*MT zBTu;hf*@6_2sO>MzPbFJ%tSLJse7(-BuoE)|L-13SyI+dhMv7ei_YR6G54dC!yrpW z-IID0JhcU^-HW4`x|3Y10b{&Fj+mI?CURDF3jm&9t~{xjpun6NN)ilTHyPolmj zcxOguR?@f%D{hTc*4v(=t99;bc_Rq*c6(4X(8f9j@G_CDg0nFZDC0qDP#orVj8Iu|j<(e(21`Yf;4m1cDpFhY4zx<*Be4C9PKBH( znHPux(wKju7N4e?bMSDOxGRTdslR4hlzj;~5YcCpRvVZ2MA(n5D36F6(X|mXi{)83 zQ;7*P)(i`yM2HmPUboof5~IyfkO&`7gr&?(LXCnqLqQQLBPcOAJy%Z-5vY#`|d4Xq?F`142yqZd6Rpa2yh$B4hO@mn zy_g>#y7h;BI zNe50#P*q0t)I^k<4t72ETnGV@CvkMtUETT4x*E%~gh{mr^?7Ebn681?%&eBO25R%Z z7z|)EP12ACU0Fzjmy?iaG$Zs6hWow2aPrOjpRXT!e^$v>l_+K2`ZtNb#2M--rm3`( z2%@pyi`2`2vqR0kv(`WXs^vJA#=^NUq>FlKzjKaU40bW)avIMXdayB!yb>O39CwX4 z^i;+Ld`#;QEznt643=r;LJdU2qh&gY4K`07iA6RnPc;%^v{=1{NF*X9R%}iq9crWt z^e4B)Np-2?vp2FHgHFo548Wqzif>FWD^vy}2!*m>5C{ZsFdCEKQ4JT(7+v>*!>p*J z(3sk+@~K8p9l=Bl*%aNYsE=E7-XJU>Rhxi18T2NNm@A^H)~Zp=AX7v|VJ()wwe$Y| z%iYuKv}Tg#JPmei#%m;rVhU_DI!QBew8>I@ zJklk9K~RE*e4!}6jbz0vM5@|@Wl!f}EqOSh*41Av88vdJP} z1d%isM1+f?(#7cykS91{!iH9KwX%6Va)pp53)L6p29^hiz+14<)p1`OPyg?8GoY{1 zIQq;pSbk2Sp4N!Q@dmrSJo8#<#kKqoT`%%VOU}_oHelsRba9WxP%YyIg1nG4*kU}ybU<9QNO~5!++wNA>)M)>6}q~ zLorXjz+~4Td)D$oDye@o%|3oaA;G)*9Vwv1@=04>Fb)Vo!wrb7Fr8dD?3|`MTm*BB zOZzV2Ry08&^xNYGde{Xkr?j(%@)?R?3D`rS7wn=4-B#vQSn=-dZ%~7FJ8;7kP1?n2 zA%tNKgS6ldq&?y#{=ayvNOp9V(W$;dYU3T$x_2r(v;07Sfdp@5bysV7a75l+nR2^e!ltXx*21RbEeK&!@=*FH6Hz*S&$rL+N*Dl z*M|c&0*CsmG&AC>G*1o8Hf_ZAE!Q3r2B`bCSkB;1LPoGi7UhlfkkSl+>;QC&F>~Lnj~?f!vYi&i z%C6-^Cmm8L5rqK0M@|v?WFiDX$T58KF%Vo6ifYNNPoJQxcm#7Dg zZ`|+HDr?Eg-ib`$>3R6Q-khBq-*8j5+4lsrLCL~l$Fwh$foEE#FGkf;)wEs87`aK) zAA^1EE*L|CDdUQe1ZrjCbz2ton*?1_2VI6{>3~C9nX8%(bCq8A6_xOxByk4rPICgI9!c5cK9uN9H$mz|Y{*aW zF;Jko4?B?tx+m!|kN}up!<7o{*pWP=b54AO!IRmX<)~K(&h3hn)d)!`MJ_x+yn|*s z?Z==8sKqWCWLI+(oi^#97!52cYSe{`!Mq_VaYt#M!o?4vmJJG2;Qa8O=U`=|1f_g#+ zwEhQ*1a^~wFhy7C28QMhNGim$`P;;}fS@!7Fme2`&y(m^;46irf%)vve%hO5Fmcx*=h~d5HJ{OShZ`j^YKYx_N-RaZb$}8K~wpy z8?azwobQG5PV|`i`zw49p{+aziHv}}FS0ZR)dPBktd4u@x>L5+T|$n;TmT1GsIj@% z8$pKIpibxZ`V&God5*8|AdmmA4K5+hw^16h!fDSj4IS;PG?{r4d=*;9Bbiu?md+bXd8Ly zSiz8NP#*{W4+j4RKiFE3b*GTui`5D8tS{*j)}5C>8`Y%s6XBvk9H@c2L6cM(apER!3eQ|odJ%@%ph@e6d+^XrOW=)erUD~0W2%J zP!5#DvKY4l$`!H9_pz~J+l zwuao3a^pipLK5C(@7a%&B31y1Xr`D*hh8qoPX~F8sVg(RY=jcgRj267n8j7?{7FKq zUTJJqqLfd%s*F#DFRJ7d1Y8IDF=*VLEAA7>D<){Q1`7I7j;mqUgh7OrFpxgSL2gGr zF2^Gjz1c;X%UIVTt&bmMpIn>J2qSq#9{yLI#y80d(O`UYas`gz(>(GD7B@7?2sY>1 zu;CpeHCeX1Z6c5L%hQVSniA}|kLspVpgd`X2q&UhvW#wG@5=}DwcI^1(4p|s@$~d< z+5^2ZR@s=^tgtUBpr&d;0;s0f68lB2cG;@!k^x!f%fe@P%ubD<)5VkSJ7fs97lf74 zD8BoEg6|wjM78>d&8RAu;&LjNeh(W|=GjF8l?WB6V~R4SPU`1}Z{Y%CjF|m}je>cV z8V#&^sDq?GT||JFM0sR6K_HwL6Y`yWZ84%J&5MOn#Q|7(7qhyxZx zFxs>icuW85Vy12(=J`U3Mv&dX0kV$Uu3RY|%xa;Nkqxv`Le2FGs!$dM6*?qACIJ$He;MyBQEh&?##E^qNc~7 zCl{F%X-EXfL1Ive43Sr)wl%R@U1~mK8k*tuioX-i(D{ zC>7KaI}y}@*9!?R^gIBHp^r0bToW7^eR}dthpsQUkwU?0#{~pel zP;C2(b2R9VaaH~PGNOMvV=+awD1?Uzs>dn8q?r-pKvV7sGoga~YQ_|S0S;6|wAK`^UVSyqXpEWz z(ybN+Vrj=iK%ql?Vo6WJuwYe8l12%IgjASrbP1@m_AWWz)|h@X?S@;6galNfj5usI zvy07g=Ndf=AbFrKYHVK6v#T&SvOol|_~-+%!TC{&#-TV~oAG^(1JgbU72{6{ENarM9=~|P+ ztaK*o7oLB+cerxU2~Y1XI7KK?Ye5%?i22qLf!Q^@0R6mv-Or*ms_y-!{) zlFUk5?!r%)YKJaM^0FQul@}FQC88ktGfK~j&fPP^TSG+59HxA3DPjdcB2Dx!^-l7z z>B+mjK0dT75RIeHHxJ>AL7#SbR8?;l9#%9P>z|PoWn7ty8#q%)T~ur%4p9arf>0rd zJ4reKi4Kv!i>oBu-t3gM8L}NEgFV}z5S6N{bUn=v*?tPY+{mOBs%#`4kgOr831>%R zA^)zFG8dWQfXB*)BUqUzC?~=S#)vO{bMtimaC`r7`}9vRgz^$T9N{s5-ZS)Qx+44J zcP6Lnt1d6=l2gK}QP=QS!^aV=H61hbb{A~YfRDo;)*5s$3388Ar;?ylNZx)=a?z2b zJtPOza=WpLgxsT|8kYzotX9xLhFp{jS|b&~pgo5#RVOF%Zw3SOaWFb`4qQh=UbAcE znsMhG-KkUrU<(@Q2u;vV9fl%2h?HR#|5!~Bbq;7-Ne6w`TrEte$iAiQ3U!dvT%>X+ zE|Ow|zUlM~>F8V3Yq|aOgg(;mKmE6a+j!(XeoM&>mu3wE)8PCtMRv;%P~fuF zNWC(uUt*P1wigU5>^cYCqT#mB3Udhds zQN4|%CF@C9$H$;(6#Ed2G`XF;Hj8^eDO>P3w67XUu25D=6Je%e2S}=GGV+w#B>V)> zqmu#ISaXe!GjBt`H%KAM0PCG4$m2qyq zN)Dttu169W4!%p3fecW|LOo#&zvTq*PMjNTKe)HY=1P{{60Hj0JBbXw-5y{^VZmAS z`WG*{i&5DKdJ6+hQn7r>Dqq3Z(@e6oaA1tbqLl-Mucy2*nBpg`Vqjv2><1rI7Q!(J z?ITIq10qNd`*5)Bp$a>!O!6bm?GO-?z#55JVy4aX0nrD=bRZM_AmO{>8AF_-zwi`M zZe7A829*|KB6xeA&80U#TVxdX&q)L&?iRrZ zakR*rr9(h9YkzB4-scZ=(Bp%T=WjEPVp3f>MafWl1{QHp)DYy0=SK_J%n^utf*2e$ zmJOPiDq^)M1~|g5L~E5Qlu-SGgVeZHGN?;Z;j3wat#l}*Rg-kXAkiefUC3d!TeL-e zx<==Vhx?B^Utd4I{)|Ye|6|Qky#p823%0GtjGdiFLIzx1nD?jc_A8xQUtj$dGZxG5 zFQ&&w2S0EMqN}vuYIuYsmH!EH*;fQzZMXLyKR(_(;fas0d!Jvu`s@E_I;(&&1&wIs zj~;%>P%-n7RcP!qAI+uRGdIjKEEr~Hja0J8@8r6}YH^pHOKD9C)U#qP;%VnRu`-Fz z1%UtaadZ3rAJ&N$H3v>Y*Apd{l2AwH7KnGi0oVprh&J;g7@eZ@E{tm{BojV2>R(&V zk;L+4ize6GN0_f}KJ0w=-FLJ*qJiB%w%2!e_v{(DqhoUM(eLQANTLhr3 z(UPhtCSqm;7=rN^iuHUSc9GmYm}6SgD*Wh$8+3P_>?E;IRDp91t$UK8*J?=?+YIVH z@Wvg3S>xuW2|n;33=GmJMHd9Ji-i!7jzwCiks4Zo44+9~uTwSn31|w75MrH7M5}5b z*$Bt982yUbwLh04rKwGvW1?R9htvCCX{na>eQA%eQ1gUh5qbIS8u10xhE(92A4L>>41 zOP@|z9l5Hs+hsDO_#D*j5QUi03v~u2MZw-(!v*r1n$&~(HR4eAsF2Nkd6a-A$hn1! za6lKVeht>^hQB7@Rt(1+z^Q>}rWy|4K7%0~5~9LXrsJgfEe5uonviI_o z$xBn-G%vpBP4nW5&`SHI7ya$!7ya$!7a<}IFM9RO;rXmFp91ogL+fKqJ_>^Q5jMw%afGNMo|FIzL#|_Ma!Z>2BW+F+Bb}-j2JG2Y|8Ptj9s(P zhw*Mun!92j#=GG#m=-{S#K*&`AlW8oYlO?9n&RauW0I{gU6P?bV@20}*FNUKXx8n!;r zz8c_*_!Jatf)NEZFBU^}B0;e?rHcC2$psA7_)j-7dzt|tx{2yAGFR9OWY!)3c%M%l|NTOk3O~oO_Uc@FH1kxlV=;U%INc=ete32rp0N%7t6_6cO55h! zQOO#L1K$fnm8n9^)!d;{MCIt=<_RS*Zk|+2lA%A!SSCeA;T*knq9dDyI-x(qV?`tz ze{=gt-5i~R?w)pTzM@)9;?l6|+h1fPJjIsEWGa|6(l`l!PccJ5MeVgjOjZkJVWdzi z6rpqQ86tq??DPQfB!`G7$+2H-;h^C;mG#fZM!FA8y`9CUGxN4l+y z@-Ugm@_e&Bovl#L$)$6n6CP;-+XtrlV35}f>R27ub@&D;$)c+=S$TU7PO54K>FRYLVz?Y0Es?wN2)`LeeDP!2o1zs9`{LJv!E2W+rPP=hQ7D+H=K z4aWrYhvX-Ov|B5JB1yN&-gr493P|`|8b(}E0t!$`dSNo(<%k)rxt)4b#&TCz=ZRg_ zf&*j1dairHSt3Ci+NiAu<~XpPZI*qDwq;hV)olH^A*yL^g5Wy?D>Uy3%lm5U6Lr%d z897yS$?kM75N$&qb#&@wt-$ZuEExEMGUuIL?;EYXZ5*zBH@6Uw!x$+1XC2n?P zS^5PP{fx|oT$v!}LXZrB7gP?RRs|zRdr%>KyS+qjLFmispS#e^C{!tM8z1=mbOF8x zp`Xu?=Wfs6yesN!^L28$0=D^m^4`ezOn4(ngH|8K@d*yp52#Fb^AieX;6~na1kOox zbZGVijaD8(iod$NTrMwRV{-D`8gj{`Jwf)@a;G89r?nmZYOH^nImX5#Pf^K^O%^1j z*b>Qx@M{9P0Cz6K(H@~P9La#t9+E;sgCYKh9b&S(Hq13YiknB_-ASg( z&g-U>!SddqfNYN<)?kFn)gv_B`S|Jjr$>lRlqk4S>x=X4VecFM2@_ZvpHKR(bYD}E zWdmf#)Wp$D+^H=oxS$Qety&Be+fd%9ZU<7=B+EeGj6)PJM7<51kx=S#a^#}h)&djC z8H(O~qsmmRP~KA?lqSL9%rzSAlt4!RLD z|1`Kf3URG2zF+~UO(yi7(MeFd=1=E^(eyE5!kstWu+LKU5^ z5r14|T%Jg5P1$9vNEOai)UejMYI?r_spk$H>Mh&(VJJ2Mi#n`-3@;=}%@Mo?7a=VxgkyO&D>(vrYUA=;LjZ~7P>L-f7Xj) z&Cum%?7q2UpaLJ^{}uu;D(mnpl_DqXZ_ zvQxe6XVM;&LkVR@e!c$mnndNlCro=vd%YS>*Ck z$!HOM&rG}CpL?iF?5;pJ?*U%5ULPSFTcozqOQAM^1#x=KowlpiX=5&8p0g#|P>x2e zpV}sDZ19x^d3!Nzg}iLU4h$s*7Dopl`n@3j3JD^E$ki*__UX?=+et5+?vOulD*!xX z%QG=fLL@vs+H6qd1%&%(dfMA&{}j$)K6ORZvvbmDFrA=&B#bhfVk>`tfB%o$n{SZB z^7udl0>S}kK~PQxTR6YKex;7R;M)_9m(NqeWh^xIs11y=kH8)Xjm>0&Y#|7ZpMQM1 z|LwiKH^?)RWyv5-95rfIq7#e6F?b>c7^vdCJZf(rc~EFhKu)N3SeMSDq-#(iPr=;{ z2v6c3RRDUo2sF+i%|Pp&7C*^jKH78m?LzODLj(2%G8g zMxvICvQqOQ^;TZef8OVcRw*RlD&Y5rQNy9RU-yYbk{y{&GtSQsw99c((NKQou?Spi zdRZs8P6&;y+vNk5$tMRBhHa3;{pch zb<37bPPj6v-wRNXJ+YRpe5on1YnRy9qh4N9d zHTFT2n+ylUhXxL7Liv7b;i5}ZEnKJ?>4d>e!ht@H7MZ=qV*t*F{71C znYr*g?_c%a_x|NydZ_VZECI2Sx?RWHAAUGFSu73@Pf(QJV1UkG!fVSYJ4me#(Gi5c z#l=aR9|#3aw2I#AUY8q48YjPjHxng?wzD4~VWF(^pIFy97M+3J!jdMx?rG7P@behn zcxl{&a#Nq~?|xEYLUa05rdXb(XP0>ESO6|L3Z7gs8WGeIoC?g+ihN;91^Gljo2yqQ z?shTc$Wxmj@B%kqfdg0@O%wCO8R{x%l)A84q*jkoWkSneitLY}_Aqqx z6z?;g8j9OPFJsASN>G!f!=SSq!TM}Kzt^c`WQrpYOm1W5aYfg7=PRw0G{rsHY!N=y zU}cR|tO&EcIVw(_5vJ6`(CJhOb3@9U#gVqV?$w6%+hk;Yc7fh-B4qCiCG%7c*EwMt zQ$WF~Or=zw@0ox|ys8f+ulGz@JmFao|~8tl@7b^f&`3_>P6Y6L&i+&Y&r$?%Z~Wv zJt{tGQY`sqJG;M6)iL+QW{oRjxGH?Hd?u-v>;?&uddFy}|%D@QZ-~)ddw(bUjiMfE6==!}B#l4)NEY zsrbVt%#I$>oj7)m5|CS%QpL)KwW*ie0f-kcn*f4uScq;sw~E5bf5z$mrGl-kAy zgAu?Ml^q$UjnmTKPN8w>jgeyfc?wk$b|ZF1KbW2z9Y;4te!0T!iU|bF5DW|N9kx^p zRWRt^Fiq{3pcwEfF`j=cKXD>IXk1%T7Hfvu&`S++!k0)3GlZR4!{4Jb9<>PHlH$cw z(ntuD474W*>L~OK%yNCOM%`-IkH})C@2u|il>KVamU7E3pIsx4q4jZjRdLK7cP9`J z$(gvVHF3_*j`OgLc)7Cg`F#R4r0t=FyG0O;D=kQbQU~XVrp}Q+jKsHTThkB4^|3b) z(n^VsMsua@wBHe4Q`aKeI0tyeFip=8b{5+?9Qoq1q?xQ0Nd)^{wO$u@$)Fw9F z3yl-O>X&CK=&G8?6~1IY!W@yG;JJ|kJR;D|PNtBb5YoPytzjxGqrvz3 z?60Oq^B5a^aeR)nzA)Ro)ns845&XoE0tr_Z}Ua%|Et&wxAv`e7?O*4awWr zMrt`fn$MS~vsC(2I_m>MN@Z^YQIkv;&_xZr?I^UyfB-A!GT9Paj{bguybrb^}V z7w79^~LoBvo0n0qzf{&xHHGvjV57jAz1@{{m!7qA(ZkO+DNzH~^xnt~Gw z06>xR0szBOc&SY(h|lh@%XB?>k@V~$Ms|C9|KlTiw4R(FNjb48Sfh~Romahhbv1o+ zGTr&*`u#t4)HNB*W+*V{W`@XNU1U9bcOdAlwv8yM9;}PqNrxi-Vc+^&t3DmbS`b$T z5Lke6E?zM+flvft>S$9W6?D$gcR6v`LIRWZLM+P^1U?t`AV`D~k49~fHY)@}$s6%o zYBs0YZq;#pojsZ{P@x*C;7V|gD;?~dCm=wBX4tmX6?0DPe&bw~338(;PKoH->vk}yb7A=Vb`V|@~FxU&dpZaXKVx~{?B1;i%LmxB!RVE1f1Sq3C5c|z~$$+k5 zT}%8(+c*i+@z|h_QN7iA7|{UiO@f>L0Cx3wmk<$t5nZ9N>RRF}4L(wsXe#%~2NuQ- zh=!0rC4#atqH7BSLN#!Q2Oc?PMd}d>M9e%Oqr9w7dH>6o?d`*VZ9m*TUjO*%1`J4g zCv5!!2Y0lJ%*l*@{Io@%;G2hsFTXr(Z|-={2ZX16y#DlfllMyy7&Nz4p=(e)78?)b zdDibh#m168=w){iXZ1T^W%2|8_$nbr+}_{O|C^Ow-a)Ms8{2L%3Jxmj5j;=p4Qf8n z&TsVgADO(Ck~L&ilU}p zoBlqNcC_a-t==rT`>h(nkC)RmyJM*(9If793S&CLU7>(?6Duxw#4blOeP0V{Id>?D9P^tdQeGu~(EP2G{t87uV^yo+c7Cyj z$KF|g8Rcc8g4gbQpll>@LabCi>GOrO^HP~dVLm}DOTxeGOI-vQU|AAo0G(N-^%40R7p zxUaV8WwG0`U-7KWH$h)%Chxgj0>7E46ioWLN|t!po-K(cLUh_ntkwyR0H9aamX>Ek30j%?q*L-eJAs`a2t61 zZhA3a>MXq>#2~5Ag*XLy?{36Ly!^V5M$pp&OXc(t-2$UU@2^qGIwx8U1m#~2L zsGgafs^mlp%);haYkpKr(3>6Dbps^s>>RlOm(#b)3+ypI{}e%azh{=fBlfyut{?!! z604g4qelXm+4X#3Dq&}x5lIXU>EJJ8c7(sPW3s%PL=5l2%>==ca*>aYh7`}BD2ana zf6vX{iA#%h^7l#dH*QjvsFi#W3rxW|tWX)EYDJZKvN)^?5!5MZmwzHpbIS&vDT6S2 zDmh88!lDut5^6!Y+JJf9HT5|F?Y>C?)#+QXL?4}^YlDC7&}ewaEIkN#H6%t`kUn>^ z&|8t*ON}^FR4h;uN8UM0-XRF%zVk)3)CA!n<=HWZ%0NVGpO!5Nrh9}Db-+ntkWq-p zD5hrX8%zST7olAx9U@xv5Ta+UMR+6dK}{FYgGaf+$y^#N94PWEzgiHwY!J2*4Pk@O zwmEydJ%-%yOnx15DxU8DvHf(-E=RuA#?sVFu5PV<9+S@aXu4Y9+QuT?i7lU;4mqi4 zO^~zO-rsF+zTTp@?ZZ!x5)dV)3B7ScZaA_)F4HJcru$rEi!0=O$;9@6zppwh@2n6G z2u1;=>cbT@>X^uP-~<+^ecpTBL!H5({FasAwUvEK;9?Dml4OanB^qdup0&hmykK^4%Gg-Wjwv z0aIyGPX*uiAP^Spk<%;@CDg7DO(X6@n&UT-Oas*m_gi*Ws)hT}$eIO%?+;vuDr4LF z+e0*pf$C8prm{p#Tc~oVg+@wVMQ3GnNkK>L$$=;=moer z2i;ok?Oeb9@%8)HA70cvo=%khfaazbLF3x^iMwHWySdO z9)sm%DSiy&9n*fuB!|SEHFGWpat_kEu~L-clv35vDL{}aNmg;fhn)J>Cx9}_-e)95 z5))g5#)IFm%GQ`}t+^Amt^-Xbs9Q!XUac<9A#b|Hu|DqWtj?0>!xHil7MuHr9n>n? z*@69r35dTSwNUf^5!;FQ0KCo89vRXgG~gUPO14m2*HCF9^-vNd?DS$aOX~=A&QTh} z^ttunbb<6vb-R-2G)N}f6D2-71*;meXGkmN-E-tZ|6R!H5H;Y-*a#)xFza;;_&$}x zvPHDplaf=)0Y=M92D?FofYitl3Dd`XYzLvjqgK%24)oY%Ni$_uCdiwdUO187Ko>U$ z2$Cf?3+(tIBJbl?3OT1_E{*|LKC9kWoJIY*e|v3IRt4VKPi+d!v9x2+(pMf>vd2(A zV|x8iLPTmB!zx3Pr8=1X@(LL-j>H9UwD3Wyxo=vR0B*Bnji5kKm8sthyf-!cghp~! z;V)`IN`@AVkD}hCUz_@0^YD~;7-h_s-P_;yClCF3hvDACsb5$gByz1q9qEj|*I1D>QI_;6bW3o7bLY|UIOI3IHCfovfDf{!3Ix?vgd zH{NYd$I1j*B%U2&bKLB#5>q-de9>jy42FoZNFLTQZ6~jJIrIjSY>@obX3PLiz+~y~ zAkmW{-E%u|t>-AhOd$okOTkvtt~%rcqZdCJoqt^OM8clrip?$#p3yw7AK{1ie1qOb zA1%8c3}aeRjfMVaK~{~@bkv)(FAPrV07rvSFz-rgJ%Z(FMjn|NnVJtF&q6;5$4Z)` zSI{+bv~$RELEs%SGihrerw6SY&3XjN6^k3e3}W_Vz_!KZfb2aNl`|fpy&P!nGZ8n4 zDtd9wV0Vl;>PBdW3`w?31kfbYnIPDoZXof+!X)LG!dZm$VN3ro2pUEegfdL6H7}Am zJfln0TZtC%s0}0y4>jL|e_5F}YDpV7dv&=Y@h2T@pf(TY{R4>nP9!@BDe2lk%bR+P z51*CR)WNexqke;DrE(AZjX}g=)3NGh7b04NN)b^&Z~O7f-TOfCG_g>%D+ddt&qlLM z>W+2i<>iAGvYi((fA zw}#=gE0&3)NRWC~H<3BJzhlmrbJSOEUnf<_b9zm5O-E(_a8`D$M%5?|ir{**=&3%P z1Um%f(DZ0M-d!9o1qfwHnK_c(v^$6Vm}?VcrELlZ6pu)i(KaD%8k>f+aq*Q$qEes!y$WI&=_s~J`Sfg%2hY-ZuKF=~Al-FS zl-59k4LUr(U7{8#%pXKJI1lB8n>pH_fD*H4YIs#Vmf>99_4xDs!&47>7#j#9ifOsk zBujWX2^$QJ6yLpthqil(DF1{nx>ROtz|XK8mh%g$1s4h}nBCi#E4X5V-LP{;#s1Mf zmoEdlo4q=et(0v^2%DBcTHpvkc%_@iZYx?yFYj59K<+x<-E*^#i&|wHYCl2{ALo>5 z&r$0=BYOtEO7+GRa?2uVAtb#n$uzJLA@OCF0ffv-!+O|IItKjID#LPcsX>SuG})Oz zpP810!Kvx5STYuCnV=!}8Fx+>Lss54TWi|=xEUXZO-QOuy2;2aMw!{-oaR7TrW^x` zxs<{WcTGh!DFWU~!^TpCw3s1$MxQy1A(YQ^6L~t~dse+umcw{X=|vR?Pjj$@TrP)G zR5)Aqy7NA#=u5LBW>R2O;O;V0w^wnciG7dF8wUDTWiBP~kfxJu+R4kD>0 zcm|?dTvj5fYf0k*jBReVCpfj2n_yzlnGffWX8vWpQmW-TLYi*hAs;$tuShKuwcQ}* zWjP)(oQc&e(mNPuI9-SdiWbH5vr9ILcxi?MTE{$DK-8pBy+bxi-U5YI>jlE-k~j`) zGyE+b^Ykq-X@a%PQ7#izxYK}1;)0XXlexhj(&{=TBS0Q8#RW=q%j&iz-9VFDR$x=WdRl(-&DIo0O+$pFJCnBgLF$^n0a^HFr%1nQ` zvqID4{^Xq|*+SoS$G?2I`E>oyzdT-l?*HZSpN~&$ ziT3{f3xfc^dmp5EXf|vQ#Qy~GNFrl4g6loB06VEdob9UreBpAZlhX-E^C z$kS#VJkn4wO!cCuBJ4R&iELVoFVMy~78 zS(S8F4O+iNlwp#jsL{;*J~N|0m@ZMbY{UvL*N;Gwr$@N`-+%h@c>C*3y%(QOi?gH& zMbV4T4?HX790c;}Xhj&W&*N?c=Q32kE?di2ra(30#j{vF3xh3 zAVS_X-`E-s_?f9*(;C&269E>1uYpSRd%HLvJ7*J!ug+GEyX^se&UUs26Q8rT`)2FG z>Y}r@!oDEat09>l6@j+Iq)D0pyQRwJke&=LY1UKbS@v76PcX2gu$(nv#ivz39uWNw zfuU7&v9XsBMx;F3|B#+f$^rkJM;@T>6=$HrGO+pxorNr%6TCQ>82h1tGMISoxb@6b z8z|^@c_T=g2@NsX0wt|aV$fK}cm*}OW-4+-#4#BdoNRek!M}94@|OB@`eMIxP@eU(%1NM|7O7ia&jGo-4g4^+)n&il*B@_hK7DxA3%z#bVo$F! zY96A{Tyq-YKMHYCOb#dOGtKrxizU&jn}Is{4%P_3M*6OqR9fyIv8Y7XPZmhi4BevQ z;D<%%>y$P2S$%*25sW_}s0RX?i8N_Y&!{5PkV=}zjrakxW-boP3-Ni0yj!23bdo?H zPz&mVnIy~0Iys^#(=96;zcieYWq`I;FFRQ+2LEEG9K%dkfierr9y7TM&+pEJ>|^h0#dVW4EaR^vw_&-FGN*4bG(k zJ-YwF>4Me0!8uIP_=u4J#!5vWKR_HOCZsZI;4xbET%aHZ3gls%9`}GFW?{#eOTvG} zcw<{Gf=Yb3tlqCzfgp%w8MbnN#4Lp$%>D7d5wjIG=$u(G=ZHRCo(6_c8?3N{>NMpz zx~5sotQS$3N2f%5$PNI`{XESUtQSqoWuv6VjFkM^=`!zdwAp_yD2p=&>RanD5y^~( zG?w2|nBmFAu%wr|MJLlb_Ne>00<5Vc13*gMV1lDG?nGilznLqRNPtFD6QNHmWFSNV z#HJ)n;8#N}zkh(B!V8p4#C9X_z)F=+;^+kg^JW7%+igtQ!}5Qx?kKGBFeY#Wp#?3Zo|l_Lj)0PgshM0zz1P3!qJ+Brv6vsMQV za{hEk!GtBdAVmNziI})JMGee3iW?v`b;n{T z&{skN&f-5MiY@+A!q)6|E7gVEnng4u<#~Ojgiwq|)QAjB+=L+ZNcd10uW;ctBW5AB z_t*pI;haza@At;)!M1-UshM>ujnquhDhx|S%)-+&=1@}Vpk+oriWjm_-VnqJ(vbii zkDCU1%`2>3b?`061bBs@KNmuPfJB54JjZBd#_o$V#xKrzo+RpPo~4>H<@wqzGG*_@ zr68loLLaf?E#HznWb*P1JjCMzq+3}bgbIU#5Y5U$X&U~x8Ntk$$RyHgxP7yWP)-n- zxMGn5pr0Z#cv@rm-H87OCfF$aapGn?-GTl zYlM!wt&)ob zeH42@DSI>!ikCzcda{2zMDgW<@JI>ILlj#D1%d^;c`^784U0j^pum{lMeEF{1~N>{ zT;ovl8<801mguJ!b3G0buoAYbTZ;?P1vIJB4{xy{;uSw4)J55&g3D<*E&Oq=9d{zd4JNRzls5Al*G z0O3>djXl@(_A?4c+e}mXM``+gLa*%1mmwJX2F685ePakY_*a|m&D8q z(RZ!Be=wF@bJL|z0{YGN;0z_rj~C|t!kMZ+1^H(F+6Gl;K=%A7e} z{4EcY4gi85Ni8O+AudOfH2Njq72((-Tt~Tm;MmGLp!$_r2k4)bTyXipr3?}|YJ}?G zM<|wi0l_FYGO2Ht`A}~2sMTNTfAwDN!SYaA;wfw9gGy@Q>v{NTODo;>>H4P~{^K9F zcOPE!kJ}GlU;7CE@roK)QR7~H0Tz}SJ=&G;Kv=^7-=PpGNQocI?JU`PD7zpVnTG3Uv$Hd$x6<8}?O+E?;`OOkJgcC)!vn@;Lue5|QdbshqnkEX zTvgZ-L4uXLHPs5jv03$6T`W<~H_bG!kNe?vK1IIRbe3Tn48F&{048!Ip*!;D!MPw_ z5RjgqRuPw8xPgmOmM77V{DF9*?a9IFd)2I4m;F1rr`e!<-*)@-^B1&L-$Fl2q(tGT z)RGg!dEBVfx#I@pj!`|jsN*5CjqNqN>%h;Y94Hs-aT!Sk=CG|D)Jr3QB#8F7eafLM2ssNF;jn)2AJyU8UBp57I)@w} z>Juo&x^Y7Fd1{1Z2o?I5$W?z<`woFFZhlduEsbQt?j!aNg<%mn<$ogBMKjBEWD5~9 zL?*hGLafhXlyj()4h!nRCK>VFVJ74|`3LL%Ch+a;>dAS{GOG%B%w^2&>H+fnjrni| z@H!?c+$E_<^bH&@-+>Z)^gFDLG)YzG$hI1dASMMwEeI?~tIZO1x50m?0a6f{TqG)2N4S|Iy(~^V)Jf`iWvUs z)p{?he5x#s?xGQzjZ4Cy$C4hRcb^=#RqzcFUoiisFCF2SwdT{>j-r-vjIm_%)GvUD-qUw%Ih*fTt4OT$A_6%7tbbs@G(+AOk5G)Hj7QBA5a3`Upcg=wavdjG)aXouUR5 z2-VG%H7Oqsjsw{{pCXJ`O3>a*rKw~34^NtRaYVxSCB2ugSfsQZEwWzKY+Xc_p6F|d4{OwAUAX+(QcyoS6 zK>_tVks~6V9O2b6DW1+(3vfp6x3)$|i|B>Zq^XA_&C5AJWV0qD07A&3iFn1ANvinr zYz4<0$FZXyiK!oNQ9bqJFJjQcue`Pfs#npv*N16uE770Z>-Ycl<@Vub`*8FA%Y%fD z@@Cw{XPG8+KHcCQZ$hnaZXT~eEq(KDrX~f17YYZ!o}ySbg4UojWgMp*6%yr7;)7H^ z4BZJ*p1gcVo(xwy0F$ILZ*&dUuDBRGM)ibf>!8CD+0#{kHogjxL)~n!kg_&hD%NyO z(HC5tLePXi5gV_q)qhoiM=<&j@k5Z*VUUbPu>!g$gB5~Qou*VNE=WW@wHTNTKVdY2 zIBpG63M@7eAp|@Gh!SaCAZnL%AYAuy?)|!5Um(;)(Ep#YcLA|1ThoN1`re*luxA_{ z$C*~tW3_W9vTs)1v(F>qL|^;%oL6MT%@dD0Cn7WJHq9X_GqNh_tjshsvMQ^)XGEM< zL{vmXR76EYL_|bHL_|bHL_|bHL_|bHL_`J=5s~)${>OUlwIiy!Z^qest^Hc-e?M7; z8d3LKB#DBcFCR>|PoBVa2#($r@~aWQ2j}9axh(~I@8J7%BDniT!-3o=bNMhyj*<<9 zkA##N&JO!2OJen`BtnLOEXqHs$SWYDY6%b+7DE0TN_@mZA&XGhqgE(nF$L+OP!i4m zAVXPz1LQo51Q6jR3DE(qZqMy&wQao3@Cn|%GZ^B@?Hy!ru~bL$tu{i*qL)gggvO76 zuEPT^0OEFj-u*O=-WeJ6^E)GhehxKs&%?TO9&XbtZ^hl$15!2T6)dW_`IOjCF z|3WP;ni&+C3uT>9Q!lU_30Dr81hB<)krhu87~V!#WD=4D?w-UXf$uTvVDLR=p-{y4 zm^GZpd*uZZE}j6fQuk~sjp?AmrUl)Il&|49%p*_2ancdJWD70@t0W>BQ4U0F&7%cqg5*Oq&B6PcaNk1AT(p! zj!zMahm?RP#}_@sDy>%cdv+*8_eDOpTrkRViRewqJsHuZu$bljYRvL}N6hkmh*>7K z8he$P0R9tJs5V$xr|L9aEthu8GDnSq80awtp*Vv|p@AR7?wzQ-Ar2mk9;B_ujY~1h zftgUNhvBumCRAR%bE>|+M<~1)wpmW_>xo=%M=R z`=>XMaFg^m)R{vAo9k!ydsi=Ce2L`X-G;UJg8&+ICqR3ov(DWz3bpz6>J3t3-=j7U z++XjZN9AsYW~87&f+t)Aq^~waFVdc^ zl(a#BB!u2(ymL4`UO{D5NM^7L`?VuZPAP49TA!$AJ;|&so5vN3rYN*s(o!Rgre#zJ zpH(`J^8tMrRA4t+WFjnH(UVwn*|tyMLxX1kYW+eG>!{yDSw2It6x{MTY?XwEisgq4e7^hiUXLYRd#hMQLpqNmp6FtYc2}&fIMN38pt6dF zWyXr1)wQU|!7fo~HzPMpP&5zfmiA*(@(IgvVZ1Mr5m>*R)_HN=`0vkfgbnYm1B zS`ZNuzyJ0Ksa68M(P7*gmInl#z&0Ma5w!MRp*SG4fa+)kE$Z|5fF$OK-O|FH!}{@T z<&OEd-iZX5UQ}cztiz9R$LeV1&h>fvgcQBkQ`8CHt`=*Q(t&wijs6D8-ScEoP0G#U zEFwnjMT49OA!3hHx)+k(_ELcs)i5UBDR?k;w^l6e5#Gkr7&RmvgcPm5qB{y!#G~Mo zf~bTYheJq%DV035R15AxI+T+t6kn#Oo|Qgy?SgbiBm$@%GKELz zHA5$&bRgiK&eG@)#Uial7RSR?A&ezJ3-1-qR-hywSLjfkVbHj|Y&!sFE!W8llUX<~ zl5#1mDuuN=(NcyQm8WSyMzL#{y1LBO97WMgG4ElreDM|}6}gCzlNU6;%aq$A%w)># z5oY$FTce}xNq5h&>YOB6!4ek8RWxsmA$NjaZN7VV!D2@O9twJjW^B(E%FC$DnssCp zMF#3^Map->ly7xSQ~Ys`$5QqMGtD3r#?CaJwGF~dg)zF^c^`o+>WYV~6*j%RIHR&C zZzzSZ#kfPhG=J#It;B6N+p~+4CvbXFpY`zWwwj!2PA(z=UsnOnK~XA-Rqg1>W&tBS5Vxpw9uj?l`lgD)+JOVV5v7%2z-O2A(}X~!Vnj)Z zip)n`{27=((mdf{z`w>RJ!L2v@hz!b8NYNo7=?rh z>c5tZCo#HAE){HGyxeSH5VBHtf+~bQrmHGK>5x7rSSAFuS+3pR%?%S_Kri6R3KHYR z{v%9HPdU7m0|IcVh{~=+;>SCBj99T;y3NP@mes4}z5+&9FC|=*uZ|*ogwpNl`jK>e zWqibx@woaWg9CIXs4xzGC7KrS4yH?-%$LUy1*lFU>hax+-@6W)pw*qF=?Pl9%u#C* zpX@2r&?l!0x*k*;s1Et($(pCv%BRWFP8$i)k+Np!Qnrfl7HzKX4Gf@|4C$tloeEYk z%~$)8+TBB9JH;O4>w~V^GtgDo1>_rt&83N+fX35P@Ju))k6g4hj-v3EO^}a) zch~SdNV5Gy(@0xFDVse-(+^aX(jH^BpM$&2?gy~CZxJ=#KVP909tx^L3VO6$Z&+mT z1j;}Uh29uW+Zt#SZ6!OTYlwq4Qo_5Yx%TU5HYZ_a-ohQjjnU5&DyTPSWW_UW3CGiv`WeMTcoWb0UB>xQVwo zp9>J>WsrB1m;x2A!fP~B#Uw0^^^loA1hGKKVsa5FkckXkega?My-Y|0^6I}DrmIR5@x)iDNM7~ z6e$Ah^Oc^@?spp@zU=yYMk zB*SxXI%PfD_lC1bD)5)E8W29SZdq11jRt6y;Bx>VwORa(d(z*>@}aFp-NZdW>_UY1 zkpz8j@r{F6mC22FF&w7p4@R{x8J_p`Y+oe{>ZcXCj?i-%&$e_6B2T=ncM4B!5TId(z3kZ17CqDT*g!lrC|2$G3VxLXu^j^2?MCR1+L+GWb^d0{f;_S|WG zms{0(n{r1b3VhOC6)$AU-M1O9ZZmnv+w9#vWq)z!UG~us;nwOJc;S6K3Bt!0FMn60 zN75hEf7AY_kWKN^Fgii?#UQqk2Gm&=9kHih{XR!d@*= zG6#wqNCU;9^4xZtJg6ni!O_F_BXMJQjnbV$!5}4&%0iB35W|43$lwZ!ifK!aAdH$8 z<(f(y(U)$CDG+dAoT;8p$VD#r2(hq-YhO5GsVZw*M3<;8iZxS9Qcgbj#p#f~R}@5q z)Mw_S5V1j<9*IlPm?f(qvb-3P1ciAD@>11-M1zuV)3pCBN=_k1<;~68XD^;V-)1$; zlg@D1y%pK3XsHRMY)#P*iV|*NYYI740kU+D5N&sHp6I3-@Fi37^{V7HM)>tbEhd$; zUUoxNaijpi6BF!6$yerc^c@nhV++;Dyu)gU(dN0qOpeNP0_9t&b-Qhv6Q~q$#PmPV z_@I0buzrmELZtJ};4K>lM6ZrkP`y5nADhJUvc6l1XPJ#{^H}oM525-T@iSpK)U7nj z86t8Rl><9e^|a$mYfu*58NFgMVtm6#wni!+*q);w7uCLf?-Wr5)Gk|lG*`+8_rIWe(Lp3t4-NaR(A|uV~C+K)u zAbLf38OyriGXeEjXpvaDnaY zd4;SCLxH#-D8ytH0a^iL-IH|R@U@W4moKc!2hdKG)D`qJ#A_VSnE{R_RDAOBDIDP3 zm%-&uHHQP$9dF<*we~FFybMm_N&W@brJxZ9af@<-1bMjDMDpd0NRcZV)v0vAm#sDo zBEz5&g-TP4#IEWjxaPQ1wLk+Aad9BANyJIih_fDtsEy+qadE!Xi1T6Eb-?Gop|9EN zcf!?;@*=YBTyYJXXRwT$GjowE8|fee`5c0k1`~04V26h<*<$>VX+}`HKqTa6{DtbI zVDHo~pNN&i%ey=%5EAI_%EvKWUQK2skH)3fSqM)?eR)#15 z=)b)A?Qk;*=N1Tti_m?t^^gzz)dGBhW!^GNksuQ5X4nb`_ljQV(s*an(P1k>OVXXW zhf*r`(Uv9t0bPAH66l-tlj>O@xS3jpnOWyJF?Zr0G|Vnd*Er?qkejZh{uCLB;{p_R z^=iVN z@>R)*NvM3Gtl>8KsOcnhBq_o5P>H!m6l-m=H&SAikVQwNA~If_R#GUUNRvpqFQ(^x z?ORvLOVYG18qTPtWpi-}b8i&V$$-6wts0ayX z*+P!1ob)#6@zjCbC-}t3_y^ChQ!;#Z4rdx19{PwP_2Km!2v>0I?0)?2W6yf9ji55F zK(y~g`uAI22u6kOMHfU?q<`#D zvsA$Bn4-%K%ouDJ7sn9(#h4{9>NRhL$+t--j9Rp41$lRVJfAO5HErLbD=`L_5tgZa z^`O5;wg%u)+;XTE??4P!PTGt`z||~-to<0a)E6Nel?{$8sH-W`-7S$&Ya`xfFl@EA zG)^`c?%&DDFvm3YKy!kXesq{UrmN-}U*Il=i8)ybOtB{RgN9S$O1~o8wC8X=)xslC z(asbhB&S0PU!~-&wiFm|ItCn}%VF70HfU9;eW8^v_M#)D8A$y}ZSdqWV|KC-7}GIb zE@Ojg-C3efRP@uAL;7(MW6=1S6~x5z5ipm;~FEJ4+LpZ5b zCa5|T5iXq~Yr=O(iOA_f$||5oo-+lA&?fxEB#Ln}jX@cEK_D+@LQXOwM+X&eH#m%$%@|O+m>CRG{`C~gyK3L63pcaWBOE0O6d!z z>}j1;<7L2dS72(D`PAic*9-^V&Ux|{6pr@xdV5kTKxm-&29XAhVRTYS={?n935KA@ zrDMRKS{?S)#!9GX6be5pv^EXu_dpJ%n+Z9q8Ww>nWdM75jU+M~wMD^_fDM@l4JLqO zNQMS;os0OyJO#TlL0(H~fnYk|3WatM51xRs3l5j(u+`bx@K%nC4D4#4yFr&0`x=AT zX_cmul^Ch*koM_xh1O3{BQmu@wg(l-`9^8>HQ93(2t*9Td%^WTFBb{;GnkB|0D8vR zGC_E2vjt*ggSso}O7%&dQ0QCNip-X4DKvuMcM@xC z6z414p`5|pR9g;4`W|Id01VdxW)J|3BmcC2;8L-qe8A-Fx_&v3e{1VP{oLeZf`Fu( zgOWU6*$5BGsiDxg<^b;%c3K6K&G~{pQ-pRUvFhcJBVWh00_UQ^?+@WE7|5ch+k%+Q z5HQBzM>1ad4uY8lg#}kfZA^g_Bv_dW>d1fzEuD9@&fD~$*<|hqq}Qj~O*!V@8a13a zLlGJ;=y9j`t7V0?wgbPbI^;L4PLR8Xr9IbA6l!_7o;^&2+|D`ry`|=!2i%vV^3>Sb zl!?lTRdu`l=Jm^~8&nMH`Hz%pMZOCalwLNz0U|zt8I6>b!fyg4yTFH zML54AF_cX zi)z4&$0UL@q+Uk%tV+x+QxG1Gwm2}*-7*JQdgmMzGLiN=gby+{KpIu;0BBJ(9ivq- z0Bv)Gty{9|P@x8E1pp!Mj{t*I>=DYH8vnQ|Q#=9e7>QD0$GWFfHtv?mx_@yK9BH=F zQ&*)Gjk7Ykir7r84G$Ae0jS=C`}7R`C^mpvI7U`Tgjc3W`s;8Ko+5FamO>s2NXsw@ zkqsxLD7!;4MS-bQtQrYUvKJs6WriQ+HtQ#5M25RL5IXP%LH-bPM4ONohzL!dlJ326 z1#O*V{i2%FIj%46pJf4Y%TvjNFe_POSphA_X&^3pEdRKo z=Q?)+-fmGI94&P7!aGeh(<;j7Xn&9Ko2jIG&=UD^fxc?Iz`KKd5l03*x>kJSSu0(Ls(rTU4!3)#qZpIEG4LD=alj zLy?+h++_!C#&=snF-Hw$MIC2d_k+xS*%h8K7~UP9fjRc^o}7%@bgUg%8X)$Ykyx?J zj**9U3s#65Nv&s2zKdD12SgXHH03)mRt5y1%tvTaDVBvu;RQDcb7GmO6Zd?M!cNB8 zRhNNCsj{;g0OcwLxU_XH2}C-iw^NLwd839+ye7skm|-%o>&mF!loIp;)|kcdGAy93 zUpgX-1~r~mr9Ic-p>wmsON0DB)dObzSlI;@X;=Ga{h?nXNv=WdZx7^Ze``Fq|3T^o zsRqLVIN0ij1>nejB@DWO5=l)g4~|BW6iS46dV5Siy-b9I`-DsC;Q&Z;tJLIRn1PH` z2zKjg*$yJ~S6AO$BiQHFm;4{=0H@j}phD!CgyGIzk3t~Ed^M$%i;ve}2PsIP?ix9( ziAi!6H8|a9z;_AfWEm?#?796HRL%?82M8y8`EJ2L=1iiHpYZ&gCAy6Q> z7|`~JBYIcKm9yTk(pS|r0hM5u%kwpA{a5NmcF&WY7hBG7g8X1* zGl9Zu6K%)RmX!(mJajUCh*1F#_{z5r7SNOyfdtW^n$jZj=Y-431Gy$p>Zb@_PuAs@ zS-M70N~bU&f7rmz3VoZg45rdkC*E^=j&XK{`9n{1cWlX*8CincR_v-C@pobC9!v<0(NhU(%3g>uXTM>84Wr%b?1}I z?idKtp(JBdUkag*JZ}~$?{9{l0>_U)zdDDUpjRdcUh}-r7jj>gr1b<%xv>918tsX7 zE5r%~fxNUIQV7g5bIKWfq%kikmveChRRzD?@6>n0oHBxj3q*|H}n zV!*0JsPP6RhUKKC?IFrT45i_pSP_wvgj`PWdBkd485uAk0jNtHMc4kdmFlltt zkGk4XqDbRi-t$q?yFJO}jSa;lg2W2oq(LQ;+o!u4q1JmMB^zdn5p#_choncD#%6hn z-r)woY|fDMTuy1=(o^#urbASiZ6H@MoCRhnE#-mM<(bfFEAKws8Er<1h(kad$X9GCS*FI5biGj;%s7ojfJvko+$N4w=(6k^f`%&PB2V5 zV!|cOX3o1QYpqW4@93B)&p}6p$4qVJSDdjUyO1!!DU~XxTSV=rjF#w@4sll#O;G~d z+FtW06Zx)?Bjr`$I_Kyk+}uYbhJt{1IGr!C=UMHL(155BL=;j4rzub?3Mom!t%)O` zDR~~A1IvKXgmUrFGY8>6iQrM6psy^IK|squuMzkR+=k?=NqX>LNQ6mu?1pmhnSgJHqgHJ{nMg67G%F?1ggD?hx07N*?v{RH_@rT+OqK^O5Fh&Oi**n9+k>#D52l z?~s10)m+4##O$6LLa_AgZ^;I+9%;zMWart7 zZ?;dbU%cGCy8i|16SI-;k9)iL{YeiC_7ncpELvTK>FV+H^9{1oBxluC23n|<*_kms zl%z7lg?U|QWz!@5`{+N?<@Z-}* z%Tt#gbwG)lD1|UkHBHvaOi+q@B#r=1W!-gJauCG5LCSSvqobM!`eJdjw9U6W z+ITh6wuv@s?$J(k!U#+nvocKf`R2C)ht0UE2L6=1B-Q&{Z$OrW}iz&$R%bUMbDg86J#Fb63M=S$n7$n~GpRk+Vo#wVeItP4j0u1H^UfuU=PKA%}pvU?ExrKmyxSB*0l&xceblwSl`*tJk^h-PjW zr}>kmhjwqixqtKSUhmoK-tYHz@#~YH-F&lscm1^Yn>hR?9R4&8zA8rF^Z3_ct?T!H z+WX7BZ@*$Z#V+}yWctIHfmEGD_UQNbDXa?R3tbX{i*SbV+j;|ys&E!Pu=Ni*MkKvx zf=JuHNbx3src%7bbq6a=xh{zq$G7ns;r-AL3^+Gkz^LMZOyxi!z?T=|`z?fmG)Gmy z!5BDfqQ`*vt@ePt!5H2Kn+k~q0fZ`I3?#~tMvH!LJc6Xq7WsjeZO5z<11-wSttk-0!1`O{WmY;pX7hnh2aS=-)l1 z!IWrRk^;vd>yV(KRnhgXL~IGLaGI$a3MkqdB;(B_EM~`eWj(uQ>XL#vBAD$lvzlRT z4sxZ%DImw~m?1aev0W1?&+VM559Y8rCKDqSJ{L*sLK_Strp?6t4&tgnePdKUP0g*T z>n9JlO-Ed}s9Y&)uE>w?Qh#_|z)rRQ&7zqx*e+|j46U;pll>-*d7^H=wJ&)>hwPs48a{MG&5E}VuS zocDI`-RtA%`J318w&{svII-1;pd&dxvglfc(y0~J4?i2MJ7_heB&Tv}Dq>t46N8N2 zp;{BlZh_=no^u|fm(b~V3C&E%5v(3bG#mq&oesk!ng*W10PKOY#rd2HmzR|}8kB$Z z#ogM9T+C9)RI=tma6#4r3tuhac?TzjoZ((xBP~|X*KEC-=B<`IMO>IWG}MQ^V>@Ch zAkdXT6N750y4J=?%WN+jrl64T%2w&DG5=e%^oa^_!RXzqx+<;@Lew^3}Vq z5f}6Erx?Mx-p9RP<9P4m`}~QMy^sGAe(3b*uuS16^In1hn@Gp_G7UR}E!uVuTB+41 z+X5KD6%%Q&Yl^Oxe|}7VB#Kxm25(r+#YP>}1XRLwLPa2|T@xxqwR0*#B61dSTNFCx zL=}*z3!Sc)uq6dT=PD9U>qmk$t_RI69ql>V++LPQrrchZNTz7J`Xsa35r^EI{{eke ztmzMX!?7=_iUNt%klUa_CBGXlz11i z2I`e!;OD;(w3OUr-Ag^$7Rh=KRsb+Vf=XCYF4t8i2+}89lOGLUWZ~}l<(<>yCj2r6 zOW=P229t9YuuDf3(&YR324o;k$k#7*?o2O z_4WNXZ(rZMhWY8&dpx|?lVc@K%yKzqXWJ8)B9{xOUHuP>nZomZ{D$Rg$RiL5HGhH4 zq(SR!vc*#l?P5hs4b!ylE>XD-02x+K?SWW6W7`P(_39O52Ad1+7*@PD7;AA<0VJM0 zUOb@>ZH}5zz?f{8(jn(cwFv@WRHTtnCKli}=A=fSt^xyt?@?d?b5c`QSLo8+flCNm zO7O*HAM?!1N+kijph2q1Nv3*0AKt($HR*T-_`7B*4kRz)q=P%7nM(U*XChlh%o8T2eb%S=2a6iuh6<2mU*+~xO2+B0oEZR zmGW=d?LCW46@5j$W)*RQWQt86>8P@PN&No4s|$mAbjAST8R;9TXL=W>-3k)Fp=p`L zy@E1UDQ!9Em3brbcE~{2-;$~ntskC8P)h}BMy+& zD;*+50i3h~5A?Ll54m1AfqE^rULhmEHm&}3d1#S!8dPYZF4a=D$ovWwZv8EZ+u1ep zhGOshg>1oYYVZ7oZ?vm77btBm=sOnriMye;j1Z$B`v#BOKt*3Z(Zrj>>E-I8&&W_9 z0HU0L4|JX)NZ|Cr^kf$$ndo2RpHF^no|(j$aP1=yC!x zuvGX8p{ok3VMJeF$o}O3Y1;7D!=R5i$^Hk_g|3Rw{qx;=1e`_8t54Z`gOd%)ep3aRf|JdozDXODg_QPgGLJ)GQh{ zxOx>S0)7k#5|kp87i@q9DWkyEi6TZ^iI&iEph6~FQ~1pk%q~m!6dhE}-sRd$IR>JF z02w!&nCPJzuNU|V&blF>`|b6+_b+d@ze`%{dA^ntJiqwH1r&NXl zVKUN^iz*W=DYnOiwt-uA#X$jtal>CZP+Iw`nS zV(y4VD;{k!n5!ybPPC| ztPN9@BXv@KM(zY6HvtPvbN-Xl;a=r6LU3WBXQvDAopA&g42=Tl`bG{6AH*DPzTFS^ zzq{A_z)F?h`8G9s&QJ2S*mKIAuf<-F+we_7&B*;=6i|~+T4L2LuyB+x6WJ>-YGo^t z;Q8qw4rLw@+DuA17!WyixinI1&lmu6fXDzu9x@m#?j*?y11WBWC2JQ`Jw9#V)#Vn{ z$I=CF&*1h|D5zGe({e2?O@}VqBd|JWBe^7Wf3Z_C4qqTFD!Q5?8u(b5=cdGU-kB z69kF5qNHn+9f^KmAcV!%_AJB-9kC$mv2cR?v4IezTj3DI^!o$FzH%#4Bpy15#zV|?=dd589+oB zR&>HPL!bBoit97vklSfs9XNZ~P#+lIiDKh%o@D^(C}tVJ+FQ#q&^boq)8KB%!dvMO zlAerxLL2~*NLtVY6;SFD_&7-5l(o;%V=t5BSVA;SAQ&?KGZtnRf)PuefB>V?8VN81 z)m7I@J{ZPr&*_U}@}WwF&~c`-i`~%3CdidyidKB9r~>j5!cQQqh~AtuF(q}FWChd6 z-dze#Ih>qVY;b`~qdXXuhsaZw)iKsYa?y6jdeCBJL~pBV;~vz;AVM`LSXtY=JtrzT z_1Fv2scv5XK+_RU<`4Tlgb0aUz-wr0NCdur+=^`&Gq#~!;!{pLniHc_<_s_ujwmEX z#5D^lnjPy0CYP?i9$b+TeOlvjE-?(e(CyrnEG1P<2~uX9pXZDG)-QT|o}d^RrsK3LOCGPFl#O0K1tIxLx+x(Z(FVxc zBI2f0ow*NFCzUAuPkOp?tIS`KC%dNu`Y9#y54Px~?(4|<9r^Yp1Xsf0Y{3~ewSD@{ z&CBaoJ6~UY&|v=)`O*6VY8^6unD}#qR1cwNv;H9Xf)MvI9IYaR(S~RcCJWH)uw&`< zv)J%=|vpzC-+fH7HQ{zJ?RTDwTazVU(h zcgIa{92co^{t>hlvB5R26y%H41mjLH`kll0&K%Y@uE6nQ-KVizsVhAnP*8V_(Wt`Q zbZkrr+nyGbMpZFHYfXY8J$8j9`;ul{cjb+PE6-q3B5a()NdizCZrgM8<%?Lu5L)Ld zZHPcj^+cLn3fVmvM*4Rm^?`{VsBaIU%5zxZy3~0TY)eA&)fc z7Oz9jU_`LCQSEy=nr64$92d5PnSIfhT>Eh?YHWBZQKwpZ#=K7Ed@p;H~YfwoB5zGRv z^}Z!Y(t+t*F4`VNc35wZ7W{!dDuSKR;hw^UPjHOroF;f7a!_ckcGT5c?Pyb3t@2u1 zE|>8_?XIo@L-_<<8s5{^vuI&djOcVEXhNA?19C*O1z1c={Kis}Sz;^HNiX&J06b#X ztc>W56RAu)GKdn>Hhc7=azov_uzQ%#UzJK!#EKdUHC>Ha#5yyjad=#GxKO zRrrTU^l-e;hU+U5Xl``+pbU%zWCk1f#Kp((?IzkF-s&#?KcSZi5|ws3D8%Mq`@-9p zEn?l6r{EwedeYHR%4TacvuJ=IG6J&8Si_WJ!7FR$e-B9t8q zY6ED^D9JZTN98GoEWza;;6=z@6c+Wokj7mkfjF*M93(Ns+l&)@tznmj;zCG1+aAjI z_CL_1#23*3x$Q$HlJkn8z`H9U6)ypVC9~~C#2DXJV#%tWTJ)Q}p_llDfv97^_oXtN zE*J`F))+-IstSxa?JH*7PH;!qpd!zKkT&PKMivtVh{ly-Q~}nl%Bn?;KqW9utJ-j( z0zFO2?ZMa^0np+9B!0*%Hf`%4nsGB%c_xX4)N9aWHlxoouLWdlCiqt zvqp>(+|)e>Nf}w4Jt*b+DGN`PLhwF97;#^dq$8!&ypUl=$j;}7DEo5GaO&7{Dy4Mk zgv#f4PSsTi(!4k#1dIS(tYjnpdDKFM7>u%)Yb41eUO?E_7f7E~;AHsb<_INrtg6F@ z{pZi0;~a8iww0ji%^3 z1bqu-nIJdO>j}n+JM@}M0XR*PF(P7&b3uBXK#;0J$e}n|AddL-b7IY{!%i+cC&{Ws zrfv)fM$TIb>FcP{HxjXUxmvpU@+H>6MrE#S<4K%U>LD~_Nm+~tGPA|w^C~QHKwlwH zysB*9gp8d`w#R9wc)ovm(E*g+G@eMm7R^HDt)-_Rb(IDTW(FRYf)ik95$h*W?_aTe=k>GQH*|o%^Yb_qHbE#;dac94@ehN(c8ms`xlJR62nmRxS6x z4_E~FqE7yVsk!)n(j7>F9&e29Di#I;{f0%a!{V0%<>D9PX+$Prczu}`(j$!OtJzot z-54Jzev{^jAs>SgoW58mZf#g2+J`zk=qiUbA9wFf`m*fK9iU88vcw;+HAS;gl=(~l zOEIFahqV)Jgid&)%flINqhg6t4R2^7eHA>z(qRObTXBzodQvxDWnyW z#EDo;xp;Avz`?EUxsxd-F9l3@B|6g=27PyCWTX(M9n(A61RBifT5~@{r-5)G3#rKq z>N%VNa6;C6KA=nKA6k&wbdx_1PPVQH4X0a#-HBXn&_U6F7AUarnrK?i1C1Oq7Ivzoy9F{p5` z-W)C%Vq|qsA^Kk)ua_tnpsyN&8be2E6Ua<;?V2TD>qG`k?xf6#*3k{3W_mIwF4+Zn z1{DZ5KP2rR6Tzw+jZA}m>HR6P8{6Q$j@ zEKHGWx5$(@f@uV1SK0kpvrjJ+n{-Mdz5}1pv@B!+bvkYx7IeXjcTSQwpv8~crW7!0 zz#3>Ae02pw#PRwwu*3XBAhI5naDsX~D3XFzMkz89Kz2DvbP>}-wJMj!Wx2VQNfnlp z2{2nvVHj6qAc-0OBRB)U&4LB}FE`83yK?(@xdN?hYFU9af~j;L_u5KeFJcPo{V=Ouf@0CVJg5qnh&QMS zmpJWTCJ**tbAuD&CjSGRY`;e0R>H9bQ6xiOezgpEamZmL^7Q0dE=ej~A;Od8n+fg> zx{$}1J>mQm$qNADtD$bm3?xA^LVH{Ev-slbQ@)AvoWv|KnSJw6!qH^eh+hT!4E(V;Utx>o z41y07d{jDZ2E$$hYQU$95|eBf9+}8PG-j-pj{sdf=};8 zb%P~`n&jc8K}f_)`xYje55-50#2v&1VrTSB#*kFkgczKhObncQ$%i^ONt6I?(jjem63E>{&_E)(w8M&}WG`)ntr`&&>V+V) zO!?761e7qeT7Lx+(lwz%OFE}2QPJ1{72_Fl9Uf5*RBqjxqPOISn-S|`j+(|n&jPY( zoe#({R{Ntk#nK;n)(zweF=Af)4i$rC-}L?nlW#w{gWgU*;xwujA)x)pzzZL1V@M`5ogG z1n8Qnc;M74FeS#~($^{+c~1z0*R>1s9KeW+XZV9cVw4YOkTVw3wKtWeKR<6oVpH}~9-3;$5Ris=h=p0vyDhO+39mB^A<{6C- zY27K6PMBC2*ISaIBu{0KpS&7GK^Qp<@>1uVWT7(ShPrvoxUr+~Dp}5x$doZksXgX^ z=)0NC5O}n$NYhK&k}5aVH~Vz`C;(e=z5-2`IzL5ev7CMi9YMG!_V%+f!Bfjv|QKQs!^P__DyfE>G-ZH{|y4I$#yEfb0*hg8iJA+1g2yZZ{4^hMA7a zlUQ#>N#+#U_{V7)SvjPLqV6(jCW8=;DYVo8nC+noOjaf-IOa43hI*t6&9qSGUT`F+ z0G{?&E<|wmyPFsej;U51`*7gu^32`pDccZ@3gLz**U%w2qi#O6E}vs$84rN(;4|@Y zN$9G4GOQr<8&XW6;i#Aqw%B+T($FR9(EG)NV%yQx--O%hT@%CjGI98uYMKyXl`sy^ z)+c@kd$0N&c{R6n4MdNJ7{8*f8Es(sc?agoRdTndZ{Ba8UBA5gZu@Rm{-Upe96tRH z4zPFIuixzg`}=5Cpre3dlYlZKBuY<#jCYUuYgA^)YgkCukStu+aEQP)cTgp86=FKT zI~BNmlEYR>&Je4OS8LO=Lz2EIR5LsTfI$tN|GgJ0oC)mB#O+dn2>S?x{JTQ%n*9T< zU4d6t4PJ8cSzlSg55e||5rtmo0R5I8!Emmo?=efc@Yrv8sy)&GHKi_V!E@QTKAH|g z$i%DZj7Axl{@|x%H#HBChIA-#HE6XQ=u2&|LSd@Y2m|+8WxW*%hHzd$y)f49X`{^U z4L-^!-ABcJ*l!pBRTDTQdAi5EZxJv1V?*bmh+)yV6{O|{MUGeLEq zaw}>N$g2MD>h3qriNVA+G38g=!uozrZ&E$vxL&o5)Z**6P=fGDQHf!xEd?iDa zIGNvt#QewV@Bv!UKKK9tWbQP)A!0%iEK9F9e4DVi?=5!UvllH|b6s+&ek6zYe!Kad z7~E^6Y_jsKZD{H)@zBhNTzFu3jmaW?`9iy)eeR%lp#9)Ulm z$HFjjJV$3hb)ss8A|+_r22@yo!I!{%$ZW9z_;7YX(&Y9HuE(PqIYtLzY`HFjA#;se4F zv;R;@LI|vRPCvT@Ng(o$0{u=62Vysf_|BywtCH}~-BMOiIW)$PEzdIXG9S<{16MZQ z-?m}7Q1Cru0#IgxH60^>kOz-uA;!rWq<%vsDcbY~)H9&?aOZS_CD0lV3mrw!js~j1 zeEa0d6A&2L?^Y9JudKD*-h93N>h=4#`o7SnE%QD1BGLPnh`ny-L8(vv)X+s`Z^ z+{Jl-SaB&0BxUvkuEjtPq@qr-gn@GD)4b~eO75zBCHXgRuUD9}ep&jNe`#chpLsBL?WQd;A#R&{5CIJ7-TZ&q|G6JHRIw0s1A+U3Rh zB}#K#y`=XO086>-=8A5rt^RZMP7eHG`H?zvq( zTH$w4UQP9^&#`@kFwVqPcDX_n0KL4EG18nvQ}&{us8kJ+(DFgQ_v3*Q>{)+5rtRVC zm2f)+NZ>CO+NRIi!n#qywn-$kYovK&Lhe8n zu&UK|N&!jy8)MZxAn*pXPqZLy_s4hhdO}XOr5?=mCF-_Zy;BSH6%P>q`T_i6h7#c- zSH*mSC`}5n@tz*xsV!n7PhCF}C`UIOH|+2}WXlk1*eS@wf_U`^1v0Rn6+JA7kZl!B zFaX*Ps@Gjgw)OC`u~h5f}{kwc_7!;H60#SV?5HVgrHNI5`aTGV?RcVw7AUSBOqrw)GBG- zJch+k;2seRjCLUskw956iF;RSL-u9wZk{2K8e|nsUAD{V>=^Q#$rqntxp}h%emLN3 z6YF%OLj7eOvpI?zKd)V9$JEg z_{9PdZt^#LkqS52Smm2)v-GQ!aD_~`DP*nlvvBw7XyyL(dHMtbI3o)~WGHiZTR1q3 z;zAZB=E)@BODkBT^+OOVh;lt5-(=&?2s>v5KnsEl%IpSE-ucD{bz2LCC3zSOj^<=j==g(Kw5`v;22-R!>C(*~pgm;ufKfQQhr=RA^-%gjP(Ph`2T4(E{pg9&n~+ z3Jf^lEN1LJ`hXM3zjE9dK0?oO64>d zE8z=|OnH2FV)s}KER^d@1o>$U!9&npqPC;-LQxeWDD-zL?t?yUs;o|Y4mlX4vxft04)Q6FH(&>c z(0CVG?FAENDDi^o#7?*loN!6r3>m5tE;9zWI&s96cLh^taEY>E`CO&t5CG}^MuRi? zTaZuKl!hY-uQMpxgK;uhj%M6)FSr?Fe0Q8uGe)42u#@@3v;shn6{>>qJeR=ZdBYkJ zKVLsj#7{X0GFlRm?sB?o*eEK5BIkiM)`u&+v120F6XCUK3DkH1n)u-Ka=to#xI~L5 z)Pw;(o-9x1=br<51sF~?Ly(;CGb!`K5f=Kv*rI6`n{J5Va5I{22r(^|>6$t*W?%p{ zZ9*+YcqpXtwgFT#Ht`hSz(^OEel{BSita75&tZ=_TQ3j|xsAW2pc7(`N86vnX9+D) zZ0+>drNC7>H|Q!8Ba1K^&J?d?j4q~3En~RRJnU9DQ`w+6rhAesMPepGE4RMuwR_T; zFDA@BT&li{$W1(Z--0y6#Q}&!b5V`5?$UQ^+QCecfVfc=YDOaXP|}qM%p{}2JgLI? zvrvo>ONwYr5kWeGP16aAkl(>;SE&!}g2TG7JWD<_ z*$WvmfTSMkb%jb$kq^iQ^`aCtSfY2mgb(z=1D4|K9P-a7C+JrI!^kEr+pRZ90HKAs2NnP$1wjvTM0=)l~H?RQUfW+rV_lv8NKl#gn}djgdb8L z#6Hv${(Q3yT_adkSU&pzV(S6O3zq<4B?ku~$Rp{1od)u8CUVhxkYm`H2?7}h5cqY~f}rWDyzFaj4G0F(=o*aci$+)O6u35z9fp!t{> z!pd+)8E9^Gea~YIgP}y!y;vhx&s!a#CIp8E%bhskCD_IFvD%P=n98!SFWY+sZWz&E zFqZ-^b`RCSao@>+Rd zbo@wMn*8H%Dh33V>s?`qlLTBZ<`C+GMD3FTi(sm=ia5XtNhm`&!DfANb}|FGl=f=f ztp>k+`tI$`>#x6fw|xiS2jKMP#n;zw5udVq^Ua(4@A1EHzCi_qXRlGee3w5z`Pt1k z$XtBd`^_Gw#N!|Lb}>C1|1_EYzML;7(hGRvr@a@?X$SY4$fTeBCORj|fA`8a`0icu%KActFZ7)VD%4Y}AHF2!V}RQA@!aRH9QJF zA(5SPA{1vVn^0PUGCt1w5c%EsAP=bGji{n2S(!vibN~7MFYkYqPEPZ+;7c8EO?Qc?16PM9|adGzG z0UAX|Z)-HcAR@BE1k<2_(_J=r%t-}jk#<_{mxzSPxZ)_8M6u%;18I%fW!lur9Sd{# zO;Je~rF+mS+Vw?wmKJAQp2JBhdmS2LTdJWjF7!v0!PpW@vo+wXp&jv2^UzlA0I+Y} zYe^X3_uomxG?vO;BTPu{6|9ZWS;RY{@~PTV8xTYZ#l25y=l@-;&* zS0h%EDE74m3em3|S1Kch0pZty#DJhjlX0k8^hQHyVD+@P(V?6F#BYIe>zc|G0?J)k zkYpx<4i}58x=COq(MABNXaMJk1AN~#T7)Mq&twUsfZs7muw8WQX;u`o8KGFF`Pn4W zz&rR1$2*k_cG34U9nhjB5+XpN$dnxWM~qArzK68^$n6y)^OQFYzmi-A?2E;Wa@%{(>O3e1i1q5`^;a)HH+qR$>j$xK@sTMGzT2K;@w$)Fwfx8wiG{Q~FHsXa$}q$lda)damp0Mfss~$MGW6G!$L3Dly~9E3%_v(u%fsd!b`>ElaN9s z73S*VjA%7gzY{}f=Mq9|PM4-1y0S%w3(X~boeFMVGOq=#5sYRHXb;W^+aV|%IJD%E z*(A=P6x9JhVj#D1f7N9AV5 zg!Y|di>9HTPUfVjbN-MXV79YU^vhTlT%vlmKW;?@2cU(5;$WWapz@jZ+%3iHIhx*o zp6Gt{2})GSr)7l^WO(sl1yC!47)GN5hC6qF-DZX2$!cP)0Huuj(skUy_7nDiDTGT@ z<3$C^)c*#ND;v`H4oHcWS9@l6Dz&gl9oCC!R9V)ID%UWoD8x;he(;ab45a!eF%Z!f zXXk7Ph+@#Ghj!%E2ZG(nJd!8^@5G#D!Ce`@r>ErLJ{$xZIcDWIMX5c7+y``N;Hxu^ zlgrxf*ruAPz=MW}aNxtmZB1aH-niKsoF^7LZJpP3A)w*^RfluM&#{Vq=sf1HJxO*k z+mwodFG?;-PK1HFH*A>upWxC_0m;9T#R#{j%g3zm=B=JS9(+3fwEuBii(jgbKH5f< zSEHEZ=>wHxkkp;YZ=~m^lx61d86hSh7?*FErK=XbVsLg)gHc2bm=s0c{#=5Yc|o#N z$hr@lB?b|h`49%4F;ZNBfaKJM;z58%6(^$_!^p}d8w{T#b|lSD=O+dhAJ_2oN6o&xWX6?U)pTfKhoH*mzVr!cKc4m|!oegF2|i*K&|DvsijLj24j zxO{Y`YHG;@;}%M*T5Mq`ehzXN3tEv&YpYtXcuuz;*`QTBPi+M)E40Ta>_-JKjf6919_}Ek_2)@sACl-?LzW1qgj>MklS&L|8~&I}f0|pE zwpzvfTXvyDvgKEyeMsBhL=#?OYsz$k{g6Uy9ITWjoQZ+gY`0f0fg#&>FMjXZ!dPx@ z-oE(a{mu1uy9;s=hjIMj9x%xWA+14<9xz60S%Q*URwgN2q(-|9vejTU!XGg4+}@WB zxd2hQR@e?HqeqDc(m0rkvu-fy4G{34>8688DqAs;Sy|cu+hkuSZgUk0@lv36d~KSw zUBFLjvW92%HR3)6$TC9+W(er2m9A-1*8Z=%&7hVcey@AX*QGv#+BV4x>MJ6;qtRpq zsgAlrtQRov;&bU$$?uESJX-WcgVCMUueVsCbF7$#3Q2=|ZwSVA_Xrf?*QXV}w;))v zhY2ICPf)NBDh-|`K|hBm6_;Gs8q-Uhy+CRO5@UU#m|fD5t4^3Ay2tF&8tG$gbm-4Y zWEvSu=LO(G#6R2$`mZimy467f1s7ORg050XwQ0%>eScxxARUz0hAyzVpjT`|vKk#T z^qy_Wf-fh&@0NdFB#Spb6AQSfDELFP9pzUtZVpNU79QTF!7!Mrv~5m8M15zr7f&kkrWxHeZUHlNgQ;~3dYZAS zSwM2~U3Z2YX^%Vts|Jo_YF#Q;N*%F|l`Aj`PjQ2)$jA>NwI@K?8q>E`zBWnf2Sind zRlv8i2cWQ7>Z*fxI;=NK^uW|B?cL7HVcGKM*NDs1viSCs6$J4GyRYtxgh~1G`LlQ1 zFJ9cd`|aIV_kMDExPX?v`JLX6ezW&icAFK2om~v{{zA`&$O@B13%hu-IZFeex~BNY znB(5;7n$vGNxO`B8Z6L^S9x?>D`?y+atNVpkLnYnWI6~HI7$g}(7#)P#GJIm2;z!6 zTw;98UE(O_q?|W8e@;+3qLe8z@6d^Ohj4MG83&F$L}s7z{HE_V8HCTJ-d_nla+PYj zeM}OwM3utX*&~#b08LYxQ;Yy%*Gw~-l~ zT%y1~;-6ymNdNL|v?3n@}0DC*k#=0HJK|4%)UKUY_p0y88P1{+qY2Z(iT)@pn&tr(xlft}Ll$ zGQ1NWG3VaSo3FlmclGRT&;D+2AS`cAW``nLp!I@emVfu`>bscgN1CX9n$$h-)Ypgf zquTZax~SGQ9-uL{fx=*p-XhQj8m$?qOX}cdVFrn_gH;DZbg4)n2s_n$Mp%^+66F!f z+XciaW8uxttJiPDN_Kj7zH61FHB90mI+x2sQLq!ccY1OxHxKC7A3FN=Cu+vtuFg;)A>CLStY}_m5YhkkAFI z9m9~_5Tkq@ExeQaw#MR}lTU^XJ*rW9du%Z*g1kMfscP{uJL(e&m&8BkpCF;*VR(Gv zpr_(a2;F@N$!um z&=o6Fh01m`HdwHpsnxNZO*Tp1t+PxF7X$6Qph!xqKbo%nH_IiE-(*e_@RdMA+kb@xSO9|oC>ld$HqGLu6 zhO}r`gC-G&v}1-=avSAt8%0y(jqo(dMC6@}5K6vMT2k+Kw4~Pa4kqoZWFOQFF&L{$ zVsS3TNZJw^scV+@4KmH-&+a<4NmOB{eZissR%4^$mt< z`kp;d={~m|h!aGLuJHoWFwTm#{-VDN?olFCy7MwME>eFV6@jSku2>@p5`7PzPXGnt z7(hm4O(p6ocS&kwpk-qmAR*;|b6lK|+P~OZ+QA)bf3!GgT3r z*>A`_-y%6~o0CjN~O&c%Y5! z&7|Y4K%fO)9D~)*A3|lwQri;gv2$`oIs9Ii5igPep3KSY!8_T>LViidl)NfLz?7Z@ zdK+5dCi6qHMlV~4?Ls6$w~>Ku)3h6FB^&o%_~pq z4pY@dtzrUBO-7U!pGn9olX@86K>l_BkU;)ATZgkiDeC%~(-odpGLmyDl<53v>{J3; zg0VWAp)@P0?bhXW9Q5z@I%1BiX4C#8dX%ZDtDP09TOlf)ug_S1GqB*=u&!511_bT+ zkp(3rB{;sgK>Rh-o8##zW7VvP!>Fa$OE~+;tE1)C=~3wRr`t5AYL`?6@U&Lap1^M1 zQdFe5ljj13k3QR41P|OnBzxfZ1Q!04BHjEa4e%sMN($0DG?k|VG+|MM;LmAY6*%c5 z7ZO6l)QS^;E{s4^SwYR0S)o<31s^|NE&($dxZViMO#?1SYM5NHLN_78Alk}_HTig< zm6wC(L=qcFvQWEQBbHD~gI)8GjW~n3c6)qs&c+$%7i+-o&T2F3Ki+*m9Ng=nE=ox{4t7R8A3OQhnK6{(&dl5upI*so&E)0Ma>ko zMiQ*+nxc<)dB^l-0ajT~crFZF0vb&FBOty6g+|>F<)_>lI7>2Ac3?_p#n+2%FVt6M z+f3*9xg3M&uJnylsmLMUvJ3DusB;VO-oUS+W@+1wB2Lpww~}o$W+Cg|NA4E#;oI9N zqVKHVq)y?+%OZP)%jK-pvQ?!PD_XU&nOFNt^)jnPC3?8&@EIJFCYZ!34ShmHL^)95 z;jJmguZ2A+ka8i2^C~9E5;BEC&LL7g>H7>?#+K_NkIZ2jN9KS<1S}8`_nK(D7R--A z1ZmW!-L1DPa#MNQwUE{zUp5bwm&8s@PEMdxymu%~V%|GeF^T>;sc8bYgoHH@HExd` zI0wD)D$}de26GV2qSM+T5Mi_%O@GlKeEBw)4W-JYMb3PVu&o5_89E|ZuI=>@9g^wW zE#4KPd4@q|?-ER)Ur=g#B;^=Dr3a{m5k($q>|MJ2=zH9omE~A`4*FeJ77YgHC=2}u zw~Q}Y{KLtNm2^ItULgB{<^6(i&@n@)RKQlDb0Qo-xSBKlJ=~ykj^2U{MYy>}vzEph z2>l}s-y+U%YbBG|>Pvw^CWWcUF5`fMZ|ypPU?;$8)&L%Oee@v0RjS@5)8r-$EM13w zd*NAJ3VoG~EXe!Eu@1^m&fXXq7sA(hwa2kI}XT%W9g0&d>vOu}51*UrTeS zW<0kd-3Ui#sJZs8WSkY?C&vGu%(svYnYT~V6Sh;W8J79ZjYh(B`pghjR!E9!M#uYc z<(+}R;2gxoV2bU}#nS7@7;}lc?&zXFOR=bi)4;vm60Q+WjN&|%+yEVL>QK8*5ZiQ^ zwr`RuOyZZ!%r=vt!f24GMc8naTtA>(9xBRuU@uriErgeghyC$9yJGQZa)|Xy3s0?J z7>F<}+PX^I(Q=Z0sTefTGHEMQbhD+lx!Iih=}5mf99VGiW5)d-q7YpW`34ikfl&9? zKy`Ac|3`pz4|%Z5!9D`pQPV$h0h(3c!uk>5(dP{!%w<}azB;ayNLw5n!)7oZA9w@? zVA%|u^CSE=q+{J0TN6l8wP1Tatv9yi7^KUAq_mOI7ymGI{TG`>gqRGNm4T<{^@Xz& zw3kOoVbJfA6bAjfB?Zi}pr%EjrRSKB7AngfX?zCnD` zN;>t3n36JFFC8X@=1>>4QdLZA(DB)@C{!m1LF9g`IH)9zsv*wk|LRd(FzYQf;-dwY-94Pvf9OWZY@&ttPJ6(Ttiwi(>+Q*N87gdt7c9LTh3hb$b;n zz+0Ih7wXcc9qeGhP@j0`kiSc1g1t;MAZnQtc#F%r_aq-~WlSc?4UB%OhmtZU6Saqe z{B$ge4G0K;+W3J|1o0J(s0eH>BV5j%UrqSS?oDaN>$^rkfj7A^T_s}g> z0_o~Q{_(YI<>j>;H^pAWfFQKum?0KR&7F27kJtoVkAH9t`7<~*6l9d$I>4)Y!W8R7 zC0b-Ypb#kZb~?xfmtk)J)@EXH>cA5d8oU=2pJ5S!Q6e>GaUrY$Z=B=b+ci_ZIqDYb zsK#PS1aR1%=o~@wad!B|w>Xn~gzC%O7bzE4p7h6;^YyVf%TEF*h&r;Es{I)@Vi*S= zj9~)nQvNacpgFkBJb)QUWRQBYA+95^tzlN1F0r?U8E6=%q?tXs31RqqezuAlph0|VO#>h^>#mzv^gHdk}*-BYWBSaOK`&mvrZGJX17@<=@h6C z1Irf_ia`2Q)b3O&QpA^XB!U8#XeDZ1Oyl>PTG{4INZ3lEe?o_yo=V0z!@>l1`X6Zi zIz#mIB z2`NvC3qT_TzjY?%0T*~hH4(;^)8oXea|8)YOI1-)qd8q(40Kf53o0ZXZ3n2x<-2os zaV~BE27~jQQiKc8L+5sx804mXolZojuq!sn}CAy@KeOF zOa{Zz-rjIB9!w^C*FPRk4ynO=@dT+tcmxvOJ{O5eK3mq20N?DPLCT(12@s?`nW2@k z0O$~K!9ELzkS*v&T5Qg7Msq*k?SA^{r!00SshwA^UcF|iw_P1%g6Pwm7q4F-i0080 z_jrIpjlfN9B!?RF^2$XO!Xnx)x ziR}FN%nCz}N4@bd=nv80pqzMnG~*Aj2iNCWIpmI3q_5>5&<5`lD2j zW)QX#Pt{p9#;MttD64_SQ+e5#^knWDMB(~)fs&)d>s#T-aLr1&Q_1}47n;4>Igk_7 zxSv^cI=YwiWd|&`ZGd7T*Y=Pg1=Af`K3!iu8?-m()$6y{H}BuR5>&|Br7b>3APvA{ zx?Y2yLx8$q46T@fhAR7kv!$|Mbh{2TQ{CUj@uBb^Z`pBc`|A3Grp=mc2p3ITpImTd zjBxth1iKQktTwDTTd;x?Pxq{BLVu#6NhZ;_xr<#dqe;fiuf?c7%t?9@s2Rc+@#;ad z50yeXCRSvSJ8mt{2St<3_o9RFf~v>}WI;n&^RIP`I)0aTaQfl2o!&aj@KlTg2sbhtuG4%RKq! z(3OT6#hXV2WSobO;u55E#;V#7N01Hu;Phk(a|5gLkhmi$QN$H(e-lu>Jyb#N$|Sx@ zh!b`zB`l#*-@QjKjrp7`4(y3XdZDLDvsz~+DX9L(X|-;lmgXOlF$JcG9ZeT&7ZPSM@cH$n+WC-RlhGa|HU^RSG; zwi3XyHBy1f_8bLDo_8Vz3ajxU2tZY^f>&>Tgq|V%lcksHDId{~0M-zPPR@zdu&VFo zMV#MWzkC1k2Hc-|K_Ah&eYJW@)Cm;&I>n*=89bcW*j4VEpOB58o}GIAGt!c5hml}DOA!o5|zgRE9$(#Yg4qJo4=BM4YeXVJ2V`*mx6kT!?Cy@L?Z zQzqO63KX|a*rpiGrz^l3ne~rAIlsB`UZI^+D=W5s0=3)Ry%LTpIzC!^5>%}=4A-(j zY=M?H_UHn%XmhZtj2K8c|FX7M=xEuco|~WW76sltiRNMHyc54N3pZ0Lu{eb*DA9pP zv&42M@;Awq%+MF-V5Q2Q2}TS!cdk>JB`@Hc&_K2Zy$(w(i(Jy1zU(6W{$@37kIOy% zlM%H35FxIGj;I8-e~{|u2fYLH`k&7j(P#QEoPZ*PO&>|#iFA@ffDV#Cv89x*Dg#a{ z>%;PXVw>E2_wMHU>-F`!>l^6M<*5ioX8n7#pbdem8K5YR=w@t}kjGg42$Hi@Hep+W z7f*3_P5s(#jWji5XD-6r!CaC`tFp}!mcYQnPCy7V^w}vocxm(?9t#lEX2@!o!DNt_ z9iX|m871p*?EAuk4?<)Iv18Pjk(G9~)jT;#I@eW$$lItY2>ngiN+w6}qd*s7eY$!5 z>Rnoo7_Md3BZfqWl4Tkx1xb!hiOqihGX!0Axg|K<9L#PrpK{8efA^FIQxtXESmpFh zI*PW3D`c<(hq*WWPRQ3Vl#*FP1vzGNhzJkv&5?MT<(ZTW7w7~hZjC97lqLXWNp$+b z=xR#;1X7Yjt!fb5;NX%=J3K`^VAsN8aC+OSV*J)6#W;dVVdzqaS*qT`Uw8p$UV;SO zlk^*PJalwq%iqV$qB4E95X2zu#nKfpO}cS{xWYRLb6KuM(raT=IYY5YUGb!&46f2h zAP5qyJrW|Cx@4q8RU36BEH zr6|G&0N5xUjG`Sb8Wm@C`zafAhU&Hl4Fg?rvKlo(>ltV`k_#N>Sq{2h2-$=xLRIg} z>l;=-M7a_E+C@k17q8lePzEg^HM?yCwE>p~O4=v5YMeXH;8EvZ!GxiVtqJ0R%g*5RXxohIC~h4nEn1#9+cb#*#zNMQYdi! z?fUx5v|87c7IeNIb7P=yuRq6bmWFmD)6#YtL4VSPMw7d-XaXd1;Z8nN#8GUtho8bo zS#19yBb5mmdCA07>+^J)2pQR^f)xq4ngExN6{O^*C@Vwf3Nn{7kqXUBkiLwM79FQW zN>%d-c%IuQLvA#s+whg@{`FU_4e5K9BJlu1%46fMjHvdHOw!;?=AP5yt{S$*H3Zb@ zTNiM=jRB8_nVLi@7nNiBI;Bx3jq6X1J!VPZbX$Dr!Dq_72ICZZ!-}MdF}exp88Ffg z$_&Y1VG8U`{D>^ANKg%LBQ_uPR_ZCo*kV8sw#%5 z(PSau#y8FgX{6RR1Thsk{YT(`toa)=i$Z<5O>X*u@fv?$T3@ zxsRRBI`m1N0_kN}rcLlJLcC#b-3F#C6Yra}zo$$0?70iKjY3+ic7_i;UclSbIpjN6 znIK=dK|6Sw?PcK?%gua!e137Zt|{BJx+B+~`^r|^>i1z>nv~?!e|6JU<7fuKF$>gR z@sa?i@QdfNTQMl_hNUvyr3p3Okpf^o;X!(`@Cm15X41qGGY%i6#TSedG7^Qrj5Gv( zhzjqv4;uGEXAZ(&f&w91lnqr_d}R{9CpxDgrJPfa4U8+si@`h1GUD5 z`evCf1Te^k^wpdSC$)Q1DxBjY0K>Xk0wOwx{9Wr4XzL+yr5}zl)--pXrun+k8eFOL4a(~5xYh0&wUZ*=cC(-2s@sfUoN#QOMKgYp1l}6RvAT7k z3G9F-DM-wm*Mz?~0}f-l6m<^c)JPN{;Rm$2w-}M$f?yF7k9iJ^j{9X1zFg7#xqKymi>0*f~iaOBqSQcQZ$*S%6j5FbS%CDIsADA2Hhsw4$XWP#pUr zMK%^!iH{e}py}gv^+sKQT~eCLskrMg0@Puyot z-FrSo*BFGtq7X{lCfbg=tV(wq?O;pL*A{X>Zo9fXUkKJTN-3QC&{Up$OvZgUrk~Ol zjHK9vmxV{;TS&q1-R#v8N zV8qBQSHM~$q^Lj!x=RU*Z@`on^aDpYypTwN^PQ8c5A3-teRHnv^?ugd{Q?Pizt!8t z!EeZcSdXxSN{bm)5r}0}69AX&NI@eL@_a^})=JU`<$;We4>yV-q-c&M7Avp??tcii zq%3>}k>VEDzi??RQ=*GYO{4@1T4Ra@ZUbs%x{3{G6v$^rfd(U|K*qbvXdF1~j?dxR ztW@ynOdW>cMr1LF+)x8DP~^%{ZJ4teM`hs{n2#NR{*eFkAR?7nbqV`m~*%_f$*~63~^bd!eB`dMp7zm#i*`OP(WG@cf7c7mR2^V8USZE zvSS6{X2oS{SD2ub=z%$==SLD7y0s>;q95V+(v#fjJ4v_i;t31^4sU@vN;=RjO+7VT zs1>-;zFxjM3!_;w_pAZ2pzQhpR5wNyhqzBD1-DX@VCZ7RZ)Ek6G{MeA#E1ktrUYdX zLEKy`wQrDu;uVJotz-*1RVHQK@z2?IVXw+1bj*=WCQFuB29TZvOFHK$D0GTVxE;*N zl?Fh2QX+GDGJ??tyEa;FEahjknrBgl%6nLQMWEF}^~|ZHf28&#G_XwDBW}>xIs&uf zUaAa=jmn$5#?uwPpI>MD&zeNOyHb}ZiN-P4D?(k{aTG*h4}#6U(1<0YDfn}_O~cwi zN*4&WL87{xxfvwnm^1Mx0o-a zn4xeHffgzm5@I?rLBjJ6Ch%e-JXixO=SNEvS%Z`&ag_$a(?Jj)#MnW-RET;8)1=aq zIrqvgz^xT#FHS59_($>=T^wIsfBPxAG+w{D`IPB>SFk#NeZBqS{d2w!mW0*ewSYdm z^fja5!bJX1|7E>Kq$^7MCVMZ+P-{Yoa#l=AD7yPD2MTl{NaGxk>B9D&&`DWP!BzQ3 z0Wy=%sZa0(LfGo(9Wz>^f)!NR3?22)@^nw&eovTr0C?%ToI5qh?DKKCqgzUcZsdR& zYnpW4U?o$+%f)=(BvS#l1V$kK59j?uz=%U-yT1$+Yi|ZypCs=BRb~#oV|w%T^~>HD z@G?C64Okl|_j-Gu4nJ)`Y?}T49{Ye<2hV%G-$q*KPp-fI;uAN}pTVewyj>W4dj?T^yoAMCtN4|jgB^QJid zdy3}xAWL$=>31MnE(65 z{NLZX&gTDqG5-&W0g zJO5B|{0|q$|44ECj}^!Ncyats6vzK$ar{pe$NzM3{LdE0|6FnW&lku4VsZR0703T_ zas00o$Ny?^{I3W^w#)703T}as2NT$Nz3|{O=XV|9)}&9~8&`VR8H) z703T^ar~bY$Ny<@{GS!a|9NrzUlhmxWpVsp703T|as1yD$Nz0{{NEMF|9x@%KNQFR zV{!aH703T`as0m&$Ny__{J#~)|9f%#e-y`mtvLQai{t;RIR3wj6Jy9RG50{Kt#qKT#b2b;a?YERO&B;`nbUj{i(?{AY{fKUW<8YH|Fx7RP^E zar}1_$A4#W{AQas1^+ z?=lSeXy>2Fj(=&Vq;NUL{cvZL-SL-qat`{(cK(HI{Qqa~F2JTJ_r{M8-QCSY=fif; z-Q5kFba!``l(dwzNOuWH2?z*CNef7qbp3y`^BoSm*X7^i`|9h7^&GE{zkOzRXYRS@ z?wO6H{16n!R-#A!^b6xC)}T19(l#iLr_2e8<15F4;si>RXrF#wLd6yoCsJAl#fgDRr0GHvycB2QqUq)s<;MaSi1_P+U`a6%^M}a{r^VARk;?X%H0GQN{$tb(L*F zaXsZ;P+VV0o8;5|YoJsPiW@5ZgW^WY>Y%u>awRBkq9jiG>E|_7N(RNvl+HnMb7fIb z>{8AK#cm}|vQIzHqd0?NuhKFo_9@eYV!v`IC~l#=3W{4Qd6Iwn`K^>DL2+wkW>DNl z`93IatHez4>HFI$#e?GZpZ-z$Z~ma#Cz{eKBn}E?`=H82;-JbwqM*WE;-JD<;-JD* z;-JD$;-JDy;-JDt;-JDp;-HIL;-HID;-HI5;-HH`;-JhdaZqNII4Cno9F!R(4mx=f z2b~OwgA!lj;L(I=N~)0a@#!d$+P_W{^7phMak`K=eMp=kB+eKTX9|fkhr|&fah8xc zYe<|eB+ecZ=Lm^&hQzr-;@lx|o{%_iNSrSu&L0v-hQtLzVnax542ex4u{k8Rgv8d6 z*cKAoLt;lr>X{Uugwx#IF~Ql+ypD&+6;_`6!NB$e^%)j@H0Md>29?YHu@ z(SEkl*E!OoWb3MA^D3T>-I}%P+^LzTb1V6~t8KF`zQCg)^$kC6&{1X&WNlVgU+2!< zI(Frka6P>|ZGByub@X*^)~<{4Nz|>2(xaUv(hzC3noVAh+3j{Zj264q;dj~`vgX-l za~Yi`r`H?UOm0&2`uveCBhAi8r_(L#OpQL1Y-;p)-A0?y<8U~A2D`~-v)RpoH*|D$ z?%GA&-VtfB+B{yT-D-2#3^uFFWw)CgX203sb2>a0pUWTEUY#>*+sfUnyRWl40V40T z$e!6vPJ_ehw7cyFugz}q8XZQz$L{yYlifXX@3VRUexFO83Fr%ampRg5lTUX03~rOp zD!XViS^OT4-D~r^%to)t1o!rSI58`jn+t$#qP6uyfRhe^SI0wi^=2m zTP+5;V^en0X|`%_l+^9gt)uLm(GcnJ_)K=c&+D)_EFOcyWj6b*CV76E#bY*jO>W*1 zxVy6}?~uK5nO(Aj9(6*2_Dm(yVPIV{G&cYJ(<$sFmmnhh4a%jWP~Tn?+z zZudJ3Zi~s}wVLb>t4}{Qk^o&KH+TcbVzord240)XV>dXRW{1z>H8>mwm&0fE$|F#n zZkHkOJzYDx4rbgU{xYpDA)X%v!FIcX+z#89>cC9=qLTkcUBfU0$!#=9eTk+T{>T9;?^nx9JJs zb$95{R=&pMj5OH29-qxA`PuGpNSazKZoA*^_8OfQha5g>>}uyV8Cx42F7;@vkv^}{ zU^Yo+F?pPJi`8!Snw@T^)#I|*9R|NkJAO~QF0HzJl0VI+NQ>9%kW+4u^KW!HOiq{N za+A&Ab4UtU{SIFs6+H6P%4VK+hK_B!shQMfi*&2UYjnCjZljz|kJWE9c`WM5wfapi zmsQ(G?dw{2JnC`TB7Huq+b_Az>oUC;G5WpoN0*!dli6so%CT6S4vW`f zbQ!e6^mUg4S^IP;3oJf4Uw)TYE(j^;+(xU_C0Ru>o6911Sg7St3s2iN+UByc&)~Bd zWe(SCvH2_pNehcj-ed6_U4D~xdRw-0b?w}$r(1iA%j|Z!oRZJ&7LU>8bvj&9TFPZ1 zWu4n?ms?NOZ)jy=f7~v~-)4`=?v;lc%f)B1xa7!fPNUaqktZB$3E!?OpY62C2RNN_ z1P+tcXOgUG_Q<~um&<7MTDb7qcW>wE+F8AZBpY}ZyCv@#C4Yd*oU%dEF+b$L}#p=_wh(XEWHVj7k^{Xqv&Z3a27VRD zsXi&%B!#ToY|*lfWP^Vsjm7Bm+dVd;&KT4Q8{`CFyOmJN%v*}xIIp*tRwN6twzbY4yhpIY`P>v+AIbsi37*l!%I5)offI$9kR9AAk~`9 zBss`tl+C36m9jxANA(jbRkR$L$K~{SO%|_RvVy_wFv{H}QZ%?^l|bO5Kb8VktK=w) zUG~N-so}JlrHq%t-R3s?>?XIL3swJYsY?x3hkT<{7*YvJ0pyXkgIOvZwW9K9>F51e z3fQDDmzvHYb%nG#TvArL4f1Y}?3qvUnwBQskEMXa<&%edo1AW&WEHE=XK_0uKgfqj zTfigFu2#?g$5Oy1Z4r-OzT9JT+ALC>y6jH16=XKM%?6iEE08`Z1^jliMM_(v#bmKa z*(Ez+_eoR3D9a{n7O!7R!+(?lPM<{{HtzKWS_$&;Zl`2&$pB7+(`v98wUgh)trY`W zZuc53KD!i=Cb!MxG+JC%v!sv9<}}-!F4;+~I{8=%nB!|r>dq~digvWYrGR|B$!3vj&M2qA=9ZIb zxB8?_t;rRtJK zu16{gqgTr|9}5|mSFR$j6mrt=bV}3AXLd?HcS@09F}rPE8W@2>hLn-|#qE)VljBr( zNnH%&lg6`D^#--p(XJ4!SR!$xG3j+$VSMlB;~?;w4= z9;cKMZnw#9G&?O8ztnpa>6hGZmvU4dF!_(-)vCQi$|fmy<)V=j zE$u>|oG+t%rO9ouNU3F~`Sxk~YL&d~@tW28(l5i+tQkba8YBuRxv;T71EYetV$j5u+O7ywi9*Z z=dK?1-5}@3>5wnB+pN+Ha!EtSE`_IBa7jnWuN6IAJvNTiFQtimko>`BlA6u$wzzFB zv(N3Znd~0FcE-AT?Aq2+E1KL!pWCdqu_Z~(UaOR@Qq?=8OtX;rrD7lhNe9MfFj=KZ zD@{g+TdsM(~<-|<;=@7oULZ*T3YQcdA~<00I&4yv=XArr*E=a zOp$hJr1=eYX~KA{7HO|ZtuN=#Dg9NKUy2wl2WeeXIdzUmX;(_S&LfwJv`&3CY2=&T zPNQThDR9*`a$wiw?iGKl7P7q@Mx^8mt28g&R<}=TUWc^2B@0P6&ft}@Q7WmxJDR!L zcWI@*!5r!IO9|(fvte|aC7;NDn{9GEOCfBKwhTQhwUgLE%}d5eyT>4fiATz5i^VD( zB^MV_}Eo9 zStG4-Y%Ysa`b~bjPm;$jokXcz9WJBKEYJ7U+CD*tY&A=PAZ>m1uze2MUP>~#NUhQm z_Q`;O*1`M7aDc-c=`oq4Ft=GeG8|x(4wkfWT|TLa4U$h>(pm`|gSNz1Mg#0}=G+FW zw8(67CCC}Hx}>)t&1PwJNgGryvB38FL0AltKJ`+U!qy|*EXg2#qg30{ERY7HoK7pv zre^Bz&bZXU5IND3k>mhms6bk)W;va5j-|aP<&9VBEvXv=-|+Db&Pd7FW+?-t1#EOn zo#Ak)ORK#;Ib``9=_duYZ>FwHmIs%rUtx$;dxw6hd87*`!#Z{;^~@$|Q2FG%N#~mr zr@o_0N1xi}wiqL&lCet1!sj+fuUmd{SaP~FbBt2qNyFOa)2qX_GG?WHhubZ+hFv<< z>ga?^`qxfrfO@RzkdxXg(DJLEP&TLZ&DGAXSsKieH>K@imUe>rKPg&WZo7*w;ChfQ zxr{Q%MJ+>2a?V^fX>Uuj)G3E81(5WeKW5gz<>N3$I*d{-O2firvP)0aV|STMW*H2z z8q^Mzg~C$ul8jBs{u|}DWMqdNHov5*%ORJtQChk3<46ukF{!bAep4V>U2WB6-|_}W zr1aJeG87}puAXd3BV6{bhr5uuB7_)T1eR7ym|EXQR|xY(=`#KAW^P zBWov=&QTO~d^c*xD2h4*6ji42)R~y5GCilxXnj;(S7$Jz%CuX2 zRhb@BX9!i*VPjQw#xAN%v#B#*Q8O!wI)fEerrFe)9`*0)42-HeGoo4<)tLk}R!8_% z)scDCw%7%G;{cq2%WxHLz-_o2kKlQ{jq1ui_50KncB)y?fa*#y^>uXxm}*T_S6HcW zC+v&rIw$q@uW<(+#FKal?_i9m+WV4W1lGc4*be*PD4d3ia2@VOb$yh&UzhMMzQWki zw7;i9JC??p*c{vAY+Q;P@i4web^Vn3{khPFrLiV9$M(1w*W+=#g!k|@#*U$VPfDzY zO|UKY!O=Jam*OVekEiixe2P)!!cdPZ31-FuSQM*ZV{D6kaV*Zp4Om^43aFpo7+Yaa z9DxgQHSWUi@dd_=t$kh!%!Xzxi8Zh}c0hFmqm1BQ~ulRdB`Aqe5lB>$!Q>)7Rv+{agRe8Tj zRq~t-3-b5U#PzTlwp5k(4Z>k4#a`ezYSi@e3>yD=0$bIfcp19{UE9{ z?rK~K)fr_qR%d$EbaboA@%XVlcE-Ut9LM2AoQYrHN?e1RRptDAt19Vo5Rc<2l*>qo znwH~}?NY0;>{n)0*Eo0 z0_h_bXZzA9#bKa+417;kIqzyL=Sf|$qM8HM6&q3IO9J(0cDZkg*VUPQb-O@1i+X+8 zLVaD{tj_#K%_-Bfs<{-UuWD{Z8K#;?QO2m|Rg_7p^8V?n`4nXV@p9Gtin2~sc47yw z?^l&fa7$@xhg8cbN}ygYt0))MxSXQgQY|mnt!f2Dd81lU zQ3CaJB}GXTRpQEWJgRb1vZ_{9l>Dl4G1yhB%Xw3+A=injETgEST1(EGYHdYnrCLYM zm#Uo9eya5pWrS*dMVY87%OPf|HdK^A{VvNNR;h7gMcJY%%N_QrHdU0Ps*)+rs5V!W ztEw(V3Doy)DSy=1Blqa3dKD#(WXPyKMMoVfCxLLKUqU==d zCfB)YcR4=(uCC9H+Cxz;sPqW4v>Uc$|qB=oQYN>uE`Cbv_bK+qVOobURD@u_Q*uDVDr5=do z(hXPwO{g1c}Z9>x=R1~1}uyp0d>8NR_NQMCQi`yc8ZLu&daL%p0-@7z&eSMSJCRqwP> zHKKZFj2Z{ZO|cZQdWVYox_ak{s(MF>YM>kyUBoT09d^M$xf-D;1Bi#=7@UZKayCLy z<`6H$Ww;6hXk?ooh`>+jm!XDTUhu|ojfKzc6&c`LV64&7t z+=cs4@7JCnK7$wWI^M>IsMkkth@(($$HD}d4AWpH%#L}{h;}T3rLY24!#daqUDyKK zpf;WBh)3W!oQ(Q-#9ZPq$#ccdcDfLSp&>f<0*;zC#g%b`9# zQj53&HbWn_!A{r%`{58Ag%fZp&cgY)1XtoZ+=9DsA0EaNcm^-xb-axa@fp6sD6zEk zRPU=(^J@Z3hG{SpX2-l}L^~G2Qdj}2VI6FQE^LAAunYFW0XPiD;6$8;b5I|LT1LDI zH{dqhjp}`Y>hT}NlXwm<;|;uvk5M1jdPf{Bw)S~(FcIqGUFnD;Fem0meH_e5Tnx)# zCDg~q>Jc|V54J*m+^idM9~^`ua2!s?88{agp?cq=nqF&g6YAq`a=8Zn9@_@8ho+7>|mOW2c?2_jeK#r^1Yw9rK~y&nZY;0?T7Htc!a8#!K7=J7X^#hYVjSF!(>iwC`#Jg}m2FA7Icux`Q{g`XSxA76a!1t*4U*gmL4vcrn=cOf%z+9;J zTh!+UsO4=D)aS!05$p3}dVfWqA8Wzi^?9;x#DVcJ`8>U!GMd*X;&cp*i^+D2iS_=; zdg5)k2M^(K)cYluh;QIMe2TA8?~lZ$9j%X-r6kUP*--C?n24QN9Lr%<)cYTei9M)~ zpLHTupQE6rx8Cp2$I-^|`VmGSH$aZ zEAGaFsP`LwB)*9H{HOZ73H3ZZLA}4AE)-B-kA(>_1*S*6pOA;xhz=}h1rx#L;N4O7aEL zE3~~9+8zkqE-CLzgPAcWMxs9MDpMta?rB5R|EqKs*tr<6K;f`uP8P;%&GG z58-hEtD-)>-f`yVi8tX++=oX{AICpW9GXu;A2jNJZfS;p2@AW0|3S5g@@Eg?UyS^trjX&Xa)Yk>- z^IR`^{R76NUy}fnqdvctg*Z1F(2hk>pVz8PTnihb3tOT-pVgf>uwEfTQHBza!AYpk zW6dL8f?wkX+>ZME)px`v@GM@&o2buQ{Yv}>qej#6LmW(u`g~PJ;_R3Y%~%lid8+cn z)vzu$K`-j_Q=N%>;XoXL`Z@@GUP@m_xsbmv$2AyO4>pQ#g z_rSUd`TG#!(WuWeO(&jqGVVr9HfU2=#TNXNdLnqx!s(zK--Mf7jQOMv;PF z?a%1zO7;09eSK*L{+hFpFe6%+zET)0343`ywMcmS-1d~;cC?9 zi*^$4!y|YS&!aw1^b7Gre2(uhI{lh>m=x2XzAiQ=aU@!?FqXoKSOe>$J|E;KZiij5 z4-Q6s9_TaTY4`;$LiPEF>Uq}Zf3_0u#)Ehae?&R_!25o$|CW{Q{;GC(Xgem{F3`?P zktmvfR=c1VpQpE<|E_g0SJ;nR_yC{bTZ~417#EXZYRrT=Fh5$b5SGLWSRLzOQ}m(w zyjJyk>VmydU-vSScmjTobMQ;l*SoAG-h$uY0sJ2IbuK>SPL7X3tM6b?2h_6mZ8LBa1zeId8n^n`I>kGZpXd&9qQ{=&Jth7 zn|L38MSZ5%GM$C@+(2NDK1eV8YSQne17u#TG)Yqj9Bp!j|aVpM6eLc!@ z;x!n$-f};$>+4WX5nsS-cpD#~zW(GraSZCi_?Qg!^_LOExv&7*un3mMN>~#cU~_DN z?NMK6(wBG$>i4-!B%Y4?`jW-OD{(z;!#$|4D>+Vl1~1_ayodUFlGnshs5fI{B20<; zI+AR}dC`PUERN-{D%Qcq=)u;guN&z}JOGE|IGlp|dXWXh%WySr!kwtE6FEYB64mD^ ztL5QU`~~&(Ax=2Z@j2k9ZM(Mt!}<6XI8>-l(CbXDm#J`Z|yF#91*98qtCJ z`i`>1Rj@WTLO1H`Iyw^fK>fa`VZ>umU(YdI^IF`Io@jey~Ga~Gp_b}0@Uv}OGm8Vcb1!2zyHimTolWoem`0* z;)dwLme>KiV?P{MH4!)IF`ezs6K~Y zJ-){1!PeLbd*T2bj^l6&&cX$_3|HeO+==_}2%bb~{si_*vPr<-n(z3n?f&Tcz2EvA zeZJ!N{+@yT{Aahz`hPsW(Ub2RfWvVdPQh8Y0GHuv)Q?ZHXW+af!?Y+_De!tO)aP@; z^Ojn={HM=bUX7w1=P&pWpW{1Jf4@d8cj94EOoN#*Cq|+b3u7s)h&8Z2HbXzQ!>-r| z2jeLG45#52sNYxg74bUUio5Y3>h}}m?Q@#1xnwvtk}Jq63RzS*(J!u@Snl6?ViP*dK@CSe%SAaXv1^Rk#s%;J0`ff53Bi z1#jU4e1>l^8rNrBOoFK~6XwADXu(2Q5-VVJtcOj}hi$P7_QpXt5+~s2I0wJP6{z3u zuHSF?4X+=-@9{MLgxB#7KE{{$0b?>QnE;bxI?RH((SUX=ie<1e*20G9!j{+pyJJ5b zieqpR&cJ!N1iwc8{=x0Ud+|FwfoD;_Z}2AZef$;Qp!&=Xwcd_{i7^#s#O#<4%~%ji zV0o;Db+HM0u?=>{UN{g(;CP&hvvDCVNBw@l&BVKKKOV(XsNV;8jrcY`!WZ}+V=yim zACqBPjKEx20Bu+VOJgOhi4Cwhw!rq-4f~?(me$_F-|A0gx=R1~1}uyp4Lj{EYYw{nYsM*V17X_2)+1f#2d``~lD56}*KH z@EN|vXw*M(F$t!|Oqc`nqXi3LNvwd?u^u)>AGXCV*c%7oNSuJ5;~e}FSKwOQg5Tf) z{2ou^Pk0^g;A4D=A225MPXbJi=`aiCMg!WhD3-y>SPL7X3tM6b?2i3#D2~BNI0NV5 z68suB;C9@L-{A>7ioAu8yDhoT!WiYzwdrO@lia57w{V1#z*)9-(w8s4dbJJpM6^52+V~AQ2m`- zwSB1HUtgNI64t~9*c|oy>e~}{!@f8KN27i}{dD5FxENRBderZu-$Q%|kK-Arc0rlra<|Q_ve!qNi;&NCO>tJKl?~`v$+zET)0343`{qa+X zXW;@|hO1F7k8t}?^6ejOA3h@8Uf_F-5k*VS_?QgSVg%;G0%${h9bReTN>~#cU~_DN z?Xes7#UVHvC*pLRi;Hn3uE%Y-2M^(KJcIgkdT$Wl!>9NfqcAQJ8xvtl%z)W2FNX7} zmaqP3KK;FZOf1ez0!)tS@UMElLkB*;JNCn&I0h%-44j8c@N3+F+i@>`hbQnXUdHfq z1GIc~lq9kJ$A#sI0Q%I zM4XOuaWSsM^|%f9;2}JYXYdl9H{pro;@G4fCQ2omd>pVO6YyjnRXx zu@m;h0XQ7T;S`*O3vd~(#!a{r_u&ydiRbYu{(=wjIljZ_wAbTdQcQ!HF(*c%6$@i2 ztcW$RJ~l%?w!^O22M6OQ{0yhz7q|$&!gaV6cjG}khCkv({2A}!6MTgV?etif5K~}! z3_mwOE7#)EZcl=#F%#y%{Aj^KSQ7Q;gz3+jugB|6(T8oZ3--oAI1(q|=cqpy>`USm zxE8nIH>f`kOn+|uXF( zrLZE_!1~w>{n!q>Vjmogqwq7FhF{ zU*LO;!8l)hOonMO0&`&jv|$k}jg_z_Ho)fC0^4IZ?2AKiG)~0nI2RY=N?ecIa1S2B z<9G%y;SIcpPw_QIp?r#si7+K*z-*WoP3XkpSPrXV9c+vqY>l0;Cl0{jI1Z=aEL?!g za5ZkiowyH=;7L4>SMe8oh|lpIMrWKa9wx;!m>F|oBwDdBmcoiy1M6cm^kX~hihXb} zj>6Ay8h(L`@GD%0TX8oY#AEm)Uc{gAEkn#7R-$Xv|~{$gO#xsHbfV;#17aU`{7U=gOhLu&ch}6HR`{Mu$_1>eupRUEMCT& zcprboH>m!mVblm&*NllV6=uZjm=E>eKPX6C0?T7Htcy+1i*2wo_QHWU0>|T2oQ(@{ zIqJW2u$g!l?#H8e3NPR_yp50W1-{1^jPu3EWSABsFc%g;8y3ORSP5%l18j~huswFe zzBmL&<3yZ}`tPGICSHl_aU1TzLwFp|;3d3)_wXr7ar9p`KJw4D!~f{|>bIn0G}@bS zF$t!|Oqc`nqXi3LNvwd?u^u)>AGXCV*c%7oNSuJ5;~e}FSKwOQg5Tf){2ou^Pk0^g z;A4D=A225E&IFho(_t3OjRv%1Q7nU%u@*K&7q-L>*d6=fP#lAka0br9CHOUN!0osf zzrz!F7BAyXypO-)8;nYOGY%%kRG1O7V?H!vK`epgu^QIJCg{aB*cp4_KpcVNaVpNn zg}5Bo;AY%~`|&8A!V7o}Z{s6;f$uQ}?aug^4AWu+=E4GK!y;H3D`8D+fX%T5w#RPR z7l+_zoQTtLE-uEExE{CR9z2A{@eE$V8+Z?&;%kgTdowmB!jzZ+vteE|p%aT^Ijo9x zurYeDHFmrd zv|?c_g%z;|*2iY}uku{Wi|o(Oco(1GD^zH2#=?Y{0@Gtw%!5XBU@eBl7(Lh;J7G^8fWvVdPQh8Y0GHuv+=M%E zA0EMzcpk6fFZd9j<2#H_J0l(@#Wa{1b7CY~u`rgxiWq*5g?2qVXrC0rvRDOcVqj1?a$#A zyoC=?zu!3AAJ)o&aDP}!hj4#bONVfOSWAa+e^^V0aDP}!hj4#bONamV{&0T!#TG1t zC9wil$9mWleb^SeU~e3RBXI(Lj&tx!T!CwG3x0zK@OwOsKjC$}gOBkghTEB1zCTR+ z@%Nr@bBFC7<4gR2G3nnXz~q*bj%|7@UMNa2_tf zuWF{?y|K~ro-d)Q0(<yQ=9A3d&_yC{bTa3mya$HP;sWB7g!2D>zLRb*F;emE4z;3S-Z^Kc1%jT>+~?#1sg{JasZ9N)}+FS~F* z9>r650k7e0e1tFXJ;sm%KWc=c#K&Zq79%hh7C;*o!O~a>YhnXzjxDe~cEi3n1V`gU zoQ`vGF|Ne*xDEHa5#p4?_JBkT^XnEgM)DteumTV3tWU>;X2%kyYV0%!yoY?{)~6=3BE#wbuqCp zA*R6em=*J&5gk|z%VHI*jg8Qat*|5Z!2THiy>~7Dgn#c{ONa39y=&X8;BL0kb@d>^{h5l45Oo%BkJ!Zu`Xha7V z!?IWfYhxpHV=L^4J+MCx!?8FSXX1QZimPxV?!a&HF#dq&@Cx3-2lx!%Vl?_yaWM&| z#!Q$4^P>d|VM(lj)v+EnMIW}sF4!9f;Ygf-pW__-5?A0_+=AcW0sJ0M<4<@U@8Dy6 zi61Z~{ksI19MfSI%#8-LV^J)Fm9Z8!L>IQi4%i+0;ZPidlW+#k!zK7NZouuh7r(<3 zcor|?O}vl4;v0-gzbXzU##ERQvtvFqV?iu|<*^#p#U|**HrN?^;XoXL<8dm^#)Y^X z*WhN{h5PX+p27=w4R7Nke1Y#V2K~JFm<-cm1m?m5Xu~2{8Y^K#mAD?a;T}AM$MFna!W(!GpWMH4!)IF`ezSO*)U z2U}w&?1=+#IF7?9I13lxGF*+Da3}7=BX|hF2b*H9d5%YXp zgqQ-;V^++AMs#2?EQ?jJHa0>xw!)6s1N-AJ9E+22CeFvDxC%Go4*V7m;}3Waui!0w zfY0zPM&tJq;$jj^jhQe9=0^(_!jf14t7AQEiau*F;emE4z;3S-Z z^Kc1%jT>+~?#1u$1fIpqcoXmAulNR|Ce*ICIG7kyVMff3`Ou67u>_XKYFHPWpcmU< zXY7RoaRiRXsW=-K;&NPrn{gNJ$D?=(FW@!2jgRmJzQ-7ev~-G($uKQOU@k0xHY|dr zu@ctA2G|^1V0-L_eQ^km#)&u`=i*{qiR*D2?!iNN9M9k-yn*-dDZa)iiM8~JjfpTN zX25Ki7ftBI;#dx=VjXOZ9&C-BuqO_{;W!SZTM!-}i}>yFu}h@S4ZMd>@ij(a+$T0B z!jzZ+!_UzozcKz3evX!w9j!)m=IH7dd!M>(1_vZXld!0iE*JEm>(@z2uorG ztd8}tDf+N2cER2_2uI=s{2b@tm$(Ag;uib{58(HB8h^s;cn2TjOZ*Vs^}jW-N#$usl}7y4VE0*akaeFC2&?a6C@M*|-pw;~LzIyKp}q#Z!0z zui9NfqcH9h8xvtl%z)W2FPhMa#jzY##X8s+J=hvMVNV=@!*Lu= z!CANfm*HyMggbE`9>J4%9t>?;WYdL7vWd94!7cNJc!5eN4$tX<6V4$uTWv!Cl)5e6qp{fVjeW21B+o< ztb(<%5xTJzcEldoABW*soQyMZJ}$*oxDj{Yw|E$Tz;k#7Z{Y)chHo(%<34dQ38uzO zm;>{p1q)$Gtbok8wcS?oPeL>9Q+bj;9A^*-{1lK9#7*>cpdNHV|npT$2(Pcu(jmOQLQ9A6`U))_{@d19gzJ0lydS3i z{;Std{?X^#{Z@TnoqD|Mi{If1Jd2m{Cf>(i@eM|0Tr>_Q##ERQvtvFqV?iu|<*^#p z#U|**HrN?^;XoXL<8dm^#)Y^X*WhN{h5PX+p27=w4R7Nke1Y#V2IHdfF&U=C2+V~A z(1t~@G*-fz*Z`Yj3v7?wurCh5(Kr#O<6K;fD{(z;!##KikK-AAl~4 zm1qT1~X$$j6^FI#!^@jYhZnBhJI{^U9k@i#!>hgPQx#75q^d1a4YV{ zgLn*o#EbYd-o+>Q3KiA~#=?Y{0@Gtw%!5XBU@xE8nIH+TTQ$J6)|UdKE57+>NCjLCY%1ehGtVHV7d2DD>QEQ6J? z7B)l|w!{wD9sA)>9D|c^2F}AJ_%&|8?YI}e!xMNGFXK(TkH6v@jG9DiZ^psImgxDc1)8r+P#a6cZ!Q+NTd;ca|`FYrCa zNUEh%d`yOEF#>a80kmNeERB`0CN{w4*aF*QH|&c;a5PTD={Oe`<4RnQ+i(va!sB=b zFX0Wmhfnb}MoFfnPi#ztDKP_P!@OuhCl<$YSQYDFWAtEa?1VjW01n4-I0a|n0$hfx zaTD&ueRu><;(5G^zu-fBj_)vfaxI;uCy@N(wD~Vqro|f$1?T=0PJmuo#xbDp(sE zp&MIaN9=+9aTt!p$v6||<5FCO8*vAIi-++CJcn2C7Cyjd_!gt3)Y2&~Cc)I033Fh6 zv|u4Di50Lq*2AXg!?xH3d*dJ+i4*X1oP%HD3S5g@@EbgU-{Wcg39sWFe2g#g1IA3H zrBeb-j_EK9=0*eBu_%_o%2*2Mi{If1Jd2m{ zCf>(i@eM{zt))*KOpK{8BWA~ZXvTtA0?T7Htcy+1i*2wo_QHWU0>|T2oQ(@{Ij+IY zxC{5=Q9Okg@EYF6NB9EYV~jLfI>pCim=+^27ZyMp7Qxb332R~lY>q9kJ$A#sI0Q%I zM4XOuaWSsM^|%f9;2}JYXYdlo-DIO-pG?*E4VkBCz zFqXoKSOe>0GxTFS?23JGFpk2{a2kGri|{L4hg(smQNru{BL2MfeNmYgkAsOZ6=p>J zckJ>Jo3S94!1AcC=c`NH1ijb>J7X^#h$C=3PQ}@{5SQZ`+>E<$KOV(Xcmc2BZG40; z@IA)h{-^ku4AWu+=E4GK!y;H3D`8D+fX%T5w#RPR7l+_zoQTtLE-uEExE{CR9z2A{ z@eE$V8+Z?&;%kh;{avv!5vIfpm<{uy37uFR%VAZlgN@OHt+5mK!~r-Q$Ke#5g$r;Q zuEtHc6Zhc}Jc;M=D*l2G@j1T3=-l@d50hdV%#1lP60KMmOJPNyQ=9A3d&_yC{bTa3m$c3ez?sWB7g!2D>z zLRb5%GM$C@+(2NDK1eV8YSQne17u#TG?1cky1dhk4I2#w@a$JL(aTo5# zqj(B0;5EFBkMIS)#~93G$H!!t79%hh7C;*o!O~a>YhnXzjxDe~cEi3n1V`gUoQ`vG zF|Ne*xDEH za5#>`DL4xk;4)l|n{X%Y!y|YS&*N461s~#be23AQ$Bu_dF%4$MoEV8#ER3bFBG$nA z*bM#H4!dF>9E_vzGn|HB;3E7A*Wp&&jR)}<{)iXxXS|C~@D(b|U&q3Pm;%#dR?LG& zbYL+oi&d~THbOVH!j9Mj`{OVii<5CC&c~&=3OC{o{1y-64|oo*;4OTB&+siqV;(y$ zCc)I033Fh6v|u4Di50Lq*2AXg!?xH3d*dJ+i4*X1oP%HD3S5g@@EbgU-{Wcg39sWF ze2g#g1IA`tc4BHg)OlIcE^4=6vyBsoPqOj34VgxA76a!1ow~dF=R@4AWu+=E4GK!y;H3D`8D+fX%T5w#RPR z7l+_zoQTtLE-uEExE{CR9z2A{@eE$V8+Z?&;%kh;{B>+hgefrtX2ZN_LMIl-a#$7X zU}N-PYwUzQaR3g-aX1BM;R0NSt8o+V#C>=KPvUvJiof7Pe2(uhI`i1^Fe#?N%$O4+ z(Tat!6jsCX8;BL0kb@d>^{h574P zm=IH7dd!M>(1;E!hGnq|*2YHY##Y!7dtiSYhGTIu&cyk+6j$L!+=1WXVf+El;T61v z5AYej#c0f9$HgR=8Z%)I%#Ri^TyNvuKVR1GfwTn*4PPq;s6|u<8TVj z!UebtSK}t!iTm&fp2YKb6@S5p_#EG1bjFe6VfeXg+I9I?J$LP2{ks2G-@ks8n?rCE zPQa-+3;*il`Kv#_3dd0k8(=f^VH@m(J@AjF*L>c$1XtoZ+=9DsAO5?i!~gaE|6hOK zziPfYO#V56XYk+sc{%N3_2vJz+M#7>asI39 z=f8S6{f6`Mca2Yl&!g53{H^*noId~m^!eZR!xGY-NP+1wD~8iQoc>WG6y@(8zxu86 zA)NoU{Q2)%&xXq%?fm`T_-#1(xu#6`V) zipTYv1m&?(fpY1O&i~16;eq!hKv@PKh|^&f)aN@5#C9x-Ww0{V!iMO=me>KiV?P{< z|F69}fwQUp|2Tdo!px8%TM=W++B8B@VuX?j8WiYoPx8mp+fw&3+g1 zf6M1w_4i+PFpfQV5Rc(0)aRMf--F4(enTi`$J|%|i(+Xkhn27zK8p3RDYn9P*cp@X zIeZCU$3Zw8Kfnn%89&1>@oQX;Yw>&BfqU^V{)*@DDrR7RAq;b1J}iWH<2_gbAHqlQ zacqcBVH-@qMC^et;43%)hv0iS4kzL?oQ(@G4Zp<=xD|Kfe*6Vb;YGZTnb?oYhPg05 z7Qs>&jSpZ|tcCTk3AV&I?1bI17xu;eI1q>7XiUXPI1}gMB3y=Ra1(CFJ$MLD;90za zx5yKNI<6Fo5ttVXVsR{s<10%5nMqx#) zf;F%XHp1o@iyg2lrr?YCD!zq7@qHYRpWt--0vF;ET!r7^5BMVo^F9;|DkaC*x=MC4P;|aV>t2J8&-^ z#$WLqUd0TYPbmy@U_LB_cjG-+0UyFg@NsO2PhlHOz(nkUFW@UU0EggvI1VS`G@OkK zFb%)O4Y(C|<9_@FPvJ$pj+x}ZiF$s^hPg057Qs>&jSpZ|tcCTk3AV&I?1bI17xu;e zI1q>7XiUXPI1}gMB3y=Ra1(CFJ$MLD;90zaw{SkCP>jI5SP+Y2SuBqaVs)&I4e&{P z8r$PDn2gV3KYSD4!I3x?KgOx}InKw$xDwan7TkqD<54_`7w~tyRSuY`^(ZUej(1{V zEQ$Bx{rE7}#JboRTVPx4h~2O!_QBWiZG0C;;fMGs&cHeN6)wfqxDmJEPk0cI;~Bh+ zA)HSs3uebWco!DKGI$?W#u$7IpTK6=8so7GK8wBaWqbn%;|LssAK?_7h4b(mT!HIw zGw#HFcm#jL^LPz2X7{g0;g}Qez(_2CQCJbHU=6H;jj%b!Vh8MsDflA3if`did>_Z- zCpaCyz=gO3SK)W~1OA8y@ED%POXzVvrObF6=Eee86iZ_{tc2C@QLK+mu@$z%&X|PH z;Y;{B4#MI10Zzcl_!)kQU*mFIi{Il8+>3|tS3HMTF@wAjpteV0m;>`+A-o&!!3y{g zK7x;9LwpL`U;-v$4}1Y%!2vh~-@|b@5vSp7T!3l#EpEWAxEuH5FL(+s;&sf#d6lwZ zF3gWbuoOn)16UPnVLfbuEin!|VR!6>eX&0d#9=rZQ*jc`#JRW#m*E=Rgxhfs9>NoN z7O&tf@`ktX^*=^nUMz^ku`HIy2eCTV#s>H#K8@}18BE6Ku^+yP@8C!riyz}u{2b@w zVqA&qaSQIkpYbT3#0&U4-pcu+vf}M{Cla2|exD{vid#+|qikKk{39KU&L4OEgXvP<9Pf8r{fp65SQR8{0@J>AMpSl!_#;PJ$YkW zZI3eJZI~MiU{NfM<**V~!$+|`HpN!h4m)EKK8G*i>o^F9;|DkaC*x=MC4P;|aV>t2 zJ8&-^#$WLqUd0UjUQ!t5zbgl8*nS`#{KvUp2CZG9W&kGU!SsJF3gWbuoOn)16UPnVLfbuEin!|VR!6> zeX&0d#9=rZQ*jc`#JRW#m*E=Rgxhfs9>NoN7O&tf{9aNhMqpknh{drimd6LNI@ZPp z_#{4!?eQ5*#^R(# zPArTi@m{Y>OSS8}`IL_!_>A@8T%@5I@BkI0wJNrMMb5;x_yV58`n= zgO@R+0MGxJ9rNH_SPaYHeOMV|@G*P>n_+8=$1eCR_Qsd-4IGRka14HgQ*ai}!*6f} zuEWi^6Zhc}{0-0JHOwd<*i!3JIOfDVFcM2(6jsD4SOe=|BW#Yb*a5p@3ciT1;#)Wr z-^cOz2~Ni^a3LQkQ1eai5C7Davk z_j0`=K7`frF|3ceAAfb6|I__!LBIdq^C%7_=Y6z|(;jKAVJyown@{OkAs*7IWxp?~k8KJVW|u1~|+xB$~opYLx2*SF$s+>gJY z{`}KLu3yJYe7+|e=ED3~1WREwK7duR7S_Wi*b?Kg6L!a5*cbcbKpcjnF%>7_Oq`30 za2c+_O}HKR;2}JLXYmT&!smKIF#_{qK`f4Cu{=JA)v-1PZZ86_|EIUt`u^nYCg1ab z9Cq?4yPrP)>UJyedFy65x*s=to+?Ja%HVxi8DmgCKRv z)Z4RL%6JYK_$JYK^wC+hE&M{>OcMqx#)f;F%XHp1o@ ziycsZ&NGGUFXF2hxcmaI2d>9AoBnt0m;BxL6POoxJ#d`=>Nx)Hyy~ns`thLm*P3#B zD{P0IF$tf;m+*BQgv0RzoPdAz`Q0{t+wFO|zkhW;+vbbjkNtP|58tL=@8T%@=X-Si z=N0`s2)5<=uihTG9_Z%@TTWnoQI?N>{S)l#uVC|ae{9cNH+z08@)!H3*Js=7!|vqv z!oJua2jVasjj1>ZXX0F3gv)RZZo=*O&-bJJkB5~!kFLjmelOcUU;g>MZ2x@tyM8ZQ zZ?E+JzP=u~-)+0SU#Rp)CXP^M@w|mf`D5SlEP1k%w?BN-ecMmDUO`=#x_U~v-cYIT zEUL%zT~p%Pi7Cl`JLyX)3B6OisP=Iwab8rr9zDFM@^2EYkyS zjDJ2pF`-9n&p(zkrK?Plw5a%`p0S;ipN)-A?wry&J}xn~M?!o`=kDENZ_66MD%V%I(QLlTu=Pq{O8p`1=+`Zc;*WtjbpXHE+{BX`j%pXGe+X&|PYLm*d*C zlT`l*{I?`_k2kNzCC7E^n7~N<6@R>?Yg~M?M5@_9Nat>b1hxRjLS&h2`psQc-d+`XsT;|s10mTgoHqf{+pS;Sr!xm`U^WaM99 zT@|BF?Kj%$Y8rJT9Cd1)()X*5GuiT*8g=hE>eOpPo%g<@uDwyG_Uml-n`qRj=S^E( ziczQbqiuD4j5_uD(^l8ts2l638)VdtbJVG2py$hYN1eJaU8nYQZT*{Q)TKJ=rW(nQ%BuyqfYHt+3xp%Q8(F9cigC( z;;1`o)J=8NT{Y^aIqK9g3cVhvWoR2mR-_yjo;i#)=)TwpbHja8mo!alV)ipKh)NyuOU2CImo};e4 zQ8(XFmuS>2aMYz3bqgJJeT=%V9CiJTI`x>hjdzey_qC&Lgi)uC=h^bc8g+{ubrX%c zG)LWZqi%_#ZjMp6)KRy{s8g@YY~xsN)Gc?^tuyLYIO?_-bt@fpyN$YU9d!qcx>b(4 z<3`a>)J-?)_Brb27J}Mw`yF-5jk*Jlx^+g~K}X#dqwbKSZnsf)*im=Ds5|1Q zJ8sk+b<~|T>V9$5T{Y^CIqEWINPk?aeNfwakkzO=;i$`H)cxwHD`3>A_s?wiTg<3C z>8Mlh;p*+nDMy_;w}q}d?Wn6_)SYqE)imnVzPW82^^Cf6jyiRYGTp!Pj=I)H-33Qo zd!z26qb|{?yX2@#G3qWm>iQUUR~&WyjXL$3#Ww#28Fkkjbt8PY&aG zG4fBfBj1I3k)k@DlPsz_b$_+wpP6?+I-vemH=S2qZZPw*Yz)1z{-ww?ofj*Y&AbSi z@Mn3g0`ek^yk16LPq}Q)mnxE{`y`WYTpsf$b*dk_>C5Uhfx4{cvn@~U8<}-6mitxP zV4W=&y_!-tSRD(c>1pb@#GHTmWW1|x^)HV;GEI$1jZ;15_20-vZ&P;9tE*QgV_Ie@ zGV2QD^t=P=4`_Ot`mB_Bzk9cadTRTq)`OQteZP6+y8atq#OFd=iQmpezNuB;1l@Tp@4Rx5PrxlkAX5LB3OOolTf3Jx;PyME${u>pezT4GO=AUkQ znmPxTnb&q(s5f6iRNm`S{D(Z|?aq9jw^S_vnk_Hi?oe;CEMJxPrqtT<#uoNG_4x|j z^u@sbrOC+|Qe~pbd&`j*T2$7L%sMT7UIgZ~JsRpo$T(EqKu2DV(ww)cnv*%6%;`Z0h-jOC3nj0SKf?EaTVC|(P_M02sJwSY zTV8k>&r|yky4muEN}l?iO_euXifwt9C9jJP6K#2u&xCpdWV*^5>Bws@8%cG3Gu`yX z!1)(_R+fjXvno#?&sMALjmHP;bB!oZef{}Hv*k5EFYAj`sXTo=`_J;4NS@j*>t@UA zBzb!Is%^4u{;ih0JUUFY<#`umdB}9tzj2PdJ(9OeKN&mn@~J!-pvwEuk+-m-=WWj> z1vFd#qOZ&HkQu1*CP=Mqyu)e)_jqfbJ?zHg?PEvY9LbBJZwg!g`bl1GnXdZxiD>KJ zS;;G)f@rq9RgxDeH7akCXv>SJ8Qge}7~`Gd$h%MS=E`*4Z2gOf2=n$zk?P+x(bm7i zk~brRPP5Oylai;-i~ z8RpOXTxxCOUGtPY2eWNZk+!^5a*`i)-a*yBFC2N7TX>#2zld(OyrfcLUhnMwJhl96 z{cG9L^VX_~G+W-0N@3n8_M7HOtu3#3qUT*yI|4LYUcaicJi`6`TOhTzyt`!MS0;$% zk)=+U*H7+O&A+c4d9`2iykOwS`g!nY!T*lV*Oj<$h$aDwl6`fe*@yfyrHar%N%*R-tjzj-Ywm1b!fp+4|R8<*_|j?Z~SzUbYv(Y_EEUc@1Tus^z=Z zk+*+>=e>|s_s+h)#PpT^-R93*@5p<4rhHDo*x|5^cm6O7}vdF*iH-G6I{r(UP(W*cwx z$uKWfid5b%(K=qqQ+2x8@-|A|Mk!KxKZ>@z#+gEVpGUe`UaFkjtu1+b9C_m@9F)!;K-{fdBLoI z(UPavze|q1m6F#|FI1`17X#P7?UJ`jCaU>&#gP{&2hw)Sblq%usb07jN!~RnwyiH$ zC9k9okve@buzzVG;a)%Tt~>IIOHg9lwX_?dW)G=6F|CY-^q@em2nw}Tx$U81y1}i4hb<-FBuzbVP z^VIjrZ2gPKAL9M2!$ezNOxAGUIMn(qn@nro=^*nu$;ngId{KF_NwVf`DG*%$hTfK* zm&1|w46bkX8Wx8(qV&L-4k~7>JDihWG zlU3H5H#IWElUdt7kyh?e(y;P}F{gXv#&0BDHh!>;7L|fkWJHvhNL#RBN<<`7Qk{8VWP)RxY zuik%_S!B)YRXW6LTrjcwf{o;ZnmhkDP*w*D=Zys<&#)t0VI^my-e|K7QLu-d?Fw^RJvE?|l7`pxzIN zsU3df{ebe0yi897x4tx#JiWfC?VqZ*9p-lZk4)56eGW zUdM*PE#EA4)0b~GN8WRi7tHI0e3GZ;i|Su>N8WJBYaGP-)F|dD~ByYP+RQ+op|7^?mM3dn9 z7t`#c^R4pm)G3LlP*~E?vT7vda$CD`dZ+4lO!)%rl|2YbL2fDd5y?W*z!^( zudPg1c~3d=hD%;m6-2Y;c@5LIe=Qt&nCB-!C))Zq zt7-c7>S;&b63GkZ{p2*s%P04z`WNfS+ZJTrb|Wv&$g3xp<-Z&4gyik}llLpszOuSG zhRTyhUc8Yff9}gu>ym04+R1pUW$|B;|Ev2_|J2_ob=?8^F_D&XT{m5qM4i4p=A%%r zy}lx<$E9kCQfKxrOSAO#xuZSyqoE|6j@H;Uw=qeMOYXzNWl|%Zlw%r;cYo qBNJ4gR9=MqQ}=1CZeB_GQfgXmxsj&x)H-C=Rg(UV&=X~vzW86j*Z9r= literal 0 HcmV?d00001 diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d b/D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d new file mode 100644 index 0000000..9542e11 --- /dev/null +++ b/D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.d @@ -0,0 +1,352 @@ +src/ASF/sam0/boards/samd21_xplained_pro/board_init.d \ + src/ASF/sam0/boards/samd21_xplained_pro/board_init.o: \ + ../src/ASF/sam0/boards/samd21_xplained_pro/board_init.c \ + ../src/ASF/sam0/utils/compiler.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h \ + ../src/ASF/common/utils/parts.h ../src/ASF/sam0/utils/status_codes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/tpaste.h \ + ../src/ASF/sam0/utils/preprocessor/stringz.h \ + ../src/ASF/sam0/utils/preprocessor/mrepeat.h \ + ../src/ASF/sam0/utils/preprocessor/preprocessor.h \ + ../src/ASF/sam0/utils/preprocessor/mrecursion.h \ + ../src/ASF/sam0/utils/header_files/io.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h \ + ../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h \ + ../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h \ + ../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h \ + c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h \ + ../src/ASF/common/utils/interrupt.h \ + ../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h \ + ../src/ASF/common/boards/board.h \ + ../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h \ + ../src/config/conf_board.h ../src/ASF/sam0/drivers/port/port.h \ + ../src/ASF/sam0/drivers/system/pinmux/pinmux.h + +../src/ASF/sam0/utils/compiler.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stddef.h: + +../src/ASF/common/utils/parts.h: + +../src/ASF/sam0/utils/status_codes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdint.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_default_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\features.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_newlib_version.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_intsup.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_stdint.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/tpaste.h: + +../src/ASF/sam0/utils/preprocessor/stringz.h: + +../src/ASF/sam0/utils/preprocessor/mrepeat.h: + +../src/ASF/sam0/utils/preprocessor/preprocessor.h: + +../src/ASF/sam0/utils/preprocessor/mrecursion.h: + +../src/ASF/sam0/utils/header_files/io.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdbool.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/samd21j18a.h: + +../src/ASF/thirdparty/CMSIS/Include/core_cm0plus.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_version.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_compiler.h: + +../src/ASF/thirdparty/CMSIS/Include/cmsis_gcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/source/system_samd21.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/hmatrixb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sercom.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/tcc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/component/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/ac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/adc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dmac.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/dsu.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/eic.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/evsys.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/gclk.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sbmatrix.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/i2s.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/mtb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/nvmctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pac2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/pm.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/port.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/rtc.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sercom5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/sysctrl.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc3.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc4.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc5.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc6.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tc7.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc0.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc1.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/tcc2.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/usb.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/instance/wdt.h: + +../src/ASF/sam0/utils/cmsis/samd21/include/pio/samd21j18a.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\newlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\config.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\ieeefp.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\cdefs.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\lib\gcc\arm-none-eabi\6.3.1\include\stdarg.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\reent.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\_ansi.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\lock.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\_endian.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\select.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_sigset.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timeval.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_timespec.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\_pthreadtypes.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\types.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\sys\stdio.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\machine\stdlib.h: + +c:\program\ files\ (x86)\atmel\studio\7.0\toolchain\arm\arm-gnu-toolchain\arm-none-eabi\include\alloca.h: + +../src/ASF/common/utils/interrupt.h: + +../src/ASF/common/utils/interrupt/interrupt_sam_nvic.h: + +../src/ASF/common/boards/board.h: + +../src/ASF/sam0/boards/samd21_xplained_pro/samd21_xplained_pro.h: + +../src/config/conf_board.h: + +../src/ASF/sam0/drivers/port/port.h: + +../src/ASF/sam0/drivers/system/pinmux/pinmux.h: diff --git a/D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o b/D21_ADC_with_DMA/Debug/src/ASF/sam0/boards/samd21_xplained_pro/board_init.o new file mode 100644 index 0000000000000000000000000000000000000000..d41b8353094fb6c85b6de7fa169944bec9217fc9 GIT binary patch literal 782404 zcmZsD1y~l#_y3-m-S>qT5Zl+l!tUc!YGORJ^}lv2jiI9=Ke zILmn$aESrE4CrIPWd`&$;7SAf8PMN=fd*V-z;y-;HsD4BZZhB&18y^5r~!8xFwB6v z4H#j-eFi*Wz$gR881SG04;wJffX57Y!hoj?c*cO|40yqS7Y%sXfL9H8-GDa@c-w#p z2E1p$2L^m(z$XSwG~jasCL8dj0bd(1#enY&_`!f54fxrBUk&)(fIkeFZouCL%rGFo zeEg?K18N2|8_?E(b_R4XprZk^88C+da~Uv?0rMI#zX6>LSjd1y4CrjY;sz{fz|saR zYrygbtZ2Z>2CQnp>IST7z}g0^Yry&jY-qs725f4;<_2tOz}5zAYrysf>}bHw2JC9U z?gs2>z}^PzYry^n9B9D7fT>Y#dnufX51*uz44qVbQW2#=VN-{_EnSM<(6qK|2bY{Q z95lzmdt1uAsd2|!;B_f3&6LJFvnz^VL{Vu_XB)--VUkp4@R#`i`6pCu^n_`ORLxhT zHN0+9|8HhRt-C}hvTS?EOR~x8Zc?Psnz@1|%;U=gQ?<|IO^RBlFH|~CV=>kIV+|?N zMtf8Td>ix3-rzgGfh}tj@HHMVOsnxwyEF!;(rCsv;9>p+OT@0S)Z?$fX7+RhZ&Tu( zrew9LT#A%RHq-VhN+X*(ofM^$qMq+16|FXpAGRvI9vv?gOG(t-t^!*lvSTAz(0ul9 zP=M?o0231&R8!?DfLWTg?xL8gu((=S5zdO@sG2JA8_POm^fbj()yl;w!$&HnYAmFv zNewl{RGoEAECxeO=K^Ni-bE^=X8&ub$y(Od4K?Stm}#g*rlD4ARK?VibxkY=L)}jU zW;=Qt^dA3fsM~Ya)(!RC#YH`$UYUmG-XO))n{`br216qq&}`Z6{YIpt{@2ima^Ped z8vXenLt`=x-D(KWV_Db4VlXtj2VjD7QdNHLv!nKQIBX|rlCI=icIra z*TiBls4Fk!A0HB@=QZVE}ImSFYB6E42FVV0cH#ThDbO3 zuc7s3`Pb0KEB_b@$uu-^9z1ViT@#DJP()?GY>SG*!QTHhw5QWQhW6#*q8`!yOhX#_ zvgrWpnpg~mPRs_((z=6UI{CkLjt8-_Ub9n!xTxDXooS~aW)agFR@GR{v;_|syLk>U z+sX_$x%I!+ZoB{|)7tIbT-2@I$+VWQ2uvifu8GB9C^<-lp~sieHD2h3vSvl^&gS_= zD5jS>fgG7|@j6_+$|PiA!qMSSd7VjcV8XU!5K=M;woC}`Wuuth=>%0}!l2&hXzw#6 zBooSPLTjgH5{LWl1rJFtb9RzWr07&n%>n z;h;6mK_Q3ULTxh5kr~0~(3_~OK`}8!Z=-ewMPrKINF59cS6M+2t!Vu}L3E;0T-Jl= ztjj669b2LochTLNSTehdX&42X6eW*7Dh;Q8_(EaJs*f!rXq%3zR(*sRN$+*k#+vz^ zQ%!Vk;cTBr|5`_ri;iZs>fhWLx}c*ut@@WUma?P4g|pSF_y2L!S4Ruv;a)tRcIa4P z>(U*FZvuVP(PGwVi|{rk(n&O?a4uyn{}r!j67j4qoXc7DUNMtXI1_mYM<4x5)J~vmVnXig zg%H3r+6}F|3YlgTnH9xaVgQ1kqnG(L*gb$~HZXLfq~wnJg3daZUV^Mm10q}GivD>mhZa6jyvN{Y=CF6O?PYF2EA6R(OLRh#Y8Az$G9Pe|@)!^Lt~ z=Gp9Is$$18mcVzaG0xCqT@%zag{+0_FK;jAj^;mJV5SWSIW z8N~=EE?&nhVad(YYQZ+?La|yZma&1QmWhgDsmw)KDl~AcVyTj8R&^?3G5v$tIJI32 zeS>H3bjIypENh{GvlL6!O1h1lXn~9HXLlTrMj+{-!)g2BLMdjcd6AtSPlM&!f5VRi z$x`R9*AtIPvDD4PY@D*-TeZ~7#O$4Vl!9daOf0KYlSH#(X^@HKbV~QfoZT=JvpOw2 z3=fSmu>wx+!H{g6i4|4~ugHm3AxoQ_wut4OJ9urm$W6JhBd~UiNoN;wa(JOBmJVDM zpu(x73w(6WMBRmA_X@3946;T)D?BWUloe#@Kb8&aEjxgVY1yW|3sGRhK_-A=*loupWz4e3Gyrzn;fL%7hunTjPg6R~kB@f_7W*iG+U z_D*RX5c#1@EK8w*Lq;f;!(O__tWN!3Lh?wap4I7H5BNXDjUt>2IL(YgQ=SR8XRNT3 zA3g}nxda_6<}|jGjbe%arDLU>Oow6RVjc&UELUjY^dXAnN~VWOz!3A*%$REdL(tbU z{nc}tg8|!e(@EEB*ch3D!Ne;e{#aPpHuO-z>+hq3{#2{msrnPe{j8> z*P=?!Oh@zA150C8u~PA7rIc!^EtqZHhBb$!uE?~bSa-Ao+DLdiuoKlf@hZaki`rOe zFJk?R+FSc_H)>+&X0_(xnlu&L99cJ~^~Gfb-@@QlvNm6UH_%cX*16TJ-Vtc#)&{qh zbyrKEZN+7sThAIY5sleFWR3)ltV0^ZQAb0#nbmATkev)}E314BZf9{**KKEQjbYT% z%@FQnjd%yk-Sx_%Nv+rY5#%i4rVID7@<(EsC-}pV&gX@-h=lZf!F^sjUlP_mGf;^| zA{pY+`Jra5Wdq}jMQgN=bbhK?-3P+l67f;zztzytA!t=>DdI1ZqH#H&%Dp}F{aoi4 z^6&f6QEqt%h3caF@hnOW@rt=S{zsHae_!PzU5NF#m;NgRD*^<4oaUaVf2$Z6+C#Gb zUmQbz+FZPeLKKTS7C-G`QtU0x@*3%7HgoAHny|5fnym9NlUbTjUj&7DU9scB1E?9% zX1`|XE$q;o*16b-So#W{nb=I_V~Dz+@g7yH%O`OA>ypCR#+tVVtPT*3Fl-6uV%AAm zOIijReV~;!*bY5pkZ{#ab+USP$4eY6R=_L1E9cxeu1qKqO6X4k~J@8S<6V>vvdw2Yq5L?Y?Q7powt(p{sHhu z8+bTba|I)`F$Nw<)?K?`f2@HYA?x{T&>v^ur^z}Fjx6I1`;KSmX1gWZCE0GnjQETOt zih0gwHFGB~uCIfPO=vGH8*NIDmI~R79%M#R!rb7PW{5mL$|3`bVkKwuX$Y2JHm`Q6 z5Gmf@M@62Y2>k%xIv|?R;4}+mehno`L8Jt+bx^Hs%K?@n4puk|r3`Iv2J@A%I#rZf z{J=j7&xXjG%t4Z&D!UXbhn4*X5<*kZFbY*`0IZyfqzSAkN?De-qEHzBQ|)=oJc~y= zpydvsFbIY6e+4Ss0Hxw%Jf?%JD3zG80k#4_s!W}PO@qqRSxi%uDrF1~sMOFPDpfC< zHAQ?x>!(1W+Q-qT?k8Fe(P|E5!1+u~5p(s=_YwG4`UsiolM_JyM*5y9pUO*JjdiP< z_DDtSfTe73U#-JrSlUT>P)6_Jifc@-vEu{YLwzDvk#$*8_t`-AsnnQ-m9=9BgT3G{ z+p(k0u0H01S#iwV$yBEvknE!GYN{tAiQw3sma{XvHF$DK{}kYst>jaAIj;GkSd*w} zJE8FZA`-7X8x_xz!%k+&pQXDg8+D6$RySjF* zbHH2)g@LKM4$LaaEOuZpn?ScI1=#}V^tO&Lg-@W`Ke`R=>!JVK5w*_2lJ-Slp&~>a za*& zF;?d0C}q44R4Ma)l>YYx3HUBkfg0LphFa1-8)c_Probk;W?3hyoP#O7?0V~QmD7~U zIRtu5c{}u{?D+mGR09QHCIeIAN0^?$>~XY{XV>l~TFH7h7wjU|n;Wa{8k;D6YHte%6vwm|NBdmicN$6ho~xz+7$bTS^x?hO6Ity z7ycO`GqHb1%uFd=8Xql(M6!5Rtwi;n$m~|P)oH4%!Cy4Y$(mWT(z~%}l4Y|?+Z>uD z6boHxFE!K2X`BhpspZbQ8HED5GUfmKln%KKBU#k{(lv+3sX{SGvQ{3g#EPphTFPoj zm(FVx%H-2ZcvZDgWTiZRrOW2eW|IGUc#V&(gmoRZ@A3;dXY%A%DrS_vQE{X6 zok|#`G{RJ>yZ=F)df-5QQfZ@7r>J3+yhKf-v`W-6N`9iYQCcnP7$tvE z*C+*udPXTw)Hg~&qJdFbBY1Jn-qwmnMroaBY?RiECPpb(G&M>aL^GqbQDCvD>xPIH zMro61X_PjLRz_)yXl<0XiZ(`Rn`mp4whOGRbSt5vy;0gBIvAy$0%-)DyGwL3N@1e2 zQ3@AbjM8q=)hO)|-HcL%=x&tu3Qp;8E%%9@Mrpt3Wt0wx-bN`>^vNvgPZ_B8{ST_D zs;u8n%RdpTb?MY!bFf>BPVYFt(9Jqf6Vra6KdOVYdX9zA{r;<)Y0!DFR@$pS)XN*6 zsi9lUR@F*wy^aH#QbRIHTEz-;@e$OsXm&mA(f1wtt1=6|6Gs~@r=tQv>rl<~9do=Y z^P2zTNN%M6F>N@gKTI#k1~AcdxF*`4$GBk{@gGH1HXErqh+I;Uc}Hm_b77;S%6y{@ z{rqDz=k#y*R!fZ4oZU*`gy_GjnYKUBI4wtnpM+j2jaN-y=+ptkr!_sk9PQL`!hbbn zBYVvz`Y;Sy24$L(S&g`5&WW0c9?35&_oV;oWO_M8leOYJ?8*ubT8Us^9(S@D(HKCM zn35?QB&KR5TB8HWQq%rxNtLC9R(wPR80*RLe>lAE)Ralc0&9xhH|&BHpJXm?8^+}- z!*O7xtP9fc9CF=^r!Dq}nldjdmsk5Tm#_xbl)f{WVuD*u8C=|ir)xhOJab@8r75NL z@`R#H`F)3Fww7ewtFxH$ya|^B6WPm_;{wkU#aQdvJeG{D%O0ztoi$~AU*-msW6F=y z?6=7emTW$P?QChp+@0OoPRH3?9#n=S38>2QR!p**;_Sqd8CjS+>nU3}*o2>co%NVc zu%!Pp_I0ZOQ>wpb$}psL(K5H#!tO3ipI?NvX5VICeU7tyj>BxTcQ%%(y@)B-kWto@ zi5)tw&)a^QnVg>>C=a6?e56W?FE@zcR$;YiDj9# z#ks7l<>#bC=BC-PWD87kni5uw%cB}HH}Da29kGwnl)QEI=N^8(>di9oIQ`a?^O!2J z{=qkZ-ZFwI({Na$DaCiP?if3McEIe1cGmmA3CwAlav+)Qzr{TO)N2Fl)^}jb>pOCJ z&oC}y4#)Gcx?q2=DLru7 zt|@a8*xG08lhDJlFwvBymzX<2@5%S_aLkWzn5ik_l9(Qkg9Frk0aIo#WncSOGCf7_ z15Ky1$B8BQ>8i)yFpkS_SFwEMJgnOwjVbk7a3pQ?{`R64mk)Teokj&YhF8To+JJ-n z42@^q(b#`#%HjJ=$+?!xg)gw3+QCdIhv`vMR_T4?wk^k8Hk@tFzQRwxYb?1F6Sk(9 zm$7cJ9z%`kEID3}^L#dzZ2yC;UDe%_JmY6uBsS6O()oEL z8&e$5vt+R!?B&TTe%3*f8d~}nQL8;HxH0Iu#%oc2RvP@tzE@#(eiqvG8 zEPCzS25@Zss&Q;5d|5^r%JL(gF#VBU>l+JLzRPu{gkZKo&k5pk^f;!My}-H|m)Yac z8XUto%$xY?b;-@oINE*9SpHH3OIBXaTqix|U!Gj{n$ORDdim@ambn+nl$$--W<4kN zV*bT+4}Ao?R)Vd)#OV>fHJp><+uXt5jU^}4KUH;Bvq?YNwnpY6=U z5iv$o+%Z9aKEk^FRHhtCVVePZA1FAJ>+%Xo8%?=^+b`&`U$}fXh@Z(OwpRTtd%w4k zxv6ED(rgJoCm^MXx7L6ykGst>H}u&jsussKOmE2)ePpPt&p|Z`v-cEzUbvE-wX$sD zNV=u57C9thXk`^AN`GNoxo)u2Yyx@!}7y%j{zf(KEjvL$MzRq9IeL#=H4pH zl94#$)|7VBIjV98SiV~mj;j54rjN$b0pnF2);j3M+-lpHzFQw58#Q39KUlzNN`xD0 zy~xkcK_i)d(w`$AdYrwKsLopM50M5_PGe*HaI|9ihV85NjNr8w}!6s>q}0F)G4C?Q!ad11jwV zWe)>(l5&j&c8Yv>usKcPx6lG-$gu}LgR}H)A4JYkn^f35Pqjb5<^{?g3z2wwu^+@6 z)C~n&#Wx{zRu;pTTI(WXqi3fre!nrfRN>M2bf?p-va3rPq ziTB4~bG2yK4Q~C#VIE!s#3GI}P`H-?2oh)8LS&7ofnAVkyLgF~Gd&Q6u+TBBl+z!; zN`Sn23a@Ov9H_wbCOM!L_Tb#uB@SYzqt!ff9YS?We+H%Dm`$ik+Y75u?tEz|=slO^ zhU-DsO2YGm&T~PZ{)a#9MU=r2dXfg)XnOG#rq)sL4PYVU)*m9fDEbS*dY+=_MPR<-t`h?E6TLgZ%0^+vE>_zruBO1l z9x)7AIW0zLyh1!7)GGk-V(SnP?}&)IP@_2M9iyjBBw z-SSO&j10NiXf;aqyOFgZP^X()!>wq86;RjR3Y;d&9GOxTf|6*r(Nb z0%DBjI2;ObTD@@~p3-bElxSD9O^yIJwN|&G{#aY#i#VTYNo66KqBRQu@uOBOF9Q6o z)#0drX=9cHEHb^95Ls+0_ZaFvrgIU%{7r{&^rUSv^&AI(`%Q6wfW?{0+d(AWGz8c3 zvenRW>s@wM{NpjgoiUW6E;BouFa0YAU?J^`~o1!CUF;tA8a1xgnF9I;j6$F*{0uz zcVF9wyzmXOtrm}F4YjQ>0Sdcq3u6DQ9kkum2H?1DA6qD#vvuR`&`sO5`yhGW)_oEr z6Kzig0()mW19=nev+dqfApWtfeU3AC|SeOG~$CJP2f1vd#qNX4f1?fegdp~u`9++o@Q4% z3nX3bFZO}Dr+w2FsO@t5ivA$3wx8G$Zr9ouS_Zuk`zNEp3$x!eAH;q3zC0Yp*b4{f z#o4F40q>Ok>p#FQ*xU32@3#H<4zP09ehO|rX%FnfPQ%}0`!1EhOSI2*05+5Cn{5Ml zX`k~fMBdn&cuo4w{tu7PsrIorV%I*~_eb(V`)2Qo^@jGt{tgcizw96U2FS2anFiqM zaEOT>4uLhG=jq^uTQ!=G!<~nSWw}GHX5g)KIK32ZS37L30A7GYMm>Nv4)!Mz^?HZf zb%AYk@Ww4*ZL>pJT#(bYI6URG<5q`f-yss}PzkpmwVe*TB_zWfX6FGf!eR4Z^sK!O zQ5^L?hjKg?L^_PC3WXSl;=FM^X zFULzA!$Q#HLgm=nB07!btI30MTftgPgw3332?f}~peM}?$J%2ZE#HJHt*2L&A@Yg7 zWkEgDXf|)cT*R%`EGdR_XIdc^ZUDAgY)wFet`#?VowrMreGG*|VptsvEgY!h#{PKb zJLd>)ktjEqsW^TVDD}HMMMPagIpZ+C@kwx(QZZ!7we2*5*HcGmMMc!=3dLagg8Wt^ zPow6RuXo@f>l7?`O=Z%M!ZVfaJ{e2Wa(t1(RQ{9Mq=*W9kK0tS*c8w!m9C0*sT{&d zhbou$!Clp-$k%Gs=J7VWdi`mj*9hayRn4+PL1`3J8}?f^e}-5(=EaW%v_9K;oiV&d zGIS@L!vzLy`X>ia7Tga*bv!5*C#_h*4Za2&t0^%F0vjpIGk{(6;US0zs2YY=Qxxgp zMw1?I4Cw*Kk{Fg;gsLS6I110lku#2k9Wki)60Qde=NVJhBr9_)@;{Dlv{7++)D@VNW|Us2-a!3 zr##XEs*`^-p3cQP;aNJZES}ZBN1{O*=EBtot#v|Ql)EnC(aVKy@o=|@p65kVxzf_@ z2q=hlBW0_tp{2N$t*s?DTo>0iQOn?^z88~NM>?>ptZ2)Ajpo43A;#nW7nTp}VL0P_?Nzk(Ma%D#afmZ`s>7bM>D z)_S{W&dcyn@wx;WaEEYc0=;PA(FqDM;?Xgfjum|_1Dq8HxJKv1OnzloMPbftCWvY| zAo)mK;05AiafH(%&%~hi;Jpw<55icASU3lD_$G?y1aOfJSA*vv=knaQRIcMpl1s5EGs6_kcgPoN1JsX1Y?@&5COq>1DtY18-EbZMO& zu^!htf0_<@m$2Q??YhGeUb|i7!L|Ffcv$FBH6JYWEY=v$UK^r~?p$K67aXbo)MS18%cwzeOYy?YX@toh%8h$zegTGi9>_w5GNm151kFI1$91wvaoyyP+aKETex3D&3+;lS2}77MK{_y z4w~*%qcsFQC}BH@i>X*7h)byaG7vp!@Ou!wXe6#@V~=ae3e1PPMZnlns)_fEJ+6-n zY%ZrO`OrkZ)Z#7tt)NEEP+v)H%R^xmMdEiWnjhJ7N^do-y#{rEidzR_0F4WSNFaS$ z4Pp>^4TF_6bY?JYuBAWSL0m`IDnoKTT`@sDm_l+uVFPvKjw#DYT6t&qi9Mc zV9_Kv!xclDw?I9XE^|KiAf@Dm%|qnPx!%Kc;T}RdLctZ`FOGb0H3l2d>zw;NM!!@L zkJBj)#1qtscUULsB))6x{WJW4oup;L>1U8SkZfn6gf9QJ9~X-N%$8)U;7vYV8TlR392 z&t71+={D~`?vSjGAQC9C212?^y`q8LqYH7s?o-_v5P3i+hQjSb?5yGT5jiaa_LzRB z0DD4x32vX#bRJ$3X`&0TXY`DhpwH>j3P>hVp^w0l=@SR>g80Z0qrNjw8m}l8X$$Vx`$UaGfqkaC z%itZ$k758{>3aa&exrV^Ve>nkIs`0@M!kjP53=tGn?GqN2a!&LcoY4Ll5+$5O(#DC z`$K!b0m~q_$xwF@KW8AMMPmLUgybrIJqBL)Vl!}Mw~{~a)Yk&RdV0b&c!=z$`NQ%yl4&=IEBh&uT|Tq|Bw1-4FPYz4Mn zoD70Uu&7xYZa0X^CE#|W=)DD4i0Di3zDZn51h!esd=B0g@wh*TTSb8(2x6N^=XKO} z(KZ=asQAi3>=3K^!sbp=D-Oz#_yRP9g6V zv79m9Czd1Gr|lPJ9+D4;f~_GLDc+5N=_v8BE=)&@*8)Mrh-6&T$K)-L$kPsr&P#wD z63U_)pK%|Q2EEF|PQ&%@L1}c8SA&hS;I^&S{ss{DE&)Ug6@E#?w|}zVL=Ar>wV{eA>ChdJ?fX1L8kco}in0d_{_ z=nn3vyAIGAeR2>eW3Co~%-91nP#))}z~=aMi@}}H^8zRn*YcM$}0&YO>`j_*3DLs6zlH?gBB8F8l#8h{AV3VGULO27hZQsS6yfqa0g+t*69( za1>0(d05>*H~FL4NLhWM5JLIc`zC7F2a=m<5FbTuq0aSSb1R)nh2%CmUk#47Q%i3U zLus}%RGQDQ^AJ(9fh`uLX2IAJ@rl0`Pm##S_+G-d6Kdow)B^~@M^xl7Y^m^6 zKwKtnGwfAhKEv<-=-!;mF(90O4H- zZUaSeClG@~nm;02BjPx9uvQG>L%DTg?*NFb7oYD!Bv^dqX?TOUj;oHCKofbB6C#|d zL1dGd%hlX0!g*lXA`Wsw4-+WQPum1CP&|Ro%nJ{p!pRRFc8GO>Ft$^u)gie{6mW-R zm~i5+BV6R=CC_fL#}*2EM3rPHL z2@;KEeA%QDi&qbY%aGNCB;sU&uEM~R< z_Cho+4)9WRTmbK{MA130@>+!2!}}ZYm6L2K;;RJqR(NwA-igk<b1LSEle*zT#h^2(8WQa<eSed}fSR87QikU$kSk$e6Rq#a5-}f zYP4I{u8C^yk++LNBtl;BMYwzATlTO|E=-5p{jvg|YaWm>KY&Hblqe`fN&n&?M$42v zuo)xk;i|0`D-&ixS5Z@;$Y4a?Aw;cwUy`)6olZ4zI=I z<@xL&UX%m*Y~YfNiGaV$awu;yuE?sKCA=y}Btzty?79ZT>oTJ{)NjbHyj{L2pWcSZ zEvfPysoSzbK1kk?E%;VUf>ixbqq}lC=K=1?-GT6MUmo8Fg$MFX8q^=kT#cddNCx$U z&Bto2Ornh8Y5ti!ISst$vSJ2!N%Amf(2`{ie|UHyi*AI!mvZ?U zcz7l2Jpu2ve98&oH!?IEB1@5eyli?ai`j$tPEL!1zxVR@Y$$w?D|tzmDhu;U@T2rB z2=z}g*%gjH%YKW2eUV#v(f?I?eS!KnIeQOy-{puv*i4gEc=_`~F5|0tKjp!8u$e9s zjzZy=v`0c5=b5hyLFA8YbPK!;*=Pm)xu|bzLw%7t#}gi0RR zs)=({i`D7)J1IC0Ep-6KJk>VS;K)nO#mho(_4icpeAJjCz?P~iH|sLh-wz&^t9B27 z`Km3w;bDbZY%Bb&RImO7wo1L`41a!Vk{vv(RtJ`Xg1=g-F|Yvj7AN8YRli$M2vR4{ z0k%fH(g+^bs(T*5-#RthFQ~6qAErPdSgp%fw>GGAIznNi+Tb@7LR7~C5ZR>u;HB7R zwR0JG*rK)`hmf|aQ4=A#O|@JAwp|V7gSSvMzA_YcsJCCk!%j6f?+$mV=ik9Yn0mzm zE8%M16(H_br*DSB9(4>Z^J)=l(UDNttMR|UYXjmj zb=ziO$JNf|p?5;{xCW7vYVETio>GVN3jVZuiu>Rh^`bxgomHoIK}hG+`MgRvujXoR zoNG8W9{`;qdAQYzUU!11a}>|-)dpe8(UY3a>&)=jd{#N|TAc2P=R=CF2Bk+-jRSm4 zrFmWOgk1Rq`YHXY2a!Zth25L3U%1{UxO5)Kj*B(v4BN%4;ikRLYf>Z}I!#A31hrYN z`zW_OwgxTOt}4DQT_WEGKAI|aFgGe#`bjLx<(_7RT*XjcLRAUL53AM7@>aRlWd8K( zwr>hb1C76j#(`&{)okZ4l-o_fNrcvIp*^1cR-J%_VO=@FInIs~jT5I8g6@>@0SG~8r7k*_l*}?;n zV24wP4Zt4S#ZyoO-RlqQ`{^n7=mS)v9sEYpgsuQ+v_Y_tNYSMs`JB4>LNAHN@)rID z-Fyt}B?UeM?={hO5Z_QV=a|!J*jo^PQS&%h@e>C=Bb?P@W=jwQL|slh28!6NP*@{6 z&H%PnyxxdvtQWt^gBUFC^A>ocxc3U`A;M)Mz-IAj8`QUmc09>NiKUz~jTT35ffp;L ztOf6&Xy^^_RXA~G>zl~WS(-HQWj4SMQ8gRtmo92gLM*?;A|GIXgx^4b3~}iyz#{p! z18lm=;9vj`nUOicZ|9A&r;O#To0qIV6vljHGtM+DmHjxREbi!mhpIz>jIZHugkGz1+iMZumwNI7 zscFZx_MAeyplulqxAEG`V-UHlE$ss<*EOFgh}_VEIp=ay%Uv1Zt`@?{%X`|~oe;UN zwc|sNhgwaHOWGr?H2(;Lmu${eu7F;)Chy40MHYiby)6!ivwn+fC^wu~0_8@t1L3;y z=i-oTGG!IYO^@w?(PkU3LaTXS&QiAs;jDJcOPsWCwWbfW`ndBdysy>_^8Eu;ln3~4 z!E@jZUZMmv=4{+Yi8%1~!3hH%jESsXGpNOucth_2&MG1V^=SK}Wr@NXu<7XyF%IxBCK8)HrLNc66@&cDc<0a01YPbsO2PlsV^djllM-ZcEM*y&B@+|~~7|MAZ#$u^HA8j6_ z9)Do$5Cz`^xJF^TX}V5F!oa&psl`CNMcQ@{Z_}m@Fm{JF;y4`%28S---6i=1O>mEr zT%mBEK58I7AcqeK;vvmD4dNpz$+?-ww3)Z~PpBCuoxhTQU5I?69h{C#qb)p~{h(Q# zm-ZfB@HskrrU<#BhGm>&2XM5D6Az?O=L?$Q}Tj8$}r38VC{9IlZw-G~^Za zX3?)c6t;*Jd~~!`WSIkOn;6v>BHKj|UT%eo55pk2Lkt-Nuv65W4Bjqb*9;0_q6rU6 zN5$ci&^sn7^Wy6S{_+KgCq=CwD4Y^Y%0Tk8$caA%rJWJ6)4)3`-fRXqCr&*<)aOMg zua_=}yL_W2UcBLRy~iThim0Cm;+;*R7{~qonP|?R%X87T2#87IAa5U&#Sh-!z7Tl} zK>ekdIv?08QSl)>ycS;ETi=N6;ZR5sNuj{rirCNa@J{sPvGKhaR|g6ogeM`0RPmlO zkRQd^MgSS&F|VOrWZ4g>gR8Xtj2gMggk0dc%W(?bs9{<2u!ne!nOmdaZ^;VqLjc#E-I4p;|czVZ)eB*J9i8erkFS07+|WPUzz zjF2UHu-PjM@yE4Kj^OULUv{^`-vL>Nv&NCKM?vtg5&Hu5Xt^X5dNJ}XFUVtMW#pEy z5&O!c#UWYs1F*xg8s~D4$amq0B~CuL0uM)J*Zsh7N0pZo$K{?>)Zv5-<(clJJkJ?| zQ}RS{I65s;xucws5BtHxSvl_n^v=n?JjI=tEtbLb1vwZ;*w~2uP6h8GW?d*;lB0NN zx-7SG-@PKs;(`S>VlBVI-!)l`NBis2{t~R*kc~NEb5ou@1NB?-dvk!>@{KPq5e!JtOj^4+vbL&B>9r>RV7QWYY=%MAB=?puH8O>e)y`0Nc`5*^+LnKuOnNZu0vfChFpX9KG@b_7UZUOd1*1rSr zRkp_;!dvL0&h#~?0NcW#7DPc=tnU|#Cel5pg$?z4rYk2>_0 zvBY)0$y@QVUD9E-+>JI6tvhr&tkgR)9M1;*IFZ}1<4ag-6g&u$jZ^rNUz3mNu-5c& z2)NBA^I2w>*?eQU>zAXTcVCf)#^`aVC(1pq96`C4Pd8ZT9d{0tJ}ZVmvTp@mO7?rW z8#epbbc3A%i4*Xu2Yy}-?x1H&Q66j)g6EJZKBgG@<}oCP1#$}PLd5%+ zMRbl6K(2K9IaqGw&!3q)CGf7zgM4`#yO{FU1aAp#egfN`bfXmvdQk?ed(-w!Q1GF^ z#ZXvEO=d!28Fl0I_HtUysZ3w8Ee(+sH1q(}S5n1MFt<^@hSm(%M606E)zg4V$Ud zEa+{aa6gD_rIEaFilN52fyL6o>rlr$RR`cOr4|712z6=*5J!Q0T6~lSe+Tavg;s~c zaazohC#c6mfRnVEyYDF)z>DJ3boU;JXDEbMl4mKUD%_rJEb_o!c9IMTz+UD?~CUI#vpgXke?vxQ&q9CenEIuwBgHrNItCtHIkT26Y73C4zoHAx!wa zM@ZqqqXO!;TO7Ut?|a00&R0c<>s27KS4`!N#6A(osg3<2B`-t{h;`*55-FT{mW&dc zO^}Qhdpdy_BU1U+WUR;)1GfjoDL(EwB>Id%n;jO%lYt!(IhTVMCk7OT`cYxxrS&nf zp&`I=ahtb=Cq#Zex;rT{#=_<)QF4^Ab=}k-B`H2D(u#QcTF_noNInn+PXIg=MY6)mBT;8E zs`6O)xI*NKFy)1nry}=45EI2l9-W_w2%c7-i-J6rC5iT&c1#vo{QzEwOC8|vrFj1i zHeZSAoTh#)#%YN9jp+6cAVu_O3z4^CJ>PA3C(gD2crU7#f%gw$An!F&#s1;|AH`{B zfKS396ndY9h0_FIgnf1pzlz>`<=~rGRR=+Q7a!_^mnKS|hrb`<;xUN)6t9PYmo6^n zgZeMAO@Q}XWanGNe?)yA?lOceFO^+n&BLhXBDpFYdam*ZpRl>f!QBAd<$@FtJ>*lq zqrF&4&h##k9eEq$DK~zFTQ51U55QXK?+GjGya@*?-3EdLg~L$W=O z$%kdtx)3=chueb~C+F~?(NTGB1&ke&HF#HaT%M`~y=!t0kDu42Q*#h+%0Zm9yd@{_ z6^`4oKJVS`$V6UdCdi0Q0C(l+y6|vMe&f^O`!Zn_L>@?cKKx0MJKw>|TN!g0#P{-c zNnjtOKc76N$|gK|f0Xe&?|+inIhpiXdUBTgi|jlB*jG7`4>G>VxT0|6rtadSAa^yk z0ZcDe(|lobi5jCp#8VAe3%%9q!B5chSG(|zEl{=D2FV~bm@nO}QC}^F!df+wGyL1t zi(YUWs!rjlb*DOvkMee@Kc~S%n0lWRl;P^MIxropW*-j8gX;HafWzwaK!77^3g07% zQ@fP|II14!QU9V^e>dD-QnU62@rwE&1yNsBdnJQ-O?`70-mk0cd|>mjx&XTf?TLDv zQ!j~XX+9HurmjAUSe~o?z2H4by?Ov5pVZo7Bj1%s|=kpe9#AjZFjQrReN|4UsIU!3ouc8GL}{LUl@jy@&!i>%4+i7Y1=9jVl1mk7mw=;A*sOwDV?WFx#AQ?t=DuEYH=ZiyOH(kF0;vPEL z1;Iwp+Yd0km-aLRaUXp?2KD2#mXmrXXbn#xr)U|^ai^&%C#cTQD$XLErO|xIagMt1 z)Owy~?uFh3a^pqk9SY|Yj08H&qy9Zg;2rCIs>!R$2b5~;#+d$-QGL85D4NATF71FC;iy~f4}G^&wsz^0dIBw(DL4>Q3e&*^0&WK;vZ?0 zz1IuRaz5K&rg=&c=(Vut96_s}9CPav1EAaHDff%EH*!LzT~a<6ZQp7l-g$@FoH6a_ z$!Y#hv57F>xk_JH>k?847P_|Majn~koG5o6&4W~rd@W(8=bzn>>6QBhD81YAC^`D< zImnFJ#H*)qvpJse)Am4ef?WWf6Eo(5GHG-V$WM;U313sZ9-*z;@K#ZHNAUcpE`QXk$u$>%KUKH{>zioMLWI1T zzVQgSl?w1ubsJUXezTn_@*EjTIcGy5in?<8JDOVZ4>n?HIHx%e(!{M$I7D&WhY!Zeg7f&@_LH!aX@Pg?wl{*e_h5RER`G^Mafc==VP6K#Kl?EaBL@FHx z^=EW`DkPs%TvLD~8qpmfnaXhD;sqTk1e-6Z!eHpVq8$8jBd?tS>!xs4uYjk;-r~@e|!X0k@wil`o@wp>GR8{7RqrckSP( zGv`{rljLtLjgonB^Mmfxg2+z_9|@37x645C7cGbZ_M3XJ4*#pdk&i}!siUE zEE4(r!3!3>c}(9Rnv6xILqs3W6>SnfMnPn=peC@gMFebt$X2obIIL_F6Xt-oU4*ZK zdZ<{;CmB10XGvf?MHxO#*d;1)hYb_EN`M$H`f=CUEsF8iwMW<{!%>8&&i#I`=*{Ey zKCx>Su>FGnm@*ci)-KSC6umgz7$pKLLL^#z=VVWe$m0tuv7&Pw5D$v9Y#<&IH*g4q z1!&A2=-m*nYs2475x^UW+d|BP_k^h)&JsKmefT8lxwtz49+Jd0UT!Cg_1rOE zh`OA(eJS4Zob^g<;$`e>AzQ)iZ!z;Gz#s9jIfyRORv(mQxdE`^DsSf`LhDBGxGcs=$(~kw}E(0HYftO z=jG8=z%IzS+}6pm8D~~r$VwAo^Oam50`OV}C!#^#$n7&=B}G2F4B}gPjTa*CWL+LO z-^*frwE98b;Nyu@xsXSjk1~k&z@KE71Q0*Vq5N%rk>2IO`zo!;@cvB}8Uo^XS&6S) zrO7{wP$L{V)C2e_TXL#5U3S|F@4w_%&S?LZJ!gUUM;78E*$jDb7fidTi+{k%BK5#{ z5M5Pw{>hn}I)Dd=jcR@sA|dLs74WcGEygqE7PVUrRAsArIteznsbeM7x2rw*Vp^y= zmgm78YMVc>vQw=#8tS{$`8lB;rp9%KLbw{a9SXbE?);_hQ8%=Q%?R~k9)P{-OYZFZ zRA0VYzhBKU90~{2dGCNls>MD6M5(RrK`&bMyARVb>b|R}QLOrg6D9{$2TqzEQk(P8 zbyzLJ1MU%ZA^&xkICU5wOWjs&TLZhJCai_zU9}yr67Q+QxbF8=JD$rQsE_l&*h94# z?>HW*U!I~ykJU#-p#DVt#98vE>cA-Q64eneq3}$7yb0>h)dUVONp-ye56NmbuI&r8 z)k8?WR9Ex9?3LPt@1ws~5AYp>H|iSB>ZYihB>a6>r!;~{nmU3f)}N|-1E{B~r}+mD zzf`y8ApTb0@OSH_U3vs7-rA!kz?N!NdV;r1TY3?a%e9Hw!SmH>a{_+7=J^xEV67r2 z6EWYNj{x6k8N7^sua)Aee9&HwfTL7x>=>wj)EXQE@00eR z5CZ(HjVlc+U$j#ElbEj>|1nhUn|6!$SKqZ9J)xJT#c>+&ht|9z^nPj{Z$V7gI`ajI zU)qM2z{Du(H_vDnbti7SZ|tK5PHF;W3|BB zU~0~nUv``P-hjBrw15|Vdrc1CpuW#^{xux!H@Wkw@PKJL|JkNU)7|O7qD(oDfER6| z)lffUO5tqdSyMkgU^s7@P#CdXFje4TJKhvJ2*xg&x}65`lBot?^uBD$+6}}jrkCFl z(j(KdjWG7uRNx!HQ&Z7yP9wF$nIv|Hxih;dF~BJ zdYG*Wz+&^KnIJAP-%f^tr};|;YU^db;sT<#`NJg`^D$S7Lmify6S@K{Gd~Xmak)8} z*BZX&l_g+$h56PtU@OgIe!=D{a~@Y1+V7K{A9(dSe9$N%KM3_A}jk?#|J{`n;<`Y|BY`^&-f^hI|Iu{aaZw&mKeM~f9T1I1qcKKfVoZ!Ju|$(-#2$O^z4zW@2dN5( zfYPNG0j2jUAfSQ@h@v1xu%Or*itYVQexLWBo158bPurcc&s~E47B8QP`T;L7mZT5! z1Tjay^bJ_@^zKuj`ka0<&&lWYPuGF-f`l&os*6Tl70eh&g`3Si;`V0;a8uj}>2Y9ECiG;iF^#f)= z{Db}~x2U5G()NqbK0}J7{kXLhGTlb<^47go621@o)Dv>X7p*Wl*nKJ#4>>_lF?2KU ztsmya&6eR&BfvQ#unRu_dB^$5NXcb}QT4p81{HZsfpqSa({-OSC6V=nJ_M6w?f7PZm@?@&uc}#ep&NY3rp`Aoc9sTk7q^gN zH-cD1H#wrf-uMKJl~8AXxUW)V16Zz6O*mB7(OrVF2QQP>0= z%41rV1MCSE`a=9Ewc*h78HIL++;jT!KClOp7IEGtd-vNLcF_6V;g<~~1 zpNYKb@bkGC!}XPyqAORTUWsc!rIuK*lncP<$?$>3d3c1)H;!>pgQ zl@=VNgs z17jwF-Prtl@C+*93p)54-WD;$=m`#KLh>2dn>dJzN0Z%hF*;?$NqkP7u@JJ0^M`_S zNpmhPEL*^($mN0jTE_}kAADcgmkXb(Y8F9u_2?&{teFuE&b3>=g64HiXMl74PqEOy zVKmokHrjpz%BG~5;NMIg!MUX~w}Q4ddj_6uLkl6b-Ihb5gH+2Yo*7;I48Vf?X9HN$ zPM)x=@ZuBzUOE~F>nCY6hi9QQo^SXtvi%w2r)UFbUZ*L11x$t0aNevLK?_`AGLpL1 z;0#64l1w-gO-C9*jG^X}As$O}zlY8^`qu#&WYK;rsLrN>j&SfSt>FhH zhbC~QmrIitf+dgq`L}G&(SSl&KTqpBgXIEk;u2CmMRo&LKub78xk#hFg-egf^$L_d zrghwZcuLW~0((aBXTkEEy7CQ=E}{WczoeY00Iz6UG~`~>%PkOSpr7W$&>QmO^2A#@ z#5r#x?dLn<9XTe$?0c%w6!#DgvF=so7X2NYh zoG=%8>oH~_91Wn_QtabJ)k^H_0#0i&w;!-WB8TIo!(zd=z-+`VV*p#R{xbkOVLB0V zM??{q-R#BXt&lq^LRJAd2!CER9mP>c_~|6_&VwaJ4C??aR=nQ;o$+Gbeqae=2j}~V z!cKrCNxb4fAXzl>6Otmn8iS)v6-fb5mL}$KVwx^q4Tgmbu~>#jFNu}B>RlH4r?6fqtj7Z@66FH+ibei!z^({KJq(qIFs_tc6%l^_*iF3bnOi5tS~53sxy-$sC?Q6zKl^jy%Wem__~3V+^C^+`;)2%?GH z>j-gE8D9YF2c-q?TriWvxprhOyZs5Ggp2D7|Y|FR)2|0+TB`?{H zZ&PoX6#|wFx&15z&d9_95VK_5k055tP+nWkN?%UHb7TVF!nv{q=h%7Dd=ZqLliw`{ z%Xw*Y2gD1qnF)yb^3?y0u~6P9gj|tK<7u>5>IUL` zUXdU9N|(q)Ucj%);4dI>O+MQVVyS#w58`!M!y(WOdFKy+GHE*)W98C`7u1{b?vKDK zL0El*eln!z>cbMLxDM{N8AE%RDB-eXr0tYzNL<-erI9txH>f+?mDZV zo5Lj+W&9a{t7_yB!A+2yjw)S^4<}2JDt`?GqEvU@TotVr8Uw_r=Fb3Pm2L-E z;#4)4z2lV!=OPKJ6^DO`DwCi7B<0H;{$w?|0$Ng(!5)BAmHj=4X{u)xIMY=tevUF! z^VM+aj5>b;zGSMi#t_d^zwow=Y?b~5EN7JghiW;hGrwt-t2*;ylczp^0xjoM(?*=E z^QxFjfEQFHH~RC{oYio!Kpo?y`l3qY_4$&j>!N}W1O>c^~F|zo2tc4XsJ+R zeuY4#`neWbs#H10?bWIYpU7LvlqaMbWzKtsYL&?gaNbtsVZiRF+-cBqR}JCa2KUtF zpD|Xax=0whubfjL{y^FB_Jn#BJ_m*#DiaT2kJQGd0FPCtGzdIV&(=WMQ?-lR#?RCW z8;m_yueX8tLOJt$S1*;@28_K@fBlydsD3;vHmE6k;O-kWo}b&dDm4UWqEY=l9pdj) z+-QuwS6g{r`k*$t0{f^&&IS0S20n*?iMF1f98;|z7OD?w8~6ohGp&aO#Lcz&+>@}- znzeyWOYPS@XtB~hJOR;Ko4o`qhqUorY&xttMnH>=wj2}L|5Df?S3&4z%$Y*>=gANp z(3cxJz37$FKM}lW= z$rjM(xp9$t{sJ5LyP)75C=0FlN-b*S_oWu69)hhUt-T<-G`Iqs%kFM~C(B3hYOx|$ zfq&)faj>$=t0^?EHsO7IYc}TK``Q2wG>%P;}!dE7`LK*JVRR3z}Mh7L=)KHVT$3<%ZAF$ z!D35apN364y4QfQBh-h>qr^ebQITnZTuWqI`HV1RRU-wVX^w4LMY3ltF#)%g_EA6Nk$Tnh1v z6txbXU7}wH@Cxk_#vk6k0{7cf*x zpZ^3y*Xcq(fE!df6f9*F(iT`bm9fs7H24FwR8TM8JX1**tASO~)evx2Q}j-#zC}l; zg0qHtOa!r(T)FXbn~M5^^9~uFgQ2^0pd;M9N83&TtD`r(!RbC(akJwAWpKT+o(j2Q z_>j^bg5?qIw+G8(+C2oyp3nw!dg4`<_`3tbu z^fMO+8fX@WS8wRUO8AMt3;7;e8tDLsAc!T3CT-$v>0~_EHUB%7gS=!d0u1UM8#=17%!S}i!DK9 zaO#&RM*R-)BynIelqHLG9CM|JB<_HxiU2N!qzS)bfOIi}vy}`{upQ!O#KBe|W{P@_ z!?Q#-zxtIe{+R>0vtrUDU^yc2Jgn!6!V(DNiS5mx`kbgp1a@9@;4?G_+Lo`vG#-g{1{JZ-~wnAeM>koIR9_V4l*B^W+n93b!!4q?`>0y`{#njE`)>A*rw2 z@EKVAq!+)B=Pxhq1qhIyd;tRGTR#W{$z~%V5G?zj2X<0!;42*>pOnCQsI2pYK$tAy z4GO2^ADjlCmd5`;JX|i|=_x`Q#X}%cUg0P!O6EqxUbJ-M-?@sBx=;wj%0RySaq^pO zFcdG>b2T_Y>K8+GqI|j%Sd!e74xP!eCD%t&@ZvQRcM|}zrQlrmthC<>kRziu;uPdczbvTEldm2^{G8k}4dA@=VX3*k~+?#ie(Re{;tSmUI$E+ zTTfu7>e1IYOf&V@RB)QBrz4!BTbQY}!g4Xa;d>b+-ZT9#VaF!`@+K%gSuj zw;U1KswUj4w8M%Da72w-4WhkDE`={g)ymmW=Af=|lIy6#I7fC;5BP26W9obE)*n}c zya1fl9bP;KI8P||bg+1-Xgd(SRefhT z@1w*fV7|&G9yS{ck4^Vr#R3E79#sUPXcz*3BSe;t}a8hlkgU%3D!L@@> z^=K`Wg{iUJhd!mgm0&roHeP^d;Yx1{)e&kT7a}56&s}gLN=;Y2V)6pTsIIC)enXsCaI2tVIf(q{0t7JsNK8>GZtM`C^$*^xgS=KTDl;z)@hRzj3`{Vn{M;zv?x<;_L z+RPdBHB0iqzcywsG_PC!2k7g+JB!Z^`6bZ5ahCvn(@LJcHs?GB=axF|Z*4W;TF$m% zJTGnEY7PD!_d0{JGa(B+|4x4kE4yqs6W;xRCyG5KLqOTPg>%k*UJpRuKbbSK1F>sB zH=&n9pvaWMN+5lZCO!m%8U4V|kU6#Bja?RWAqKLR)QaB%vZB4efoM&4`6?fxFFF4> zOs~I#fDJ7?2c5Q*_!I(m)RlAfBh<1Iz@AzUfZS2a9u5l*6u~_kydcKgM4af^UVvki z_83}@Qyb1nooUW69IgvJ`WXVQH1#lm8^v&@;!cTkq0@t`cz>WL<#A|mf)Zaq9NX|e zfzz9saWl$?>bQyQOMBYFB|oY=0ZxDVrwc#;jb8@NKx)T<}4rvQtj!f;?w)O!`=qG>X>J7Q=c zZ{LrlwOpQ!qeh+s<7qfYD+v^`0&rBCZc-Tv&r5vB9k>@4I zrIQOcm@_Djo4RMH%SVi5Qny7o+ALZ*4HmMgpFgymC37w!<*GS#$#DJt|%TC+aBf z7nr?IKbpYZ2V~5-P(AgZ1n`iS6hYt-g&RQNF*Th8>88S zfv&lO^CS7$fcS}CjfH@T@Z-~JDuVgf?+%JQUdqfwrYShh#SgPFW+5*AgtL20JS@e5 z9T#u6fzw5pahb|hY+C^qH_`Jpl(~yjT$u6@!VE5pWSaB1K)6KzSTzD=B))I7re*ks4qFl9bLt-$C$Ujz~0zy zFTgWy!Iz+q$8v}1YbgVCzE4v8#7xez0ne05Zrn{>Q3R=J$;2Kc{)F!{KDNO3 znX7E@IjcoIyq|rn1oZ8(Eumq@#c|O5@2;QleU~fWin}}TR=7Q0zM${jT@KED^Eg)D zziAw-92mh#fC+uh3zaEtT@0>+G?Moxm{GHAaG28%zk}U^j&P&Tl8!ur3M=yD4TRS8 zZw$r`(JD?)4pZ~9AllFuJwUXjmX{%5M~g0F>${muH?%n&W$pTV$7YUUw|?XDz5#? z^8^t$Fuf?~1C)8w%LZURbc;)MzBF+x?D^3T9O?Pfch?~oKqE(kGmr|R!5Ks`JTV26 zXmGpEMuqwLq8e`QolHYi`MeTV@K@I)o4zFuzdvln*O(neI-=W8x(%q#tM<9NW ze&P_ej%?b1^FCeV^!ow*YynVDJ{*8Or0%^T@Q5mJL(5|d$%4QWs{I?_DZMO#p=V^q zoA#bl<#Avy=uQUYUQ*h>z+TZq4n<#6FD^_rPyx?!Z^(($#JBWwJur7M?-+oGSX=>? z6QbaE*z*#rc;fXIK8L~SBPMdr;w!#52W5WZr{Cb9zj((5oB;7C1Im^?4}eH0R{{Sl& zW@i8@M90AZl_HAU|5f5XU*c*J84B@Rq96D6YQ%lM`S24^vp~Ep0=XV@N3^&Pxw~SG z33T2QpE!1@6aVmha9>REhTH?;_8b?dUQGKHIv{?#hfAX51vXwrJkpfFzL!y z<&^a20?TRX)CBgz?2Z2~81=o=8%Y7UVKal%dLub8A<&*VL?sb5lkL0H8iJ)!f7e18R6p33Jn zQ2k79{TkSF*_>MzFXT^OgY%_qa~<_F_#*TrO zce1e<#P{;WTKMuo9_Ez%qnyUm+9&xa0z?yalJ8_wb%uWr?4Vk50RmNz;gRY5Q? z3$=tpM@u#2CUjb>XFzc0<5f8GixQE-GRUfUC;qlvozI$_bsNY`P)FuP|LZ@c}6wxev3?XY6e)c zR4;ytvy~s;ZfDixd^nh++VfS(RhKwo%~M}-YxRGU7((1+|PXRlfS63S*^e zlRK1MSGTz(TBi04g1vIpf}h5ls+2E(h1z}Q|3fQ}BM|NOye)j|UeB3*e_KDC!M_)W z<8xr2R8WljnB#L$0dL43ymJ-kLw0h^Ic*pRgwwBZGBWcF*G^^)jsbmkneJ+V479wa_FNBapsw$r#Y80CgPf_j%O&%};z}-vHe&2?5RZvqF4G+sBff;3ml(r4 zUcALUE}5ScS2+R>5vjL;MGKd105QUzk2X~-xSEan-$ zNUUj%u`HGpT7I-v;T$NzP$CgTfj0X=pHc_ZuhTR4$c8p5jdR#_vB#IxaeyL z4LWuQY6rjD1Fb_AaO5*|ayEE|DXvfs@4>a65&L?B@{eOG_(xvx1Z7m|d}tdjInx<) z+6bRxjd{i!_xEc^jsKEErwMJhfODcfhf0$Mv)7X^@R~X$Vh3cWKIQ7cv@ou)Pd{J^ z{u#sDK*P*ww)mVipWiy4UAqvUa}IcbXYQB&us*Nfe|KZwkDxE`SOKYp7F@qy^i?`O z7k@hsnwQMY1bwLm-#=1oh0)fNy z-V4fX=q@*iY^ksaV|MhKC$=N_?Gzl2J@w&K;V8Lp2IfFU=fUDg1AU;{iFWbQaEuQ8 z2;y<-&=+#f&-1 zC5ig>1eQ$wJ)tax`n$niD!t+-IgQ4ZLv=ch{ugo?l+L}AGxT{Bh?%tYF;r*K`vhpo zrk+s%XQ`ncEX7p8?~7caQ`~^MO06zo>>8zg0Z>X^O(1ujdX!=825r!Tc#rz>^{=C! zD*+x*?P9oFPnO&}d`PExJK-a8;3IlWR!<=Jo_=wL6Cdb|0?Q}-QZICx2+wE0OvUcM zfgKd{IiNHX^Ejn)77ZN8xQH5_FYpU9hrsDB-1sLDJVaZ5AJJ1Za=3Rwhy@^eiTvRp zdW$l?V|_%S9mIV_r~{nv6TVz4_7~wZArK(uaakZx4C69pw0J%UAVz%8<;XbE26KQq;atGyayeyi@*6RVxW!-$(vyl781GB>3Jz&<-`7+MLAz79W z;$hi?GdWwi*%->~WZoxWM`U+?WbEYx4pJRtf-B5A$|t`7bCTcm1$JC+=19a@HVxsc zB75z{rE-(8^D*Ww{kUF=FqjJ#o^l>fXI`=^$1~nC@h}Ye$RVdN<}0%|gXk}}b7U7F zCvprQC|huQI7m*52Fpnq$aUQid1x$%q4KK`U}4y^0qdvbQeMr%Wzc`sS~=)GSR$qA ze>tYCv4D7twB?cG33ejJh`5eB^JQWOWmIk z&zF-qPcD#_oGe_FcZLGHBm+9ZY@zI>180#;Zw@WRayu8zuE+!P!Fg4R&vCTZY^V2;L-6F6+9$-c8v#1;h&3Wf}yk!HIYBRdWcum-dT5 z{3zQj$0_(Eb2x-BQF}5lW~vTbg40a(ErPhYYIX#`LM>_s2Q5_(4pR;(Jx~6J)f4Vy z+Nj1Qkh4`|xeRzj)%**hy_&$!%274*8T@omRx1IV)cUs|9#eQ>gCp$L0z_w3eFk!_ zYJUN|c2iOSxZ|aAnDtPtCcwcHYVJ^Qda2^+FyyT|yMo0>WsV2%Q~uw9=&yPm21|hI z%PVZ4YR;S1g4OM104J5G0|-%hE1)b)<#PE54Trk`r`6K)upXi2aH$|t9pDWMQL14w zbVjS{7GQ~0bzLwPr#8NT>UdRhAI>MJT_d3^N&RYsv1IixX9+24T0G=Z)$#;rNmsf2 zqHBga#P-gpTx)PDSP z9Fi5N7SCYeqFTi*;Y+GNKXHX>P;2;7r1YGJ6sv)UzTbNt`e;mgF<3m`jbAy;E2oAZh>{kn6d z^Us0Yt{m|y4x|4p`3;|=26CV>+K;E2F*kV2@z_Xit&H={0{{5uP2t&uz0bij(SAHm z&ZOR@@MH4t++bU9iW?>ice_D$u~9xomn0TJ!&0+cXxQX52b9fkMnlim!JOx9`-bbI z+dsC2h8;13p<(CGH$ngRGcJSeI?qj^-F|;S!=7NS2JYQ?8T5Tixlyx!_IDUP(20{C zyrS?kl$w%T4(uGn8^vHVqd^A&%&E_4IAuW_Z$N`3S+@t#iGn!xJw^|?D(y_0`4)Gf z&lkf5SF+&n$c=0`3U#Noy!*t1nsWr@NliKcoS-or+j&vRRj_zdi>^@ZLyto-=1W^b zpxTd;IZ*Vcf4OxSK%Kcd9f*Is1u=+5aYHbe%y)wGBn_L5u@KtEIa)kz$N@2dwzz|s zL>;SPA(^7N>y<(Y&48uSsYr09QR-BfO{d?j0W!#zn+s=XbTY&3>N-c2!4N-Bc6`HMpx9R+=F{t~5HBF&vwMSzd2eYM z{k9)kZqfvfb}Fc3JM2}`0#4+rXvs`mlxqAR4Oni`f=f8e8mc=Atd@S@R`YFY-2|L> zX!IC}-=*_2AaIWsnn6n)h4VsnpJs=`i3cQl!ohlaYzg8+8q5{8N0g_7++&(`3dAQg zo?GGvMQ5Hl%*3ib02ZSB9XKt8VG!(DiLbfLU@b<2@K#j1Ybv=Q?b1G5$P zS7Xc$zX=b{BSPcZ-Cn%qLpdtK@_;!A4=!UmiV@wx=_KB9bt6bj;fy9&JobQGh**>X zuS13P3#bkg_Pi?K2a5QKJ1xfB;ZU$Qgm(o*i0xcPi4>;ipe0J&!gz(J{a&!!oEiWT>nO1USew zJTp4VOkQxD;D30h5WG>%vQ?2yh)>4cIOG= zmfSlXphmWQ2Pg0&(W726;R!8)e>_?Anp-DYw6*S+*t z2>uz;8lQj7bx1eumzXWzSuH-Fzo2T%_-O|AmoLko( z!U1iw=8lUA?K1~3r37x0n9(_2QOqfX7bOe&W(?F=lE?4R<49F&p~#6E27&W9-Q%5S z&e&@P%!L%M)~@7r9LE(v=cj`+ka7n?Jecmch0&8VcK}#ID3?3>p>*XtVDa?FMt}q| z;ea`bs=0QWOm`o`r4+i#)udE9xgBHYC?*#!ohN;3_>xaiyhp8oJh`26k-Xx;a*2)| z21^;8oCYV#X&o2!D`?$j5G&~>FTz#yir-YJCR^6oKtC8m*&CYe4VFf_!};zzT6GSb z@97kWr5|Vm?_~H$4?kh-6Wt#OITNvS2Y{(KcMuj1iXZ)enTaf(j?Be^Ti~=1({n(y z6mDF1v=UV<;jXptbp$vhhUbFiu-Lp7n2ng(9CEh8(HTzIi4(kd9uc?BfyG1AaYp7T zI)4PGmuMOdU%W-+-xx#M%Zs(I_=8^|^%ISmu;(w1C&8rv@$XWY4HSpWKnxO9e0qX~ z-&Ks^zrB_LgoxH$tO*r=$H88hXkG+?Q{p{Ou&2eCl`tDFYM+87LTs#r*+?<+CA35d z<4X{a7K-nQ81Z5RuvlTnD|nnR^M*jYFc=2$1QEUz#6+o^f2-4{=W3F8}|ATY>&C%zB)iQ7CwYmS0v*i;rB-ihbI5yTq<{^>Uw zpCb!?!Eudp7zz4l!#(h4Ou02EV;$r0InJ;ZG>o5d8lMwda`rmWP=a$(1wW9JE3QDp z6u&6gnwrPy=(ORyfK0E8!{?0OcsIyQBM#eUrT&5Mv)wA8e@^H;=$YG_AE$XwCqj1q zFVXm1kj7<=h4-7`bJ3%JVSRCj`QTh)=LgEtKaIh&tk*8sUA}w`MptZP*_97?a#;a2(@R(3vj*v{L18*TbNCg~no6%SP;5MfX z8DO!X6MG>4L+|q3>=2SgChc451e6)pB^CdtL-Q~hXF-_hG>!PDg-_q`p z;B2ITX>j5lJ^LQuJ*qq&%Z$Q70Y?|rJvY-9)|pdD~C7%;wg7(14Y73_!1;^TL6NE;}*!B6q}ZV zGeoQ&0M()5pcBNyg#S4RoDv&)0Xr>b)Im$Qm{S4H2ocEcMv9KynTiq%I4zA9=l%jq zj9B*us$<1lcMuE3ue`Dpi7ob!yCP0o4Gmx-r4!BQ@Y8Qc^pJl9r;Z4#<0g#kCAszlN;sIC@Ci-6q{O*luW5lcJ)YQ;F7 zZf=Vmi`S3cg3@1P<>A{o`TLgVaXx!eX*b(oDW13BOF-0=ynn;4@Iln5O^dS zxLfg9Jp2IS6Op$8;IK^U2n#my&$Sq{lM^BUj>yn#7_yf}{1(kod4+EV2lP=cwAoRC)HU_;Yyr~JkMoPSNXmSN8~2k4hD<6)U^iDLymk2PEUE3 zqqP&#YY~)r$q7?I^p>4w!WSR8zX#-eWsVz^`N??&;PjVO-0KdIT^hj|C?h$n50WmN z#|6uw)1W0;itizwB5RKVOOuZ~!})Y+%|+S_sqYSOMm}GNu}oP#8z4*O#{*=`Qr?4e zR_=&_GHeq59u{(?%QlFY$roK2yU3uyREZmcW`OMcz$Ke=zC;RP%z4!9>mmq$W<*On0 zNjBp*AxxD046vB054;*2RHxm+X{KC80hp^1*FdyTtt}yEsWOZ~v{GGzVaQ!M>mlc% zdinsIP?x^Osq<1M?*P12aen|Gb#W?=&sQzyo-RshT%q(=Ry>%$qjG#73qOqIszHGu=BY((z;aHl=mxoR^)3g;a8u>;E0mQg=M~IWsRKNTSE~#B{M}MF z1)Qi+-~R*7TJ_{Mh_}_Z7lGYT&F#Q>SG@~`g?q|{%m0mP{R9x-sob^D`9W>xdE%og zcnX0}%7jyO6YV{xvZmVCZ@_X;GvoT9nf8uTb#v{T9I#kuJGg{usqJroJ$LQK3^?JT z#hnFqLL11XP%rJ3H;CTaQqEU=wAq}f_-d`^LW`efx&?>guiXoRF9BLFo?-*>R}BC` z+Tsyl3D#=PV(g?gU>6B~ z?5_6d5Wqcc@=0*s*9P!v|3JG`4N$Lj;7sa`mcTE3ywzHc2JxMCZWOTh+K>03^MfWh z_4=qS=e*#P*6CY}ndru}0;jERdOu)xx{}|4+3PyEfb*#CK9_SHbpEAK?WoJ)Q|_g6 znk$U{x|snW2IzM4QV^%}S_y%8-IDRZ5_MnQ0Z7ud;`AU{ zH~bm|Qgov^^i0)N4FpKjo#iT3x~{4dPEUr;undOI=z{J-AXE4JB#2qM{g+`cTc>Xa zWw<2Vmdw#T^yl-bE8?w>dAf!Ca`ic#@mn19d7U-)ATH=qc!kZ^4d;}xK=+ZwFY4BC zk@k}AFDHO9U0XI=u4^?AEEPIK-sVxMJG&JwRq3L8f>^DK<0|<>-MIT8KGH3^07Fl7 zhWuDO)n#0RFVA%8gTV4!m+}tSM_t)Y+A^$v#t{Pf3PK-^!yg13$Y==Vxsf%^9x3YCN&V12AReM0 z+7SmGs&C-xbC^EJ6#}R9lW$?{w0>I=w1n#ybB3Fu@4o{qsrm@c!P52PX8_C4ui*CZ z8U0oZ$Ytv7rs4Et>GyKyGFwlf0B7}o8G@LjpH&Ynx%$m>AeX2AYc|Ht>0>8j?7Y5{ zHMCsNFC#dZuV0V}mI8gJZD6^m|LXx%U($!X!PsT}Pv|`VFZ0;X4cKnYIo#`hlQYOs z?w>g%B8}#vOe}a(--#*3niQ$~iOuCW+%4EN(P&p-*Pxw?l@7S28 z=dfXV950eH_BMgGnTNRMF-wNwbN2g#pv=kPOm=Sf?;$(yP8)ompUr1-!QMBJTG(+J ztS?$N1=bhaO~>~o_PqKmoyY~$WqCo+zdUstq*fT#V|3+u4qjK?=D2-z1z)r^;aqrK zJFFIzbxB`>fBm5+ploPY4bF}Gcy8L{yAb*}$3MXLEhFYY|JHsS-r3W}N~kzWm#i`3 zNV?a+oM>}4v>c;&C#XD5fAOR3OjQX`>OvKFfVt9=N&q+7$akwd*>T?CL2v$qoF~0c zgs~I!jVmxOO0$A$Z(7cEJ|F5f2beE4ISR~=HgFBepZ>ZG5J0}w7z-rhIDjBpa23R0 z3Ni#ZNe_+zgphqA424n*$4g@-DjULH;bKY%5I_Vj|5Nc#E|h*4DG z1uU9=?GMfvGCTw4W66=%fH^kKJ0o14h?dY&PJ=igze#oc-xw7%k1uy6o#ZWFRaEc@0@bvT>zKFb z?S5c2)RljQvX+J<;fQWi*KQ!*AqNf0?$Xy>(zr(<{N&V8_COHtlLx;)@_?EO_)<@= zJAvgPt>k#=5%uGg`7zD=4Ng3vnH&~A#Tyn7e?}kHVC*@K;cXo+NbSSeOUkMT=PPPF z0P)wfhu?8%pcVY0-5c7?C-N;t^TTyq%;LGuS=2XypRVF+CNMYgbpyuS#pjD~3?AZh zD-b=!an99Gh+V$}cnKN+d)~r|V|^cSEfturXmSUJ{6y_A5dFo@8ejop?hhCX6oZ!m z!%qRd02VAt{)T5KMe}0#5+VY){17VUoq*XeG3*b3Q{wyUIEK^W_Z`+CzmwEp!{w&=RSd8en9Xeyh&}qg=J8+>^u#1V|W7dd}p?1Sik2G~b& zhp);fVay@8i7f1eF;jUf1v(GPTl_naW-{&w#LeZnnE)2jg3|~~nQsqHD_OuV9G{St zT#oaSop{#pkvF+q>MPs*0s%i+y9dhr5v7u=@}laF}XJtdoPG={&Kofq0l|X9R&$>MeITPOH6K9Sv87M=%zlT$(|3r24KUSYp&4 z{uql@Y0n`Zr}kU}h*#Zsds>28*9Ra`wGIX`NpK2<&Ag&|GljD@@Ds)Rcu8R~W$ zIFYYDah0k-jpd@)CH3bT_<33Noeiu|S@LaDr0PqcvsxYCPW~-*IT)Z;ZRGsnHj)aA z-BG`B8UC)?$Gf8MsiHKn)F~SmXt}Qjb_C~Jwc8n-jmmN(u=gr=55Nai@ikaJsv@3r zKB=%|$eC!*I43dHCh;V9Q2U*OJu@w&Hw4VJQ(UY(uFbcGfU|bq3di88%{2$nO`CTV zhv}{b4g>JeHZOoLo|E45ioVD_m< zLi;WTI$vrIH^A~ryRQRyt@X|YOM}*cxD3h2Hh#0|t@aJ?O*pLUwh3c4x|=N^XQw-G z3u8xgC7dzX>w5D&aa3p70xmh|0`(Yk)Xm6(OHR74xZ8hB7h3@0ab54W5O>yXF#~YX zJ?sq-s{4Ugi!j~%N$~o#t}CC3a9!mUU=g|}(EyRU9&G`lbWwb?(Yo=s;9!idTRBcv ztS$}xC*&&*yjdb%w}SVoC+Ieg1eU0q$!SuO&WtaAvQ9q=aw)oKzU~)vJvV}wulq0w zIxp&uaE5tF_Y-e?zpQ)X2~emjxC)jc-PoVNQmot0`|qykTJefrt20W3>f5^88{ouU z-3Pv}@992_085>2Gj|{F>!P_?^gw6%7NA}iz%7}Fx=7AwAL&+b=>0ZP^ z&O|?M6tIK(zI;cT=~Fo5FxR)`N83Vw!2(`e>eqaPoRz-CV<@xMSMrY@9@1ZE0^(u4 zD_2fz^u71tP;B*AOM!Xooi;+wN8gjXh<t?}K%lIb<(JWoHg5a7JNC0AcB=tF~m4&h(;-)^ZxIWDEBaRUuu!63+7#BW>W8g|^Vjs-J^(A#x95c8y58X>KAcq<+c7;Ij{zOm<7Na{XZOSztuN<3DBtj zeK`*0o&G~xjJ?;-?+@&Q{sMRMKI-GS#_~yjl{adb7?k`53#JBz>o9iEV7DcJnZXYn zt(hCd|AR3LgBLS_SsLVUHOIcbyGFWx7DH2DRGSzzG76Xzv^D|r~Z zY*5F&n?i#ooP8D~>b432RJpw3`<3xN9u2iAh~jlsuD0B;RS|BHzXCXC0}djtOl`1!%${cxE5Xkfsl z_)i8YAAp${hEB(rsbS}H07ng7Ut-L`@K+A3oeW2CBl(!&ipju^8}3boGH1gL9C*4I z2C@^bhRJ84#m#UYPkCX6Xw=|_Hk4ri;fAt5I3ov}EWxnV32-JF7V~YBWVqQA%90JExagI=G7|@;-YB2 z(b{rc{sN;19F<%&dd}CO%;*L0)+#sZ8;-FGqa(Q(t2Da)1F$Ni_T1olXw*6x;*X4$ z6AV2uaX)F^y4R6jGSLCXEVibNGxg}Mz`2$Al2TwEKKd%JeO^P8K)$^wVi;90ox0%R9$`4f5;Uvh?qB~7_P zvb6UG(3j0{1kdtztw3MVi#KSj)Rsffs!zNlV09?ZNfxv!9>9`*w*#=IS3Hj&BExI2 zbeMMXwi6q&-3g1fq%X%gv7@%XLdOvr%S)L(y*2=Ll}60G;7*Dv-A4f-{J8i!qi(MjWPOQ`KZB z%ORJqU^bUFH3u<|{^hXY9JRLR+le97N*C$LLYFc#Ql z%H(2cA#FMg3q|C|KcQAkgRQ`Fg~BobN~rxYuw13K2jK2CYMcR3O1oOZ&~=L7yYU9~ z%7(o%8p{pva>^S9mu}MEF99mZc^$?oX(BiFs^|bu71fmb1ah}%D_6&AsB|9;)za?| zAn=L)cEFg4*u`z(gQ5-JoMz(AEfCFxIcMY+g1E_ODZaPCn3d=f08VT1MQ>O?B=Uy< zJ1nC2!cQBqi?6h;sLcVe6Lpgzenb>=kZUhaaq4(f4CRi3gXnM@797PSZl*g46Au25 ziEUiTJ}x?OE67>cyoP{_a9Rm*S5eD}vYYVc<=9=6CqTeM?B+nvQ#A0benKq%7A#(( zCofRmVkxIxKEh}Kw8V<_{Ij}o;wxT36GYT3V2NViE2vHquCu_BEM`B2mK3pu6Q)!# z&ktj1Vl#(D>B62jS7iv|8TgF2=?r3~*v##vEK$VU;j)Fc5~|M%A03D}!aWVdT(Op$ zKY5}g0N6RPw3~ zH*Jwvxe8k9MB#G?+!y_-psZf}z=ijR;tEH;kA#OYh>yi*r{Ule(QXs8JQdA&xA`;C zizZD24|R@&M9Y-{FloR$+C_!`Bb@y*D(BxZdb6ROBw)>A+0%K zIwPO@U@TKEKLdd3zy^$!N^c!h-;lSzg?O3#i6_Hy+5Z3pZc2+YP+cJ#rvj^#siPoJ zB|GqPRV^>@Ud&svE*=6kauq-5wep7&D7!6J9e|&AWd9?OyDN|IcA$H*!&(sQq|rJM z@5?Fgpyh$Az5t!|^5JtBdME>W;MgC@VK>3~SoXtJM*X3M0l-t~kq)_Maw*r*pUVt> z3FC#F-xuJe?88;WS2A-he0eSB^463FY0Z_;H!`0mfupK&6*wJKac6Kksa7pv@0il_ zgLhm#<*Ku@8p{EVi+bk*%vBwY18`GKxD@8D(i{OiP`7{-eHRP?tHBtXDtt{?13L1*cYz)g%p^Pt@4=z^t?{ zzK0fT?YJrI9o7P#Va!H56b+rWT2sF3?6mXTt2&~!{~O0=uYJcwiKE)t86Y}nU+{aa zj+z|@LQdL87l32h=cdqkTwCG;3(lIi6M&1hryc^X+9B? zrj?p(R+`y93~)Hr5r^L$v$6i8fSw7=vR7)9#i)?vz%=@!n~q!x#(KQu)D)(5CZJ z7pV<#gm{#evlyzQwO?BU#Aw19%3?K-)&Ox@KG)9TwURS9h6EI@fF)}B04Ph+hTege zWbMR%w}w{V4J@fz&2WsR;aveJOV=(W1Iy5w#lq|vE!7O-nc8e_@@Hv%xkR6>ts-z< z(F`~VE75E|1IsmSF-HQWS|B$Tu4@CFAaFyg>j$h%+uICSz1E3C(1)7w7H~e+rkg|V ziFS50u%}w-GGNcNLtLAGt_}YZ#24BEV>t0rtNm{>*7ko7qJ{3u4gi+ANbVR}>yquk za!5CZE1HLOB||V~qjMRIW3bf`M^ARTfvuqWi0*zjxO+mknC}8FT}~q~A6+fSp}smp zZYufdy7~e0*NuD!mH^#3PGbXg;jbVbq_gS)mQ-El|Iu{i0Xc17+dk*K=N-d!jrVfh zd(AV~JU1XJAu2R#AhXCkHAzaD=OMGAOi2leBr0Ue6q2#144J3zd4Bi1|Lk@4+Rs{R zpK0&4*52oR8-saDb?*bnY4t20({%NZ;b=Rf+B3s{R(0`!^$hhTC-!sd%1aQ)RO=i? zU(Tx)>~5C&Y!zx3R7cJa7uD5V;m%eoIH=~Rt+*(ct4>=2a!I|){YjVAaQdfL)O(kp zGf(X$fm~JR{thkI)bAY6uB*Q-hS?ixoH>{`)ug@9nXgX%37xmpxq%?J)%7RAyrZri z0P(x(<$(~mr*7nvT%Zo&9DZM|&dK|M`p-lNJXG_1!7NlO0wMQE#r_Qp+v?FCAdl6K z>Cl2K4Ogz7szKZY_)L8<8fWxeUCue~g&MUPZN+LI?#}qE&Z`OL7gfItwQp)+3M_nA zZNCHZL;cbX7JjN{m=+JzhA~bH(i*+N4A#C}0=W=v18zDC)i!#DEFA~B1`{|9w9xGU zw&iPvkQT}AIJW%j2Kar->Gk)`tO22Z-quiLJ$yY*t$)o0;14*;FKBEQZbhqYIdh11 zQI8=tu%jg~_Fms$agf_h@CQrzD2JG?LCT>m!|S0FqEHU2N8j49y(dbiS|QNyJmNCS z;jXWsXT-!Z^l+pogEp70qaf>gc_xnCzO{y|yRH#RkJe9s@$9$)_)*>Jg5x!BAdbDS zOvka0(@RMCnpWbxMmJ!JZ_JmG;QM`K*g5tjhpllX%*>2`H2~#=nH=OME~hzMHd-`14dycOjlbcsTtvFSzzT7AI3O#<%^!fQ z5-#+_cZ!_>245_KI4yU@cbcpbr)&+H!empQ!yFwf&+;Um)W}4X&vr zh{|-~4v58B(0NewVwiqNtVu_g4~u#UXgebIdw?X0w@k!k2>Z!UeNNOZ1@gS87Ych> z;{G2HzaYNznZGEGZ38k}jP3zQj`$V`NUj*cjL{`wb{B1zMc5A@uZYKasO5=2=o4KP z;fKJyCZ7KTa$P*)#9ktTxXAHRY%YYBQjyynq)bG`L!ex&;^OOT(cv=48!@U2kZ*-b zFYlcwT7`aAh^-90-ireI!XHG53v_-IXPI02Bzn~c9rlmY3U3152QMrQ^Jwk0iS-AVpH# zYG}c)mC~(vBAuao@KjoyjZ=9h_155mJeS7hqxM4b;9V(}npL1yBGsvalYS|UeS_L7 z$#*WqOQo*ezzmg3xl3k|ytD~aFP3X@*bbLlY9YQvuCx&(Lbk69WTZT_5iBf~Z$>~% zl>GM!bUs>sItiCQs4h4CGG8)BFI*kw^Ib|$=mt^d0k$!6}21kDJDb<oRo&2>J;_u}HOlW+N|Lg?hM|uA=nEfPA!zc0xJ9{d%XA6APd^P4S3?_-Wgn`sZwcQFTM zH(7x$`&`BugS=hPIykQj${}6+fpJ(7i`Jndr{j27z;ROIE{${#`*04JP*U& zm{A?ki^1>67hE84NnHb^YvU{EiJK!=AKfE3<$Ba`L+QEqDe$9)N1)Zq^#n@q2wRjs zEBV2YukU@dj=mTSZDZWqK$~Bul_` zg}{f2+YO;^vFP)^w^khCM&tFu*cy-xqWwu|*(CNY1ah-@S_{Z6BBM66Y!#!JR*VsA z_)Wt$5!M9)+eH~?l^vpj58_U-W+IroL~X8Z>=s$SL3|HBPk^$$VpR-CtZ2(gI!=`K zM{S>2NauXN2wn{f@nU>c)Dna#3T+2O$YwAPij~~8d`M)sgwDfa5R)QD#0|boiQ+5Q z508p4Keu_wA~c_=AkWLTsRAIOSGy9 zx!a<3bEv)}{B$69MZfX5y7$CsdP)W2#utd+7mx0sOAo|P#`zD0GyNXq;C@5xk@(J> zN|DeqN&8q-^MJq;ajyqzPsK^@WOycA*@@>u;jdV}5VOa^La}(nai~N*6JV~8;`c$> zN-3Yu#A>N2mr2)1*8@S;N}E?f**fWEcl3I_^p(Ej2I=j=_k)=%xza00k;)n29hc7X|GZMA zxPGXeklguFpOm`z!TKp_Ng+s@bb!&yX~`%9k}eJ1jkCQi<&J`~J5n?5D7hyEM8i;l zwEqz<$bIQ+GMEpfl)*qgl$Mu3OQEFWM&n1)Xa^`Ol3Fo0|5!RS0LUj&)GaWdN@u5n zJds?GiW zuO-`B=-?Zv3OoN+n#z~to%AyeZ57gdPQmXb2N{qL($_=i^+#y{lMSDwdNaZNEERSE zvc)@yhsf_n zf`rPAm&~lWdVwRzTTS`JxWY7&&A=$ToQl6DHf` z<;?Z&kmoLg+)mlb5{7ok8|ez|mN(IB-6N;|goQM@?pFw$mho#maM@&Q{$R^ldB9(Q zWXKIRqV1gAiR(0(axE^ZoR`a2Lra$Yy%PF)L2mU0au?;XKR~kOn;ZvoxkNAc|&D%@QS>J`vvmk_+V(cDvw+S)z{=WMjhAXo9&_HhFo(11a8V1{8%<$ zzDVEjmb{5x-EBF8d(iL5_RN9bm0L6cxhG%dT5W+`#tlsO_N?fIO5paHB_| zy!79MQ%s`&VO~wNGRQBQm?lZY`26R4`k9wl*g}me(1d3%tF(4&! zge4#^WdlE{dnFe$k|~u__&G$GJZLKf%H@?gV7`_sGZ=UyuYQTPw{qhX(DF{!KSZrU zUdPn?dpU_?@&|eBG}!wn&!`W%PjcU9V1AZ&c7VVax%&q&zsgq`g@2Qs!h!rQx0ng> zAM!7wf&3|33;`riNoDpdNQtTe60FRlcNU_gJVqx%74Iq_i|A zTq)qNy+nEC1QMYn{Ry3s%HCgbeoK{2S5e!o)Zi+@9_2DOVZ|yx%%LSt>CG2!pOSG0 zWWVy)eRMZo8FdDR5|sTClpRoP525X#Qo)6rL&~K=U>;VYIdC3P7Mg%eRH7L?9#zin z05eGm;E;YyxkC3pSt%L}NQ#ogr|!5iw*=y;$_Hl%oKSM&a6wKg{>^}Vu4JSF`9e9* z5V%CS%v{<_rEd%9e5D*EvQ)8R=C@3FHV#_Kl|R_C*UI!aXnUi$eMQ?_WFjE&PWiqK zwF>3Y3Xu0o7*n?&lypvtAC)$(A^u58vIXK!P;K=tu->h93qpL{plBPK0U_xhVsGEprRX!Zcp=%NA>5 zmV<rgA#d}NmW zwZ@NW!8e-D>mcw}bH@*mcbZCkk}EXfcL8~?8OsO=e;v&i{i9|^~Ks-df z)f;W0YJ!tp>u;;ndz^Ms{MSZ-lT5hmEWvRI0Er4s`oA^+p1no0y0LudkAEkT0&Q4 zyIScywCqqDBmuHhJ$M>zyVP>JBfC{?DwuoJYs`l1Rey57c&r-F6N^(lj)S>Rox%5g zziQb4Bwii62?7bK^<7v$pyu(uA5@!h96Y4DO-IiTt7Dl-IHE2biCUt1y#z zElC|y4_c0?TR5I2t8d0aE=6s{sQ9wRQkVnmVfz zl$}-&3_~ql9qIsOXVij^kUOj9@mshI)rZr>Idw)m^ej^y%QegMYWK=uK2t|?270dE z=TF5HtGyVkm8b;_a$l;oKf&xPweSV{QmVcl0)a9$Km$^)8aRx;RvXO#@{M|Y4z#>g z$NdiGJM{&_whFaPHkj|#%9GHE531t?$bD3A@@4*{Zer~AS*^Pc%r9ytW6iIs_e|8j zseZ#?@4LE_`@(*xe|`k{sa{o~EKvKiA8J9`x8ormtewKk6QY&r1cz#Kn4epu9mhrS zFzt({s4dpMm=B%dT5qOxW3?^}M&h*F__^bLZD$pokJp-WXimUwN817IxmO?uwSg`W zKcu~~0TvEx`*fT?m z$Q}1jJApA!ruGVdtm3@Zn#!`Y$!h_*pq!gOgnxx&Y@iU;U6GhYwhrvS+LIYDa?lGYW@agp}I{RBNyqmZ3hX{eQE@vUCssQJgKwb6LLyd)Cl&{ba4_Or*+>F za0$|N9`snw=zQy-?W|72c_l-qYX`Y=x}R-8GIh7Od+xmMCs(esbeWqWa6$L?c!*!r zZM_6$w(iAmAUV2wRUwe8EBh15F6r(z#fe?k74a%v(Pi;T&eH{P&-7K@5DvK4bPn{^ zuIqL%zjH$u*BYvC>W(sfnXe1BL0@j^E^}JCt$RNOy}qOSbwBv}-ou|Xf)wap zIRbfK=SAP`fo}8%)E?@F=b=`pb2LZokuI16V3F>`Vkmp83;h7{MAw*cz*F5&J{r$- z4b!0Pxo*ls^z(&o-%iwubyq3@QlhhKh1yG9Bl>)=bfYSxR;nA^8?`dsCOwpu>%#wn z+-u#mXtcf2+17#1DE$(~)6x1fuhF(b--(XPO8pv!_^b5e4x&q|^(kC>Sf~G16$0z^ zm$*4$gMPd-F8N0NK7PZqMSnIP$gO&>W@wAiFS3EoZTgFWxa2$Zi|F(1)PMYe)7+&W z$TZ|`y)%;!=k!V3QJJZq#qlLe-^CK*7xa^E19?&Z=_*ub>l1c?I*+<$RFqdfyIEeM8?j2FRQG+%q8g`cUQ( zZ|R*mSKZcc9EaK+{pkd>-PN}`0xkFS)tw+$pg-LT=YC&rVhHs>-`E85P(P8uWTAe; zYluJ6yG8&~q%WU`+GG9i-sssAz0927Q+<9{bpDy%o{LP+^*8xl&Fo-MAy9`_S;_Wu1 zc|i3ZL${ewz1MJNA+*FAhCBo_&Tx1zAo~oPy5qX+H&j1~F2x(VGWVKb*!c$p4j5uy zLFYk(RW6u^44e zyhU5C;S7h$O9tyekjn;%Gwc!1{MXVq1_vV>wp}f{gvc(1~E<3C@%u#vMJ;iBRL3F<>q-w&w1$FypV~U@kGX z=4cvWtosixPo#0@E|8_hy5~TmjNKTdMH}^L=KONnQV%@&}RVGKS4a^ARN4Io*@Ph3H{V7yI_ z?4r?~ivrok$xA_Uj6nrZmTT-X1*$I_J2KI8#khV1E?u56=K*wHHO>nF^0g5mJcc}D z-}6xR&RDt+kP2gS`dROdH5rq9Fxohv_R)BEIIMp%e&Z_1XXBg_==@?#h(hhFvAGN_ z-;AETso#xHRzleigquE3g4_~QwS3efOxJj=BTX&eqqfx4z6WYirZKh9vuM*px}nQV zWgSslZt5?iw!&mR6t$Hm-)FF}$~2Ip+iKIGi>R$JP3Ok1wWh%g9M+ktgrT47O}TVA zHkfYzf^$D(vLN%U=>Z4vbEXqMkjpeRwT0YyQ(Q~bvP|B5k1m*GZqB}FYQWDqvrRjH zhn5_ZIVZPV)4N>s<&r6od4|iT^M9l5ipk>uNS1%LCbYh(J(M? znC`y=m0>dj)={7J+P4#%I%1pkzp5>;$`Nh&}lYuK2 zZ%kPnsNb3@acq5Os!;>A3ezQuzc&T81muJ1)GTQEX!`3x+{+io-SSs;7N=In*QUbBwOj>MYH9}Q%j+2G?K@n&l) zKoZOzbI0WYvudFbKWKJqHjszRGMLRiY-Z*J<`J_Y%%dck6)?eY%q)y9W3t(tV2G!f zU0}-cxY_kc7)mwU@D-guVRoJw$Wvy1yaZ`x*Q%p-+Dzt4l5SR$J9G=ozJ7tS`)1{= z6`F1T-)%IDXRXL=SbxYpHk+RW$P=^pUZ_1a+jjw1;+a|V*XUWX*=7#@C1yYCqc1Pb zJhD)GWj3b^1WL`y=z^D-ecXsHm79GKkb7%Ztut!x%$$=UP+_+7B*=TSDQW202eaZt z)IOTk7zepeW;XRPY4fKpCU~Dil=@-bl?xRJwTANY2U#o=Dqw-(qp=YO`IG*G<1IWqN z>EQV1E&_h)n^ct3{@|B+0WTHsr?1Qdaz@gAlr#Tk#60V#0Dty2E=A5MU>0KT@(k#i z*OT{Qz9|S7X~D}sfLwTOFUmmi{S}&n#A)V=f`$GH#6yJ68O%^&l>!Be#P4*A!^8-4 zki}v>_vvjB_qqbIRlNTba@#}#_e5?No9U_V5FyMG>=ZRRf$S1i*)Y0W91Mi`9uc_^ zkiEitJH%r}*9a(!6W#lwFZ)CacRJ#q?6hFUi@IE6Nf0YFXgeV6kAfT&Pk3hz3BjGz zhsB1wU>*^Lr@%}U&$x8d3QBcHVtF?v79aS@ORf**#>frS%d zDU&EC#ZEUMPl=vA(Uv9x_Mq*wSjBfRT`c2v;4>nbp2As?*B#6Z5tV^cIVYMoMlDmE z?SM|47rQ@0*?r;9$NzyC_Y0I2is4lDNcibtp-7~zgxq7{`wYk@q74)2Pem99f@fmD zTC_bER_x0Q(LDvVV$qB_trBtjFlsMF_D{&Y5~DfjmWmYK#xh~zMwoI@#}3TbqMToL zz7hIK5O^zYJwolBsCf>^3h{=q>U(k62en`+nk!KuQa)X^Mbaqd8p5RN+&i^c(m#XF zaH$Vdwo9a4^eG~w+4Qy6OSOK(`E8Kw>`~h!1#phvEcvtoa*Gr<2Xb4b$8Vt}Myfg# za@(ZA>1aDBJ%|PKko1W;*CUcA9k4{H1xLT5(p*<)Ns|0`qV1T}oRd+q)Y}nqDU!Z6 z$Z;tv0H=~F?Ocqu6O!Ome^N?30_G`cI2XCnq`D1&JT0AQj#|28!w)vjNM-yq^Q?4# zB>IveEvpR3IjQ+th+mg3R|dHuRo4NMFU2x5eM`DWpXs)g#fkWil)@E)yOP&An7t>} zw8J?RNal&qQY_FRF z$(vE;JLxl*Fe;>O<4}7qRceV&e31U;9RE>LgQ5D96z~XbpQRCdVDF1mkBg#TrC#&V z!EgB2U-ay|RL%)!shq%Uca-dK0%n)V?VNyIF5mD0bA|i|GjS{NyRv9oCEv;ebG1CM z4&>Iz9q+)1P}VF(mkQ;d)4_Zs*XQh2 zBwuI-@>uq64Dl!U@hu>q%C0w2dnVT{LMNWf@hLF$LU!H^X0iMRElq7b#PkLLf}}dJMJ2N-+0%g)3i}WnH3tXN()6)Z@4x zsVqK*4lY%)QeiJj(Q;ieT6vd=+A^i4EiS=wdaRX$PQiWSaS1Zn( zdDbX%`vbXONoD3CUMVetg#*eI9|#;&+J=H0Qnqr_%3&q0Kics3v<;y%QQ3S79XzW1 zO^+=}IbH&(q^M}Pq{>&ut5358Th_Z zt1Flf6p8D650zYhbg58z!Ud^EN@a%6MM}FCAdi(~Zry#NgfHa%Q+hCzc&1#Og1$Ug z>irFY7m73zZN8TB0;>{9q_r^Sc5=%QS(-Aj>u0 ze*v;Wv+Xr3tkf8Lqqa)3$_s6)HLc>HWsRn41CX_vsdr&^ou*(Ml*MbVaD^a2Goud# z4r*FYfa*h<>fFq5ShIz1+!4)fJ0KG^hAfbyns^hCNt)K&$97C()dY}aO`Vm{lA>8| z2kXZ*2bkVT)yUlNb3!xiIpj`iCi4#+PHCE4hlMoF2gYlsHGgeFmu_i34uITk%|?2G zcQujwK<;Vww}E(prXgMX`zlP_uU#$Rmy20w9YtHTWz&*6gkU z)lW1QH-LPq=~x@&ndYxpv_02E@b!J6X~hMiV$EA-=}I(nndEz^iDaJam8RWn^s`hG z!rQS(eN+_|!qj0L*~8Uk%OJ2sRXH0*sPn4<5~+U5L~W_++6+3Q)P3zB5Uo}_2C_^& zy&Yt^x`PRb73#4h)K;qf{{dO0_HF@ttJRxK{j5=axrDz~{Zj+$>(qtusI6C%LvUgn z)XUttwo$z?0&<&FI~~YgHHDpzRhz9tZJ+uw8nyjuz%CbNSfO37}`#& zp4VXLjJk`NqqAy0-<%Bf$4(&6sfW0Bk*S`fe|lbR%r79a)Olk7xuE7>!HHc|udM>f zR)4bXj+*fr$h&H0444I~)EVOU)d=pC!*6$S+I^^gNJi%i)qDxeN9yq{P*$Y6)C2Re zx|>@7pQx#|Vf~%D>@fr?)F?O9KByJ+xIe0mIJtdNOIkthvzk{3@V#sD@9IE~&_C4e{xB4wtwMJzQrl!YNR+mWuUfSBdL?vsnYPk%SYNKKpsNzA{fFU6 zoVLd~)b?uwv(Of=E#{I}g7(5-C_A9d%>+59&D{p(A?*jwhKIEl!=Utou#M}Z`3I}0#Vw3**=V#l?s7eg*p+lzkB32ij5#7S+e1(;W~ z?o3MMY5N63=QZtVj{4WNk!Bz_w1eJ3;HLHnUE6%^-#0*RY3+tW^=<9wx{$l0-OP32 zyV`_8Fz;!n{sm@%_BrE%3T?z_bpE~e_ubI)QG0y@AfL3wd?`O`msP;}7j1Oc!4P;N0;>q%v{}#rGQ-0 z^{x(q%erQ~tXFhSsW{C%-D1WFS9M)D-(J&YIG}c2H?k)nH+1=}VCcE7a2?1C-7aq6 zDbX!zjV`^^b>~v3_b_4dyPQx4OXJPBV}C(Qtlskytf%Ske1^bj z{Z?j&&gknkMB7 zC$jY+8_@Y2efbe6%hlTkLhh1&Ul3}S^>rBzT+yd68=R*P=Zav7zBaG*OZ^42PjNdat2izSW<}1$n3U2!vdPehs6~_xc}UKz`6y+6D4a zKa3lHKIuFDgxqKSX5Pjx`n6mh{;D@I^!ld%ouA-;*W0xQ`Js=z34x#b5^hEbG%Ps@ zEkOo#7LdV)vV6#e82Z&hTd1KM7Zf)d&K*Z>li|%fJw9BAA24uHkS2+yrF%<0t*=zXC3j(o*fQf*_8G@#Q z>@$>Y1aiNj$~B0`8%9rt+4F|I99y#tcRHbV(J+Jp*#>DZNRDCmG}y~EnCe2=C4-?m zYL^Y8=b?jF47WH4@rXhSc#PbcGy-~Ym z*ia9hxNSH@PwtMPGvmg)hHjnFcF*u@9!P49PMM<{z}C_e&$Lc^an zxMm*=hp$8DM}y!^{cJe-4+Op#vbc`<)$oCy<2S>D5E%Mys6^N3haspAm_H3uqahb) z{C*2MgN%_+AQx;L#P=w~_<(b9sPS+PEG#m1pch( zw$`|GHpn_-^As@G8y_?7-(W1S3Ax?I-IZ~b_8N^A5RWxx7J$SVCp3VTea0SJK#m*Z zn9V<7%;WfZ(zvHSn5T>j>Oo7IF|i%mP8;vufn2(AKBu!Y#@u`$&l^T8C?;6hz0OX$WJ9C)@#*S7n zd*9fy25JwC>(hXIXiVUT9)(5&W9CQ3EMHu+BBSFan0;+Dm!b2mF){$PcSaX26Wm zroC1mQKrIlXo)tRX7IDwBv*%)t){Kbagk$89}Cd7%~Ztn<95?xUfmt0($OG?Ouw#y zz!6g{ef>m}!*HlRYI1TyEy?8X1@U91&M#2QH2Kzt_<7UTY(OrU2Bv^qG$nIaNVciH z2khmTPDp^{n$o#^bICN7m*=vn-gaoYVyd?WTJlWof1oc{O*6Sr_t=zk0&-7HQQY+V z%p`lE?YXJsDQYiF%N{|X*wlI#Y9*#!qi{i9n!Hv*;FYN=Q?I2a*B)S&nd%E5%T1y5 z0AHKT=R)9(DYqwj_SSTB4Fo=!b#PKE{hL4Z?*K*%&m{o0bfWjwBcHjuo`J2PvbQsr zI?R3VgJaRC6f74A}uaBd49kvCH5pkab-&0vxx)-r%_R`U`lEeLYcnX5N9eQM)&x)$6%{Ht+K_ zQTkLH55Dhke7v9;?QjyUW8Mq`$FKf26#E->>oYjLg7^ITDy-mo0}8P{h5Kl6SNG|w8t-!hn8#RmL2 zx`og-cNFK_d2=`r&G+Z#lLa-n32WhbPCJ3Z*c9r5L|F^)f<-C)g%IK31dX9$emdZb zL|`B23=`5fFc*uJoKwPuITHa(M0Qm$BSdz47>^WN+W@&xX@^ib6z&u&nc3PUCNT%LTUa+n+a7T> z9c}mmj-Lm|icjGXj}w3FL$CLVmA|5f#3mC!@j}nMY=TIu3AqE}dsnm_M8*#~4~gRG zuzpx*h5&g)$cunX6rwuFQE}-N>?MhlS3r)5s&xR#5FT_J@UNX*ggh@CN28V{s$PS@ z1u=03koe_KPQdvhXE@I9w#eeBcSq#>4ai+#q)Yf*9PJO}3*m1DWQn--1>~jB{eXp6 zqWuC0d=`S)h%aJKV~}rR)fyQ3E`s?k{1A(Hk0PYB2OyDBwIMj^C~0X2)S{(29uQb2 zdALAex%At42&|A^E3m#&3WwMD?>iK~7W_`z212s)w;K@bGB*pHt{b`5*6qwGbf|k^ zF;w)ZHWT4}j_8 zF5`0c-NYHCU!XNDjVlAD|GU4yA7Dn0)Mj5TAZ_O{TWROafob58KH%H$nGc@K9aBQ$XRSI4GJ9vOI;6qK|ZI)j`KWralnn`<4XD%9z`UiIZJ(Eogo_d{J1DW2>xU<3BraDTC+Wbbs@;1j4tMA*P zy-&bh=;|Al17trNx@Xo2Oa=7MJq`STH_V~fEbRfL?Y0d#wtLhW_<>)#K)-zq1H?hz zHGmvEgPb92m>G7MGY{H^T5>bHvp?e6fBR&~dAofx#xxz~Hw3z)g(c)W?RkXb&Xf5J zb$ML~PS=+7^SZs{2E*>v`l2^I##_R6&v%c3wAjNr&@$%pe>2P=1`}=cozT{H)hwXf zr8h3|r_QcIyB*j?V94#mS%PR%eqfq=w(_ z2Mr_K8UQnL9({k8(?vkKMsdb-n|m0&bzj>R91qVBU_9@Qgw9bvC*#;_CHEYA7llKo zPp#U}>HF{?q(q1t(Vd){H5dG8m$`luQ0xfK^yAUM%xEh^^URyf+szusH-7fZzR*19QZzVo zJ6QlZZ}toz=MUpFwqRB|_zS1gkq;DK{z7w*a2P`W3 zxkzUgXNBl=2+WmYIi37fqBGN{tA&3uYHP%8t{|@!9lJx>IuXq%YrUw4=NhwR@2Mag z#hO}RZW0D&PBx1I&W~G!meI>L(UE@Lb}@*_+a1E1d-`^YXl}^ZB|@@s4!gy?Js|ju zj^7mS6$w>fJyzKD1|&|TF~7S{tm^^s{g`E;EM8QTQA-dTH=uSvXosPn2SrOdf``QY zMyMSYV`=Y*=+XmP5=G!?=sYTNjHo4vjBwPBi5e3@lEp_?2&9Ndyq(8I1=A_1LZ1Zj z6XNp`2%HqHIc=R1k(}Vtgi|WU-v151``y8B)uR(6TUX`q(I$@GK-=t((A@4oGjy+G znG-mj+HvCQ?6)6f7gzpVSU zmGKGF(X$DCzoK>G{@y@NvZGTudHoacr}X8d;2)d}tY|DrgND!R_GFwan7a zaJ>)C%oSXYoRyS}v(@?xj zG%Z7Ix!73?hF6I3941$azBACaN zhi^q-w7<3hHaax4!f{7yt{isS%5kvs13rUYUY9|A*RGu5y47>XareMN9QWwWIiV-6 zByP18Lww7+>tM`k4s(-z3OTd(t@I1<{k$6iW8I&geE+BbT#f-Y+|X+yn0c}tz|q`p zAz$QyCG?H#cQ7+J=>BbB2G?eUI3$nx4~L?#|K^akt)SiF9ldSKoYg>B?Y;mTed_q5 z?CZ$kqu=RWz*s9B`}@b1LhpdljiJ}ZocsE0E$QFbIWlq_Sho~<>@T+gW>AA5$PWHx zA~-{&%FyiKeHX_=T^0j5Y*2l09M>Jhv6Gt{O6RV;)x$e-#2rzFGx_(rT0{ZYZc!lG z{+ZGSmfDYJ?xjOz-r|mR9)aJf(MlY5?o|lwUAFE4r|U@i9z9P5;kcJJ5XZf@G4wVc z6@+7p`<#6&8#BRewOxSUX9%6(z8%w`xt|YXHS4MTa=3r71nUE080gu|;C>KWXU>v# z4X;9KV3ort?Gt^#8T5+I@n98uN&fA34t>hzG0oA|!jlVrZE{cJ2LEs9SXKsZ^VN(t zTEuXaX|t>Te{FS`hiSfoU+=Z3(H?zh^K0yX*TVV+xSe(Mz`9(>;dyRnklSrFgM{vr ze@APN793W4S_gvPt2qal-tI4eG+%Q7rA2U6U@U*(sE-I!dJR)6#eD_^SM{p zrU|2W+op^e?K*8n>%fAq|D9FERJ2)obJ(!T@c6@zopPoq}(`y0aH|s1C0>0<9y${|0AdD+lz!WgoYfc=*vL@?5+K<)}@}9C<}^ zHum=G1&mL=1itU7EhtC#WWsYyC4QIb_jMvHj!lU}|HpNs&o|!t2JjQwa1fs8#RziJ zK?9B_Us8de()BiU`mblSJoV@|$WB|$i6Efy@8D1Gn+e$&Im4iBrtT$d&DzR5`0Pl& z3v=p30YBG?vGKf38UJ0`mQ5hpX8;lm`FxnS3?B8;ZAGF^En87tSqpw5mN#HnCPD1O@h-{q0uwQ*3<@iAZDW@&Z zfpi|u>1z0{CTJb8g-`X!le{i2Yrf#v)g}O~Zu{xyx@U6D&m)t&nY`!lY<;#rN2~8X zzSW~UW0!(v%+*@J_{q1yAG_l3|9tZLj5Hkve?{BSd$}lwHQoY_;|ngqIMuzzel$4+ z{_w^O07rQ0&^j`Jv4_h=dmOu3BIwb$ZK{brxt}qBLZ%!Le5$Gbi3P zH$a2WQ9i@IdH?H~r3+-oTug^8znUCH$97!<%($Lka6JC31I}bZFqbtaerXKkq#+-n zbMh{3?Va+T&#C{@>flUu+KzHsB)4e>Bn(08bQ>2~nPI~TZ04BJK+dYlh5p$G58!yt zh3P2gmhij7g$?<<1d7@rxG+KDLp|_wW*zXZ3qC@#+fIRA{ysbeZz#IC4#kmzQ6e7AJ@&E7mnZk^| zgKQ1<(1+ZYHSGONa2&gJ0mo?qQ?AbW?QuN3RuUAAXvW!NEsp){tbrff z8>7BvoID!k_!E3SCM2u?X5w*s98a1~pI~y&gSf_1b}`4`AH>fZrmA#srrpW_CZOpk z;HPW2{4`@G-`bfOPoa6%Hm(HbabFo_-{KIfwrEE+w9 zk`UpKgw?;-X%u}GhqHg;+6=Xx1Uti``7}6w=hNskv>Qt27o3fUhipJOB60!jjQsj5 zN|z%}z`ORchBddbd1!Ti$fUQ&HZ7z)J92CrwVhwpc~#>NrFhSphGQS;3C`8G(XTij z9m;z-rtCZLeyy238~f4~dd3BEm(Tdb-+-L(Vlm2z&h98DRc20p^5tQ$KBZ(lkp9v= zNKNgUj^k-=oDBl%*8_5T_g|oM#`~E#o@vi(Im?;(s@aYIL{H{C?}Bo!4}*qi=Gy9?( z{^~dIN33SHW#r;G9J@R{2A!_IokHpMx&VsZ&#!=#hYz!Io;~SEkE$qvey{Gk(FyO_ z9M638kD$SKK5mm{w6+Ii#}xemS-<&=SjX<$jJ}T>{|U(P+q#1@p?U<66KjRxc+##R zIG$YJ7ROT(cLC{dcNMZz&1#^Wwki=)0kwYzW_qpjC};dy0sS*S`{H=k-xz8%v)3QP z@ti+6AI}|g1wC2#H|LZZ#V zhJD8yd;*+~4MXW%h4*iG8)h#@6fko$aw|Pam*?R)c5Tb7y4$e;Tub-gGlB7#7=hAr zA)mHURnuVCtDX@U?{YoxK5H&QoA2~Ew2rnZg!M7YFTjdlXm4=FZdQRA*DZ(FX}BKz z31b(aoOqDq&?Gxvp~>0`v`#sC4M_i*h0r|pMl+PtK3xPSpgWgGr-ye&4`)0WigIQI zz4lqtIV;Sr&wR$5xp2%ib3<}bE{x!cWT2>@f=ZCE<2?=**-N1?M7(8O0SLiSHTH7CG@7um8%}ZtgZlN;AZt24fNmgmqQT9pTdG~$KD7RlLW;d*Le&|L2 zrUkG$p#N8tHg@#+Z51v%+Ra@E%)lIuv-Zi{^ET+#E%bNj&`HoU?E0@L9Xp-_$7$vi z$U2{U0L<_O9f2S5_#HHil#il^E@j+ePZn#;xqVqobh9eBCmX7ENxUv|KR)e5>N`IPNnk4##~zG5gZ*`#fm0 z9?zBI{|r-mbu>N?mV|hE}*$5jfSkp^M>Gfw&Qgl zWvB(6UVk#G@csuQ{J&@TrUHnb=Dx7ntIbnr?ESnD^5*`bIJQXG2ef4!`ma_KcYxF9 zsTTOYhv@0`+t2~W*4Zn8>A#FQv;oOJ;M-K;eY35bh4ZnC;TSydG3Q_VgY4g+OAb84 zO3XtKX*ZZ>Sd-adr&6Yboab^ZAO47Wwh^DWjybZ?796{*`VD;7vE1tGcJ2i4%#bw~$Yy<0Sa7W16nact2&3w+DU z9l^1x$Mi;@2XWBYH~Tqk_v=RA%=!p7l=P2f>@=V!y>A;^TC(lF4j8+K{a|n4z~R8y zH{dlIRG-hn;FMVC8M4I*rQ>YQpH8!Fpw0Og=6i?#(iPrC694~>qGi- zZZnu%a8KgQ=+T4zq-Wazo}t+m9D7X|55D(R=l?tn>r~WxwrL7PuR$hA_U=#zIP(O0 zs}@JtGs{#axvb0=0@>#fH@ft#0#8NLFP`_o`Yw|e{U5AFIiSl1^vq@-XG&XdKHGLT zPl7+NA@7@g!|6C4v}6FJ28U#VGo+X!s-xX~@SU>$hOG0Y7#t5b{DsyL5xk!xFJA)R z#g>@`*QQx$b=%DiU+zziq4a3|1sqRPN1mZ2*8;tgIO=;xH-|(0zgToHeYu_i^zM4O zuLh!bHBX$0c}s4kuvlURj^%l7;060J_VIF~tpVV=Ud}>I8L>Pp>+1A2Qu7L6Bo#A z*FSCs$D#4{xb8Ax1K+!eo%xnc3ga6zId3dDQ_|i*%6}basHs*Q1*a`91U}&W0i5IX z+T)>NMm%#AGaJl8e`fVB|8Lxy`2{$0OU`r_r#O09zS#keRnhOz(kHeTIDLEgpnv`T z{D9K>1mCg#DP2$wSgSynjdua~wzp@&wp|eST?`cTuzHvLJA4z4$E9<%WxOx9drWY} z2=(t%6VFJl*AizSdQW7`Z~jMLa4a5XL8E2VIq2YNJv1tNI?Lb;4R; z`meS^4+cEreg~UPeE)6VlW%t}80EkXb8yb~9$Y6JG^Gj3!Ks~r84}9-<`5i+HZKPHsD zG8>}we!}%KpJA<`(>Ht~%F&NZD8~db&FPno`0L*@ugOh!$-?C`;3>kEKICyRy$QON zDt2)_`Gk1P<++n0cskln341y&Y2v~ZSUfGd_s7Yki^Pkloe^eF(3!Jh8{ei35p)8` zb7J3p*v%9}zN2A+ zvbgU8<`ogy2#`E+lixpG757e|c1_I8M%#7Kk4e@WVlY=6Zi;*CZoaVk0DJgFJ6~wI zEn;t=?T$Fgtx9*r%Nr25Cj!I3ED+bYrgLBTFh%!3Brb%&L(!}hwL($hfGhDx^kKrY zNX*>==3`N+g84*LW3J_?Si~R5dM1W?0rFftw`dEL zdNN-YBz>hjA1t{CLoP&m-5FX!r9BFmi||kFAYqa%*Sr=>(Hz9WrN68pzC^mj-xP?D zrY^@BMM?wqpi4_7gj3uBkVl6rT6)b9bD0#n6U^n(L=VWVkbYrWYo*jih3Zw(>U7Ah zmKuivvPRm%WaL_DEw98nX=*66te5tOgKUuca}wVuZK)5)Ch6BZklQRZ;I`;3l20O- zTcxQdQHznf|AfFc$()yUyHssCm^-8u-2vGtt>Y5;F6pmiFn3E43XprGPXkfgE1j={ z3lb}}tOC_>(kTN@Y@cL)0CM}Ks!U&)|*2vO=@)sa;K$3T_Be(ZA^mP8EG2>!Lw4$WXNSmZ%oi~PHMXj z%uHzqm)$Q)OZ}lNTl$s_@f_(heUV)05z<5d4hOS5!Ec*24rRL0C-v|=nZ7Lbdz!J8R_^pFfol?f$a>* z?-|HBsU!1&SyG)kuyR4Fasa)&DDC`#TDFw#iMAZ+NB{qIuMx8^m!x1O6E91iX3%+6 zs#OW(n$*l5lpTISTy?bVmMvyNe2@IH z2gqJIH6O@0dEi2jeR4A<6!*)2UPfDje7gZ^2V|#dAP41YT(>(c|5^jej>sE^p_VAS zGcTMZyX6CNOy2euwPZQcAIgr)^PGW9l@I?4A+r*3tGWYo_zc%YFFhBflz%-9?B&24LO5z|v+Zu}eB z@*w2i%C*YSRv~X$2J&8h>jUNoc}PuY`6Q3!BlTI1j|cOM9L^x+t2}{mQqlulfJ2vx4y;T*!05Xvo9&y@*eTm|6abvW>djvI-S06v#PJqr{-EOlr54tC$;==5K^tG72%q;9`gxh zn>{|z+IHtv9Jf1Qg|q!9mHCtQ#TUTuaHs{0b$rHmw$p2Sw02%*17w#>1vbC#<4QLb9Q>iHA?3VT$dgGgJ(Blx)(4b+Yf~mmvp{{uHIi@ z#cj$xlkrEo{UNCidMhY zoR!8_IRcC0UT%f#_@oU$PPp9yoQZ8?ft(b49vUXEYy;$!oI&V=e?E~@&rU`Ur_KI| z)_^$nXS$OSvNKLChUS@R7-coHVvE6T&5kYftLR*F1 zGt^>42i}Zr0vDPaPO1$9*&!}w!UFz-eF>1eM6(I#=Wel>;p!gILxG{aqIO+aj}=)C zV8)5OhJfr7mlr^Mzi{9P6fb64q7w;X3|Aza}nB(2#+@~ zlqf2)&~{WT=G&VjwsLdtF)=#;7LrAMUh5Pw=N8(Iixy0Ur;6Fk%$*PoX9Ias+|Gpf zDY0=3YH6Z)J-T#S+~YXZCz;bhKBvZ6zA} zSr|nQvwgyuaY*eK9;INZ140u*se{5$QoareTjNmSu&{CuK#mB_ze0th!c}r59245! ziVDYt4V^KTUxY@BP~n8oip-`bg@jC`P6_`a8RN9D;(Jv1O-SpGrq2ixMc|$lzIhgz zzYF(HMTK+1WD-;V5JtqJhx5X^x_Dd=N-EIpMd9(2NL><6k;m<_@LCF5`BS))tWJLk zV;{rgityb#cw7}0^uuuf7LJl&dQG@}394TgUbmx%F5;-o0O=||bp@$z;%nrO=q|Q6 zi*fc48?{64J;k~T>U|)dq?5k7JHBhYgDCsK6BAaN~`*TG_Kq6$OAFUZ_FRGfPT{S6bp zDFVoFaeoKY8zBxLgY-zzN*eqq@e$G!MvJYt;4wyg;69X%71z4}iF5q%c#Ibp5Gk1; z7R^Uv6U9>-F^EsZ`NX3>6@TcC6vXL!(ZeJ$?_Wqw7N4PYm?FBi;4xM7GYLmLOM3R_ z;_RNt{6cKk2&w5}yKQ*P5Z&;PLY#h^VuNOhr4(v4TU>t(sV~K&WX+l*PNIPPx#9_m z9+)Sty^75FV%#IBw?O>vb!09SFOmwmNVL`m?`r!yYMM1#U4+g!q?)@WZ7ROR!jrPYH=j-t~KKKt~a#%aHlK*h0Z$z1TJ$gV-QeoJO}B#lue{bCcMDTn?MX737@y zL0q&PWm`l)sV7^-MFa5ICjQ{ZkbV?%Rg`TPZ>J&c5dU#6GJg`6jm5}zii^qAzf1Iy znz38_XdfPX#7~J2>=j?IqU>k!PzoOV#E;1QwO{N_C-)DCcMnHn2gOGZq3n?O12Oc& z;=F$Yx#zC69~sUqPF{h(jhIbwYgN0tR?e97ROulz9I`sD4^> zE<^9XiuaR2;5V@m85_=s^~mOQR!qg!J-GBBnLID`(S{UOf(4?xa~FE2-h3!?P` z9v8*Aq=8-%Z+#49m&Kze(CwdMN^cD5FLC-?cw7;KO#pIL%)gGZzr{AA@VF*AC!)f2 zvDJep>mt2Yfo{7>Pmv_lO=?0aR(Gjh63Ti=uSh8CDLwHB9v?`PNf+rQWxtH-A4-R( zU=Y2fVRez&M>?|(slL)LckE+Ex9fCFIB&AdN~xy@Ar?N09nhvfYQ& zAn6*J>IX|biqXmtY2an_K2&;+BA|y!vr~{cTv|cavJujM?*QaTX*gLWM@jWxz`Tr> zhS2wok>XaPqp{K&vTu%)a`KTHFFi)zFhS~jHy#tE6aPd7{CeOfbo;4Pb15J{lOCp! z$4OH8C_E-h^3wpBBF*mrkf~BlTH~@yiZW9= zxEqkOB>w=kIa_-9byWCLsz)<1M@m?arsqnl==^CTjfvx&dUZbfGD#e=F@J6V*ED-yfpFchc$OcziE?Nby}8q~2#x zeWNtdblD6n*yEJnZQahxY zPoc4&q&Htg^_|j2vW)GLz9iGuZt2z60J%rn-wS1XrM)ER{wysgf89Q55UDczB^Sk! z9FTq_tUD-uNAVnoq+=t{%3ar1;e@OWx zUZ0l^+VHp_l>||CQ5s2@bxFEB2GuW1hsoakr*ue0=3i3%H_*xz={kucS0yhg<$p{6 z84r+aQuDS*U6-J*W2?!9&!Bo&`GuNjvzt7JTIntiPexe}c?!w5J>@s9AoYQK=OKXf zk{=>>#)tAn5+Hla_mfcrKlkzk+UzTjc@bs(q>ZXnMT-84|gQyfFUy&W!^^5ybV?_-=RS3LHo;;!7$xk?t`Mo@+6k}O0 zUn9D^LH?CQ{*AJWOof}|ANm4hv;5pCeDn`;d-Abwk%xVP)K)ob9x7~;m)(uVew5$3 zACTMS%yg9Pke8CwyimV1ynWRKh<9ToPs7U6j{rH8=(9` zc9(%l4YHekto%skfkDchOpjA~y@#?P%KL<)8K?X;2u+Vy3P)os6O;qw3!A7+r8)aV8T19p zK2;`vjIz&^<0P9-Qnry(W3n=+2U1g%QA+_bRr!Pj-D%3cS?K+9WiXBN3&l|vAk&qh znJAl~?0y^F&Q$6VF3nQj>x9Q_Wf|G&zEr*^J!g(mvm+jJmG)iG<~*e{8F=O^H9o{+ zfwEvGT3M((K~CmH%1cd=TC8*>dc8!cm<|x!v_c1cmMJG~cq~^g|Aq-!p`0Q-`%39W zYr7Jc+M~kPif{m9S*6@lib1SaD#%8;MoI2~%x{!=r;u8!BxWM@t&(vZt*leZnxOjk z$}4_ku2+_ky?KM8(gxayiz@Kgq%3*>O>b7-8H2JPl%90a&KBh!H-@`aIY`6ZrZi4M z*^kP;htbM*Wfs|FcHqo7s{f?SBdhgJC4;ExF6AMLz}u}XB*(-ar7lGu>{Yx+kos9U z-34v#Q{Fp-%>By9!FU`{?*9*}A4HHVx;=!$&1mzm5=ZfIN0f`#kvgil#^J+`DaVOU z99OdIqOo6;|Ga?52_=u@vy;kY(!5V8vn~Mgw6e^N%wLr^XJGKZDUWVP*%_q{sRL(~ zJ{frYuH60?K+Y+9A4KX8&nKT&}|pXOB86>)$%6k)7>oNVv*`@ zSwZSY4@>=3sMpg{{weBxU>O(>kX{xa_5PuyeiF)hTUs1JD}5~AkU6Tasl3R4JC8;J-LoD9~(9uxKuM{0R z%(Alpso|E5$BnXlpMcb8ODmEK##mAs_ zej)msV7c#ofK0T^uYr+$V!7r;o1a=yc8X4yuD+0QK_X~%tGdHNE{rd#e7(AW%1k55p2rls-yfShIdhJ>iumVfpI$d{Ja zNqn7a8CDu$*0tdJ8SL675-JX|@6t7F$k_$76}*jf)t|Qp*|& z0$66b*c++kmgOWTudpm5y7ZMro{h#?+?77%`HHOu3h z(dKo_lP@6EMZNV7fOJ*weh(nsR96%9-d+8C4%+OY-c9z^4ASfU-}FnL)Bk@L({|59hpcCS7TH0Z4tW)_n$LU#M>rZ=9|wrRZUX>MKBFGgb99bURBe ze+WIyR{tVN;7j$T0jMxXO(T23T=n!ls4!2xO1j#7bu$U_3)Iqicq~*uqC*vn)YV5( zwpe|RaDIupoaTC|dUYm1mZ{?#qTA)_B(hSiP>0-!vX$y~^0|DiK1DjoDs}N?q*klt ztMOQ)o+IDrH|k%lP;agJb{s&yRcn!NVV(LL$$a0bpOPEzdsS+M9@eWJnj^JAZBBe; zquQ<r-{FBQxzW|e^e*cN1NN#C&=c)rlRE`Lo)Zj+pFIk8VY3zj|T=QU}yRvdSM+ zUnXQYr0${Jaag@ZyZ?x~gcRGO>hdNiJEkTRIXtfZegv7nsJljDxF=N~E!-*fRVF#A z)|G(#RV^XY_;2cwf8lXPP0j}7S+#Er>iw?1L`3JDx}AjXKh(st06DKVS`Uy5>I6E3 zc~M=^3z?VH8Fc9Lvijr+^zf(p1=&3QQg0oN#})PB6m)b|&H5avzty29@wle8rYX3t zj-@E>F4h&_12wu@&mYH3bhGxc;?dn&^Ef){VckPEh@RFok_0}m{z-a3FRPe?3LjdV zK7?+2TQ8Hw-p9Hu4)yw4yTu~2pY?I#w;x&4NWSiGEv$u+4X~ak1N1=a#jnxW$JV&E zcnq?RAQ5M+=Wk_}uynnYh2O2FP4H-TDbxE@xPu z--^^sYdz|Hmi1l=>zHkQpc6jxOX~m%ZJ1+ystk|0)>e&CHqScxKPa1TO`41z7FZh+ zAzNtO|0TLzWPNlVG8bFlCt-bwwLY0hms*EbqWUuHgD#|&TU&mM{=Txlv;vtct>^DS zV_#b@|B2KpYxC)NthQbxYuXy?v*c&`#=3&sC2Osl@-V<}t&K@fU1!ZILA~#+i>3nP zd+Q6o;8WIHC%V!52CJ1MosHI*IvDOI>w=zWbF=ln(a8M4dW}piTdXrkX4qCE!q4s2<1Zs~hjkkH&wjGjAf~a?I^{=z?6Ss_!m-;LOR+k8tUo6swb$C_ zUJUnV>sFHW_gSa0fEsIF3cAH_XS{%p4p~>;2av0hny{s55Qtgkmfy)#zFBD8YW`q65n zezz`|fYdqbNfMO)uzsG1j?P=x&c@?{bwxHZFIq2n0C~xJoSZ|Kt+Upk>`$xhMWp_+ z_WT!8SFE>`p}(uvCYJ&Ex3$?f={(-CB`SPSBZDpx>{SD94Q#a<3tvX{-ZgfSX`oMyz9jnft>Jwi75dfK zQHjS#HLMhd*}ul`WN#f%;|j@&18Y1;vfIZsZX?&*pc-++wFlSe>O>DiYP?A}KeR>( z*$#)*I6zw6@ETjlbu*&I1sc-G8eQk$F{;LL^1zL*F^#zIm>R>*#KbU<@;G_zW5^jV ze@Ldg3G$tU=@aGJB^bdc@X+Kia<uP3N6wMPnQ^Gw>^p>Quy*$de~gTYu^bfc7z)Uv z!V@GEo)_LCU;1UCY(BcUF3e0vW>4|6qZVH2-bGm$My(xWmV9R17<65G?O*8HIhv5g zb(v_HyB;az@q0ydonZM0`H6)u04B-*1e#86csEL4_~;)PjOWmHl%}jJM~?R^((!!$ znrJgkB>Zo%ye(k-^{(MP{jUjlFIw$GJMSkF0qibpAbF{W@ILxsAM|kHCcr#$F%|7R zI@`-fY?&y0)>w?O{3eWtx5KhWd{|IpEFVi9$elJ!+PoTNW29gVMmAA;rJ>eTovFvr zsXd`LnsTHQe|Fc{j-13t$S9ojG`T#U-A&rzbD!Ra!PFhQ8!+_-l6d{X_r!)g+qU36 zWdJ!D)8ADwEm_OkpoJDIJL3K2$@5TJJTMI{ymre|7WW;>`&sC_^T^N>xM<3xK+HL)X%xP$RfFON>Rt5>HNh}&Fw4Va_ zVS-F{`{BaFbTDkB5dSK^b(GM#5aSpl9HPjeal*L^fSe`l{4c7{76QHS_)_qFh_X4t zmIi2guF&TvJn##=XHb2C@Z2=?zEIdt#QltLOEWZmRj@vbdVdS+iD+IEu3p3Ay72A- zw9-Y~@g5#s#cV7Ue19{UmMHFCgv>soN(P__;)822h$-UI6L`!P6*9yv5R3OBwN1Q@ zqS%g$t^bQ|FN&`=!sDtqxh{JDTU3bO^pG640;G?0r4}*=N+VAo^JDy4Cz>81oqYwV zkR5&8t`7lz)rGGF-jZ~lF z`OZmsg#A~g!-TMdqrNIPc@Y)_VI{X`{HOd?B zHc_3@8TZ_N7U!o7Pzt9aeog;|fy1S9FB4KSU)JnQqANkK^)3?{V zvYEGQc~`B8+=0UM9!iX5kdXHV;KvEMAPQ_4(Fg&2ewz@hWH~Or{k-MoWMQEYe>=KZ zB78v6Fw2Ei!;o4j^k}1z)PL+wN5(%_66tNY@IUz5s`cks-q#+!2N*L)Na={IMdAt4 zQWuLy3B{I(Ti(GJEfpV0MCLN_QIf-#i?vDYTp|8*7%F@(J`sb=_2So0B6EY-bssu8 zFTX=1s*jTQ28{>@$uOdIioybim4Dw(^_109(ZhPhPf-FJlsW?dxlu`Vplp+}V-H)U z!+p@o7Ue&rlx;F*ibxvQg+j0r}8olcbD=pX%D*< z&neW~qof`~(|eVl2#uxRq47?p6{VlU7++v7j z>=un<#s*$RLv=mFG^HYYZ#r05P|~m51;*cNF>hn(jJ;zs<&x zzMp@Y6tCuu(*gP7vfI%5OJ5AZ*jiLh2F%N8Y`XhZ6XQcm0bse+;OHz~q4;Lbn1mF;h547T1MB-G>3OQpkKw8)e4SDJX6p=!Gh!)2^cN4l?br zw`)0&|Do`o<)}7A$ReBiRAEhX3~icl%Wv9nj*4HCtaD5(CNuJ1;@_(6 zZMzG7jhCLh3#n<+LrVcNS32+zT3RLrC5-nQscSq^o1|3@(aTSgR3DFn(g+EeSESTj zRG%UbBAsrh98a6#fV?yTBltx=^(@NH%IZ`!-9@QI_W0gP`VUAAR_>aJA&pV$k{U2c zIr1hde5DL0hsb(G{uv-Ul+T~SSPm+sq_CV;4$VaBZ^cGBL=Ve$a`*PMl%2;QdRZ=! za{QrXKB01N%TGkF`&jmqd7+=>6H-Y&vfNKByuane{ut5#%fg+={Md3Y4Sta2v%aV> z*zzTb!9y$}3GTxzAAX6{aLXnSAV*lHK91B#OEw*7_|npFJ{ntQ3GM~tx0Z)9P`1^w zm2M>Z*;0cR>6GQ&KTvkr@-{^b{b^}Q?By@ZH9sC#EbVBPu38evw|dPolveV(rKCMt z>7rgIhTK){_dGKDtIz$6#wMseI-qQldYc8Q8R{;QpBAW>NY-DZo_iXZi`AnfMlDg( z4&bp=ZBE^;Q)lL)vG3GHByy}*+dheU8&vmw_y$<($P&9r^>0FIvwGtE z>exFlvaM=qeRR7`?RqEb{iw#!;ou$Ww|1m{QfJeO?NmP`ny^c~-9p9p{e%AOt$Q)pO>k+gv z$oeVqiow>x_L$Eh)=!8#47Xl=0~JPClfT4}Mq2H+;oHYp`;$FstaSp}tH)Uf&q8XV zbqSr6`oub$#LQ2vZw*G%ldMaxAvM{WnXIwSxc*?GO8qWmX?woWWz>JcO~Q%iF_P+1 zE^kMvx4|>WPhIsI`ts$FAtY___voTQZW$VDxCe4C?$#vfrP20%fN%U6#g?Yu0%<|X zxczgqoEb|&wOKpP119?z?V=`6fcYyqmyZA@U?-I`_cfZrygn3T(zGeDmu8P<Uc8 zU4U%<`gFX%C=>iktFNNX7W<2k|8fIT>s}df87%~Re~b55A0zu|LGp3Hv^?||I-GLZH6V{z3u0fs9XGPI(mFnD855%1@J3x1ug7la*c8p~ns-w1+z0OP113iwLH32a+7$eC-|7 zed{XmsJC~0iuZR0Qv2_gk>K=RYG<_bemun!bP+BbK|@`ItD}+XCiFOqM|Yto*`0d` zPm@!;r|<;b%kzQI;7&Yx3G>LT@}ck$sfE3Tod?lkA0a>((pP9p){K6_inq|pN5W;Y zSoH^^#$$kRl4$urq48;yeJr$SiPRuLBxW;M5XrkTM7W>a6+?xZWARnPgnY8#4j1Cq zB6Eb$>|c0{6yBgsGD@h^4fRF~9C6E->ljk0? zHuySR$KSNJ6xG?_0b&Ua9Rbw$-$_P+Mtukmvkp*vX7<=27)O(ZF@SG+b};&D_78G{ z=hr5jX}*oz3@?@uDShc)C~iuNCmNu&*0uTorp+``!P};f#b}D}Bd6_a!BNP6T_lH2 z$y4p|UfO#<;LBEQKws_q(B^;RRWhWOyAA`s!67r|vu}*ksDayVRYPLaR-wOxG znzUYc?<^oU2;Ipxu~Aq|qQE90j~v9Cg*g%+e-Prx4zxq~7a2%?5}J}NWT)^taqnG1 zIuVlH!ljj{zDLL`!~pjS%gDa|v*2rj#`Xy_iO?Sr65mAiqe5*r9>;_&WUxIh+)V+P zzX<>C43OW1#gCxuj8H<;b5>~o1DgI_IMf}Dof8_@1IT3|Zvq~F3Q0Xt_LneaIv}qI zzdeWnUKQH@8<2kslez=sn(!7G@~;ca$dTPsJn#)bJ`i_3h;Dm{FE>KH55+6J(MoTz z^Ae=`h*k*92xmW80my#h47j_!)82vEo}j@fatrn}KnT7dO0&3KPUukK!>= z{FDrMQ^dWi(Ct+5N-<_)nz(ujDts>PUx?Hf;*N5ZO&3301jrfUC2D1+_#oMVW{H(U zF^JjXXRjjlrFe{dmvh7etC2ZZJaP+KnJ2#a0v_|lgMkJNH;;Q>@w zA!ZRKe`n*#|nfTzRl2nMt zXVQz0;xS3uZo`nikov7b3crT;3&t`-`gjmJnki+EK`XPQiJQ^GZ0WlO_=Yc~JrpxC zN1EZl$mU8bp2lOIbl0D#H(!c@4Ik0eUtU9Mp|td6lr54v48dcuG-?{kmPmt0I9e(7 z?~87~mfma%$W>DPiRf>&^y=5>Z;jOGEI_`InymoHTB-JNJie7&-{7%MdYLA2ljJ*u z3Y(?Tr1tzE6*fZ;TO<*3Eux}Z-G`30Nuw&!%8ybrvV?Azj(&==9a6hrQ1+8_mPG2E z(oh@f?UP1R@B5{ni2WUqy0*pRpfq$3G7m{_-3iEFq^50WYN}78Q zdN?hOAOq|<$=MI7KcvAVPMw!pjzNVBQr`!Vx+uLz3dAL;kgU#^rEw&c{V9D-K7;Gh zq_s$Ok)QJ8!@A1d3sA3{TuL^g?(%}ikm@0?CDzkZ&iMml`9R(}0~PwoE{Lf3Wxi3Q z*7uh`+ltHq@`M5SqJeTM1!{jRpD#h1!)3n@jg63Nk~?Umd~YLUj*^egM!nJUV+&AW zjNE|^`HYoQE07u|r_O~eEB31zynHMjn}f)LOaGtEjg@zV;rP z-YEaM9+{hDu_iz^%iHOQ><{wW#Q@nNx49Lmt@8aOc5IX5>LGKNtdOsJx4icgWbTn0 z2avf}J}?49`dQ8#j?_MRAIUHK<(3|#4#=yE(a{Ncl2+fUHFtU^6k0k;jFxqjCH@usf6X{P`X6#_D>5(0u@?b(QSL`7*d;lk zIY2JU{)-sypYmH}0J$QMOu^%-{J_6Z)>Ua#7pu}!89fpedMlgS0&;-zpc^1Vl~_2} zl!;2y8c2Pvv#fbbt(!<>~&g}6_mHCp!ZfzN3e7Ib_K zloS-U#W?;y_fqj@MU{{2P+8orqE2B+MX^39b+keKUx3yrEGub`k1G9tH&5+~TefIZ zSjc8DG+zm|U9}zhto<(otSIYHUiklcUd`jcM3j`3*5R{STv}OFUf!X7r5S=@AuF$v zt0NrNsj{e~PW$50k`A5CQ0CUn`Jp!Jv@b6Lo)#8WRFsuh1JbsrpjALCk+)UNu8NM@Y?`a>&H>%!FVOeSG z;x;icEH_wOT3lJXkoGt2&Dw3T`D22uidq+RXjd7me6xK~1@b`OgB6vnun0(iNboKH znj@(IY1mw~s&MVMD=U1R!x)tbzOogXL_SDPc@c11YlbaOkhg{`xS{|Fbj3Rekg?_j z5iIRkT!?%Kub7s~4i&+|vQ}(xa4+-aMd@uZF|>a`Rmzc~608AzK~2^){{Rfa_!xB4 zx(Lmcv!*cAU};e&j37wls<^BaRRMxos;ubH9tl90(E>TQ$HY{&F94SD_lnB$;?g#6 z@k9wQ2(255!VY?)s8w8s{5#lKT7uFcK?7sN6z`bT!m{$BU}1^9eY+0y33nogXp3oR zMwaQLjXZ35UaNqfSet@Y+Dj`vrxl1LFKboNfxi^7Sq5}bN9-M**QT%?Eh;kGmK5Oo zI@1CnRqWINN-DLS(vBsCmF4s;C~mJe-oAuqlvirKRTPzD#5@zdgsSKOm2B*2qeF$( za;H`_jmTk*{zLy7yzsA~7ydQ$#=ZtG{A=h%`x?AzUxT-bmi%+jFjD+$@WQ_aFQKnN z0$*e2fOvBlZ%*xvzJ{RqCc+#4SiJC$)#~zuR-Grb7I?zNo8bw!IT5cVjOjwtm4zC7 zWuXRJS*XEP7AEitm4%7=i~a$aU2Oz-)4JyqjW+p2)3_NbYMcBnq(J}(WobVYlvWh; zSH4~NJEtso$1W)@Dk^GCI|j6)5SyPcg;Rop@-}z}t{W5rAUdT1NKt94;sV-uM0L!G zilTN!g@gqF3RV=isVLGDmBl4R9SaEUP(+D}_CEoaUilWF_J@o=zLAAT~8LI z58kc)2vV!0Mo6rBr_m#iNO#p0RmfktUT-ipr>QqLCq0;%<8ueI%PMT{7=LOo+v9cG z65KI4z6Qa7FUOme;SS~nJUO|+K&~e@FJOy(IyR?MPEi|2!qai~&TgmO?nrWY^5SCh za{cLnpg%L$my?s1of~Xui}5uLG-p|9>7E8bU(-~FgZ1Q0j7iP#c!S=CG=dbAWch5d z_RfwD?QC`H+NzXMrMQ@2uw_N3g7%Jt*kI>48&VyL+f^2qnsZ}v{TaTdp7daDR*+r; z**+v!Wwy6%v3Pr?er3mCMNy%x9+Dl6ktud2$`jgjkU1^0Hd33MvcY zY({pxmTlwt3?|m$jIYHrVtlD74T24_@ntl28~$e>9Ft39%*)JmB=Bc2#~1MB0;TeN zHhX6vfW1RI_WgcmAeidO^*D?V=HH7A5pQ?7@x3(fY+BGjFvII)sE^src`?Cm4|;Nw z5^~a<4kyqmCg90PcRDZ^HoRdVn0`N+_odN_#A>CEBu|{}op){s5}$HYkc69qB;Fh( z2_SJXm~L-gPQag)nWRs+u?~8HJJ!Zm$d>B!24h)y9M58jTDBy&X(y$nr_%<`N=w7E zValCAM}KBUUOuM9Wlz-ZC*kM^M7>CFfNpNIk&*r1_5(7~SMvYXKXyCmq%%ry}_15>mjPVXp}=ycfjXGmn% zD66-jCo|KR9`vO)2;h;DmD`ZseIP!Z^i~UC>@G&3Bf!F_kFgMLJ1sX&TB0-BoFzJp zg*NXwTcSgsv&^O$j9RAU1$=>EPF7Y%FxQun9i;Up+(5s!q!`**#CeD}f%wpe;o)E- zW-LM@Pbv0fgD5435GW-p)#VCiQ?*ooQ%6E$tzs(nEoW%7UYt>Z2;~OBuCj7i--)bm z>ev(XHuhz5X60fVxtXV_FD2;pd%eEQ6d<017!|EhcmZFzV6eOh@_%VXu(DHeVG(#$ zK_z6|mK`dKg2C9>h~i)nbePDTK`eavxp;TDwQi!8@t#6olo6_)kz&HFY9M&^?2KTJ z*MsEUuJHwnKw5pBZWy6zS#Da<*3UZ&(tSXsWxVh^toWC z!pgFeme3LlDvOBySC#}zic57YbRhRv0q|V5zz&YkT$}?L&CN||YQh)7>9DdeMB}2M&g_FZ`Fz(pb&of_0K+tY8avbq7oCcv^KZs9eUPcNQ&=K^crsmjUbvotA%XiuSYfDHX2DXzlG_39P!K!;0CC=8~1y1*Yz4nv+z8t9x=gmlU-ra3#6DF2=NP1m%oR_#dDg z$(KqdSy!*a3yjCBqXR$^qSIjPM}h^4J@RTz$7jO;hh^rqwmnsdR5VQX@X zA!q{Ym>$v0)7Y1nZECq1h$x85cTp9Qj<5%t#w5+??7xaM<21-iwbG0;jufdtN`@yl z$DeO=C0CJr6PV;{;%J5*0O=&wdxDE{!7SM{R}80wHjkCy<+D)2XM^ zSw&!D@{m)Phr$GBr_-&IPofV#t~qKX#}1N{mY7Clr&%iaDX2SGx33wO9DtZ6}T3SID_9<=TqP)uTIF`RZHv4jk1feej=X>Yz@ zR;D)HPC%3JM(Sr)MmCK#iU{v^J4l2lKzy)0n8t{gA>0|FzSHg?*^a(IQ*B6NOK@wQ zxzJg#Z4hSW(lVV}Xo?c4!v=%b^<<_(u@a8Fp4@D#nlC=KpjOLTg|%AMg5sxV*$Qge zTGp}^Vqt38z#l`{>$F!TT4)0uqKiPY_Zjq=!+S( zoUJD9a1-YMbucizYEcyQjsisO#>MFRL5e*|*ALvJAAreo{h(?=xPDNj9M+6#&?qg< z7F&IpJ_*%8uxSXhZh%5G@OYsfLTd**1in-;faoBsa)^j#Fvji)2E6G3sEm-@U{#^b z$vC>cInwYCIB2E}d_}WTp|n$_h^1zW9`JfJSs@{Y82}&&vT9@?LM7-Q5xRVQFg>eT zRaKs4>yuKo4*Mj?pq}iM6cX6!389??_axH};yRq&tuHQAc3NClx(VkUE_VFD0hOdcj`gHw&>V&@Ts1)KJ2mCWVNZ-4C^KXj zdp3;lI_wEL;U)BkBE&{!C}nmxTXV_;Dm2T{gm}AQqDl2ZV)q4onW@=X*nB}BHVZ5@ zP<#>%YG$_7_>w`+G%?+u>Cc6o!k6#QwLwNoPp@UulGw-o+*&aixloBMSieszQ)ljY5!YCGBT5LEb zyV1IDe`XM!|TJ;#%eX?@1# z&@6JaJ|TRv$8f_`e$b!Ogv4iTJ`$Yqu|}G)J1#&QTs~ZKCVdE!GweG0JUD?US>DFM z<~~o3@u|@YqkL&pF#e%L@CYq*dPlN|JuL-l1#tz?3A-x=QoT0_+oppkMigC|8|Ivh zg>q>LMBuq7=k$}7t{6;|jnJJWqITf+41&CZL3i5&m)y_Uk+I0=HUK3t=3^I_S(}9#=YQUT0&-Htu2r_dxx5a2=!d%S0Hq2mS z0I{zzNuY*Mi9{9ZI_@Rs z%Vx>a;dVqaFP+P}?KkJLZn#XCJfZV3(vz5pzya|&2lnq9mFjaF3B#t9#CcFMW>m^C zHZ0AjZp`rIRBPv;B0i^_;03c&Tn-X)dd}J>Vb0_Au`NT_n>t zkOskr0saTd0_;o6f+5JCnGQcdq|G7B;t&^SBQsfXp^Y0Tbj`&9!yebE89OV3;tX-)s`3PJ0FEB1{a9wNM)jbjpN8TS5XiZjs^5pOKyJ z%YX?JeljvWrKWIUhfGgiyVpf2n4b7xBZ)3PrJ4dAv!fO>^bW#i+R&2|%mwS_TZr2T zgN7y1<_h_=z^_6+tt7I9If8l2hs*78I+lA<9kg6bzJ@tn-;7Loa&YO+pX$hz-)JtV7FoMRCsBPN&`NPO!U^op6IWT#lk=90}=6M*~(k+_bCW zgU#SjbSH$#?+I0;H=VL1ge17?Y%UJ6(GfA>{#a-=W}(iof>tZi1%pMMDa@j%Rpc%U z20Ip46t^sH2V*yE_m65}OpnGv$_i=Ry3r)kT;#ebO>|*uqAEa;0Ai~v(eWipIl~rW zXPhgLHG+v|IxF$hT=|VGX5A49;_hZmHXtWjUQSA5(?+fq zhVN$+72~uZf=<}Uki<;QR2#W<` z3E?$=Bt1!8GIguj5TXEu=7~);#?6^QDr{R&Bf7qdP!_&dlfP9#~jPKWGunLGaAl)lOx+;AyZ9+FZn|!-^Ny3C?q6Gw0wWTEJ8$xrA z&_|f#CK7lzRSa#J_z+NY%viNWI^l>8KytmlK0srP+RzIfZhc(bQw#HHRC=9o3(?=`f$gf$bJP5y{ zcq=};35K1MQ7-a9d0D*1-4PLm zVS9{mhav!7Np|vra1VW1X|>1-#u*(K{h1u+pi@N$AY|1-T8KGR-5=aW>~xZR>E`lf zI&8KeovFnY1rSYPzJhEQk;6vnY04sZASX3KyAi~fh7$q67LyN?+laE7QplrbF=tb% z1;%CO1~PJ*bDOJfWisS#M*@vCfsIvHFwJ=p`lYFe`=$6|L+vp~vlxpL3O{n#9SK+* zohE7)7up;Kb+<#sVM3@!v%-5cE4<;zO1J?Df?gAS(fpa*4|c;;WnKi&{^W2I>&RZsr@*qinW&;RdTqI2liKG%;p3u%Jh@XPa|~Il=7;kJ(`9{ z1=F%2a*%{+3Pa?7G)X$7sWER-7>GfN*o1}eXk$_+Y7ID}#eupUX6ct12f6s`f(^NS zStlH8DClU&%8sNo-Eu-=7>9H~KLh5ILDmjlM{`8$oq~`T*laK+gzJHRWaXqn>c~#b zCWnO|PN<;G%<$bCRzaWF6uVQ`xZ}A;OP?*6aydP7B-5`T%~?%z+9&DMR?|4OfGXWZ&OzAJlPF+R<#Imw z921-xW_WWv8PGEi?sPND#DGi@DQr00AEz7Lpa&zgnuh5lq-P8i&Lb^Ui=qQGRl+b)=!N(Or_bpo z>n!bmgQ0|+5<0!9u9$BE+G(10gqTxb78?xcMi6Hzi&_;IRt8%aw5uqJh5f@EQ;#Ih zMZ~gf7`H+peOd^l-nqV!^=#fLkzR(T{!9QO9z0}sL^!w`hXzQ>;o)*@QAy@t+nNtg zDBgo`Z0anvp^;ZRlthKUs*gCM0{b!chY@&Ecm9O!lLsYRu~H#G6`>+z80me9K8u zUCT)cMjK&)xk-j=nLZur9ybVujv5#SA(K5QDj3_Lfj291iwj?8IL?_a!&SzT9C_)) ziK+o%)oJ0PY7!oJ4LO@<_8G=R97Z5GP=f-&9rpB?6nMYLCZZh@ftIN4IK&npJSPLB zlo>*z)FJ3S3ptF}$+*X!V7M4T95qUuz=bpuI?^c#Z(0M$ zz)=oJc)0wG%|M!DZgT(!ZSBfR+wea?D2+_n;~CkvgPb{Rphl+1qh|ih)U0NRw}MS9 zYPSB7RSVf?>fcU`0JCLyX(RF($$k=Cpko0$h=O&EgrMd)$)I4AV0Hr^vsoavSR;4b za>9fU=1SMufM)Ti4vGy^=Sv=k!w@uJUDB9vSY|j!3ah}itYk=eB!g>qOxV|89}Pgo zqZo2nZ*+qw=dKZjY&6RhUqj;&2+MkYICTTWAE)0s0y3c#vBU zkP8pCaT^pdY|Dd(**g~{B(yTNyu)r5i5$cYH8~foQnO7UBqR;t?&v8c~khCu2L{bSlXXoWHiP&xA!LoBon+=^64seLg(Ai)uDXGA0{^!}0B^A9Qjg>B1-`-F(ogN{vL2Ol+HlS#ec^SS%va}+&0o<0*77BDoJV~xDwl3-Bp>;`!tTXFV z6fdG3=?PcFOa*Ki@MTfzv3bJSGxH#_%D^fFmc!vaV1V)S4_a zT;fTjofizI_#5DS7sB$={S7k76Ad{b$*hy`mJKUy){ShmS^7j*D}3o_$s!_b2`;Wx zWu@jIo~nvB96Ag87jY0nQ&{azLreYPDo^x(%Ad9|@(-OE(i5M{>Klv7NT<%8s z`8V(`5P*YDPgZ}DlY!j$3?>7ilbqZY!qh{}OvTRQVzimLx`f88^wB}02y=$WTBSXP zrWy}KKnf0r{ck66V0Cdei|v32H5*)P4*tq@ycAd**8)|oYaDyUr{zR+?8J}RefyM^f zL3=6#$K6bavO?|~eT#d7Fslc0Q&Ymu5k;K24*~JDAhDsULAfC#0`|^i$Yv0}e4>=W ztlSLPco@!w_?^RDojy(i<;{*W0|p-oS6>F&5W^ zhEc$xtn*DO@FgezC5sJ)1OP;};e2XD7S6MQ^`vFz(Lu*ln>~*aZIU6i7|3Y5FUju2 z?!#JhdC3uG4g?3#tca2M;Q~!cL~$59kJqL6$gmqneEiKZ#S&u@vvp#6A_!`^E8I^5q8M8Q&GX|6eC2}E9B?9gYcVGcMgz_0Xh=g z{B(acrvNpW?3)6)oHuU>FgI@~!F}_F0CV$(9L^+nb{MBJ2yJ-aKRbk%>qQiiH;K+< z!e1Vh;OMa=(F-{h(0P6MaquLVMye}`loCueX`f)3(|rhcN^sjAZP)HmlB>0&(*Sg6 z7D|IOGcOyne^uw@F$%TuvKt_v@KzU1Sn{q=yT-`YaoVX&3a))#1X=1x)gm(|&P@_-KeuGW@0Y46{p+=%@ip`E@kYucr z-2tJQB;z$xWgs_zuzWz_E(rVuvA|y^0`f6E8dl&Kk`Fc!Ba}0ugcGy?;tUhq`iYnh z@V-EYtBk|p7~`El5Q-Se;L!E!+o0xR<{;nR1U8QB-~`NUbb)lAFB@hAD1DxWFdtw8 zglFk<6JEfk#o)COw~!g?IXfw-UtC4|U2`XEE-3`?L~%(akxL484YnAz1n@s*No12> zHU5e(8R$FbA@JNgG%}y0vl$ksM3`-|x@ub~R^u_%gXo`M4IrBbjX|4Zz}#SRh+xNo zII_^-EG*qs#V84s7`EArbC@|f%-0M_!9b4a031h*X=E`u8SF1cK@=TYpXMs^BR~=N zi!iQaTq^=r<>rSY^@XD-Me-iyl8hq+R#7PC3vaZD_b zFG`dg>2*M5FS$v%mZznd6a%)xZ9Y84kDT@)`Nhpo3u#6nFZ^2#vJl(m&*H~R*xWbE z;J2(`TSCQ8WHUjYET(B|5}u1>JKYgXH$Q=syr<#WQFQbO0BS45oOPCIX3!ifffdGiO>E$5j%za84EMCKy-Ds6 zSO(c-Bdp4ol1DMAq)HIW29n{JRs$Q)LDaG%n42H82lL?+aFBJA?$XaE4%9&(RZk$Q!QLjmI z8ulnoFT8nRAC<0KL5U9mZf-`J^BE!f^UP?Cj2jDc*w7J(rzL{{Z zBc`2B_mWb|HNdd2r-U>w>6^x;NC`Fu%QtbGh!T!o8ox6WH0~5NRjd|EC{$Go;b_Y0X&$gt@;Q?WOn)&@f)OV@*C>vH z6V(86q#!ZZ2|?QFa)db#GayESN0CW7+<9m!(ASx03C#>w)liQuv(9ocAxx4X`$Ue{ z?4qj%f>)13o&@bUK=gclC{;_@SXk^X@QOB&FA$nSiq|!=qfK2n0G~3Lr#$eJWdzd@ z;!1I|kyn;5aWXq6BS?OgtV{s=0{Cu&!(g6pdYZ)0NFe<)ssUx+Vo*p;KuBpE^y27p zPNWyb?1nO6_}mgD;2Isyg6q-g^lHpZwGdGzsd`vGUsVOxaI>PZV<5_cXp;p{zZt{i z4>Txz=p=1kCK<^YHK5QUcAG3L>+EUJU&Ds%N@C&XL_5gxsT}|^;6n13)5}h4(~i_= zAuc-h(nvF0MJK=TY>FklZ=?9c>ovo72>>*B_yZgg>DA36b`3vS%u4ARBa z0=BQCIE+aY;+MW@_EwxJk6bEtQB#5*QWhRNmXN61TXi|W>!%yYBBP%Z($WYc7-wZc zTjtBXiOxX82*QGz&2=;!C+v*>gF#IcBYx#h!d}VpAj~LuBkj_(?IH4GXBl*~N=X#@ zSrvxxo(3L9e>h!Z+Xiv3(X?5l>gl znl>K0s*0N%@&mbCF*Y4b2;KRtpPtY>id70CT#Aw9CYcO3p|dl7AQm|gmT3+&1;&)G z(}*gij4dMPQGlVFY#<35XluwS(cz*X@r@g1TpcK_FB$jvkqQqR zQ6}u+8d}p@kzmh_RR|5ufYGR_NdT`}$OVQ-3?Xv|O&dGwR9aTeWwNDZ{0Yr z@Oo88?x_?m@*9zB29kJl5F)q{(K1|7hI=lm6cBy#bVt zusU&Pc5;TSNxFr{Vb}&hsd1AU-6{hd;5W-4uEofJcY-!J)4ZC6`E1SEyhoE{r1RCt zXb#i)719f%{(=CGTbMY9$t0`EjM~A1=r9c37~u-L1r1j=W3O_(-c%8WH`7&$#Gl~s ziDEx@Cu(dyWV-O1^=fl=CLjiL-%^~^5DMe3Yez-6YS&W^O+n9cyBgdQP zb)~y-m;fWnOUt24T0{38VRo}|uTfr(<}GCwKm0+&9$YuZp^I#urO!uX0h^FuN&u{x zu0$v2YiPB?CnuEx`oVy3dwOnax*y99V4wt3J17u3;3W~jpf^>EqL7>@Kr|$-(&37H z7ixT1+UdSwIPInD?5^Bip~;h6VwI$YthQ(Te#F;Ooqo4Ak^U zbtcc7;7-S-3>t@t3IU#QGZ5V0&Oo%lz^E1kr(rKnaT>=C_~8QG=*vD?6KblN5MAV9 zlfrkKSp>7`zEW=fVj`(#5#&@m((~cgPv0d21GU|&`v{}TX15mL#sPNSzD7F@o!Mb`QdphdoGA_q!8!0(g-*CogE~uzq`?#b zOhKni`DS4zxS=pY^=8>FT_X?E=bWx&U7rIP!;cPVQQr712V67m=Dx!~GrF9a@ww`( z9l%En*>nplr9wBbhJWM@qFwnc=l~pZbyW+$K%-eRBSsN6=Un}*-oWH=v!AJfv|*TV zOcx;IrU9A({TI7PpUBK2qR3Z6H`5#2k0BQPhz1`bW)M-zzkZ?ZfKmC*&p8T?AMC|DJLpPs>n2~;)HUne3GvYW?dRRR&wfh=Q%^hth6*p5}>%$gF~0HSa7u##K71r;SxKE?@!GSKZD6> zy{RlJL9YtMDZ1V>?2u#+kH8cD>j&&ulheiQ(Le!4d3czZC!?Xqj*A4U(?Y1!;r86n z=EGp({|A@^g3&^A!_G(<%#AUnu%ak)5e0}(1>qY*L~@5znFumd5@z5ehtqL`Po~nF zC|(TY#)=mM;fhxnY1Q|$VG701hA|ApCNlDf!13q?FOIxBE=Kn=xCBHiT@Bm*ddI}v>b$_5&l%kW(`9uuTscH$Av?O-B3P(q)@a8I7)1R zNhgUCbf9%&s>iyB{M`6R<4=UI2*2E+D`ym`OmSRMAXwAJFcE{~$44YJj9Zh#V>FMDKwj?9W9^c4l`Lc_$tCp0!zTtu~k-)3+Vco_|a+O8XgSZuBjO& zT;juk{a!nd%p#HKVm_0MSfz|Afk5Ghd4sJxlok@tNTt*E{OQ#~Sdo<)FuiA(-hpkV zJxCLapUE&$324$h&rF3FfzV1g#+hYbgm;)@gTmxuD&vEuUfDX(hcanclk?a?0>(m8 z?2%{4^+Qor3c|6eN=1IIpsHvIBn7L%LPr%mb%%E~rTjZW20ENV%)sqgP-WSaLUsk_ zg#9>WiXo!W*GB`0Lc6L3rI}}TR*m0=8F)Zlw4G;<$Pb??PeidE?d^i-$4+4wdnt6C zAzbZrbPY)6#z7?P02^MbSO$18P7jc@8ioY=FZQHBQPJ-F*7YWHk&bau>oT+p&AroE zL9Ix10}c@!|(Z&Z=+?@4dwX&!*nJ*1o&f}36zx%Q4KTA0?oBczB`*E?Od zW%?3(6}PJXCHQ5Wo1Lw6+HtnhlS`-ST+XEIP%t7iY`+a>I3mcmfshgTQSdMlf}@{d zV!+`q*ptF(A-6G5R2Pg$DWFT_gotV)LMi>c0(VnX2LU_M%|NjEZUz#M`36R6`UL5+ zOsRzGPF6-^LaHf`GBMRb@(D`G!)1+`2ofbJiXD|QpPSOwDkm);7S$BGKtSWnAch+A zHdwI6_c?Pnm9wp&YbE;6B~aLwcB!jojH&V?CrQ=ax6dy?1)X3!FS1_5&Z`dbZ;tms z`xJQTQ|Ug9Ca`KFh&H7;e$Nfj8!l&J0z(_afDcx|T-}-lghxp;k7faJr;bJs z6V3M0L>q)+!>Y=D#f#l-WMV=YX7g}Zew0NIV_z_O@x`Xx(3O`wBoN(%cDJj#-v`0Z zbKPujyKr|7A(6o~xmHRBXC`7tDbmOkvs^gh4Hdyb{wduM8gdrX4ks6EcsRasPa^xh zA|224_0Zx2sUv(SH;3akM_mdegE2*UXxi)N8bpE;rzwID z1SM71>-qLM=Ptg52cW5L5kR+fj*<;geX{)V)WRP_*014#7vXpOF?s<6p(jA*kHx*3si;xIbspo8Fp!&qMmxh53H6nj5Zzd_|Z3JP9ai0Qj#(V3Y6|5 zV>nuV!CWXEYWTXuG^Q$ybV|qcuZ-w{CS}+u5i2ssj~pGY$vZg-{0LAj0B#DjAEF5D zY$T{LYW9j7#X@SEYb3v>eIrc3b?4~Q=z0yuDV2h0q%sGX+E z$e`xJgnW1N?%jP7$a8f|+*_%a9ouI5RCmbr-r58?DVahH?*KtS!DFkJ{brp(xzpha z@C}>2PcHy&iWcL~zy0g`cVBiteR%lt?|Yw~|If3-6_Ta5|1tQ>zYYH1y+$x9_b@Q{ zKL<9%>b%lDv@h zQEnX4Hbb2oqg3G}Rr6eAUDkS4P!CKdC}kVrYdB9>FzS6S3?mk$w&otN!WmWz;uew= zByNGt@3BG*yc&up&t+5wn*Ba)pxJ*~9l)HtIv-oLTA$2+*qs0-fsq$O<^U#E81U7v z4$B54{tjP{^||-W90l)pOy6U1%E>EL!V-A6PCl5(x>yAQ39gG2g(N-5hik?*frvC+ zc_QSZ(O*FZE;l^I1AJDTs*{7k1lkAV$qH<6~Mbw(jf$L!bx}z0N zsJWggD>QZk(0K;_qzxRBYOoO9v*oFBuINWx&;-^DnPfQq)Nv;rxgb}gOvqyT6IAod z1!ZRi{1*~~GG{n6;Nfg6s-MjinMH+`JRMf}Miv-=F1y#~C+M;Ww2a^GKB9Tz$6Fkk zx21}sd#hcWoR?z#;Ned7Mxws#_zxpxg+bRlPqsl2qBe(f`Wbt2SOxs4opBOfJH()X zbM^Qf#rfBlwjpVHEgG|_L1Jl-B_T?rF}haK>{4)C$r8XnJ&iuSm}{8X2V%zoWk#EG zq=942S;A@g&ImO`TOn+R6zB$jRNsUO)9an8Y>&rUA7!ES_u)G_BbA$X=jdJ4SRteg z(NfROP^bi*w070cU1dx*+*DOC9sED8U<09bkLJvD#xLR)*d3$C$@&m=ODn467?ffb zIg)_gO)ZXnR7pZQ)5Jzj1Whda5vWjEsX7-b^W>o_x}KU#I5^^2=){1gjO5vY9~QnS zH}mPNWxI(Vl^_iU-Y&`a>J7kV4-pmz@5<7JyMxMDQ^9(;rAD$<~HVPi6;*{YEAsWL?BxT}XQ;Cx@WsTJ(K|@`4un$)~xN5ME z-e~y=%7vDr`J;aK`S3}vIzmKGdDZ7MZpeCFWFwDCppv`FimnyvtrUYJWb5E1e*C}w zzWwC}Z8!eDx_kTe*V|7IU;ch^fA{~O(?X$cQ2O`*lY}z^UPJWMWDP87X%)$hWSy!|Ko_?;Gt00QjM%oN3Wuc9T*S&LHR|N%UTWM1PBL--I$qELpiTF;c zBKOYG8w#s;7wPWk4VgN5F3Q+Ret7T-)^FnMlZ*t^z-y*HqJhY8*!y<)-Q;AW|0WK@ zo>FXh3~8Hljlw?X`OzkRW3vJHU;tLu4*?QVx~(W&7%?#x3Ll)X+Gwl;J(9*c`+5cK zHd^Y#rTAGKLkCA5^#I#fYtuW+UMq1}Hrg3*0A|E?BZWp6JKISm6v>Fi;~@GJ0rKfa z#(_q?Ivx?`H+s_2!4wD{_%AXnnY2nA$(Ss$hmnrYUdKiop1#9%$|uOPn^x!DIWnV^ zniLIepSkXsyi43| zbIs`S3;P|@5#X6&iI-_K`yL+16q@}QI?rsU{e4$x(2$8#y&-K&QyDH65`nA(K#5Q- zdt}ZW6#WFo&z*^vE8?V>z;{)hN4nGnafmh~Tmg!QZuSu#kv<(L#FdEFj1ev4tK-mr zVmz3@6UHg1F1NhD*n!vp07pf>BouP-N0$As!9AdSI=6c>8lus!vr!NXn$%v1WW#`G)onSM*0|uvW zvo(K&2xx;j1t^G33{JYx$pphaN&u4dYCKzh!-b+?I!iY#~!GLyZG6Ip{o9uO}K|pvKZv z#>8Z*j3&HL3q(4O2C#L{^lLkuJ&eqr4E?l7lN0sijrDL?T^=VYr24tYl=E?U_e2`e zBg|w3VO0A{hLdK{Of(+r>ZhW2z?0boLgc->!xd8OnaC$mb0k>Dk~_a3AKUP&N=By9 zRrUrKVWSJoLc(oPfrBJFI5Refn--~&#AihFZpbb2QFmFa5JANgu$_?G0(pt0oP^#X zC$P!{Sz%YY7sHveYN%S~Q%{+b~MDYgBs|jIajP*HkUr0?DtDEo59%7tdr59@C z(o7@uio5!YSq7ea2}l2NNDH&?%eZ|xRlarySZ)AUQtN?F#rMY&CKsa-16;cEje2Ec z6JXw4nU6?Zk$LJ%WiASNNdeJ_(x$_?kb+1G_XD?W$ia)PFk2)-QWv~fw4=dflFKc; ziK<)dI4@79?dF{&ijC)HKwwg1%{43c0KSXW{OJ57qObPOsGQdRxm@1p`$L&dRI?Hq z^MjU+E2ZsNHMhOGpn9-{K-HE4S+3yrV!d7ofTb-fSN?dr*<<0ub4A4EuY)~;<3a{| zB8jNnL#o`GSRz2p^NR(#o+8x|KN*?Ef6N}z1JWJwLtPmc(o)lAF@!~C349iPSEDt+ z4<)NXFzwk0Z#$BUqTB^o2!I*PH;PSYD|L7&i&Q)+gv|V}E((wMTlT zQDtYeceM`BH_mseYOe%L$gKnMq_2xUyn@p#Ghg3a{Wj%Qx0?~Z)q z^}TYroF@e3yX^iAwoQm_X?sR>i9~OvhspXshGQJX(riQ{u+IX4-HpVrMmyp0H|vDg z(NzU+bkHaoo@|^=YqH5Q46r}zTyEVe4>vITHS?W?V zKmy+K75t0|_vo7vNrw_ZRwmF*bn$}y6RCt0X7MD=<}Y&xlZ<9%1>rE8DX^eNLzJy~ z8xYwQLk0z(z$e2o6Hca>L2mq_sg;1Jp$^s(sLg= z2SCt)RP|{nWT;|q)+~^F$=-{-L;g7B1bGxEToifE0Y0f&Febh8F_~^+N32{79&WP*z!GaihcCH!pxtL+{Gj>LWu%87{5c9cYe@)#V=4 z(zn1S9k7d~==V*N**9>}Sr-61R4J%jV$Uemg=XR~UQqB$oT&eyK?2o2B}XJ$#$hSP zpJiz*_%1VBo8o%Du32vZ3WaiA|U>NG9-6c&&~?gyDXodVlVpoEv*5O_$ZNbS^Y9;CHOrKhh%qRTO&C>#Db=b1(B?k#ssQ9&_SS^iNZ{28x%MsC7g|L!Piel zeLy*gfem;Em8~M$k+O!1c`w)Cka7*Qfkl6<%_W?j>W3aicS8d_;BZWfplrg9{2&)I z?EYUK-raqD*xi$f?BSnp|GLvlsv90|PfdZa-`4zorxPI)7pVd&9#lW@SUb9My`uM( zGe|nh0rC37-5YEw#AGK!Q#)sd^BTn1>TI(`1{|dB!~l<(BaLA*$kZL+&H+zE)^0k% zx2Hbm*DJapGHWIb=(0JUyJY|4c*2B6@+~--hQh+rDX@hp<6kMwkzWwyVwsNo4#%JWKH8noK+ml> z2_lwD;+BxLYl4;()ylj(C&)w`X295kFU&lTJbz)GK?sBx8-y60 zj2&F){A{%bCI#AM1i{-kMfZ%lHFiRFvSVvgNA$;Zzn(oQ*&Y8U#NMZMBqzcn6pGvy z{(@`m+X1Y}L>J~;_jk0Swp^XzYE1o3LJE9WOY2pGy?>=m)7du)>z^2C%+B@Y5(yZt zD4DB~B$y4$hm=uzqhPjbFPSC3HFz`KVxeDe^L^kbkIboXm&a!Fq52dYAXWh+q^kQ6 z%*w(pl?n2uZ|avtnVAA4xY16 zLoce+-U9|_o7Z76xX{V<$^w)#^rg8hj>f$r7We6Tb&S>@D)?E76U`B|f?=`Hvm3s- zXT0(ReKYk*%rV%+l&I+UCGLZUHlfkEI8MT;P|tdJsRQIwUs25^@Y3)GT$##vurlhFB@5fd*h;m~|L=;C<-bVf*7JWK{Rf7@pGotpDACd92QfgL-Ipnf zJ1x`BMNjH!4ywr<&4EK!=BW+`A(_LJv}W81s7Wnx=wqILew?IAplK+=A@j@S(aHKX zJjOe0*3CyY_1ydN;lFNaQUCPy!^ejYpLXeG(JY-2OO{-U(8?vx2bFu9%?5WNc()+d z(uDvYL9q9_Lw;H-pOw?P`}OO`2dLavNd5BOH^2cQ>$H*>b_dZfsa^H^mt%5s@v2|3 z#f6;%w-s11?Y}RYfPW7+DMqsBspvtt8PohdbHfmmP|TM^YFs8}?wlzJaMDA7EeJ4o zoQVrfldQr?>77wIEB$lzv_xZZgazliP`H&fI79=`Bq3Whmx9Ps+^#g&5dD>nKLalo z4K4hwE1Ez)K`BWY&%D_W#}>TAj%|aogVHXNI;qM<-~Dn(b{{0y@9A>wQF0tnPad>K zU^U|Rk)&;-66flApcYEn4j1%_^8w@Ev54XGB-d-5PAAhh~n~SBj)Imob!cJVE z6iRacwh=Rk0rJVneHSSujnPjMagEpe!|(gk1UdRtAKjt!(GB_{@@Rus`VGvEWYbDH zi&!RS=*}@`Z_NN+Z^|M@Q6Z!i`yilhPaaNq6rhz~ux8HVZvGMOmzyuP+Wh189scNK zEo!AA!sT|5$-tmzT)dR{I{nw&49OOqJIopxeR<8#U^yaZ5U)Oh=R|%Wkf3ikI*Y$G z;aVGhgYiR1s}jpP_XpNy$X5z)ff>jOAFgSzdi zMgg6kxDMHn@EY(Oa6`MEiY1&nFK@Nu!631kdBVV;h5#NDu@+jgWK2-{u2A2o#AT+~ z^Z?f645#XB{f4RInedmaX_N+t18sR`nPE=)7Pg7!5xRQcG_vDlH3Ho8NfwL%r z2Usd2`VqdAyHNya*Nc3mr|NjSTfZ8N@P+_-y;x|D3XVd`(aWLaQP5?Nq!iZ${VOS@ zafdcI62t=&UDXUY&!nAPH7KXmyo3VQXs<{(-wo%gw6%flF6y_hK zd{UM)+LKo&7q7XDh{rAgHV49k9tLHt>r>$eael2!Jv5v{pfTe=y&RprP21Ptq-m$Y zn@VR%t-}fCSYSc+M9`R+NuqEZVR>Se2;i6)VGuzL1yy?`A8s3ga`3{4%xM~SC!WYD z-Bl=BL_c$t_~{2cqIN0t7m#d>$(k=g4@EHrOH*iQK-ln<;iOhrZY&O^j;n`!568+E zz`%-_!>KO6+L)di9`5zUrQ|?JmkBUS`675Kfz50Crrn3;qbtbVo~mr=EHoWsjzjX{ z;1rT~eyL50(A?tBOgm`*%rrRqWSaR|ty6qS13aF{lL8H-@>$#;8k3L;xlv{*VUrE8 z3=3IE9v^UNT^r`UdJMgFo;OFb_bK|>@IcJ6uRHo7Q-RVua!B_J+XFW`T8ksEN(--X z44u1V3E?ho(j@Vme$(k#7inlMx&_KC|7O|)etj8#UF#x~XmZyDl=DhtX`MEl7*<0k z?a+fhnNg0*UcW%jWI~V_s+y(;-zlM)E{I2}zoCc)o=A%*G{GgyeYebZgfc$gzWwn2 z!>y&a-=Y)C`#Uj~wOOpyO3j?rt4(KLe!M-DO^X_yqc5_q7oCQeL&)HMBaE;Wd)QI&!cSyL+O?OTuKRkHg;RWA@JQ1?ZV4PsxKIUS&6mMSMjAuR9)I zuB`RNhmzh`>8N}JD+n_NcuIYS1xKGWa{kszv6S*m)Un$ylT>0!m75tq;pl|mGTa5p z$wBb52#X3n$S6q3Eao^F`N2u_HfaH-eZUL~!)ptxlVmYSlNND#xn8Jh+u*SL{`Qxj zj2wnp2Je`lo6#jQPFy0fa5KK6_JU;%zfMzfy zcW1H`RYLy`i9h*(GFIUtr&~B79<7Dr46BAq91BvKfkZ;VEvR32O7D)!YE#phwu7l! ziAwLw3+OX0!}&t#Wqs=0Lxn?X7Evo-r#(pVN#u6{8U;o7CdjcEkIHfM@(B36y<&XS za#AYdM7FWL&lQ>rJ0r3nOk`%+EO~j;yQ#PbGapK1rqTpUXE=*7HV8h;5)Z?ulam-* z3w+HM?AX88YP({UOK$%5PLl_W0#Ahjs}L^$WV=SAGE6{BK8Xi;rQPt4_x8Ul%6Y3? zGK)bVZPjgOa35DENLNqHuI``_YGA2Zn;t$!+i~>i$~xqjczyKa(Fa)#g%raTgTXj< zIg!f+F$`*M$G~D42eT;=0nW#hm+kU@pQJDCo_#;|c@5;G&I+5LLxB9zU|{dl&9ApF zKHuLx+&v%g_ksNGMSdsHUXcoS_(+Z4$LaV60f6`L)-BN^kd~^*d*@(RhX%#LuEi(_ z{Q36o{oVr{CojG{+}uCBKo?tt&33!H_wT>lKKR%`E`K}dT$c}VIhki^$jqxPz)aF& zj_#l?*>16Z2}2FAC%dH>py1|-^~wAE+6y%ReXkoMupU<7SpXg~-{!V08OT>I2|QWn>xetOdc7o;z$(x*N!MBYq8hO~++xJ?^&@ zAkb&bk1LDlTz29ii^v9rcvx8nww09D*>%-kpy4dvrf3uabB$duwo-KT(8( zIp&T;a3Mr(TSE2kcAp>af4f1l&A{xeHtqL?87FJTO3%qH8J1!+$~lE_7H|}1MviA# z0oC}y#qF07y*c(fLJY20h1`l+SuQuRrZQ{Py|9 zSN!+eZ$K=6cQ^Pa%02Pxw|{;3jX`jxoncD+``f`DriZ`(HJSdkoG%yB5Aedj4nDj` zTqb;pO!~hE@aGg?{q47%oBxl0Lr$ms?_UT1jsN@o7eJ^&$LwUDB2XA8r3j#4s6r@} zNlGV)^CqmKVAdv73N5#B~zUz?2w~I`qpPLS^w^!ZUN;y#)jsZDISse5ekDN3&~9c2NC0N;LEv4 zbK>MQvT}l$I&i3k`$fOecqSG8)Ith`f(G@O+;;#s2(%n{VpdNU6C2x!XJ^5Y1~qtx zlw4$I$sa)Zq5tNAdtzya2bGBL? z9i5&+g;%SM-V4%GQS07b!^*B*c1@r%C~HpwcUFPY0^e*8c9p}r$!;}Df}7bx;|{(8 z!%pKSNGFwC;#1p0-w_WxL5%>f%#$i-+B-(b8EqP5fn)X&_2=}X}VlB!EAV#~K8KAiAD^A{}%FpJQQU`9`@>|C< zN&XS6wKp&-QQWw5!?*3`A#tZ$Bq5o@G~H=j_U{cEh~+GQ`2{YuzH-Tij1vBL#IAz+ zNDB_xdb?BW1#U7kz3k-P`HT>58A+T3ouV^RQ7O7}cvJC(%MQ~Y2oD&RQwRy%JiDx$ zPG=9T21y@6IvCh_30MayWO)u6jpTmGQBYj|ibgvg&&Y0+{V$rSCTne3V(vyP#A@Ov zvs@{lY9J~@0_bl%dNP#!t^fVlj1jQS;Unp7UV0+UOU-)&q^{6_2&jE0AyMLpx&Yub zg8oPL3HlVFC{4~<56el3l5`qFn*-pGwgEH`2~CxE~)Xk|OtGjf}t0u@JC5;Ui@ za!7-83a0RxqPQ@;&HeYpB>YW63sGUDNBW7Mblg0~_#Hr$fdUOA1P<`$ZYKvSnIL_E ziz)6W3Bqc5#KdAygqi_TBZ92|Z+`j4RS|WN1-@h+20{HWWrg8s85di==7cI|C=u6P zF4S^}sqKYT01Qqdv+&tp$icoA7kTfHAH?beS?5&KGVO2+ioSHe_CaP7LD8X7Om((U za0EFAiW_-L$dnu7H?14ce%}5&jOjQ4|1unfvg9+YIF?+=e?B}&wQ+(Mt1b-hEnO&Q z5);px0fqeurxD52d{Q+M!QBkGKah8AutMV1X-eiC3g7FVCnf?e1tcLypj-WLHa~^v znr}8hgGB4>OXO6Nm4pW@Lc&y>n#l-83V?>C3P3vH$Ukz$(nEX0M+(-(x!I$>#x>y=e4XXt_kUlH`x>)pw61&2{AS_=*z zU+Tj{;%!8i&&1WK(&GcnkEbHjlS!~Wcvu4*fTRkgE21`2cTRDng`J}O-!rSiSq}sH z0XJU+BTdF2&ci}hM=Q%&pQnoo9WratF#K+Kb+U;*iY9Aq#BP38GSC?)d=%xlsC8C! z0i|wz9$o`VN_xHW0_6;SbR*%i38zyrQXu7sQ=0`m5W+TE!NXeMQ&EFdN=kdIKhaxH zIil=@lpesOf;44J5v~Xel_FAVj%Dhh;q-%X;&*pWsoD-?P=r{)72*eRrTM4jRA$#Vy_OE=N7nIro~K+YOtBCSnM9VE>h z3Od?3jnW19EkHm~zn9xiUo- zC1{3$E>dt6h_20O{7B+2M;kgoRa)ZdJ2ize<#9KvOqo7?m)WDGiTXuPPnlv$=M=i{ zpZ;rAEr8ac@Ff&k;dlySNeWvcicSg_C&~r#-x6|b`uzHB^R!i}@H1^SyE92Pf{t1C&HN(x_d#P0MAtle$ zgwWHZAdMUype8>x<<(YxJ%`JA#5nrxo>Rd_aXm9bOyV6tlx zD<8N_wfexxsHmtzAo-9%kyZCe8zCBA624$FxsTajRM42v1G66Ta`Mwp58F@^^l2A~m%rMplmD{4b`nl=p{62_+|f|Da$4P$m!q zzc?MJkU(dOZhQz4b?^|qLaj59YqN`T9G(JJbknV&^D$8q61yMZMMT=uFXr=m=tq-SK&r-=7cYWYImnVW~=GrANt^ zpjul-%f@=3$EH&OSS)l#pQV=iY%nG{n9^KJ-N;&g^h|IO)_WhJb2m+C6_REk!sk$I zzfUA;-xOU2DCuknPD>n3UylWa2Tv}l8yz|8%_g~5F`~Cfw33d$&(qSP%dd}*P~Q(Y zMfV@>?ms;I!6U>`4E!r!YuurkQT^Mx~1{HTj?Sn6++qIaN( z1QkRh$X*UFG1BNKfHMyj+GR0o6RWU~_ot(oMv}0ILc`w16}}khxqN+%q~X4zAhui{ zL!?41_muzY3{`C(opSMK=BO-vN#-k9_B z^KjCW4>Uqgm?!oMQ!f6@9MMK{4+()TtQD>L@x;gnV76-|BBW^e^O_z`W7i4UFDY%j`alyF7)zy1gL3qAN;CSGr*<2!!vO_EMeJ_QR zlMCU8Lu^t@w1{BbD%_-g3+xD#`TfgYr&aY#T2<2oUo?}!7&j+A69uM~%P?U^FErys zOPOf0xZXXe5HY8t(OMv?HHkJT%4aznPQ-~KR=6K2!%7C@Gq-XpUg%G^DA^|d+U`N0 zC&kJN_l^lQvzGDnGQ!#H7U!2o=u!?bYG_eXx2e}%v~@>?;-WlhrhSTOGksb!#hl2u z1tQ2nKZSMy{h!D=Vz&9A>Ri{8fOy3G;sTV89Nf^~*J2t%E!%C10%Ph-F~M(TOYFi~ zHD9f8VIRr!v!D(JILYpuie}h!b(<_quG?{S~*Ybe9*&w$%<*n56u^4iLp4Tzr$n^ z8peT^HET^8vF~Gnf4TYX7M%+}{mlP>;vbPQG&v9NjO;uBhuO1A#-3j+o--ZOR9wg~ zsBJ_d$`VW%okq$67!F%i9xu0OWVc>kTI1Ca4pP{E%0c0XRAU|PN_#vd8eZ`Y4EQ6n z8Q(xhl%BXZ=1||aa5ENz!UD*+3oMI@XY+DgSYpg>vpIQ<8e<)dmC;_o03x_N3Mbr8 zWHwqk+x2;*F~$#BV5QD>kbHlp484(n6>E2YLnbLxm~Gh%tf4b3B&;T$!kZ9W8%;0) zRHuAWSYMZY#>-01g{*=vU-|-TJ}W0f64_?Qa)(JXXVcx}h)MdZ-1~Ba*uFo#MfM+= zDDPoBS@PH|iqtryNagHTvq4a5c|PAD9}y`4Vb2S$ z-kn+5|EF&596r9K)tgE5#*($ce;LK-#S2l}+GaVA>$#(@sc8r*ye!QDkX4}_7zKi; zDVUC{$sQTcUA&5WFdWXGY2p~-MXcWre;~C%^bGq@SFfXo&Qo#dq0`JC_c}`gpGzCD zY(=+ok)gbC5nNviawVB7q_l6*u;HJcWjy$A@zyuZ3ieH(H+h9&c#}`*1>Ug@ z?|**Tefj0)9+@@YefJ%+oOx|`^Xb#w!_C9(o{s57cEl58&>hl_5LkSPgj}#;(xB`) zMCk^>dz^!@Vo>W=lp>p153aosb{M&=9Bz5B~(QZAom@ z`C=Y6+^T>$3zDHWSP=%*X?%b6T-&m&3?&1xLk%NOvM}-}gpo~$LJh$-O??rnO~?FX zTEb5Bsv*MHKszI|=^OLitIY6?gFXu57{tnknlz5}f+4yx{Xk*aB@sdEu#1K=`5d_~ zcJ#}a+xv&dPq)r71|WwV(kDIJ89O2`A_kGMBDz%)UMhGS2vLSjt&COnv^Gn()NS`R zbu>d#xb05Fdta=vWk6%msC22aI~R!3T)`4$cX$8=E4-TP$_lSky&QM3t6!6ko}4Jc z8#DAy&d((0qq+DN83!k?q!LWhI1UfNhhR&?l+Gh$7fQM0Qj1r^XqF27E=EI7WPso% z6gv7m=?hBhwx~xmOKB()4|oS`MA$?fVO?^_`Gr?!Fnw0eLjw~O{S%&X;~D#@P9qXi zxuj^QfdmqsRnDo9!eg_QB%*2&GQk8DRp|h*h0q=hwh%xdl4+yxN3d`;LrCcZn~a&~ zS7(<&0T0Mw?Qq2WaQP~r;fQ@7)Mad3V!Tp-uaAX?YDQn#kfUIoI4}}<9qkXA5gg-W zPe4gb>H9!X&T>U5V>rO0wDUJ(JTtU71&~6U5S~LRztfI1{<6 ziD@6z@6^NEiNywP-@sGL51X1#^dR38-H>8Xc7Os4O6mFXl6|p|Zk8rAr06e-*FmD^ zQlul!`7584uSk^zx<9TxZz(Y2jA!)3IPZ=>^eFL*UAr!6gL&( z9hw)gPw7le0xrYOws-^|MT1XekE7RG#`9pZ%d;lMLJ*=3k3jcbTJ2;g$N9J(KjrH# z!8KZuoY~s2eb8-@6zej1-QKtT6EBN*b%z|icc)j#4rX0S;Ke2#>yx;_rj^ax%+zNg zv9fV?fdVOq1R7JXyj*+HjA_nJ1R~f!!^}=oSX5nA!+Zm@QrQdCMg>J?I8C*!)K{Nh z&s_}g?`Okq&RXr%dh0s-Fjb4gz7sShjX5XELq11$(9U3J-a9{=pPaws5l3iY^YAuA zA_d6z1TQa1nvdiVA~zB_gc6X)+**3E%y=qE6HQB=yvJD62Oj;Jr>8ubq`5}lp~urW z*A221OpnJZOQj0`Ne+>S$8^lrKXD(W;XP*Wt@kBYii}1{Zel)W(p;sou+c`oZ$E$C zeYpQ$yW3AUKYhGK>uiA=`k8v^?fw1N&kr{84xmF1_g`=Sb+Cg^ZL#;9zvzefdH$V3 z|5z$N$ikEQS!E9T82H}{7{TJ|!)uyf8UE41vtMt2ef#TYfQbIu;=h6Z`3%Yu7|UQb ze0E5iQ{2gese}leCpM$9kUEUCPjgGQsUx)x4?xSkZX=AM#Du#SV?GYp6KIqGSKX^L%{Qw*iE6A#di1h|X0&@0f1GPxh zKz_U^*ph9-hTdMkM1dSH>WY&5FPHm0S_QO{5enfTWx0aLflmXhTx*Y59B$tnuP&wW zu<3BLRU9*lDoKJF6-vak3VW(gJ{|*EXcXz>2+d`n2_X&{41~mD6BG0~-UaqHcBM_4G z?t2yea6A_Dw0MwAx~!F+h3n4I6?1}7hCE7%rmRNCT0xpRvC*<%%-hm+f+qu)sbi>kfc^OT5J%-10vp~N z5YCoX+XP0B13pbuO)MrjMY3c)?DFXXDcGy29>q%U@PwLag6HJkt-!Y7c_ILcZYaK8 za?iTFS}(gpBKJHrt*4~9wS^=wyb=Bj+teAUY*TkmBy2I?tv}h(8`}pOZb3n7$CfD?iB8TCD{X(Y)Kd0kUJ}Z|aWoL0y&95S@bOY_88x-yo~oCgU1vwsx<6 za|_FxLW;ZOv(m3fwbdmfo{4T!QD|j~o_ls2hvHB+A;+ac<2i)OR-QW~po6!mTM#a| z0OT8cjnQ!Dh<;CG8}c!_-^9b~86X@FVa#AOB4tI6IzD4*3vkJcy)Sx`H|D(9%(n=h zWa%!j(DsLdS97@>g%gMroZ+BF-M2+PX?%zypj@=txV{O$Ie8m2R1PXde1>e2T*>*kUhrNQms)FA4jKtYvCrA!rSNJZk=Lc;jr<0^t`GvuQz z@wFOXLk)no=KN4uTSWTd>uY+?C6LO^CyXKl)eMIFjxRPMrrgQ#<>iTY83RZ2rB5^R zq;C-4BYLx#Hl-cnKi)D8?QRFv*HL68{J?K(1git;NV{L2QsnYW>>qu|;ADD(yA_@? zX8Y$egpGh36zAFJ$wI}5kU*tDD+@fWJ0z?B7jPbd$$if4t4!j3J1C0tZ7G9=qG#fx z0ST(}i?mC^Pm^b%HPJZ%t%-8ZOPCH=5xS{%Xk_dwq$> z%_VBl4zLf0|8KMYcG`?bEAJCvc2wXqft%oKD$Fo#-~bd!m5kTO9{qPjGL7QT!_HE$PyN` zaHOetmW!?OvocRUPudmR7C2bZiK(B-$7FiC@@_^QLqLT{N_~RvOmrEIrCTfsroVBO z-HCD95}#4StIaF`NVMAdL^FT66rTAD8@W?g?YO_;gW9&S_2wd>-&i!t0lOu{3pp6Npt|Kyj|S%x^uv}; z_;CM^-Obzo_4NZ%%kFRAe!c(l;kVm8M(mk!h^7@c_dnmFc!8;hkIW59XjmV?8FS}5 zN^F_G!1k4}Pk_v8`ydNEl?A4s^YcG;Z|~mS2EXU$e}FZwAmrEvWJjF0l$P;TV#A<9 z>~e|np6Xf8C+Vv@&!Iupp>L{1snwgE=Dg<@ zq();&CN86tNH?QxhDtU%+_XJw_{<^7esme3+>B@5;m!L!-~>QLv9@nX?}zzlkqp55 z7`b0ojgG|$RGL+zQ!|L>nlW4JiI!|a-xS>fQ?_$>(w?-FkOqCV-GHDlOISV{65iZ@ z0*SuAy}$kR_V&x(mw){F;@2-f3n1{9Uw6B`Z@vSxKl5S--~IgQ>vx=w`rYro8T=oZ zDh~V`n|Jf&?T7yw{QaA62DriC8~ljJ!D^v%a zxQ8fI2X$ZCLGY!?;hCqqhTJd6Q((dqMpu7|_*h(?EMY9d?I*I?p=KyWv9Mi`nmFJ( zQs;9*!ik3y;9M)%)l!RJz3g}D4$7_OmlyPMPWNtJ{Pg1Oi+3+>U%Y=l@QZ_+7lWT( z4Bo(Nu6hE@YDeT)i<82uW?# z{N&C2hb^*1aqB@Fp~g&pQl?8Eqd?%UE0q%}WZgTJufa^!D|Ac85kveU%T%3R9VvSV z&O9g(Z4!FOuBY4;zGuEHznF>>d`G_NqALcSVdYY~l|9$q4+zv;0mqdKq5U}1!r4(z zL9Jf*O_KyRZ677&wvuy`<#%*@4|&i6?T4Ps@0bCjAu@}gct9et?Q+lt`ljeo$-xYT za^|3!9qRvq%)tfFZ5sYE*i!@iQH#*agvCyp|H}P5In{rC=fq4R-u3u@7|zC^#=dS4mw<^>mA)-g@2{h_+(jJczL}yLEGV!>9p!Y!DY3D zpJ8mNk1qd|yl0^VDD3O!SvXxiN z&>fa7pd|*!?I`BD16tS{2@|(ycX7#}EV#u#nY)8-zYJE~KYuZRCyzJ_Xj1|%f-BEy zVjz75sVx4%-H+?d`I?};_sk~>m=NMRA|`}TATk&cfkR))>x&a4al^Vq(-iKs8@5>f z%)h|oN=-Hp%CZV7O^P;6qC23=Ct)ltp|P;q2ys>jMFgN;4JKKh@Cv2|H`<)AfV3zp z%a{Rg2hwqpt8-+jB_@@Y#K<#3s|it%afo_o6eLGTOO>PyI!(;#=g;2X-+jLQgtDr) zcX$8!;r7LD_x{uK0ZJ+J%TOrae|j<4LqeGF{pZiIk$dky-+kGo7n0%UZi|nvz_5F8 zi2fjO5`3>Pr)iHrHjDk%pPq##)_-CaB0@4P7y!@(PsvckmC`+cUh;g2mXw>dt7pSL zROeBW@!SzW%YYKB%DlYBV)Mkx_j*2M2B<5La;iLm%=zyK{1;zng+B+H zu#Qe-U=famkzOo(S;J%un)~*s-TOL4wkmN~;C1?!=YNsEpZ|LU;1!oMd9NWq?_QqM zGfPjrBs&Cuk1B-BKxUYss^bZ|bMl5wbdJXi;_PDahUpmYqbu2lx<1$}^A#D1>JCJ= zj{Y*_Gckwc5oz3v8wXdEStJ#yu7Q6ch%WAIF{%{q;$8}!fc^^aalzP`&xbkz2z-5V zY%`cII_%8hlVJx62%+I0Qv|R1KZqbS6GH+Z{s-Y=$0JMkZbsq*!Q-Sz*+f1lE|Da8 zLUOzst&BeLE>FxE404{Ce@DkJkIDmwIl8ruzepDnot}JsN?~7{@a_?L3RqI&HAlR0 ze>&Ko*qyA>aJOE)OnqriLG!aSIN@~g5o02oM*7U&_z?9rXyLzp}1ZlzH$a1EXZo^tvTGI zz?qBqoWFi4+XvO`0tXFL30I=L^6-xX95FK~aF&Gr!pFyJ$wApJ;bUMW+zS8cB46<> z+-w#=?hCjR)Du9^YzuZyD1S#Hf5!^3%I6R;>yxXCG!97$%*K>36Ne_ zUS4ex>I%X{*>O24yJ&>Ph!~eYylKSK0j$<3T795iH*zOoGMv9c9iV2SOK6=~c~&kf zQgaNXp^S8?ouM?{N}AK|v$p~`(Llk~<$akAsg27zny?41892{}eVSokGm z4nB!gku0HrE=*p45UyYMbKMygYVeFZ`ox%v?Y;Fpc+hy-ML6jaJP4I%c{au{G=(D7 zYHY`Y(ny#ydW>jRd>`~o(4^mKr@o6{HI2nx`Uuuqa2m=CB^k^R8mTLer1vk+(au>cR;1jagvx|T8+{7Y!lJ-LwFvSY<)1*g)zJ#^*5@hx zs`fQ878ssADj%cqa86eXpaB=>=jeP%oL!U8=3yNjPT~G@0%(wAp}hrjVjFozP)=;4 zP;V}zZOuUVJI(}JZphwY`&fxNfpX}~QM@)WQzo4uMsd7(qQn(gaV%YXbve2Iy z0*9a-ZNZfKf&v(P)EkTOM~m$+jtm)(H^YM_901N>O;y1KnM!c{RMl<;TFhx0?^z6Z`<~2==umiQh%Gy__*-^u}(L@%- z))YWOCuj4YN70$i5rW?B1SfX4`|W1;@xzw~vuxU7h{sP+K!$>NEy0FPFiKeit1 z$^`i~;S^vG@|=WX5Tw~Cag~{ZcrM@{-d$ZVF9$X*scEYIl11C5hMj@Kh;|TBaug&- z>-tO~g@U|5HC?c3!_hYX?oK8ouP1NCf7ZK|Q6ma8gsH_MtJg#Xru9!bm0s2xxfGu` z2hAC4==hj3)=9{z3`S8Xd`aNx!Zp`0%Gt8wyqLswVAzM}O)uMvE7mn_L$(D+t~;+o zMKD`@-|>XR?*M{CxXzk$UO84hcVuT4E3%#;6P-wcNXu4nbmAN|1Koe7)Xm0j!L#X;9qC(^5bb<;KfUdAZwT z7RGdHBbzUWbdhBBp(*11q_(JBdw7u0lAQugGW2M_M5HEZoobeE8?=;g#=^+(Nu#)> zA2N9i(ZxNQ;h9i12@#}BNE5Hks)(D%ZYoOyvzm(&s|XG^j9Zm~ll^8y4V57e9EHcz z07gKHLl%IR2GlbJMo4*#i2~RaXP-PAk|BZ4TJER{W5mG=62JsveUkDd$pso|F3|JA z4H~Zgg#X^AWQMO(PZSXlgbOK!<#`^8yjI$dqX;$!g71#XLm(&T5~(zb<%RIw>Vl=L z$MdtnF8-bb720uM88uLrpC+&|QW;ep;>q0J-oL&3Rc#5D@66Pe`1$jPyB&IXgwiG@ zrlWHt_JH!GkTYbdSNi*;6XhjY=>VWXw3lg~ot@*9!LN`dAnom03L=sbKiwfg`oqIF zi2S8HfrKBaW#oZhylUu4$i--dG3Y!!;t0`cfX;dvUVF^;;#+v1UlkG+*}`~oSP!BK zSj(BH4Y5Xz2wUtwpEE4U36W;986UIDE(dC#QJO^AE==WPIB~#fAo9#sk_58cS3`lpIt~4*GoZ>4}%-KX-%rYg5f*8KA<+Fl#rSO_5vu@qq&q&RR1KsN$_q_E0EaQ z`t{WSS|Qj4GQ#BQ#r)-3=!6gyzU52<;R!uY-oEC8`>uk8wyoz;nK5nT^B-hX~G;3$(Mf+#y8FJ zr&7$`VkgU28=(UjTs~p(a(+RG?c;^CEd}}|B*^Ri;Tb9h`bl!bGEs03ju7zKd1 z1T#eII&`jceQDlCPzxl9S;R5Bm>-1f1OAStljckUOdT&Mdh=qqKNuYd%MiPru2;V5 z45qMhi_Ycr6|+HkL6mUceMN8|H$ryCw|`|#H!j3DBa9>uJ4Zb*y_UaKIz2=_Ldiy0 zL7W#&2bm)jb9@Sz!>hx+&kvG##vgc)Pq)8+hm`l*PY>S#Mi#m4zuxYC`igX3)3Ehj z)Z0p$aMe9)tjPgifXe4&34&`qQ=J;O5%15{J*;-hF!i;b(sbib5!Fk_Q8O z>o4;Ace~w}_vq4*|J@XSxA+?$`Q{t>A*oF1Z%<$NC&QjE1~!rR`6vFY|Nno>09V+021=Z+CrYUEbNDvhjK<=%y6KL z4FW~NY~XOQVhyOMut+Uap+wLQnFDQ56ws-u0?;S_ z4PR~7um$$pob}Bb!)WWhwA$7KrkSS&mmRodwO(8i=7H^#12LfXIQ-s@GIWuq%g&o& zouA8f=Vz2^U53tR&H4?m zrkZFED$!Hcm-3X3>M`PuVneZ?LLVqzafi{E5q3C?P{1MqRlaKccC~cve9|QtNHb=E z4rc;K6yHpiE6W7WL(1YX;Bz#FaXKFY%+!ti%zc5RE7IZt45b6laMpusYU+Fg{DW;> zO-0WJ#d@(xx)fB}WCi&}r_10cD6UsL1LX{EXd;z0KK^GYve4;Pr-eQuRe@#JLmr2J$8< zk*`U_rpjWUlc}=}wa;l;jAv=*8d()o=olLuYRzQ>zZ|@kmaGMEFxZ&Zf;2e{npvb~ zkVPkD#lxA1ZUYVVFt_+faVXrY#7znPdAi-tC59ZG7TL=+V}H3f$Wwyl!(?Pnvy_Bt z4@04-0~T}lvD(>~5D{TFluw}J7~egn$_4(y5hXI3j%AUl=F}*K6{NyLtFZ&g#bEek z=}z1oAhqyp!QXF+q=`Nm)$df-tv+LRPWAZGhyrE_!y7rSOa3{zm#Mk<4&w;&H2&7| z1m&1MLvpy>+aksrESTIlete?dEON-W!mh&)r&2t5{%P+cl3VF+0sV{Zul?i3wdT*^Bo?hO}su7znDm}o_Df;~C9 zV*;uadj^g{m4%r*wqt?JVx+TO4(XJqNKK2vl1(p%^lOw9Ho%{qUtS^M+fZ*9-3j8p z35p9ybG|}Gffl<(VB!c&-9LN_1(U1ItX_ehUC+)S!h>FGr+xq)>f~;vVX2O-Bs6^_MPeo? z5^g$Z7%o}8BDh{}PYKnLo_d}(M8`&}R!7pD?G_3Bk3)X0)@CojKu)PM$wIlvA* zyIP(czgnZ?0O29e4-rSBloQU+Z)DA`#aONRTxVEhpi2PCSXfTPTo;`aMTZL_(FvrC zoafxf8+gT2eK<%wv721Z6EpUd-%*a9riq8RW0@GlH_8X~z~XuYl#-^3Z9qnECiU{_ ziRZFp8hAb)yh=nLBMn( z`|>n;Ms*hLGE~(4t=}og(WeXh{)S4D#{y4smnRGR{sy2`x)xP8ikM<3xY2AQ(&MIP){T!F1l&K+@CzjDaJi+4daO?)@rJ-&qGc4?=|q7U2BB!n8vrAwg#(wBU8`e&w4oM;nh>%H`P6_6 zqPr-6?C!Wcw=&Fe;5rqdivm8jX?A^{ez-)P*L4gP5{ii7+^{~VCm`b-dg6rZF>6D& z%XSNiWvGeYeYpGSi{vw+*bS;YwCGa;{%~Va4^A+bv@(n53%5g*;^jbXe6*WuP^g7q z@->*EY8!5w*Af9)qJY9Wc0OgF|QmZbzURM{>Ps&?Ax5Ooe?Jn2Akz#lPM384c z$OvKF$sR9&CxA8_FJHrN?~%ZmOP7qwe)1DUsY>9*rszZD;Uf%ksn*@^T3dXz~_Y$|wAsVeOc0V4!q;FhOU9S4WkZ9o z%sT)bQ_Oa3wQvqpKj@j=H_}*xGkx0} zswjVANdQh}Bxv=5CYfaUJR$A!L6u^WXL`Ot8Tw#x3WjWd*M?$M~a4;3D0z8}ZgO<|?8iCI+a3m~D>M7J-3WiDQlN?T5 zt%kIMdo3J8H8(Ue38xD3c;u)t{#RDv9G;`M5MG^iHvaNB2I5RIfUrc1>6xLg3|-;n z1WHn0{1AgL*;=7L|3qdSJTU`Wz>_ncx(DKzR3uU{2pNIKl63y2sy{$$BtlH|x%jIG zku3fKgYJv$;jghrg>#TLVzoFB9~fG~2)2hG1J z=c(E2PMTA`X@Vg+5&yk=3bpd2kMvaU)T0c@9>BdI-{P7GrF|oQqN?M1tc2_)!7GT= zhBxrF3NtA}xO4ms2~0Lq8}aX|jAsWp=u&;J>7twoiWKQ?E|dA-0P>VNhROWm@@hjI zjHNikdWZbkDih?{+%_}E0~ZBJy|gp)Q&uo(M@xEy7sFbq4>+m1_hfWo9IDz zg+ht3cbdG4>wkhbkkqXRTR%RBTbV)#o+WWsPRZ5qKdG01{{p=WB|t6EdXfvN`rmem zql835{fRH4GG|4%S-B%?=~yAT_EJtdZ!9{!JX%AgTc55sOf>#MPiD&7BKjdRBM$4_ zEuW*ikeP7X)6Easj@>NppojvnpTYJr4=kG_Fn#;T=#365hcU?SVCvL%ute39>V!E>SY#c}045?CHspM?RkJ?nFJX zh6NrTH2O}Pr8IvFByB873TBv)Wxg#BJ0Uym-a z)dDgMw6wmyfP-`ITjVWjVAq4)0TblrpLw_4(um_i!%+-mJ(rMs>QbP%o?E`WH#g-6aGwOPeHXS1i7aGO){Pfl ztZM?^qc7j>9}n=k@6amx)9&4eFYMMQ*nB_Ty#3ET@1BjR(r5g|K*c9ha5XL?p8VDN za=pBK{;cB4Uu;*Lf=cBz6PQ;6)4Q4}md>mz3C50RClZhn1BilJb0Bm7ujNQ;)H@_e zx`o>D3k3^R%48UC%|fS=eje?G@Xe2ck@C@GLWO4g?j>t)8t1%ekDx>&Fm^G-={V6a zm#Eg6iexB{DB3m+i9uyi&HEs0+ND6&$VtSz*t-_Qqsks`Dd|iKBg#^HYs_b;7TU8J z;(E9H{Pq5}9TdeE1o!&%ISkLCylI~m3b%47!I@L(Q@o#kq$ zU-cv1`Gg*5?O!Azso1~B+<9J`^P0YQFsFk=lxngge7y;-NEEcmXl38)^Y}av)`ENd zBe;N}%CQ;s-~iR2%Mnrs`LDo;^A|WWgB~GC2F6s9^h*0GjRvS@Ed~|hEM!4YJ%UB` z$Q)n8zPrg%l0xK6Fru+Wb0W2CLuKn^pe9taZ=fZ^1E~yVy3mL%6#AWkBYsq(hx1`5 z&J<^0D8AOG4`=f78bT@Xx9qvoIm+dF%|RSq_=EB`L}C#x84X1S3E1F0Fw_`-ynRRK z8LuT5fHi12>m)zMEfCaL3v<=PCDY~FKApdPTIFw{@hhVXp>X4SV%BZ0(buTT7UQt& zA0$XvnxI+@fvO0SM3Sn3{KcF$DM7)W0fl(JSq%;jQfHEYqX|B-&<+rFS5XGarTCNqVVj}l8 zVr~^3>u}o)#^Vz?k42#vB>=WL1o(VCRb@(?N>t*0Odh+rb!(HOMCHvDCoXUaV*# z?hf6vL?t20BTh2Fz2q2G$Mpy$Ul520by>-WduHgzlgtp<6wKE=2AVxkvk|bn*bXdm z#juA)CHbRb?vE5+vyfE*Ihh$kJnPIpP!lfPBQ4lgJdy9O<}3I@-@G{oaSM8kpVe_E zi!zMFOv*lstR2H56Pc^{^>e%<7$ywBt2I)>Br4(_Qsvi7iMd#SomDUU5vUAOfm5d0 zOqp^)hmJPH68GiByqN@O1{V06cYdO|W=bcSjzQ-*k6LxeAEY)x4vf{ZpjU%sqKR%H zmSE)W`k)jEaK)LeGfXe$wh8)<%bUpuM0kQzy}Z5#@_1i(h7+2}$z!n`4)}2_b}jp{ww~nK_HA~qo4Tq|3o(RaF}=NPBMIt;A%~nM=_#I!>M=} zEm{_58X2R~oh;{Ydup1k{RU?;5!Mt`uW!_sspk%|R?$b(c5pA8A^eGwe0$D}JD;J< z-EY@rP(LLO#S)Gs1oV*a+GkVz-dP zjK7>7Z_jDZ>lJA6a{kU&8+x@$uv4`g73|c1kF=r6dT{c{Izq&%Eta%dHG-&|(iIMX zwtVS1qCUa*xM!N|u!u&sbcdXG7bds_n*to2F5z0n%D@b5(5ZlGd{73XmW&>;QV9mk z^&nE=U`cq9F{`0D<^X*ehT)z|X-q7+LMhNC#4ZK=IB@D?BA2*oZ*Uadad|^kIBKXe zvOZ!cRU`?+1~o|%!U>SZJ(9%r5p5NjuGDVFdfEHk1KK^lN1wWP1Go*m?MiGKOy}?u zu3>1p0^*UEr%2&D98SJ6XyvIQp=X*bke$Yv$JQhremH=WiG*WmvgQvc_D0W+1w)Af z=aF`vT%{O`QRF-@?5VR?`hem0+g+n|N?uHml#Im*%KxZt(XWhzpalOKSuW1hEMZ)% zesNPrrq~(s!&3XKER*#&8hd{u?`<^ROL`k207Wj5r1hwe32mCZac=A#zq$4_4hfZq zv|t{zU@zmS&{)i_9u?j(&>rI7I)JGMCgTh;oz<8N*qqmZ9-x%s}--#w4o(Sq@sk% z!xhUVB$Jbdf0f(bbjR^PxzTyJeRI4@SXX7N!U<}#bfxS#jokJKX7 z3RNq+-T4I!PNwAX4dc2u!CYt#f`g#Ru9j<`4nBWocvv7E6nwHx1%3)q*1HoRz1W@F(1z|$=54&8+lPX zdP))_KKE71DK2KnBkgV;ZvXi35RMO%>(>kKzs2`3;z3)x*U<2xx*%_nr!t|&tV{?E zAu5h>=~$jDi?BVp9qtBGk!pyk9hs>%Hde!|d_h`ffWoxmu=}XN48IQxRZUI-B7;=? zqPE%2p1u2khPv!N8p5pNprjEO=b@IH;l4M5$zppS&SN>GCy})8r|RLGbcW-ZuXwKF!2@WsBPFRuuE1o&DA2?B}`K{?u=Co>s}evwG2-R zR~{B~3nx!}$n;wAe94gMLeMp^JW%MDH0ty>J@r&WIz#EX?@XY(m6Xo`0p3RyMDjM} zkiJSZ{4^Uf$#>N7Tq1%?OREu2UvhBx6>4fMkC#iNZedk|$j=A#+s!*X)K%QF9}R*9 zE;Fi9kO^`^n2Sq7#hW~_Y+_XIu38G)_aAOQzI)*!cW>`2at5G7KcHWqis8QuWD4t2 z$ikiv{`%MCim^gZdvJlR3>o72o80#2Axr9#TY~Fm3#?{LC415k7X5^cr<{;w+ zs8~ykWs@e7Xc^h;aBY!F>>C*{W0R`H!CuAVI=e7SxO@Uy%(KR|K-pBTf7c!_0zfnb zJC|goz#xhNAw53<{0d~Svcd41H|sU>9@HV<%s~szo`n<>q~1_MfNR|`|Jv%O<;&>~ zylPw6D!L|_&{#-+k+3kS+>lIHajm@lczbgX_xoqIh~f`-%8QTQ|2DTg{LkbYwqeq; zXh>-j*l=Z336PqBC$YypW`T`7vWmHIN~G70oYj4i)gelX88OS;+f3=bUmpWKMX;}v z8T#eEMS_8N^ahF8)|wFARU@pkc>z?CbVqzMD&w*hojefQ-tVs{dmsq z#1D}URFvuRvvNl@7i_I!v!ig|WS!z@<>vKye94d>9*Wl(9KE9YXFkg|dK_AK97qpt zxh{{-UZ+APyKYN@b4?Z|gph{EA{zo*VLo{f>qFEsl5;`piE=%=SP1rXEHSW%3@T|Z zufS(#EO$BC9u2j38oH>BVIG}>5vl+*P@&tl;fN%hs{I) z59v0h6Lp6Crj-eDPtcY)Y(goIx^gfEzqRlab~Xjtk^hhZ*ScGg)sUTNk#Tf-x&!1eEZNKXa8`7Mra3IX?Y&EL=I`GSQ3}jSJj66YrCJd_XnHuM2!>x z185S^FCeI+OPQJG0PY896`s*a5Avpx6;#epvZW(kimsPS0Iq5}y5efde&du&Zh2vT zF;S1|pAXA(!yL4DU^DHD6gJbRLxq@=u>)%gKtT%beRAR!NOIOYQ}pG`m6%p1d8`7- zMEtyO&yqXGesOsdvT)hAtn(Rp^CB`f)yP<L&+{OnDyD{9?q*JnDWyrf%>mO|I z=d@Vk!D>T~iQs7R5d8S*9`@}R6{)C+Iln-HGn_Bm)4^|dA8#H$e7qg(eS=1{-y|Uo zcrdQeAzU|g0_owZlbJ#XGd>y73yxO^1v@0w;cDqD$p}Gnivcd1_gKiOC7qYSw84T) z442&p7AZp`D<~X7!*L--sHP(e>GjMK<|9%>96C7kTSVf7>&131$S6f3lHXA+Sn785 zX>v;u_W*dX=*e;sj!kEza%{SD^w{W*k3!Y3)b=B@hazYNhxY1N3!YIMAzVxXEQiX; zW-4fL%uRt8EH<^NOes*W7MYScxO^1C%6SUUr0a3zWh1*-RZRv966ol{YUgr9KSIkI zG7J0$iPNU^ScuagxfR-jDc@Z9>Ks{jU7^@#8gWE*PWt{6Y_X1MA%a8-IQ{lM6X#p6VyFSk;uAi6-}hn8K$c??nPh}MNDwqWQ(HAl6=8Y<(4wJSXF9%>8iUWb9@ zD3SC`62f=-PUIuijJ!ET|0LbtOh@t)Z_-N2EyVRAq$fa}hT~jp8|!}2vzXh)c&qG> zs@T3Rp&`8IinB+&SasmDRX&A$Qqf`b4ml5#*aVD1IbPy%!awIbV5xU5xe{6q$P$_t z*}MWvHhaQ2u?)(kvAxOcXl#0WmJ>^es$YRAA1L&81pnJ_k@Gx8b}2 zXrn5*+%uPoh-x86fY%W&CT>=8u7lqp1Dy%zC6Wr%s2yV69|b`X8bxxlgal}cMH1jw zMXAX#$i^;KZ_u0^tT!j)^$s>`=Te>IMNbgC7msE_uCI>+$$-+cfeNW~rf{999e=t7 zlxk@12j3*9CP}>1{37O)(Es_!pg(zSitH&DH6&cAP9f%PBF6frC{gHQEk;dJUgQ#a z1Sur~VmM^QicCeEhMRNwkI%Qyu#Gdwlxt!E`|oVBF0z0k2lR*bE98EWY|KtfSYk3J zUpE^KX00VU4X0`P!<10fsaU?e_FT#z*PC-QcUYYfUK-COryy+$z=Pn|^&|xKtUIF1 zPbBwc>N_neq5 zHmJ{jbq1b+|91D`9l}Z=QL9q|qe-FqD6Eph?!u}^h>H}5C+Ts-N$2~f&B8EQ zpQ2}lFc{~g2E@6 zD7F8<5ty+nR^Pi5XaLdchR>fWTu37h&tF`xJbyVupPvVl+JnVz%~`KLDjrn0cm=!NU6*Ek_0E&K?3n(I zL@MMQ1Ar1KIiiux%ZNW?fX>)kt9v%f9k+oaOT2l=lcyRMB;`y#0~B9e60a9T2*No$ zI`d{mkqz5x{rdc5etCSd-g^L}Mr>Ic^6>ZP;MEK;qdAX6nB8?l&HyV5`+LtQ2N}Wt zKeS9$o1)nX1s5mznx#0qH4)(EuQvdzrLA@xD%Md1|HrSlKi|yO6FE$e%|Tt()00Lm zCqZn{9l&%B{u78cyILXHd|U|@OM!4Ym-o#n4o&z|`;fcAg3wNm{+ACJBVLXcS^$cT z1C5`f8R%vJ%S&v#mlwz9QZAr;a1KC3*4{0bu#*VdoB$_k?ZiYp!~n1~o-ppffRQDj z0MD(_OnDyf2bPe#8`ft?n@&z%!M|l#-zUnxCQAi}G_`89rW`0`Uooy5r{}+jRKubg z4w>;34KSc?2HU@u#|wZ~SYUGa7ES2YB9)#JfRABOlq~?%8409}IaMz^>*x;3k!Kv* zv_+HFLuL&|Z$aC5B3oeC?sOgg38(82PFFL|cz+fss%HvnbK$L=bO4OzNPvWLzk;sB zj_=8aqCv$W01MF>j~oY-xc&X+Gcpdpj#`GpVMAP`V65E3|3HYG#)t7>{@Lo{9BL?H_Nwr~RVb024xG5Su=vf^ z_9s6X3(%R_tjTepf_D))U*Ckvdi74#mBVu3e4?$3xSI$BDKMex-GJ`sKy~>;>LO6U zqoU>4-7j}v??ts>NH~Q|=AZn3%G*56nCgW7zdi^5F2EQ`!|?lZZ)2_xj=%NcJTUv< z08hbBW9`+PJcX_qbHCEdy1ya~DFVc3S-zqWfT4>EkhYW=QiT*GG~QJaUBjI;QAExd z!e(F-a&-Y5k)VWj#*{vGXX`iYnu)OT`4TPIH5Z9|8I^0a zK7)7AQjkC)&1^UVPjFr=q{3K%;p+;m1oLOLqr~S8v1OQw7HNZaB7}|$NOC_rgTLu? zyI>*;rv=K>`O%M38`GEY&8QWBA{$XPbOsX1!o=keo_PZ-4W2#1A)9kd(2!L^X|@{K z7e3Ip8pCeXfo=xNfmO8SJP@t%==B){b_nejeS)Mn!gJ|vgGce27nLe5TrL1?BvtW4 z)+Z#SEiO)V)JQ#&E&vq}afzUTSc3-&i*dm^+rR?A^n;15^7-`Q98GW7qPT049?0sU zNYuKypov2>G|a;h^nJ(;y$M1oFJZT35dxtl$uyMb0wzWSPz?PNp#7*TK|%NBYZ8~m z@F2W7?&<&n>BT}_OFxjL4q-)&lU{BFx-g!YxIV=kB|3TJDTp48MC@46YruXiFyxYZs%oXkNgmEro_Bf5u+|%Q3sJK1=wHyvjZr z9quFZW%C-q&`K!S544iA=pk(rIZ)oO7*{A|Mu?-;2A-Sd8nm@c zbdapLDX$KQDy^5Z}>_ZbZQ9a`;v_y`ob-P@1<PR?GYS|fXflE%_7=(PPbact@nR-B2?aV{ya4i2e-mC=UD+sz z>8?f?veR!z3xWTjf%t)9G60D-*Cf+XK7{UB;-1fnZBX~oanY9(+&FZ~?#NI%6egH; zjYW=oNl{`ZwjH&_%|yUnxgk$pQ|o=zGUZhKG%mZMI1>_^I^-YPAHvE z2!W&qzHWtn`RJLVhMCVk1-rA5?;H^tkJ=#b(dkek;X-e71ldt&tT`k_sIZ(R&tg6$ zsHs&odfy?tb2WPZz}0B+UB(C?T}o&O!uT{Qjw#dZhdok6;R{(s`8;_jiX2b2Trt@a zc8qDJcqi9BrJkrTKQT(T=n@W|3{ZO75CfXq;SRfydI0PtU9LptRwl`V?RMXX=50{p z-hcXHR;Y6IlwA2;T3$pD4P?IIaN-aJikKsDZ+#(`V|x)XMl7eAA3(lSQh!sttH5HF z&>V=Xz=LS|x!jJa+ia|A3s|=J5u29(WMK>A5Mt+NGb+cce=gUoVD%LnTs0rdkD|2= zn4{n?G&#-wY+O2;fJ`)-c(80ZRFcBRLp!8E7QO}1!sG_qS-9v(Zj}kLtF&3N6W?eT zrr;rDtY7;y_W2xOCP*}*=8tH!g$^H}>ah@OmM|}hHEb{hR_9kb)%skfCa-8Szl*US+0U-*3}2& zm+}lD>71o|;R|DqP&z8#1><P+F6b#uO1(nnw=HCUUK zo&Z-PA-jBq^?o8AcvY7c3gg|~$KAvI*V~;yxbJT6Zv*%Yl)alTNdNlv7JB9T)V3+=@xW1=jry_dCSx1p5*+1C~(Z6L(X-v{g^!>p7`3nlW5qLjF zyAInYyhY;T4K3eiHcr>6@W6RHN|GmAaDw=fV{`tA0uBF3?Nw(D8+7>TYCUQJ2D(0% zL}#oy`4LD$I3|9I7ew{r_^?rDZ31Xo8QN&}BX~jI?qd!!9$ujz%x<#;Qtt`6FE;Ka zQO_4GcqV>N#wbc!4Bu$P0Y`eIzXs+7WU!aJ*Xs?zo>0cr4&}rJ?yqeRwL${~SGg{$ zU5Etzl$>m_h|;)d;KHkxrjf;(h8eqV!{gDpCyTm7YMtuOF(+A4ODuS_-XB8iNOnm+ zC8e_@aXlG3oEU7By)N@>4-O87fB}nw_f!($c1K%DisQ%Nv*H@@RQ1InPyh-KQ`y&L zOtMKfebxu;+032>_Qag%`&2eb`bvzwoQDbp$_^w<%hsx4pv_)63xK?VUoyR8YX5LO zVK8ut@;xq!9}1XnG~mPOF=r7yZR2K+Ww4~FqCJ4A)iA+Q+5$LO?Jk&A%&FnyQK3j#iws0=beO+){SOM;v4~LuEzS{{eS%mu$9ybK}cz} zkE@pORtCitZToyj3V4Eah5G>vqOT=u^JMDE|Hs(7aJO+}X@dGGXzw|5s4m)z#G6xF zJqv)K$P!-$KuNASvpBRw*__g=Ny?VHr~mtY-+ja@BS}}cMKU9h$c(sg-}k%OwOm_C zhderr7KG=>XS!C58k+OW%Hn^N)NNzmM@c};&O182m;)&aShYL+2hDNU1BQzHh8{0l z^bEx(PUZk*qQYzqbAn*0n|uN|bj|*4UJW zJ+v>ue%wZqzxARs=6pz4g5H?FZ7U6+r%&v zc>Qy)YbV=rz%jV>T`klNr@vX47TY(h5gt$9?|%a(DarIkaiIM_Ge^dGqPr?WbSZIRp4+>mNT!lIe5%$n(GIRG@ud z;)8d$4}a9A?f1g1?E|Y%Y`f8U*GHrw-aC?oDT~B)z<;0%>NA7Ev^PN0=*=JZ+uOJQ z6k`E;FT79y`!MH$kR9;bA@7uBOAy)pb4o2(F|c8{caG=yM*aE1k`Hld6=h}4d_!C; zVnXJnP9XrqSW3dFP17}vn}aF^<)z^81hQNDxF8?Zh~L1{_Gbm~^Xe(^=+)EU(W~Z3 z@aR>e;L$8l0T9DGaO>-bhr8RKzdqdTc6-cdlj}*#jAc>609LE2E74oq5jYJOK{rbF zh+AV>imFyX`6C1vHYjdn*Cao~^{H}%!_iS1V2>?XT-4qr{bvdz1jYaOA34wN#rYM% z+IFX4ExpVigzP`QeqKWk2pYT))cthKAJavCGDDuRy;52*fQS~$aA&wl?F8hlJD_a0K0~CTZ82RllutK>b+4KgaDu!qoZQ<)06q0X zcyZ^Bjt-78i>}G+ZkQU9!{B;WF{(5XQveX?03uLR=K0AXwsNANi4B&~Q`l}Y>}i^z zeh1#xIWq5Z9eiGXF#5|Bv6!io@OCJNrC#JFrss)JdIbB%%qfaeg-A;I9X(fANCwSY z6l=6@-$O2lef5f_S6FirB0Hh}veuPL+aAyz4iv>K>GMUz#w`aPqelCz+!1~rv-Pb;D1s8I zbz2(w0~+~U_X>)*bONxoXW2fNz!V7LAZj!WBDC(zJ~>B9ixLR&plr(vDUD2!y{-}8 zzRI}l;M*@>cMqR;Ki?oX6}^S%h?CzSDJ6f0MaX+^KV0A6@3XE|nzP;9eFEFM`}+0) zDh03Fj~$`b`1fCX@`;|_Vk_5x3-#ZuHrA&FM~#(MlWT_)2xtb=0uVJZlz&dZDhr{@ zdYdoC6|J+!+QA4pafVWjb5*Icvvl6T9BYFW>ZwlSvYM|0RbP|@_JfZtX+$_O{Yiiy znh*~Um0%GMLOJ>FJ(u!503!C@3(6LMeE)B_eErKk%yqaQkS+7P_dk05-hcNUxPJTZ zUjar56XNaHyZhVUZuaa`vUxc)GK1XGk=&i7bgz&+Eih5)P*kDFJ^ZbYm}cgFG@{G_ zjhKf(4vlJOxW(03>Yu8P>xPJ%7BQRPrXBZ2aMKzQL>JWpB;bxM)JIYe3X*aA2Hu3Q zi|wJx9#$sl4vN!~YXrivay*mvGD3Sq|8~C?ixSP5g)D7JUIMxgGG1ZTo*^H4fnS9L z0wc4)|Eo2O_ngJW5nY^0RTF3_^5iX1vJ&N}7}1+p4zhOjM*jLCN*|yjK99NW4iCQu z`0w^`k=j1K>*W-^H5sPvRFh=VR*(hEIp++v%bBVcWXWeG+tF4pK#7eZQLYFX8NIB7 zzNf2}uJu9xY1caDL{C2w3SWtiEuMbm*4`0g@>qV{O!DIby@R?#b`G*U1C4e~s8DL> zRHfI*ErDLC`HO*>HuDw`g1g=43F7UdY=LPdSj`}GoR~-#JxMEM7#EwpiYaQkHQ{>Y z9m*MY)GSR=6LO(Y95Yx2V;|10bEL{6_@p27oZZS47j%`0{1OrA=G)Q?J`z^|$d~{- z2Qr*e@)#a2l#0Z))7D8`UfNppf6fp8z9XQ#NoKmuQn7w^sS3|P!*Ck$+~UA(5R9ji z1|U%>2k7l?KeCx6Ew#W_1EKJwxpNdHCTcy4FjVHcImIO(FH4OY9Pgd=N@5pE)zZ#WkWr0UIq+hFh5njmMjL_-%KitvMWd=et^GM6G>vl1C3##U z>kSIR5rAuA0B&FbI9nhO*9|pX2QYF4d`WYxgKS~r*uV;~wyGwF=xwtU$pgC#!9Ty+ z937?!%p(u9fuHgGhxCVXpfG6q#kl7GD$vg21gJImHE=d6wE0klA5XPD_+=iJz)uLdL}IoFl{(T`({8g? zqkEP^#<9%69hbW0@+m)092U~BGfdS%4h8kuY8TORhJKuDqMRKCn#haiRnY?Q>UcA0 zx50nG2%-{1Ds7EccA`E{H-lp#zW^n4rmd8h^(meHr$J`WfU}b@O(I&&COWY`+VJ+b>zMV9&wz+zU|^{AQ*6)u(uSi!1R2|&oBk>C`&SX}fo)FV{l+QXIC zi)Sl_qatC+t)jUWJ#tz@&S9Lrr4xjZBrB0(a(ULjJiXfVn0S)BX+KfIDkYx%nfT(l zf0|Qwd2zZhBOQPh%o&^anOB9A=rDw`ppC-@Q)=aSz59jZ7R`WL2`Dx!s9pfYNwxe$U0N@O|1-?ZaS$zfXeRgfH+K(QO962Z2$vpI zC$bOEM-G&w=O4mCNz%*=R2;E3F!5@D5vabkU3VIBqL0aVMal-nEx(gc<@0C1+(@@? zAcXR_J@oay{ba5rB=LsyC`sa_%Rtu^FNKH!?9-D=2n2fjd$X~`@vk7)2C(jfWe_aG z^_%lKDsp4RS?3%*FgC1S7^#j3{0aR})S7{K0P^kScD*C25TSF3pYfl7&%sYPuH<#- z2J{QN`}hB||G&`1HBR{a^`V^6*mt~ejt1fOsQ|YWfQ+9 zn=+dHfX=cv@9-d)g`^33MZmXKhdXqR^(U);q-lNR$!{67J~DXZTRwjM@Q^>)+12Ux z(Fc={WDh1EdF;XDBiVz=M;?2y>v!*x)q+BWCcEY<7&fUigcStrDN?<8dM;sGvgOj^ zr!6Eqi4|+C8A*@M>NrcCceNA66i5*QI3Xwp?Ds&e;S8D?eR1@NhZ6o`Lp+NoBufu` z6fRFQ2w85?4z6|I&z_yJ;)7xD0H9tDBo8fg?+Sj#n1$U(7B(cOyb8?;Xg0~IE=Ke$ zYbc=XRNziviYd`|kV4iQj%-)^WB98DEgztlr>Qdqe8|!s6KBebsM=6|P62lSMu5OV zk$WJW=WpI&1io^22DYLt@!n}3iKJ9se!hEnargP_m)!It7B7vocFfCl>BI{VSQwxP zDHftG9B4AXT-n890_9n-MuV_`y3D0lF%B0uf_hybQXFk&^T#leYA8Iw@!3h;?m*m%6;ZRpcS6$p+4NC%6fR8|U0SN^g=saJm zI^^0=b%JOv?T0_PjH!av(FzUK=P4;U*@k??_);hgi>cEgYLb}I{p4a{rZ&l#dU3K+ z8>Zgk=4o@r4KS%smK&t;t(IGc%TLZRhu+M=Rq`NFEsQ2}qAQMz82KU{!-Ig66*Wib z1B`D%IZ)S-?^0!g?2#|hyg#)bRH?@+xt1?cIz1-2Oj`yM9hJ?ro~<(t**bD6QJS}X zaoXvgN-ATvD`a)DCI~Df@S=|K3PE+vRFW#l4gRt>>Yzdqe!>T(&Q3#C$kmHBV`wed zimm(!KqlZZIk)c${X7z4_rm~aRfkRhOsgAv9iu5PL5(BotiVs`7@V4O2$+egiFjgh za1KSdgTYeT$J9*f=A}?z{~PZIN$n_5QBVUFO3S4ndC}jO&ww9}5-hR%_GKsQ)@OvM zLn13skWNm~k8j5S?B(}NVaLgq_uY75tXqD zf2hq;-Vh-5u&C(Jh5xX)h^ECQ%OQc@w?Js@@YbyMH7AZydF}p;uYrl6BXV zVA-XJ7t5G{`5Q7OkUyDWK#EXT$0`j9#^L=9m5u~NIGl0wBi5$q*>Z4Pt;444Wiy4( zTYvuDye_zO9)JBY{`&Vt{QW%n{l|sKH+A3}hLu&x3r~a(8(=yWC^QRTK%=Jr%$@{4 z{YmhvKM8*IC*cJDcFstCmnZ3{Rl}iTB&1fxrkQGxlC=r4a=xSqf403}(ySo&w8*qw zo-v;U=@de3yNS~q>e$*@WJ1Tpa>4)9@ll&3&%rM;>=3-jA4UFK=Oq-1U?3ezjdH7bF7qb*qUzdXS zBa?AVLgV4JZG`j<8iE-f!{DX@anDiT=Y|L4mYzhy%%L?BVK0I(M&Y@Y`H;H!&B*gY zAP*5lsZoFB_9+9=iL0MBFCfpqsIMRDf3WTaTIzCp@iGCos0~*5V|5w{B1W$OOX~&} zg_3d;Lzlg>rUa1IDv)J>(O)s)4ilvH8-g* z-h+SBGqJOX&6=rHe&!MnZjb^xK^}t?p~RAH8T-l`-B33|!WxVheN>CXz^h5cK;IFD zsnJjqngACx)XZS@f;EJP0Pn!>4eI-8i6r=el6QBs(dz~!yci4^)0#?4_0eg7mjXWD z5`9}QVj>G8t!#@EMk++oo}&~Z#jF5s$=qP{g6%#`M%PjRt{$lzdMj^lfS2+kcFY%) z#3R-;B5{C<4gEmiY0(eFYJ8HdYt@k4?x`lWnCr-oO^Je=q8Y~z-MiCJZ*og~Q%L21!SaqOH8sg69<#5GiC1J*IpDhlVTTvO@y z*$*t0JW}KFYR#n2EIVC+wh(@{?lKN@iG)hXJD^;Ou90+{BkNKP+Y)cnpm-7yN0;Cv zBJKpuGgf>~NIDwqoe;`T+v_mb!L@0Cpq>V{z^=FVegB8?@ml|dK(`V;P4vO0oGHug zUkRT!WJ$NUp~T2(!O zWhF-q4jai>U{tv2fxY|WPKOKV9-_N{fJR?*l+$*Asi^U6{nr_m#s^L9?3gR7p3wjC zV)gCme zF%&0pnmj322L%WabKB#I{L8cQ@$p&;!(!DUI zUM3~s`D~;T*a{>@qGX!aIYossGC_u7)E=0I7PTk3VT{`IFGTHkCl^Nxs722%r6Doc z=Edn1T`pp0#Xo_v4*8ZxsF~I&Ahyaww0#^}%kZG?z5DzXCA`m@AEpP>$-xlNzO%_- z*o>z)e;M{?rz%4n%^Q?>dAeeM1ZTuF%}k*@sHSHgbSRVuL7_}|P~#rb(reg&S-W1^ zNjwcw{BRyb5|1eKfxT?6jtXEs$IZbpLsa4rY!0B^@ei>htdB1BC?FFdiwMp?yc|+8 zM}}=gtO)%ToaqHl#tF!HJU#$3;Ic4ps1RGh<5FC&D2n9_9=}q6iztV&6LI*cs6+uD z#w+*lnyKI8strvpS1C_ldS0JQ74t*>R0T$5VlXQ7whtXdFOZU4odq(=%$woNr8_V_VYpsh(#SyfJ*+M(GSO$m zmq-y+m`4PECr^~4>%tO{q;`6WrEfXlXFwp$Xb77F73fP8I-q!UA*J_F%6t4>3R$Bu z9oBfgzF5oMJIf5y)a{332ewbtcO}u9=go@@bNV2xpIZ6Oo}VdN}>EQjRR@bbvTSqhVdI*_Gzr+>zM8IB8cX z5FN6lW>$s8)}UbzTOwc#29dIqK&00vhUu)Yr<^vLXF0^S*>L0kxHdi-=Cm*@R}`&0SU>=N-~HQiYM#obl94s zw}=vZ(C?DigZ|SJ8*}oV-7dvOW9^Gak}kFk-7!Od)(4E46#Hk#LB$o<-6IHeBl#N` zriYm9xu(}KL*KCCG}fkwO!VWRIgYg#9Hdbo#rB}y(OH}TsM1@yUNH^j1wbx^LyHo^ z?a0QQ7+RkeVo;T4kmaCD_X0I*!YvKl)VPhg>9Ske47saYE;CBPHrrSBi)L8U>9Yl5 z)sTYBmeIu8-sW}u11FsYrAV{`1kL@;o>Z6icKG|@`VP5^l~MObsBs<0uL8XliXXW1 zD39POLrSys@u?eJ?%@2P|CJKN3Gn?G76d)l|OOyl8&Jg zWStpcDUP%jLvntQ)_Q>uHlRN=4?d6Uz=TqTo?!v?9xwWt35S4|`Io_wkcIXEw2FVh zTq|j5**GwABt0!!Rk6K9f9BuTgm?#>&}qD*fx(-w)7DZs(r46LyUZWNphX)mgL3U8 zw^WD)YJ*$c*a;KCB^MaA9t>Fw5JnaCO%woT&bt7WtF5l?%EHGYk34)E(z3{0MA6HT zTb&ncN(z`YAg!q)1^a2QYevP=>6}X1hL#rSNs-~LqYJWcO{eOS!xw0DgKbea0f5@Li8s!7d zF>0R^t|6=h?hRQMrdMJguTC$ym3sOZ-A%-!WY>+*vu}Hh%H1ealk5JS?a{!e%&W=o z^BhG!Ve7Krsu+~}#O#^&Zt|<69FjniD_6B474~%FK_6wjfj=#YCqz96p`hN#K|eL> zMhpPS`qIm2PPaV$xjI@o1oe4x0-n9Uz6V0wSJV!C+b3qk_uuacX2kEk{|6`${{tj> z|2q(fcXxXb|A1TD?-5(>Ir!Xu5A*-_w;l?WfF^-+BcJ<%EN>aKKmYQVebi8>vh3B3 zRxNzCe96U7dZ2qH7`VJb#``cgi02@b5qXyv=C!*6Z zP{qlI{7OW>fy6C-9Z9vJ7pJ)V;`}g?AkDPZsM7tf)7Y%CONKzFQ(pqnp48{-?;cCG z!U=-+;&gug@-bBMWQv@$uzIq#WH7~v6Sq(0U^P~FIF!{)4S?9`&+i#^g)|{t_#=7C z#d;IFuEF+SZ%>dz*}#tjU!Q@&@;9r#c0;5iT{4QOCni=7=~JJO;~J8^LOm92NdU7a zHb=B_VLL;*>I5ZZVFbL!#inh6u4_QZPFS+*`<~cnv5h3Ep^^8Fd9tsG3eyE$Ja`(p zcmO~YQ9>5|X~^S3Qs5AdTA(muf5AeRdvBlj-Vzhx6Q30^P44dW2fr&}ZlAEAF- zSB9{SxrC^ETHa9=N8#J}2SGYpLzqp7$~Ayalk8t>Ot+4wbc7(4BZ;csPo}T1daFOB@NfCDC2(v{$v}g?0YJ_@DMhmW$#Hdu+2Ll5WD(qm2^eTGtX^Zy z5rATOW-d?0UDTREmjGg(s7A;pYc=-`@}e3V1X7mSR3ap%d6v&b0CxEhI?SeW5;iUb zrAY|&bFJT8tP9bR4Cs26BB8~4s7D!#FG_~Q>EhlxU95x$dAX9z5?bN%#7qc(u;Qvd zRdRF!3S#hCyZS#K2ETO|?;eb?dnAD%wvZmaO=DZ_L45+qI(VjEzkATy94rE?D{-{Y znm5B<`W1w2M+6YX;A+FdYZ_9m6lUVp+TCK*MPR9{TVWAUDrSn z4fy0)q~!=Eo_Z+TL6$x$IjnZcJ({oA3;en}TOFPtGN`(p#5JR*QRu=NPQu|8yk+H) z^eMV!6<12`!>UY(tQzJWm9uE!YIk?000+FLFo=E@=C1(4zV_4zhmh`y**F})EO0an z#TyV%1{-bOm?6RtVG9t5pbr{nvx|bzwUi4BobPd$Fu;A*MIo1cFe#aA1!1SlE zH=Lr2tPTz2{lLAk|91b~{>}dT{a^Nf-M`)c-_Lv3(6D~Sf7GRd- z`$J-hN98!wwQn*OB$k;TPgl+)^!of+>5lT9W`-K0U#)R@+#n1!#|wGK!C@c>W^%B2 zm^osyNiag@{ZNhxQ87OxLFgBanE=9?g$(kS3J~9p>aPQiA*65&Y2G>HYh9ZlPmdIj z;W<0rb=977^wG*wPFS!=h0G)*AgMM6W}#tifSXxYh{Xvy@LEdsLd!`s# z#Fl_8Crq_K3~vj(xBrBedbrTEE4UoKE&EF_DP#}-SIWpF>q!KV;4SzuOITewLpQ}v z7Q*`Yf47EoKe8cNHfLwzsFyD@wkdvks?mBvv()Et1Ip+g^S!FgkS{f8_9Qi`rP4y3 zU2IQLm)5zZKK%N0xw&j@TTBS^pnSHg$*?Y37}eMH?zZO_Xmy85tw)FbeLE(}D|5_r zbNzX+5LFY8N2^Un)E^|BP>yzzINNBPUn@S)Fa(f+$oeIe+WH*?Bi&ABOBtq1Xe^(=?B{?ikgN`U+Xl z#W7ulOeWE=3$jex%ph(_V^*_lC7!9q4N7aG$tS(v$5KZTEWYGgz7nuun3yZmmF^sb z@96MwVRieG6|E=NinCy!5~$5RC``aYb9hOS&_rNcknM5>Bwnp7NCM#LsGQTldrTF$ zgnI+vN-t1fln})uvus0xmf}da-Fgay7WEWW8zL+!ᜰnjpg5vpWcWBUEVY8Xbs zEy#=nhu_i5on@IUD~DwroGhrt+ERFUrDeRe=IArv%tLvVq6p8z)ONl>0dmWN3ExYB z@Yh9H9@|%5ZPxJFI~~^t!wV;UN~`#W?$aKJJZ2$?TvsMlS0-{zj4IcP^n1R3<(dRP z6C)7X)VSR`QuR>yFE7YnGHV|CMLOzou$&_s6DWNEuV1XM7P^tHTZw|?88OR#W8z7F zm|O1>&4Q^rzL?XA0CKZdxgNAtKAz;_=*c_203KxmJ&>P389BXp0Y?7t@tH-L2u%*g z&cBkV(zpE{2Ea(v<1G0mf!`xx-|PWW$qv8s2`%F@CX(BNq zwl5t)Gig&9Wz1j~JV_E3HK%J7F-Uc1zGr8@U(q|s`}ob2b6x6J9j^08FaW2k}V5XSNX(k@gUeKxT8bE{Ip)geyYr>mY*T! z&De7U*CQ5hD|nmXN=1=F~ulG15zQT z{x=3EM0IQ>a=6CdF{;ZWgVq>DfAFcPL03lfBNdgg5kr`IsRaot{(huBGVaV&Kwu4| z-Ya^r$Q`W42fpJ>7aKEL;3|2`LgLxS66p61#;$}&aS*uyIFAdgQqSZ^M?e5w?+7|5ey)Sq!*gh6 z-ENb?6JC=6oFS0=nSTp4U3nY>)Ge&wu$q){w4a=9Tndo&PKxgU5*6rThx4zD-{ z@O%ugE7r1yD_>byPs$zr;m^nbz+utAi~)+_L2odeC9YNBk@A|U0!Q|lyaK#@m*{W? zD27Wt!?3pTsQ)l_T&d( zL{luz-{0OneE#?|phd4AZY;w93!pe(N1MI``?$Tn1ZcuyGOW0&i+)PjPg*uwWQKr} zc6VDQ)OLm$Y_a&HozoEE|%fS%#J8&S+~7&6{>J>&Q1u zIi1Z3F4>rE482SWSB@{P;H^E2BB>Z~vEd>u9|k}0FHBNb{9*e2Dg5&kh!%w*w7XF) z3;DPbtIO@~e6faHcdJJ^fH!~*Yg-?#tZ&CeUE|p3Q;Q?}Z;p;Gzn@(lue0w*H4JAHoqkOmCDh!-6FwPW3QF zNt50)VBdbeLn+` zKTNXDCS6Hqlc#geCP+EsduLuIJ*W(>x}JwU%Pe7i%=-&fS)xKZLk zKD029Dn zmD$DIcFR7%O((h0mkwY6tIT3S*0)OlVTvl!8ys9!@|9%m$NH*SHDW^g!X2|gB@hPi zJh>KGwYJUhn%Fj{gn*0(ab|2zVS0DsyVKnvGn}n=+=3r?BG1KBiAH|cH zdn?iZ`>y{3TA_eC5>pL5(ZGhhC)zM@dYW;?ScT2kW+{(XpOT}+T2g7Pj+AiBn#uy9 z#1{cmU;k)t5FUQf&&x<;UF2+$ol;KJ%Dh@*zT#9jvQLM#&aC&a8l0bvRMw(BM_0my zcFTT*H4Rbx=&(O;=OlUVor7{{JE^Q#G4pMDu9G*hERyh~EK2^tZKFebfVF*fvOyVk zSPye`$C1iC@K_ zA6Q4#D=@v|ARSZWVpJ|f)yWEKcc|h!6zpYswaxVq&W6>iphXIrrNoRgO9(n>6{RvC z=wMV&;W`KzQRaI}ECV#%Y%fM+4P&wfEf5jo2W$dw6I#)>M|Arrq11jxqKw3^TB3F| z6TcFR%fC{S!#^y{`1$MYhX+&_*!BMojLQffAUb;@54jca9H5u{?)YpDuj-qHY?~J( zxp4#6&Z`g?Hl|Nuz}05y{qbA`6&gFQQCpcZR(^|ad0ahFBM|?F8rM?AMtvziWUClQ zoyG6S2@#Pg?-bqDAS~PUiqCLyF<-MIz{}q9_~cT$pJO?$7%vYRUZ7rj%h$_IBD!6} zE2kI{;Q5$~C>bMq0N^@y&w;38qOe&%Do0|M7mkq&$>40*mCzx0g|H5RiHJ@g+lw`| z;V;*3|A`{;)=AXsi1R1%d=CFSAO<%s{UmQZxVR@FMHWFh_i?8eb46+1Bx9b$wq7oe zU&1RIjS19A%KMsYD=5ujb1mpX@|cNlfHW1D0n>t1GVn5eH1ZFn%Hc-K%Q^;xb>NX( z!{mc)9Dd`H?|ix~?g5bJq{7HCg8CcBoEP z1^P`ahEwAT)CoelIeD&X?^19c{|sw7Y+0lf0nN~tI$zV_)YsGkF-3#6;F*AErvq#@ z?#)Ke04t4D1GGT_;s<)1F42W!_U!&wRDcCM2G3!@&m0(s6qgSwS1@U!*>WmQBJcn| zWa=KgwX7~&AW7zMzDAaR_n7Zgdxq>$Cd;qh7(!qQ>Tm8)RKnNstH_LjX+j$0Pd*pU zMT6Kt*N8t-Wn7*~cR?64{#orjfWlansN$)Q+s(LnE2tnhSd^XD5DZe5KNxu29b$=< z&L}q#$;1~~rb$4_Rox~c8+`g@r{Gx-h|(%m|8kv16ato|2ye>U!$Qak67^d9)j{;( z#kd%16eC4o9l0ZM^|hf{h5$5SREuXj#+~wWL%RiQgI7bn45Ii^{Nd{XvSZKX@hy7h zgH1jhTaJLKW?=vx??Puw08v{b6}7WHhdYj9>qy$rERiW@9@y4(-2hWlU|WW)-Ua={ z>s0=#!=pV%Zz1}D`sRU5gQtLZyNoJ&stlYD>QFByD7m_P9K;e5D1jy)vx|j%Ovhpo zhPk?G{&ECYEB^8c{pHTO4j94|z|p|AfY6v8bGS~p)p81z_JT2Bo_P`jv!0UG?3$vB zx!Zk(%VV~K>nn9Lfe+X@*vJaQX-HCDl)a*rz++=+Bn}DmK-Lv12^#>oub)@*CQ`0F zPoGg22vCmhkH8T~v#ha7pb0vc*Q+1Jd+U<4vE46+W%tQfsc1^fi+RnE!SH0ExcU!f zz35+!{AqiHLz!ghJeJ1XPCgjGFIv0mEVVr*59yNFtbO4=>g12sQ?`Ubvw1XW+I-aP zb7zGLF3PD6(_?&u3|n7DsUTr$Ky{KHrL*07ImbyR^hZK{;8O9rZYN9|G&K}_#O|=G z&Oq#ou~Tx`h?Xa5Pa|Z$RxkT{RtDukH3)PLto`11_#HmsKW=~;^854e4D}6RrQtzd z>jn3%2DM-sWe$)y;9pw~%Xez=$ZEbgfkPX>aFW@pjmROP^3?+FKp4^WlCBL)EPf8?IQ+FUkn-u`k@$l7GT3p1pX|# z#>GXNe&C$hhxB=PIPvt3U@E_Q8Yn%=%GGPLWW_11xLni-Q2ctqn}+sLE#D0zSRwPJ zJ*rRS%V#ad$Z0{v!Fy95t_aeOiF|Cjq+cJc&><2OgY2r`K7Y7=xczX`+xzbK_c!0U zA!bEG($1XL6f&G5GvJc&wL{&wt(v9Bw}zEK&E4Q(HnPR}`Es@EC652iYe3J*LR!>8 z$iiY~{K`tf7tp*Jd7$pypj#kIlV#5W1i2?26<2l1-OvgRn6gT_X6iuDxlu3;exa7m zBD}6Q#xhgUomfpe52Ub7*;Art=0`Fg(?{d;dh{cqg8Xu2_lpU>>!?Uu?cUwIza=PK zE{bE9n4?Q)$hMi8$j;`;uGU?V*oMcbU9A@ITn0h@A=`~K=bRs!uWEUepk^1jzt*yW zrHR{I0ztxu6`Hl=tTc3nJjnp2(U#yPiXtq!@ zg7X>9vdd=(calZ4H4ZtYi~&?D4aylxC-!lP>V!AQ^?mi8(UMRdOS&dUqCZS3!WP=?g6$t)Zd_SV38U#_@Tl;!(%P5+kT8E~IKqaM z+C2SNcZ2lC7m;h;AE6*SFpA+wsvHf8M98HBr6i6ioq+r=GEo51b!4kiE8Z7IV$6^H ztJ-s5glse2Ztiueothl2xCvzhJqqY*37vv68LcZAY@lW?;RFxXTREf$d&$(xA$@K8 zqEY6lM9XD3M!*j=#|fZFX$7a`J?S-;aQe{*DK7oqlyFKLg%0&pH(*dB*=>6KGQ>94 zuTj*$LUSXSnXIv6fR2owEu<95ryrt0gWfm=97$?tAi)9|WVJJ7Kg_s;ST6!osBd@& z8z$M{aThLTGkzKv6LU1a#YPtV&(A;Yzr+CaVLAW1`T6FAdIw;hde6jkmi!oXZuxQD zzUk?v#}mAL6U+b#??3>kU!|L1$37iB`3+3ZO?bM@3rPVP;R@v&?pUDS&GNd!sg;jGn!OKNpa>{>`kqUQf&r!A(kuJ!_VKK3OAZRZ% zk-))0!?7gTtwvRum^`z*TqFCme%*D4_NZ(iUNku6#(}1d6*5w;Tx}RuIf&QIa(0&6 zZobgv6kR@8eIg~!1n-fX1@>)@_l67tFVAK(0x4uHH217{!hkc_VpP9|95(2oQkIJE zfO{S*2~{(%PJ@64w!i^SSbKGFtXHeoXdEmpE*dH$xRIUcsVl+afW>`1##;^)e(a_g z7f-4@o*aT#M>z?mBl+7s;`)XLTycHR$VW0gWO)QY2_Roxu9`r|T@xzw+&NXrT8@6p zw}WW-Bc31Y@dOh^xkb~P5GoDq1(FhnnoyrF;L?+e3+6P2OXJX8BC*4|`xr9Q3eU+L zc`4_oBu?=1aFzZB?{2~Bl|zW0H*enHY*Hu_(qM6QR5ByUSAuWnbkJZf{4-ruE|{=- zqA@+DJk`4%Q~oY@F}_XhpLAqdKgSoRheo~(9+Lqj<+so#u>f!o(QA`rNFwNg%(g%g z)zJz$)aNNJ_<2oSd2KEZE{7U$7W9}OQ)$5AmYa#Nh=G*JH^?`7n^Jjy`YMx*^P}z5y1=$qc z@?3Gg1O#P<#9158*II;mWsm$tvT^+=7o^CwZ98L&34B_u)fpo(YjmJ0Oyd0~!-wdA z1b)%edJqJo8Ng1=#}vXVyt7pzo$AZUsU?4 za1pkrZ9Q6Bf*MDWR#qx9h^P@p;WK> zG~;|gb~{|1&VQ06nx>L6q}2wVnA|oDR*pt>8dpndt5lQdPcw!9>(w-g)8Re7B#Ns-A&<`eW4=4;Gp|$8^0>0Wc@-&42ZXJc$zuny3 z-^}*Dq7giruFjtCC6|W-y@WyO7=)rcm_C4a*J1(SCbD(_W(G~v!Jq;7A1cZ4)R$W} zH3`@}_9u-x<)`$SocTF;B>kb7qa=t@6*lC!eYbmv5rbrF1r$s3khEaaRGi1A=$+|z z3c9!I{N6R1Zjo9(1p^+5yleCa|ROTZ4S&Rv6%tbnV39f&HlmFZpKS5Qec>E!O{lF#H%Ci=S+y&B(3EJ6k$c-{uh+E3p$;V~g zk_V<|MP9DVIZ!)kP-Hf-<;0__!y_G6M=CTTok)1*94dK0s!52*29;9nJ9Nb*@Fl+@ zKqi0a>QdH7QYmqc0xj+3naNdqvWT6kITn&$j9%M(CO9^; znpWd$SDT+uAPYW#A9-|6uZ1D~3QZrVKs6f8v4F?hO(DWIKYKN8ptb2Qe_vRwIxe0k zzyG*!NIvsY*Y>eEB9)YwI{t9hnAw8P{|hcDeeCl1sugQjwS z3rWqcKSEYMgAWLC0PhVFzEQpfhaJ-U-<-hOpqIP3I+c8z%DB9mhTf#WI(Vv(jh*tt zHF^*rXm|)wWPWpZn$>5?eW|$daEV7%`@(5sh78tL(QKv4c%D@mX9Lcpot=1(I;~Eo zp37VuaXiC{#m2Dlp1C!@vFMZkOZkcVYvxFoUg3k>5d%Ilg1xei@EU&*#d^+ilr$TT zJD7~`xdP_Cj$}0C6;e(WUwgU~m+OTj#N=a7?!As@cMS!-_@>&)o36<*5lf}~$ z%h#G=aN$m$9iJ`Nb5{CmNlO149QN|RubK-FrmImY4?w}lrZyl(f@4NYF%rR}?FlTx zG;Os7W<*UJd;%MDl3-<#5M>1BL_6mN24v6Il3$>N5?C z%p5A+&<17CVi|jk=W;#Y926QqYi|2X78Y+-D|AG*UZ#l(5w^gO!#zC zFEJXD%G?70j3uyRfGkOW57zm0jrggnjmr_2hxdvNP4)}lul5RQM_YlB9b65FYpsq^ z;Q5De#I!d;?7)%*bP**SBFQg2oxW_t&N)k+NFEm(z(BpX)j_bbq z0HMbhJ7TqAl+6&%JMuxviP=yOA)X*I2>X|(R~rPY4p&6sf&NZKK6&du1d-jT0s9u#4J&`6%l!*1t@lxgdC4+kOR2&Hx#6PQ@7XYx} zGRSc`jC8iMv^Y6r^$GguF;a{A=%RUottAq*&@%b$-RJ!t>Ae<+pCAq|q!uOOVpD~o z=&yv&MT<{-3jN(VRNr)=K1W~N0O93mpkeUltXUH?PE#S@JT!J4M?!iaI)nfZg-?MNcW1xMgu$G`IX=!W|)}F;e7yPiBD;rM)1ckkmYrSCa5YMLWpIFrb@}EC(>u5Ge;k{7GIo+Mo3~ zx|b2QZ3bYd_5A$B3f-`SAb|@xx$ea3VkR4v`xT5W)9RW&6s?jwwr2Qublyq6$56o~ zr)1{fCIQLe?XTB&5J5Q6Kra=WYxU+52JxgB0oJ751QEA{_uNc^gBIVFD*ecFGn3$8 z>L!6daIL7+lSrwTyEt{Tg@rxpKY;@uXnj{$q^>w727$Ks2pZqjXhmPMlj)*=kU9sU z$E)|Pbv^gMmBWr2OtU%4{GfJ6zFb&ga#JeW{1fPOhMaBv8Ir0JtPnGARYTK4j#!u53dVI+$lUqPVaws}~+m0lP z(3EF50p^{;tr<%X<@#_RP}iKldZ7-FIeSzP0FH|%Mz|KTfMSp5=jW&iCz2){lzZEl zLkmtVIkW|NXHW&c#s19Fv+{KL(gE#N!Y|nC!OTqAj$u#%5o$tsVPf7itO6W{irSi1 z8A~LYw#_S8w6;U$hk!oDcl1Ho8hDZr(n8 zzJn~xFF1c{4q91fQbQj2R$eZ05E@sL&fMvjJ3pyuC97pYcQZ^5;D_|$S`j|^XQ7T` zTph9(N9yG|ytrDR;A6+rWdbHKIAG7j9)v4=m=7j)7bGta1oA&l=D0ynce*B21bgRH zk^~GkB9py&v%5NH)DD&MGsyKE)O5Zj&>C$7@YzRaC~)c{Q-Ff<#7%}oUp4j$KaJ2t z;IQtgiK_7;Aue&Q9xqYGvUx?@p6A8}UJM4o%9AS9Cr+t0lJ?hB}l=&=Nj0UPCU zN02>KZkmivOgKI=1^R#r&`0I~WADj1NMjS&Tj6YY_t&Su1}}?e>G|OQ*aJv^0|)%C zXXnVy0FPC$n&;qzqHscFD;U}atT0@GD^s=Usd|!G)J0wtRO)EtVtH7LwmS#H5hY>t z6_uW8`mWT!OK~e_dJCbE`jKnHx)1s|=z*r@MR<^y3t))#fsOii6np~*A8y^FE5PPv zYCo3d9vtxY^QXP$>Z*?NJd>Di#4o6HXvBZ}-C@ zdK-8dXk#0Zr*R4-K-V>_`vmp($DOtO)1BGQWK9 zzwX42T?J=c|ko%f69Ch`5o^~OnbtuwGt4*@8fW9#7d)|v-ia4DO!GByv`$2sy zZ>Tj#SKPJ3(7{qlvt3E^$Xv%PdEv}0OQ!}eKqP|NJBNG?TNAS5#Wdy^+<+4~V8k&v zM5+fxSFZZqTVrx}@+BF}~7)DT}`g37Bb|?$bbv@Mtl!Lp! zqET`Sl1~b~$1@YHTC2W2KIAXagVHg%SGwAd?`^jWA-e*;s0)B6$L4`6yQ;-?Gyn?=b#F#n!#IdNN^>C>N8ev97I{P4Lg=L<^d%F z;zuh2ADQFp)-_X>P9DuNlUJ`UXbG|8IN=enycx*vw96->!=ZaYs)jpEa?U_9NTP#T zu!+6D;S)dXL+jU{OY?r?(xImRq*=KAGJzMgIawc}q~P?D0eu?Ql5MnuGUA%|8j)-j zALB{zoTG>~^_+tOEpN5FUTELNu%|t^m}=k>6U{NrqiknQ&|9EYCvx&EV+}nQi0c&d zN85vXEL|U#b9IntT@7mI)3{XB$C_CaPHR^r6my_RV4J{5#cKeNM`E3*@KR4jT`P4R z0rqVzm4dn=Ek>M$*5l6AuCSJ*rw*bl_r4-d z-$T;wNPl%EX`c~t1+ERB6)t#XYiVM#AV32;iL~EfMl|mvAH{0ToqB__3yEZOH*vOd zaYqpjgsxLzf5E*#I+t~VKQxf)h&AfPvcl+cwI%AQVN18`qxp%sq=f#w{!AhxUh_%9 z%m;L%;+vr-m$W}KIt-5-!}QHa+K0rCI7*sGo$!IM9L)B@JMyao+_o&a2B<6}f#*c7 zqHPnBo0Sshu!!xYhR+^uKi=G3zk9d$@Y|RDulVn`-_SVb-DhAD?(x^}|Lx(o9lV6S zzx6mJ{{5HU9;S!C|C&rk8cRN3E~Fpeg}?T0-_tqkH<3yI_BR->^1tWh6a3{bt?&3g z%>HZdzwm#*|0)<~aI~1F+%)wFPUDg1OjB-}5W(>Sk~K84qvTkS)j4vCxGPEZz3c$M zNF=LKo5w;rlE^N?VMjp9`KJ#l5ecztgw6wX3hn{9%I`@)3wYeZF2iN9c)^%|!tpAj z`Xzz%1LZqH+fc+s5`ZIr3F;X|NikN@&T6x`PVO_C52E8}fNUy(eL|+afa*GXkyJHf zrO=uo4P{y3aD{2k@+nC_U_?5*z*;Ft7N`7RkTb_!6*sxDm=i(sJ6g?vhLPxhsK;tj zFFy}uQqB@{EkG~%kn4U4v4jcAaigSB)(HT!Q=~hSeIg9B`x(|q&h_y5zz-}ap-gXJ z{4wli014oo;Q+2^1amrK+rnyrT;ugJN7H>|mQqo|jJzFZnQVn5>OA*4GCaZRvdsMO zsFB|>IG#Nz7kc4!j|q_{kC{!;XQb4bPJ2_p$$2bP+OgT*1E5s)-8Lnr7DMrN2U2p; zcegzh_<%eE)f&QCFmp8#{wW1=-aG~dfUzQ~7CH^3+VQkEh77|($D~eptIQ=M$gk26 zBarz_;rAdUKu+vIWM8S`$Sc#F@adCOrBloPM2pD7|E*zp(PIGmhN1x={K)^l;v@s8 z3Tf*XmjH4*`3LJr&XM^5&F}OS8Bj~qsLWYD!#->I|1Q4=qA}Vj1eJz0Z-~al1p@o? zRS!(-k>)i2nc$Wpk|%G#hIEP>4?)`WnA_p(D)@WF>t8mn}nSZA=+s(9Ji>C zpfexUt>iF;6-1ZH^PjQ~%#X~{Wlo=Oqt!sF1`f2!JR+FeZ)jJAMwsGml4r~U6S*|`2_hKoO0ShuJ_%q-?f&4BqJz*b>1V(73SzN)C8d&F9YJN z&5&o26?BIYyL|;2+#0D!;PxEeG|jFyueE;s^aJr!|iP2e6P;YfJ;`JrTkq0u`U zC7hg}Y_?F4BQ|l$Pl#9}VFhd&d_HFN3GUL^+iG%tc*X6Tt99yb%?I=uN%pqyhtLh- zqCJe2FSWtSp{q{Qb4N%LbR-%}V1@F9I-xN}J^;OOW~h2q*~F{LP*|isn5<+PY4Qy` z09d*eq~zx0#W^fL0Qo%975D!3mrvIp&{67rkrstma8_R9PA+*$84MfgX^nU!-OPEG z`r!mt%hXM&2t_Ps8=$tZmFN03UgE{x-SggefBEoT55I_=Q&z?1N!RIUIJ|@-^vw;Y zHW6{7{$XHO^8_q6p35=9kHJb%&%_RL88X@8NR67?ne`teo1gdqEQ@5?6j;`Bzk=`J z9A1;)uF=2*RcgOEhvnV0gh-Sf8bYV5S8x{7g6a7&;$>Mi^8+mBmk89MN(euxa>c+? z`g%2W&`yq+ChTRx%r!<=TG#c_M4eB|H=@-M+%wbuob9TjWT9>%a9#fPCJbvcWC4i_6Lp-t2ji9KP+4Ok+io0P#$86#!Sh@2RN`Zl-8AlSo7l=F{Y@+Cf;5~B5m8s_7 zm~baVfC^R@sWg)OV9<({`(6*m;VnD&dN76wcLNvcFE^jw{$a4E!xYqU1YiO47Gy;_ zx!GKuA_u^k4)Sd0XmLVpMg-7kt@i^syVU$9!0hlNgihC=-@m`Vc@Tosm6JXS)`uWf zSEJzTFGXE7@sxGqaV5P0yYVL@yIDk?jL!!31k3K8ze3prQDcYuQY!@Z!}G`|OH>>R zSQjGYkjfENary!ERzT(YJuLQH#OLo&qR;;3Xm+0b*(&rOO83xqJ)mB9&eV2M$-W5U zD|~~-WeqriC$piZqh}VO(ZGc{B98zDCE^k!jb9soS$(Q*ZedV6wY zURg;FT4__|Kf6?MAe9j)K!5S#6oJdmA%E-21bJ=5J=cTgAMkFhUiN0QXY?tlzG30= zww{5HycpyBb(KdK571~o z-h6s^-iw3l`HQpp9vfXISL0czLD z6`VaC1HRC$VOeJGr=U{uu0+qzqWV|M&S%b3$I$?b*G z8IYXJBn?CQ0dakj!&8#H$IC^HEgsQqg zPbN$y9Vv`fsH#3sX^UI$Ra7Yft#9sc?tZ&@htvT$A7rsl4v{;SztP3cn+kDDWTi^{ zJ=vCs!z})F==TZa6=ELfc_eNnP_7FOd{>@@K-rNG>Z(wq zIXGZHQdx2bXJY~~{O*B1YJ(N>s7`b0a7L!UaG(%bUoGAfdr-`jzPzcjTsuecMJ=`; z(ii-V>@+VKm`F}-V)ThxD^Cm-VY!5l&6EvR*NPM#xQj6DJfDcESu1|*Vrup$aOY>h zoyX0nQn$2F(X)f3%hhZ*9U)nV8wg^+{kuO%guResayHC|DH@jd)@rp@M*?N8lLkYH zUTW`Cs@k~_Hd&1atzudr4qCkICy6W~`;0ELYCLMksWud9N-9H2xX!d`Swh#xjS$vW zD$h$~0u9#&D>Pi4rZnuye`tNYI@-<;|DLREYfK;0ymJnSh17l1Y1;$(f!*Epr+1^7 zX&8uj16oHp<-9*lK;m5khnt)Xq(};Y7aR)$9!vhe=+Ani{r9e?>>C^PE^ORHwl1tv8BmKbysa%HrAfI$vpgt1;!Zu;`SE=zJdm#wvp(!yCjyH zUH0#FR-X>a_f|{^T@XTH1m>YpOQ;S=J@%*~_y@Q>{-2nUa9mg(tiMPAuP!`vsP>_j zZL$q5A?5@D^sn-y)Gh(Qy3rGsjh<}QjAa37S+;L5xXJ|Kf{5FUhK86|DkjTOU#03C z-JW2cWG(Gsh&Uo>alLRT6uqypnUNz(61ED?GAt0BK&=6PI!Yza|YsLj)7V|fOKXuNiFsbgjTqyNBVHLql`E@~M zFx#H0$EeRV5Lv7782EJ~FaR;$+0u56e-hqSj3}exA>+;A0Y#XxA~TXf**!k9mq%g! zt&Sy2or_61C<|a?1_b>J?qH?x2-2Qt6$@Y!Qd~V8L--p22RB9FUw!gaov2XAKWY$A z!MCPIiKA3+)PO_DNv@1Ig_h&;f{Do`Iu3F#Rw&Y`UiKTc2jy0>FS8LWO0;!w8A$nS z&H`m;$Q7gFgnKCL6=iO@*GS35|4Y2pk9&&c7|wcju{h}ImFc3r9@*W<@Md}=i7((w>KZ& z?S1&|`oq^7fIi*-bKfrliAJZ~`!M|17)OpO*bIsxfIS!I;uO4n_z$G#-~W@`HmY)+!;03q`A6Ec$D?fn+A zp+DcgdyYSuYAlJydvHRkYZNbaf^a)(s}}G_I()AC&*xWfhP}U_)QQ<(FzF7pxeY`h z{Kd?LklrvR(?qN540|}=j46mtTdXA@Yf&HC#VLqHT6WZe8_%p7}1cXGT5YdbcG9}4W1nxcxF)t748bM;0I#4?X zou?;!CI3A4pVGq2B&zoenO1`$JtEj*d}Dr490!kZ*m zC8`gCGez8(aO$$Fl>?WL>sd286_28k z!t=)1RI`*i2(AJbb-t@~%4rYkA{hrmG`-;B;(&>f-OSlC34wY)Tuv8)t#xscl?=sX zZLQZKC_kozO$tPn)XB%kjo}^A2Oc$2msxGQH$aRt(5PA)WHH z-Ez_`bpE{je%PFzzI+BN9Tsuc>@y`hC5%L~2(rt|I&_C!qD|{=wr1*nHkI2;F(Hr_ zo5+r0B<`~n-MrHHHItEH?9Y)%yxzT9Ew@nVVbGr-o*_QB1ckpgN0z7khC&Y#f;?qp zM3smU*iNFcw1l(gn}j;0Ka6|8lKVO4(HeQq zl6jD-x}hlzRKfsi8k}MSL2w^Q2A`8I(05xNZqQyJ4`q##9oDaW5bdyjDRXAek+Kid9(!vVr8iV`L%d-~jHE&-s8;4D+E zg1lt}Owi@Ud=0#MrZ4j)fQ>}U@%8-W>gv*dtj<%%YB{7-YiB;Vx+J7NAVwH+aJGn0 zfWl5TCqRRPUQ)3UMxHiCRl@=TVI$g#CfI)%jYkz~1zzY9u6Hz5zPz&ZA!3Irb<@TW zF(e)htkX%+k}_x=?~~tgDe(3t9cES$EdSYd3?pS zpkI@nO#!-!djlsbHEh>F(G8AT#t8n-AiXt+!$4xm9pH;V9W|9E7o!yZBwBOl;E0NS z7d%U@@Dx||IXBAvx(FRnMEUetf%tskBy4Q>~VeUZ#!|nQ0 zK^|Fr{%qvj>gk!Rt1hc7O?#TKZ}KJ0LPZ`A9L)T;F{-AjcnL2RT8~{F9YJ=KPOCoZahBpgUox&PI({l#dqbkh!d^S|m zhuf35_s^fb`}`F+fYmL-&N1&!RtiZMrayiSh%Kmcf8#y4ERl7^E!_5C(+Z^rkU9AGkV! zlmhfDh?$(7gd7eTsb10^0N;uf-@s`QvjUHYz)iq!2TMKRA$%6<;YDxgp?_uUa!->3 zh1*_bP#MuzL{{KG_k4|3;|W0mlD=T>Mvq9;eTp0>kRrYA;SJbPx~O?S8$!M=JmAhlkrw(jN!T z39_VUVZw*X(;CpXn_27i#nCf!l`?nq%!aNl=Z^`828y)=Eu&+=U%N6audUk7@x@j+ zBmzI;&yTs<-VFmj6u3|VF6Bm)VpJX?I!=vBRD?w{4JHpMOq8DdVy@0*V{uCzBn%aq z)^=SMZ<-U$RBz|h69y9-6cr`h zbbgh9C!StiY6v}V?(9vTEgyiUmkBsLs}K55B~9E0jb|AB#9og!u#vjb{V=F-y_kp( z<&eMn;l*Rf>iE0>zEX#2b&Wh?Yn{E$At$x!1bLBSmw>m?xFS*;(j^aC6I)_@e#);OULg^1w*)|o-5Q|!!RbH!(5fr=V zLjoENo4QbvP$!Fw9A2`Bj0ZP2Dz$QCJYJIuUqQyJXr+y*J5jv&$z#T`ckxV%a5X_1p1}JC&Jk^7z8(deoCTbr@Lti^G#p9%jJ^scS8sqgf!~1}?0%ID{UL8q zrydIHT<2t0{hW}U870!56KWu0HiXnQiv&pqIu2YN2X7`(>X~FdI|KQ7Uj(=ja<&Z+@93&1ZnU{}GBrey1hsGhQbL17_-cj$4A$@^WtfW!E z?w1dre@3AhA~7(OzkYc@p8)SBpsfV<^emWj31Qwt07Z?w_2~g#G}Chf=Ucx)*JFEQ ze=q^d)Rd#5mQjuFQ340kjMOzr$_D5zXbAP`?)C`vN)y^1;$;qO9J0nhdi}JDQ4H`6 zgc#R9#S_9(_#m8+=o1`naIbhWMtU(9PT2>{9Zd}jA=hL(#Y=6Mbt9!A2hJW$f)g}D zWTavB{p9fI+4lZ{fwX&7dnJa3(AoN3dQl>0&wKwOogAE0{8T0g1tZBUz+Q+-dIm%S zlAhPYi!{bRD9bIAUvbF~jFNqvD}zh+4DlL8wN&aXLXFOh0r(sE zgOD-^vS|D~DVqpN!muw1SA7^aIA^9LWEb;&Sfpd>G*fS#uoZJfu2B7Fz;PH0;d%=xanosqlM)&e}STO~y!6^`*O=q#s=?ANd{c0eec!Z-lpyJAsbiZfob$u`uYsx4Uqy#fMwU)h0QX4v zYu6Ozway_mS<(7BfveQ7`!ZEWWv!xbe=uqpXl9=dMo$~4m}7CCMTTKMnvy_F4HRiS)O@f$&)))q-Uyv+C%nHcS|LvF3?WWZKG9w*{Y$pLB{$$1SIW+acsFi7z6t zK`;V6n;l2WvVg&C#Bx#XKsMVg_ufKr#Gmw@dIKEk_00A+uv~Rb7E${`9k|mTjbzc2 zixr!Z!3(cx`E_GMBwDSfvmDW5f{@>9rlo0|ulFzfOMiE@{Mh-)F=2iSJ9Al%DmxK= zj{Z$SQA7`7oCls1TuoDJ`n^6fG3Z|d{Zh9DWn0T57)I6}T$Kczajw5Tj_6Da`^161 z{OT3{CN{A32aRq@K=x<*LYX)lQ6cuF)pIYwNJ+2<34Ug%7Hc+U@<+#=) zn!XCkgIoHL%iaFN{vS8@`=35jB{C1@?(MIiKi}W%IKG!$e)!|d z&Alm9xA3^{z5wr!7eBw3dp+OpJ>WmyuZJ7DWQ*z_ooUFzP&t4C>)keipasD40&J)g zU>T!?KGkMbFt1AImV6$u%%`RRA?T?o(4AQ@OENR%RDVS6sT`@PP^~#6#&~d{val#r zHs|Ux+}h7|K3utf$3*=S51_gP%mgEZj62w~P|Y-8_X|t5E(-cXqvw;rc2wb#0AuaZ z890~VBXyA+AtHJ1FiRiWod{@iY9mGJ3NH7pL>{mjbw`s(xmUpR0p#zXSNA(&dD(SYFL5I*P#IA5F~M{2zhFvvb~a^$Sz zg@d|;-~F_EV+?qa(Huvc%c2)X6zNn3V#lt5ozx)li(x$@x|Eo^x4F54c^pH9@C6ndnqW+YuY# zkUdsvpNln{MtdQO7e%mo!BF6PdjFbdoGZnN#8O^@$`|)lT%8 zzzUd-)J#xwAO}Mn<*A3q>WzhOBra$13$f}Y&h(<}BT>)|hUSMl&R5nam-arA9udcIZKXv zu)yG{-y*iYvsaJYtEg_UP!bLo+EuZnUG14chF~|LJ+nc76>(4}RUYtATU7_NCcuzu z-G*c($zmh>E38Md)MBGpfF!rI0wq;PD~e8ip3)JNKCpNG+b2)wFOB~)^j{FSt_8m= zdDJb9VP9esAfF55<-rXCp|P6w|Bejg9)4}O4WJQ`2s9R~fUr!<(7(GQqEvNl$N z%ofdufZPk?2ws!#`#+44-(o+a1oPV1vx{?hR6)Yvu6 zZ{pEtPw{s8;KYtCb7ND6r<^pT(W;vnvN$+}HDhJ2P~L?nIy$|Cc_#OgLty(Up$RYo zoCIiac+Ik_B@%_jvkV4(yuLVx>q2`)DU;E2%`!iNoMe_9#3u4td0HSg&##b8Ki{1K z2vd>?0HwS*IX&6_1iP1qH3H;D%eH?QbOQJd&e`Wzo-oCq@Bjvb{o(tQ$nR)ChQ)7? zgx|p80S}+-Ty0`4r9pl*!VWHbkJBbwS zAzbwRzv&gQ5(Brjm)CBct}w-CmPf_D8A=}GzpWr{GDoO76TOk-1IO$bukg&Snfw}+ zzJZwV_FxkUJ!$r_X%jlCE6U@dHy%AzM>3N-l2~&Pqtf z2a|)|#IRK|E7i+9+xDxKdiwq}&IuvD5&)?BaAkcvChGFq5o)^(-J4Uo5({TeF|5*y zYh`>S*())0MHWxsY%K| z$aD#(upFq6M{9}_MokN0YCIWobu8{Dj;jbeflub;9&nq}oIadkM2+xIj#nQp%MRgt zTA$&gB}wLEYnIa`la?ZrgcYPnv-GcL0u-*$Y5$M{G$A4{;6Fi~4p5-_-{^~}RdK54 zykCNd$x=sOuWTK*M$U%9)!1Rtswf41Qq7>zoNf`M&=~jvujVKmb-5f$F5zNEMx&&y zYfX{~1}Tu2T)p4~^Sq2KTPg-0tHZtz?gnd3lKluWJs>Q|o7DumtBHi)UV3$5`-OK3 zp_H^sQ%NNl$s~Er1Zfr8dCS)#DExv~!h3uAWYh`SoYTT*SW2`P6x%H?LyT35f|k~* zL@dUgoFYe+!w_c)Ib}Q!2Ss%lu%3$%vB}Ld&trP5tkF?HjqdrTA*XB zF4V>Wo{TxnN*^H@g+8@&LL~nNc3_%SdxCtE$ijAjQNNK@X^MA9Hw3(nbMra|S8EBV zQy|!7!}5Kpo+Q>wRgciM~ge%39THJ6$lgG7^pPwNKB^B2wG68f5nk!fY9%6M9;*usBT)9d{GTD%h3t{m_46++5}l?Uy1A7Rpy(K7$@{^9!J_H7Tp zf>@EM4jd)JrNf_adM4#jNL81>ghgMFOL4V}hd*;MClL>lHNO2@;T)TO2s6kQMI9*n%zu;iXDNn>VWQxW&qEGhKB}hKh#AMfXH8b0u;>Q6Q z)U+du#S4rOScUA?-!5nP9Z?|r3;aC&6DdQcqLsb|M%BE)+^fGEJG z&LY_z^PN2C$ws^i`k>5kOe{!IBdRG7m>0BX@tw8%{itRujevBKT;(M1f)nC3{C?7# zyMEpIX?s){X`(>$0byS$WcD=cgJT*BEaLbUhr!gjp#|k&a$+SY9#?c*!FX%b~ z`i;K?KC4wwCgf0`psyVHA##xG1;nUycTw8K4TnhgFjZF=w-qZT&rliF2he_%o)mfz zQbn-0DJ_t zYAXVVREp|l-|yC-$<32ea0cR3(HT>4DWqgaQz-VRkEn{_r=k#Lux^3XJGJ4^ zY(_eA^PVyC6?B+B%h64GERS9K5}!BOAQjr#sBREXkw1&n(*}9d8mWky_8gTm=0_?_ z5Wume%OKXitRhZuMU}@wOz>j-2+CLxOGHyuq*f>C*U{7(&KiOWswJT{{Mwj+Z)v&4 zgnc>$SPMknD)wm-8!8>Cyk>ijzGiXs%o=d|4PX?>_Qmk6)P#osZ}$|ZOS9)&6NNle z4;nmalE$}#|4}~N|K@0pcn^kenK_!dpCx`fr2!YbP{zCwtqjggSxUhH)K+@9)$#oN z9Ka@UJ7SZX+kE{rEt?tX7cp{B8?5Y2b((IE8G%i^ znVDqa1@N`Z2y7<7hVakN>Zw&T&qK;TKMSc<;62n4paUl;;4{S$+-HmofM9dhm!Swkn zSOO?!e7O0@Rv8b_fyzssXf{C8n?jO^Nj-1@ldZ;JkmNKWg)Ek1`r>Z_R1 zwz_tLQ#q_DXB*`E*RJ}BX^+WbxSq>;ZzdbTk@?e4XJ?0p$H!-98?T9D6{C}urtez4 zlmq3kXf9B^t*sC~>_|gaH0*EmYfOtF#yuqEs~X;nLxrzg4fg~8Ofm%nOYRu2oQkfQ zdN9JMb6-LL&Cx?WkrFzE7|Z+d&ly4IlynLdqeS2C-ns zm(U!12<#M6F#ey6dLsW~J)>W>I$C-E`aFGm6)5;dygdo6#tbE=LT*B#yDPg`6eGGM zrjQElGV*9Ha|NYxs#A!>@ReD*8O6Bt;NHEzz85IqP`xD`w9Fn?^Rz355Bvo^_1F7H;QgB@k&FEndPDNb}V z93H%W6v07j93x{3IMy>Z|Vsw`?DiTZ(myc#}sz)II4 zG6T^QupW4_3P+gH^~xhe7#tpG{G?iiPrYJ_d6HkCksfTihogY-8|ZVs(=woZXIjJZ zrfu~kD7HvP@Q_kpAmbqF0Sy?watwa!AzwyVH?QbHk}oYIzHwN$OSoR+1?e~ws1hB- z%6@H(db##{?BNMfjFANZApa&*Zx)am~<@^Zos$wD9rEKJ)F9?Ve zeM`d#Nw4KcC7sUI_qvAMSv8{qtXy7gP80R8ol`ZjYl<@M>>Ze*eg4x5{a`nVl<1nG zH-K*i92dbj0iH*L-Ee`h?@8X#c8PSx;36$XT!Nq%?NYLXk=RT~dyp0yq&R*nF3=gO zUCc%YDK?96zYDaQ-|k|R2C5ug5=Abos|=_BdL@TJk|uF*va-BlR3DI(o+i=l2!&y% zN5DN&7nsCuB$;~$d?q-%!Z|^)mGw}^O4GTTmDCku&QU6#78<33pnKdmH$FpAJ4yUoc{0I!Bh(oUoZ@ZJxVX; zXDR!Dva-z06c~YKN|PCIrSmzu(AJ`oX-`qT`3SA%^IH(BY_HVn2wbazwhpYdPy2eh zt?8)oo%7AbdJ{nckW#eXvMs~_H37O1!+zFw1HgsE;&7A6*M3O+;WuZi7xUeGxVQ>; zBvc1rR=3ck&Ak!-qGyA9(o&eBwox0csT|dLd_}lJpXM2vVrP}o5W{Vx$ zax!q^LfRZprsw5zIEbbih?8rt7qCP{a|*D#uh(EXlxW-%HSfm|2eLz5$zjfo zB`%uRDVMcm?rw=0T0Q!X;S02`AewKP2bB)*#K$Uv<+LYQMQq|8Ww{PW+VGdYXduo&qy97Q2}eo-6e1Z z3N=*JLa``S|0+QeBszv6!6AhH{klY*p>q)&1;sY zA8O*enc}90WehqW>fq3gN&L0v&*rGGg6fG-E3tWa=N?P^*k>pDEb%!AJV>z&xXkb> zFC3b{BZ0Tz1>zAepx)F|PJ0iEPU~B;Fo`NBxyt2)c=tz<2PQL`gcD8<+zMeOoz)tU zg$IkJ2`I4g;u;+jbwyK7A!ktjFsbLxr1GTjo?Zuafe#GFX-;$Vs_SZ8nQTn%LkeWB z!7!qo=lyXAq_bOuTYTBoTu;rv68W?s4_hY>s=SD#a6qxnVtyuoAU>CBmWz`GtX2jU zvo>BZLu^2IEjvoo#qfGiX23>Z7N0$a0|MLKE@p@nu$qv4EfsuO0rEVfCmZsGE`L{6 zn@%>C;S_LV^$hAF$OlpjPl*4Mw{0)jf24PKNMrHk7=|4oG+-mG>d_c{iHf)evgw%d z*C%HHR74js4|55ShmeWrURuwqCMNI7L0eAXNvO-iT&V|~vP|M&KIjWo_CY`@FE zK82bz3Ns^Wq~uBBlAc;bEScRF_|9RXc%b!bGo~0ImQgLEwp?ttFdnA*6~(%S*-2mn z2h)c=RZVR^7`lOD5V)Wd;clc=TWm(ROdvB(dM3B$9||Gb!9~w@G-6Bg(1^g9GlfQs z98^z+Yjvb1ENoI(2~eSD=R5T;qp(EFz|NqmQ6lxHf>nhEMGp*X$f7v`sUj=N2g8@T z14@iVnCisQ&P}zhn*5Gt784+_4Y;i#J>PbkLf{a#vFtOPsA~CuasV-Rju`)&cCKU| z(rv9VxLSJ;geK{_S)37m21e9%By|RiCZ4FG5z4COy@SpnKN;l-@*w_v?l0S(CCkzt z)TP04sX7`1Rour!V?fONm`>H`Q04kJrK#=^ei{Xwc!ROLCDvvmbjuI!zK6HWD`oR- zT65%O?6AacMsirl>eaJJc)l}sJmCfwh%z5(^neY=erQ?sqwkO8zts_|J1e6YWPx#r zvQ#lMnG9|HOs_szl3s@}3*zie6HSepJrAeUT+JwSH@KqbI!NH(Uy3=qgG zWGshA54<-fGq+Wt!X$Z8vNPMOtU;f7#s=xdT;o7uaWFW?1iGJI%)$F4q}vO1)<21* z{`KL`4Ct)KMMh<5dpDPP-(mP+iH8E+w2k~IN;>S z!2t(9sCyZvUVA^DL>S+xUIQV)Vj_VG3S$MrEzL4Ipcet^5bdBn4OcSr$*?yWJe#AI z+>-H3ih}JPo!%~YfNV~{0w|t5voqk*?3`L`q&S7Y`Apo3Shvw8`V}Gaykxqp1*4Mg zm*<&{a9TE-t}AZ@N6GBo0|eXHxx&hN!To^xnTfy$dWU>=zS_S5{6Kx@j=ac*To$yy zD~}I`%6c~4!ib}fYk!U!-|K@m>G%un0ffX)Hl(FQxlSzA2$wXsp(G{JdhRg9>hZWGf=b{Fkn=1kX zV9V`9Ih^)Uf(@tT7DY&Zy>=gf#2TYHizl#1qq!YJ;e_2@r4IUY=mW|(RqqWtd|xt< z-8jVZtD-zIgK4t>A86zBh0S^GA^$P}^k1jKkz&PyfUy_bQ)kGUnYJWOnZ=p;+o8^= zPSPBeuaI30kLZKZ8qEs7gmz-dEFZso{;Buv^Zo5lpKspx(ElS{S>j#Om7U4TvP+0S zPY~sZiEA1}geF?S3n_%kk6Hc%{)w=6rk{B0U|AbPl=-*=K;__64SY*%btwD$?F9@R z_B+(9t5{IUKB4Um_!d=+;*b+$#{^lf%p2|Uu6gDO2SS-NocSPK2V*M%09(Lygm|fW zvcd=t|K%wVmT2W>oX5i)vE!ekjdA`1NoG~CG)|4DB}yYes|A0g%C(f&%4YdmblfqJ z&R{g{4Mwo}<(CJ+wTFl_pg>?#(5l&&As!7xLp^7KZhU&79!tWHGvqgeeu$OTAONgJ zsMnPDS+#)XI0=XKcK}p+_`$&Nnb+aPBe=$z;(uSk=_jjp?)7yZ-`DzkRs9 z{>b_gKi|B2_;PoT7EtfMAeQs5-Y=~WpAugd? zPl12w9&?t|HAB{16oVy>3gi;9V&(6!(xt(|@)oBl!5TXZ5d+~~Yk<1Y;P_V{Z<qt4pdT0Fw6L&_M`!)dV(Hv?$yf*@p_a>UJRtCKcZ-K z3sWHz6u70jpm;QrFyso63QmJ|uMPabSRyD*njdlVu(`Yaa(Dai+snHz z-@e{LiSX2k_K@hU=hO>2{ZklywEX1=6Qt!J*{X%d6QMKLbx9F`Fl9g=LR5{%Vh z9!)8+P}{eR78uk&Td-E!c<}80+uJW+AFl6yN{ABesfnr6$0?jols~4Wl3BaJa{?}9 z?o+LlM06K;2^b`u-3T91HMReus+rA_!%5aa^AqsEZE%vSgPe9j2ECz^V0XD)!E342 z9`)#r1GHKcgYXW?*;ti=oNc^e`rka`u_B9Yf-d0DQdC`o}zdQ$sAw{DJ*dD@>HWHBcBU{4i`Oz}OSUvXTF{cNf%Ek=Y1#@p6X1Mb)UD46~fab+H?6o<> zC!`TG+h3ePQf*hKvnwoKgFjLxodLivfp6h#aAriuP1SD|JMl0WupCd}Z>s)4HIsB9 zGYB^sfkI<`LEpa=OSE8}BG=T>jPViVYGYJiTqLexJUXMv^w^pg5hn!B*DMDW!UWx5 zXiO^45I1~KB*`?W>-1b6d#7c$1*xjIuG_Bsndmc(N&5V`>(JfHC+B|1S*RLj^A|4)!=Dm(a4S71u+i>67GAp_eOv z14QWn8@Dc-mu->MsPqEO$*WLVz?%4oPM5t-@p5v|s6|AVMrd_)dO8C-BH*{(UdzVg zvgnUVv`Gy~C?;K7I5yRXInbznvxUbVwW;@e1Z@FRVs0*w;De!5v{Y7r>hy#Lu?|&? z5M&n{evEX?QOv_C0TPdZe#R@+X!3qcWEp)KJW4R4|uD{Aqa0 z=D0iv2Mpgtj49heZSj)D%jwOM@5p_^+2XGN4@ER30wUilvY_3yN2DHChU$dRPf4Ur9SHI26;62g5uKS&4F1rTvH9OHrXe z0DMkt@s?$3je0{=A`R=gW&&J;mIPD8txPzMFf{_Hjf`bT*yV7@s{1-_S$GC)X8AF# zh6jd$`k8J%2vucs0xc|8X!x=$)KaAW0UFoU8Kj{>)>RF-Bg@Ys^YWUT?WEwq1%n4a zIlribcXoLShwOf(j&;8D>})Zp9*Gcfv>HF!qF$ynQiF@-Y*0EP^8EIo{(@2NVTdLVd*}-qJkI^lmWo);B(BpMXmahw z7gHp3HSB6FSq;Zei`F4HH=Q2T64`oBRGsL2!>Jsumy&*qHKkKJC{;7|@Irl8bMr=> z!`QQr>(W4>L&7k0BYbPc+7epU>Q^eFC6skFekExcvFZfVGW1`aX&Go{#2iP;*H+4g zj5#AN&ybC$`d0PF@E9;QWRCs@1bkQlX_o~>E-qf{`A@3LslS^X0;*L2xp1+SYk^vd znx&)*$lX1I^UZdDda-z=zc3atm)FuX^o?4WAn&>F3K>muRrf+v;Ki|sH3-uSoCvTB zP06s$vx43xf-L^co8{xOVvY1%!4GR*x9YNe$rCsE5mf2u9_UZyjZb&SaE-DR;MwlD zl25^<6|)iPl5)j5z3MyL9k$PMe?y%OE3B*{8!N1FX_l@s>0R&ZOa^7KzoGoW zJqlrf{#d2luH#)U@hrs^6QNNgB&WvWxYbQI2KVLI(U$xP;ONI@>p=QC~;FH z=U;-@Vs;OKf9^r?7SDW!*hb)e088)?kSieAt*|VZ?60 z!bqRM!tQiV)h!}7@Y5Vh1vqYl8fVayDbNz9kiALQu+gLOU5$3SO=Iu}Q$vxMNHmsy zK~B(YFkojZ^M!;kxa{KgYQ9xbs9tF2_>_=Ax>$gVo*E5sne|#l`yuAMRbb4b12K6} z!Rc)^Xrxjqh;J()Ty=S5 zhEhth0FvA;NXpUyiKCC7j%1!LB#r7|0VX+Q#C1h1RG0zWO(H`&X6UoyW{BUsL6K8Q zfl{$i4d{p&(U}9$&vMJ=qum|T87V5@8mLq)2N2{|VD$aNHS-&AWSbHm;UY`4IFvCz z@8@$l@nO+YUSMN|B`eK}>|UiR;P7c8U`0kk5oXAZ=rS<^W5bwCFJlp#?6Efrsz&a!S>2> zbSEP(`<*d2Mx|?liq@NY9rME;nN!%8$7bvP{MWbZ_dWhmKP28+pwyeM;K{V;$@-Lc zb}#}$EQr%8>pqzj#c2&R1Zr~}*hLrK>hlF8$(_E15#2b#hSgpmBKq&$>ZRynys{q^HK3|?A8Eh#oU!|t`$&8X(=z5rw zJQAXq6y-oB9vL8173QaZ1?ACMXDes|sa7a^vyz|*EKem7bUbC}T8UEl^pLYqO{I2x z#?(F262?sVdXd-jTiH=elDm@ng*^JJ*RLvA+)1BDQ_d~$XS#-Nd_#VTP6q`HTYiOJ zv+R7>`zNyEPEQfGdto2{g%5g>pJWst7})#QzjPNi+Y@T4=mor$YVmm2;N%z1)S93# zSA3?a>~G1}Z-tX__f{CdhkP;16Uf`z2OtU2k^PkGfT9ejpXQ7y#X6t~3!X)1F`(=+ zFh@SQz<9!kz=!GEbnj-zTp@)dgg9+g=kyTb;qPckaEM9;=Kbw6i4VdOpkC04y367F zg_~t}a#h0~LbJvNsviO8*hgXCvh%0YZ=}&OaI5n7 zFf_~NZ>f)qe}d`2B<;3QdwS^#Jo z7gwd#nzT}@ynla-{-?JOdZ0b1ljcd{BtfGUeH@@c+1tnn8-uc5t}T&!7V1BMyOXMn zp9d_9B-P3Wk6IbEdf5>M`M!@rm6Kq2iULkQ>k@iy+E}V%h8{!?>LZ6B2tW{8Hv@2e z=@~Y+o?%`X&b3&tYn2?Q@DgsRT&iPUVOi;#+yO`*oS*vWcG*cf-wF=O zt*F*ui;1BI((nT42N6#m)`8X1h4MqpX7zMf2j!xlirK8HC&N0cO2az4l~mV8S!c~) zM!pCt3V@Pf!hj&jhWo4}r2ouJFIWH4QBuq@KQiF&E@d&$ zIGQMy!~PLjjG4J|r?;W@t}s_+X zU|ZnK2gO;5{q+(Z!Neci9Q;tvOK8)>>02HNY3lNj2ixtcBgutR*W= z+^9AU*I#Zt$04obqzHtt|m`viO=e<9tv)Yq5CrCWa zWK)qB7=inIsZiPwcRpc=fiRZmTl5c&+HqiO`3(I!`v%_MSX6MBrHP>`DloiydO@Kq zZwXM+9D)!YlMl+OvRLx*c1vY_PKBzs>PUp=-jHcRvJK-)$v&^k9!QUEaNdD$H?wRN`aP}u_-x!Gp!+0jw0fjerdqBm4_e|d$ zeOrL;599jPSSI8oR3i+pC~t^|El*NbLvb`q9YCW*$gATRVPD4j7%&e~K91;fBz7&u ztcJw;?wUa9_ojoKCMxWIyPTP*xa>ZD5kyI79lCLB5KkM7+8B3I-v=|!ZuGTZ+Mv?lNq(?mS9-$mZwcaJ5 z!}=ToGDX2pWZF-t&jcn(Hv?`C3ka#z%TUl^7y`g@oRxHDv&Ac8WvDWvtsbQp5i;A; z{CG){M}lSQ{lf3C7c^vQ<^hYBoh>C^FdLM2rxD_=Aj4vaKIn$3a^+SwK+wd3?cOo5 zu=!8iT8P)=nIp5LFz4z5iocRPSwij%5;eOGA%gS7zf_1H+LzoABMimc2<|uZEldus zU%Y+s?#25TH!nUs?_Kx)_{X>S|2zEuJ^p`#|9{{HpIf?4O+X)V)`&7cxPJfsPILf; z1>Qg0eS7!N+oyBDe?u=!NFw}8z61r~XTd}AM2_8Ol(`VcF(V~&dyhl=tOj3rw8BG( zM&Zy1Sx7_#^13%XghBbbhJ3<#0Q3NF8ybzzFJaJv&R@^)`n$b_aN&Fv&&(@1KtUKJ zwvE^+g6UlXL%>r4vUjRbsY5ppyN9T(VDdoFL-fqVqmM*?Q_hgM1}V7%$`^vdbh7~h zdE8$w%M3u86r5lD7%Db&Kd!p&(3&T=IR&@UfIL3Q2W;W}yX(&%KHmQ0=HSC8CU3s@ zaC>+E@bmQ@K0oiZa?U<{x%+he08Ii_W8|xK{i0{z?4@7Dv32#Q6@o_d(Uzf@n|u|U z9%w89RboMT(RqZJZKLa%`Hn_UyN+PBh*N|x1X1MB#gMRwpan%GYS^!c3ucC^h$sZ- zfmbKOLL&1bTz*i)3?_^ zJzOC3PEs4AR)p2n^3Uj@$^RsqfE*(`vaq84$Of^K9@b>MAZ@y4@^ENr2$W%j^oHYD z{X1f11d*8f(E|ab#~Js5i=8cw7ZBGGR9&sUtHw)>l1Imid{W-!$Jp3Uem2s1a*X*J zF7jSuHQ~9~H2X{H3uu`r;gW&EgPvb4#Pydb1B{iqJ*E!)#-RMX^0#J_A7rGXmtOGj zqD*ebDIE-+KBkys`*8$A>}VX^%Bopt4#@G3NTzpd;TzRomn*GV^4w6#lr6%`@Zd!=gwPBB?GQ( z7rrOI(zb|?fp6~}l&|~U+M{x_=o}bZZc)u5oM4M1 zY6c&|p(r;l5z=c7VUP@^sXYTE@RTJvl0ct~0$~oK9XLnJ0S+vxPbKvS<8p|vLfZ%ZPxO!x8nU32uVCI04oqATeLA-^F=7b=qswdh zE8V8U!Ez`?1UvkuG6~HJpPmF4*6*2h^m~2~7US=@*KjJ&1;(5Ubr!^|~ zqJUey5gxyKW5T$a7=)xS;CCy1Pi{!zEFs0+o7u(zefdQ_One@b;t)&Q{puu9{@R23 zr4aFyp4Ij7*#gdcbYn<^tAmmE{|#1RfII$<-XSBDFJ+k~B(8r$VtQ{EKa1)dY{|^| z7_^H1NPLf`K!vhJM2PQp4hzJoMg8Z^l!*jgp?R5L^`XTCMSNEFiP&1NF&1q?F0rWc z_9r$2x)`roMzX1wL=Z!_WVa+R4LB3^p3PoptubAHYG(X`XI>^-)*R9=L{0=Fh@eF9 z8BzBGFNziinsyQ1LbCT+_4W!@fs;{T#K=O`Rdvmp?H8=#Y8JzSYKg%94~k6K&M;IE zxP?h1c2Q%vPqDxvKBfg0`A@=UrPdl8d2UT0hRmj5Zkf}WXLI_oKgcwULmR|t(HBFu zFD+kpVamSK>q-hcz1(Qk6)CzdFq^}o%cMGVNO0!b#ASDF1|H*d<6(NwYGFqFxjU5j z6#0N|OzO#i+IfnmpR8M@x)Hk@%pi7@i0MO5PK{F88iA{@RmIW5Zk6ZhhFMfHab9{E z%iV^oR8%D^mXnVNcOyB|^l9UB;nl!m6tKAyTG6gj`Tk2GW#j;!QW0h;c+$zEyZ|$d z86>9Hs}MEKPQ4@U%Fy)+*mYGq^1YAH_4Ey`K3al_=%ba5)(#x>L-;*r$-p$tV1!>WPVz54n7xBG<4_WPHoIDhHEPeJzl+$0=j56xNK z1k^F#35iuSz^8VoGJPDI;xYT22ix=aL>BUzzAjo~4VIn;J0I&ua^lGG(!2^S319%q zrn1QM9c{_X^%)`*!X82;g5W&h!$3R)z9w2Q*rL~z;Me5IS>Q_A{G|>4kgh+q1@*g7dVM-uX|FVMciSFo7Kg;(@_tSj|RE&2gu#Q^Qc zk~1b1D%R+?YW?Xk3WWD$yGG}2WF4S%F~qdMGinT}GY)iT1>287IUfHijw#`VtyVdQ zkWc03vNWV$N*;29Ea8*C!QqOK5Hca?S%XF+S2Tjn`fIV2i809TZ^<5#oie=~uaId| zQ70IV`YZ5HPL#Ot38f~uY*xZxvRz6gbe>lQ0{!q;j z1prlb^n~P8%!g}AB6@OS264dh(7$O|o+Lt=4g2}-yhlPLcr*m6?sANL`nEu z|8c$o?{0s1w8Hwozx}y>cXRuZu{DX0T|e*rTkim$zw4ov{-5}yzCH zy8(Ns+8Hd$a%cktkv;swL8%e#U#FLyg8awApi+*(SF9gL)&&C&>c|&VJ?l^X6q$OC zMziixwX!=@5rG*8|IjZJnJ3g6l82C6gwK^Kk|@%tN3}dh9ui1c6u&$JXGP&x7C3RG zM(W5ZlaGYMALPqA_Xn0CQ8tpRy50~R2<&>{a;(Gg?EDU@By)(%0=%zNd3aCy$yF^v zwPDk&+aWmWVoCfhQ^rO?8{_js*P9&!xV?+n?8?ljuxQyqj~n9gScIqkk>OwCM&2l? zI7bL7a435PsX4ZsA%AJLP3-l@rYZ5jGnO^H~<%@0E0>@k2wHg>R^)7Rdq;btG1LMA-_v3CK%T9vw3fV;04ho^Q_3-1P51i za~$#_Xrw7{h}%(md{*yIa2APvky1uWG+D={=@*VqAkkVT9x4Z{A%Vhr;(=7ypicgJ zcA`EX^wO4wBhe!RJ06Z4fHE3=(X;C*e@jxUWuJ`}2Lm_dgS(5w7z0q5m50l2;X4Ic ziGm=u0Bmrs7v4m=X*S}s%{pm5M~Nri-JE=IldLX+#3>IKq)x{~u7IkAsqBmCvP%0n z@}e@dO0M)-a>ezl%B^zPXqkQm&&~JW|LOkj-JfQ=^`GvqKlT6g_RIC%`+I(V54ZcT zUq4=>%E|ls>)jU}lB(_BzYC#%Xf2Z0kEE9i;JL~3w3%*L+Wpm~wmyL9qp_ikc3JPQ zY8Z>Xk#%~Db&nU>1`kQH)|v5Lr4Vy&9@xY>sRxnh)sI6@T-=+so#=UroJfIm#4Ei zBsvs>H8M$A1k=!#t&)NkE2mjP6XP&=@CiVsqxx6MNcf(@S}k z(&CbPsFZF74wm-;(vlrzFCN@U z5u1dOdC4=>yHG%l4naO+8tVh4keYl<$rJ{Vv}kr$i4B$sz$t`b;&8aIS@A~JExw5ZOT;^ zyKX&(OHj13jmV<`t4-qUQRWb}W3AJ7Y4aTStb`W_8b3wZ7RPmCgsx1XgwKv7rQRBO zL2bYa7N6;@EXX&Kq;nn;az#yg3e!w}Qsu<|z`znoAe|}?n~71N4~K6w3B(=hPhzED z$R!6Bpn{973Y_3qu7oR9Wx}vxhV}IxlkVa~|runmVO_nD-ML7z0 zS<;KiUTI(NZhmGHOmuqv_VuB@f4F|Q$)7AhUW1>bTKLNjS2&vKONOI za?~AnSwRd)ee(_qg+x8$s>4=f+}R_4NrMM0e;`m%oD8jxrm-2GbHbAebs{N;*TGV) zOnU;u&oa8P4VQ4TldytYQ{2DxYWXsN9YV8G_k3nkj3zu)s4wL+$oXqm z=?t{yb9JJcwkoTO#F@G_luMlH*d-2v7}_5+bO*M#;ls;|)6m^ssX?Xzjc~c;z|nYY z@Ze;HA>pn^ix8Fux4Mv)oQ^Cj@RTkes^+IZ=4I6x3a;*O#BvHSmQUSRA94Gss~7;AN(y@$*a=$K- z1Ts=wkt6HSbIrZE{PFa%J}8JMO|KQP!W2Yx9x)p+cx($}1=ne57753YkE6#yYa>If zAye+*?gQ&W{2%7o*|#4_wFB7*d>#5$(m<1dcD=%$5)h&06cY4svj2?{TTGPV#a)p- zhGfMWn4W#31J380U%s#JZcyp`d%obqmw(hBukRmJ*HjfpQl^q0qUcUW^oLyVy2na- z;fXqi%s)K!VQLc79F1C+pr7n}XJ9fRu*$ZP3gLpY_GGb;s(DswLK$g$TsT6!%wT>> zZZy<2m=9Lws-nKkOp9}8no}~O)1zI~FmGtwD4?*_C?#1D}W8HQ@`7%+g=-WlrpTme@_J zumlG{sJ#mUEqqT71df0}C~WPL(#HK>H~H%FP)~M&C;fO0iIj)l#)V8iX=lb9$ z^9%UX1EVUA>x!pwWl3^fZRo)b$R2B&(>YC-*=t-&@D>1Dl+#y-SZlz3m4ZxM|EdEr z&76f4(rc7uzOQ*Ndledhk3xTFLI1?PkN(P7*afO+K0U{GuQ*fp57}q^BY+~hl2|w@ zS)93|mz;)hRHJJIkrlc|c;cD`Oj-Sa*L5=+XICP53^vjFn@)ODZKZ_Ov(R~ZmXoWx z@-Btd{3SfDo>9#_-d>zvTsqUH|5w~v*duT{`SaBZ9<{=x3*MN{8UE<4^C5SV7a-$}R0!R&xsw`JW+cX>JNqlUgV{<^ zU>DR);1$~XvG*_P=Ds|e9cUSb&E54+pRN!7$bTilph^+a5FwH02WV&?sq~4o6nsh^ z2%8=fi8vwqi9>=18n#= zhJ|fj_LUanB^#7ghP&Nt4A_6M8(Z_Tk}$67vqJOZ2P6qOYD^WR!UWw*6XGG(j_q8* z6{)<+ceydDzo~nRH1Rcz_48HAT$@AokmdziY!X~M`mm<{!C>B{B?nYLk@7p_NWk(L z#@=PRH{u0?7C|XJ&j=r{wrI1l-PKZ>=&&7cR=QA&jXGJ_T43AwAc-|8${|EyHR=Hj zDDMa38Rk{YCrd9D4sAB9r&ajeR!jJtZ(pnGbo7kHN-jQpIQR&7(NAA*zdY|rh3&7m zpHV;Wq5ksi;p?{t;5UE1`55NBKwX_1jY%8TG*~2FkIWIYEylgFQSH5+NJYxDbVxTY zdIOBepz4{=U@0wa(Gk?QQ5n2}Gpz|S?*a!0Z=+kOg)AGJc(LD%bOS9gG;X<*=%pKv zgDWYE`i+B^4jK-Yl8_K8G&tKRjOCOJR2GjWR0pKi$a789C=i1{DKTh4K-~N17REKX zqe3;8GX)Y>aN6WYSAGWZ1}1FxQMIm9WMULSRckvBVuJ_ighd>s+7=ts^ZUU5KQ#ln ztH4=ee&;<#j}|o0pLu%9Wh5reSpV+D^lF!*h68yFa{)m%f68v z1I~eq!@?d(vVx*z(ul^ulwDaRLT6VZ0b_I@dMncFCAx3?)u5IsS4-79z*Rl>-cs+w zU+8urFXq~=CzZ3mkGud9jc~Y2XLPxLnO1D>nxco?Pe`*d8F<|5apkag!lhhaQf83L zRlN8b|I2)cBI;=#Dn7BnZlp(fV~ReJdG)xRbI3vjB`>gneNer$tc7F9pP)Q} zjvAbq;T4hD9ft>li^q;1##cO9P8_9t3WTyA9rmZ}oTMuzrRK)5)Lfy^ToBM$TUkKs zyp~Xui}1z_B`77_urcsv1bDw_>e@F0>K2O$I`l`w*hG5iRezoKH{?A`n@Q{(LNj70 zES9`{JwrWM*mv&9LeWo{?d@^7Z8*Dn8XA-fJ4(Tio+c$1sC^)E{yQN-5H1V20q({10^Z*L#&)m8Q4 z)Ajv7nDF%T^+#wdY<(wJ#7Qe#L(tk8Bj=V$t0&2>>PD6c{^T5#-Z|v^R-VAaQln;a zeF9|>p_ibRQZERP)mV1$LRBz^0qA2{coSsd1=ok;oX%s3eE~+II1AI_>yzcF_Rx&Z z4%_87J3P`UASbTq=GXm|+M{};f*N9_N~9N~s=LJ5CTB%WF|j8f!TNI#e2#7e4?(k5 zh_|6>U-#!NeM_F$6u)ZVf!GW&TMZm2l*eZWq4Qe(<#T=W>+M4gWAR?J^jVL3_jiAJ zdH3bp*Z=7Mrz(3ii0z;2m~ComWW#Md^vFsRs^;w_ytIo z6!j7;azwPGA%!@<8g0Hpf$rj<5*m?|3-)1Z$125TpNEVmr-8WDM5MrI;(#3D1M--vWvoxyJ@zY6;YJ{xjAh_Tas(_aI16}hmku0qv?;j0N~ zJD6?mb#oAZB5j*Px>weoOB2PO(TL8nswm35iUtTc=|JIx@W>4P1!s7PV?bO0^bFvR zL8PvwINnpUb$7M2lj*dfL|)}~Z2}XMk7(zZkRmo*<%&7AY^YC42**f-r}!0e-%Mdb zd?a@aMS;2!LmmK2w0#X~0TH`~P~tN_?Nm5R=3@;juBWX5I%hY9Ys#cD#J7D7=| zhN9kH-`(Bb+#NtqyZ_Yt`OC-ahue=g2Y>iO@6Uh!bMFtIZa#gv`|S^qs-NE8#l9@Y zbu+07<>*3@LWhvSYZRV_yh1^i)&BTm30Vvb6D@i{RM__e;{&MHK3hLVBD0*QL-Qt% z7^$WWlSO+EDp=O?jzzN4NI6+vN$(}dx){qB=Zyj%Yx@gJhbP0{g_VcWBBw3KtSjMc zuQo8n^0k!MloUB2BG245PB}EB6pIn{W}6}|I_Cl%qk2x-J8MNT*Fsz3%sFe@kvAq=K|mt&qbW8BiS(9AF^b!Qrtb zDO@0K=uzhMVnb@DU&x&u^aTL;qnm^p?6CG&oC<*?sL@@vpUyC#MkcPD21QBqPE)wZ z457tYe{*`d1L^CF0`!=@o{I#_D-m`lj4wG&-e`qS=bz~Vvd=7=1!VjNW)nPhn@dPm zN>@xSUiSTH4H{7?7$T`$GfN*OV2q=78I(>(uMLL5;vi+3%sz zug;gS?-a*lVg-9bV(Q%%8%iAKgHwqOMQ+ei~GfH9o+@RtldC?>@3`i%-cEA5SnsN5n9s%w}K*9{D zijE6*xSld&o;G5BhY9o4O@@OfZ!&qbSit}PFjm^6L6WZ!vkORp#)uw`vKIlRrBDv7 zd(7##JcB+6Ik`tLGLC?+hx2atnDcJ;c$H*)`B4Ww#^L;XZdI!UUe^D01jPdd))H9n zs0((D=HgGGu15e}r-v0QET#zxIK%}mPtbKv4-xS_;?ie9JUvE?J~fj;-LurrBBk05 zf0IwZ>1uvgepFQpWkArSV|7+&^Uk`{Q8|r84bz!@c~IXlgR-#b4KKJQ$@nxFkgm|6 zH$ncE=cx~deqDS{&<9n8Xho{{$Sh|H`6@Mhn_slo)kNblGmh~>sMTg#;8OGDA=hDi zvq2~H3kvzLl?#8%##MbiUNpT`yikbSqfcqdUzyX;4B7xzr5nt|OrsA~Il~!UpmAK< z684c<`Xr{W0F;uHFh)Grl{4luy6x{(Rsk_a21{TCrD45!(hvj<-I$K2T|wYIhLi`7 z_ilKK{+9fq;~oEEQ+sy-8-dxYh#YLp6k5ydV^tz3*751|bo+yQPM?^i&ut#Ja;ILL zd_Uk>s5N%#tNDNBHINg2>x&8}Q8K5CKKyn9NE-F& zwsH>wLe%&LXg+LavtNLut{xp%A^8up=E%TlBok9h8r+f9cM9f}i z1Yb1AS#{)YRv5;tuTr6iPs-8FZfVkgX^Ol`cE*(jFHvkx=xi9ORVbs-Vc6ZV^i1LF z9G9QZmd?Y)Ls|AUO9CO<8gh@b zSa)jo+x`Cb-9HRExqG#GW6N+U1szcIX&BYt^=ctUjav?A`}RlZ|9H+AvBqN#Dfqzx z0W+xj;H+JCBS$M!;5x#JGG(Yb-eXg!zu;txXHuI2qQr^77m45KQB7)JMpihT!Kbs6 zvrNTb&qm^eGid{E*eET|+vS70k~QkIU?CsweygwF{nxkKyPE@EVBw6r8#V?4=%zeF zesA{rr5rE3=my0&;5|jcxrr|#QK>)5gf~`^M63M>#gJ|9S?F5!ZkjNY!KkZ>@pZU!WBqwEHsM-MU_Nv;bCiZNU*oQUjOvTlktYQH@ykcbLg8j)oI zTjo}@$K{Ok@MCR6O_Zfkug3HlE;6T9q2ci#vIi~5E6B&u!0^3@!lHr_xgw}z3d~>- z>eNuOF{O%>2?c|35R(Le7z_)G6Kl#>b}^Sf*%zqk&oAkBCCUd+E4mqL1lVVDXTqtW zLBf(7K&1himBBA#J{v(^h|Rcr!;~bYO!>&hkz(_A4eNUw>x1$Ey-X{qKt&1ZC2%fT zd?XMsbEi%hue8zb1f3~PkYftEWZfpxIA1q${iEA9BP0L(DGw|o*yV& zCyenKv^*B8tTm)#P`<*mvgn<8isi_WFjXJnpHT9DbW!ic40-qpvt(<8PPMK@*cn=< z>K2z#kB+SIAuL2|q_76!d`e*Ov*9SPK z>UV#?{#?Jmy}y3@@uq%v{qf^lG-EsPH1QKuu3eyB>5Co$KVUP|aZcwbJgr_X^h;bV z_7b>uCn^3$38dr&6K|i6>AOX(&5BxwGl>vr;^0HHNf@*9xTaR806*rWI%EL}!i|nT zl2Sf$Bts5a7zMCu{e~DIu8_Fb0rfn=`6@aEyf{9c9?1rZ&ZzlUZ6T34I4)3yD2Tnr zh^`M=gE9T~z2vOU_E5f9tAK{HTOKhCEipCdx?mjFADQ=Av*3`ka8)pXdD&h-t|cYJ zEv2S=o-hPF+7gZEk5O^KoD-%e6l7!cH zdooVA(7@Y+LR`Ail3Z)@pg5#{B1gP}!v%&*!bq+c*llx0WVT+g?_z&;6@)|dvt`3k zDxiR>NLv3JBZ+`4L0F+Wsz-@+l(~&~Bu}9Vr#r$`Mgy-aZ?Qz+u=d#tC)Yb(IGv#{ zT$a2_W=#Y(xH+0-OZ&5a2?{?ZM*nXTuq9<&6|Zl||LkK$2{i zK#oh?#uhBASO^wNZnZm}5n~IXj~@)8+T@YI@KG+Unn0@{L8!IQ<%dARo`o8Q5v@+| zG0(c{ZUYnQS)Oq8^#RvKH%-Cy69Sc1J>bbHP9I$pWg`>*t}k)AIXhnNUWNVano!u* z&Z)Y)I5{{d@z{vt6z;fKrk!f7QZn;oWqs3h2Mc)0bGEr9Yky(oY;Of8MhMOM#revZ zF4abyiR0+|WtwjSJE9gEZftu(*O|5=7N&)w7jTU-9VRrV|0hn?a>_%qUcbQSMSsC& zBBNg;fh9nA#7M4lrdc(Ra$W3FP&b@Ia2lo6R=jj6!48i!?LF(s6!7HWxo{Nl|OhMg8ws#pYN8lR&hq4qslbvMyhmOea!2a@Z(nboG5PF17@v8ze?wxsd}~Y+au?RVI94K41|npJ zQUQn`7|LD>!Sy?;nCW#tTkUVj&yW-4@upClMLBxMpx?Ir{cu~8=VBCvL(2K-K;dw< zrtpp~z-i~8FM}m1j(AGW1s94WK&F2~hP>IH>{kcZ@893O`1$%HG=ZPL+`fN)fd8zq z^*);kB}QiOZ+_|d^Y8xhvnUSycCc7bGpk^*3}dJw3;7H~@%YR%39(7U4Ut~%M}k>G z_V8AdCH_n6u|NdEl7Jx*+S#@Dvkb!O3pmTStNkt6uBIhPLlQ&@yHY%bSY@#W95iwJ z6AN_1D7`b)@X%0p)2K`oaoOa)!@2i{HeYotU#1f8;N6Gy|z~Yjb zA`G-dSj-iqMPKrdjh7FCZvcIwk!_%{-KtXUez$m4^?-s7iY`@&@G^;-J7H+e*@8dF zd^p^>Pg+EC8tqNFl7Hb0t>R_fqnFt7WvXyc+Czn-QkbNNh0CR^LxeHIBCWJ6iItEk zgU2@J%fkg^kl0aB@$yuM(y+c&vObEjrN`43h({K!IsjFxVYqX_Nt2%HX2Bp`aRxKB zLNQb+^pK-D3*#R>D^#g#fWE@^Hm(=;wmCyL77nf5VgIin&aQY9 zhpVGUku01t=s!KB!jz!lr5^4b_(O1UOaF5fxUpe+V(ka?Y8+KM(KpnLcoCiCda_g4 z94qHx|CCA|K{G|^d3H!OZ&qRBtI?1fFC?=NLQ^LrqyrTvRvOI8C&L>M3Nnh)20z@% zOJ-0?>NRV-vKmSvljo%w&Si`9l65%@oT%Ykf+c)_`@$BZjqkgDyw%~(snI@t(Auh#N08FFRFUI7E!ZY zKm-`FHRr}QCdd+XJ4ER$>iICyD{Yr3mw~ddQ|ak1}tJ3sR9rkLSS?J0dP*@IbZ1h}NaoX*^+#_qu-g`?pVTg;}CV z-}~Fo>ie>qm(lS*^$u3UP!Ya2I!xJta!?q4^jKqGU~2KeDJK!-zi`?Bq-2$A*Bxkb z8P~wENu)`6f(aCBX=qBccQ~XBK_w{uzIxQ@qd_(?{54VEDvlNiw>(ejHWIZc4Vjn4 zSDoQ?t_I=v;1A7}px%L{z)D!8WZde}E>%5ZfA-Ao7*AbcHrA?f88?T4tBVnhjv2a? zM7}J+FHi$37A>?y86vhVcK>NFsj5GJ3y<3h9PH%7XkMt zPcP=Q8kkYv+cWN*Mj`?bDakUEP%jKw=q+CF)?96L%l5vjghWlNacSEp!6@3HKMXwv z;G1UWHm$BK^xf-Upn4vU9zVCh|^t=)|h`*C8CYU|R zK&l8dz9%mN??G0e8dzPDt)7gLl*|&r;UKkO38F|MMXK&g6`;l9UzhY=)um#YP6Hhy z@wc8meED?y?qL7CXa0zP_V!WG)-~J?{~aDVVG^Dhf|Hg>bsnD4oNU4)aal3)bGW3@ z!UCyx8}yGq6U4n6Jd%1dm;Fpy{=1j00;WFE$(G;9}g- z6p;bI#YXgv&Kqd0K`MwLREPgHuG+K9?*K~>?p(p<%Na#l*TQ&W;u0ja2KA;qT#ynS z6G?R8=ToFON;m>3t~E~#i2&ZGykmu$TyXv1RH5T?I6uY%9a4eD3)o+t*@*2@ln+d) z;$=S@g+VzRysmX79ozx_g5QX*764Dj#+^0n(NN5myO?NL9P$V0QtFx>G|cNuII^cH z3$Ec%yY(TzXY;%AW{yEXL!5>r5Ddb^p$VBUs+vq(v{E(#FIq*sXtiF21{tZr12hgr zZ<&BhDEpizsHizxNr?`iyy6N_;#OlZE6;+w*^G^wR(kvy&z zYf{T+wng6_bOEjR$CpTm@tV0F(T86T;o9))@ZztcluSI7jG&|6JKY^K6L;Gts9;^(QVPAb9FYeoCR$RhYRPvW1=4U$bZ#>n6YLiopD)7$wy}C zdY!+9B27bB-27(VLI0r^pvtRpoCcL($COhXKEWv#!YRnqE#9M%K+na9n3Zf;SdnQ5 z)ex0sA%wKHw4pDsXKjzm_GA}=DR{u(ah!$np0oJ{u<}t8f*kdD!4?b@DrC?=n?pul zQYG=tSuM2^dwTE^qLNif72`sSUE!#$8Uh2>5OCK757!K@yhPhll*_dzo)N>QTRIHo z8qnhi2zVx-pq@>%48RBN@S~7pmb=v(Lj8;cf7oRR9X@Rb9by}Tf~qb8SU+tQRnmdN z(G+qcQvhjg_q_pHd>AU35ds5~Xb^`Sva4pg+gW~0^6;rySzxZa89D}@Id{FH-*WTa z^z!%>FxQADED#z;!6=~P?68Q&f!wr0sw zHcziw@6v{$A86ZuNS}>%)$(=+w7|BbadN>F}b~ysEKcr2)>y{LmiXk2KF3aWN0cunBrNiibe&X zX0=`cB8AGQ;=+?9%i8GCxC*3`k0^)p#~8SO1wl|FQ*^NkJhiDdkl$uzN0jrZ;odpq z%T}HMJ4sJ~Bi7$+=lBSX#Ja$zo+U*5(?P#;X^O0A>J~-IB#6$F^VhQR!=yxzi9f+n zLEC4(V-OKRNVX>FQt}sYI)#&LnXjakZV1~_sR<`61Y>ZjR+7pjk?>HoEr12}vMVQ< zQ8E~W?v79xPX~kGk_E);qMrArXg=hocH~(s`s_u2$@I_&v9BS2iZ$>g7r;{&z>(oq zXw}fNpPis-AQoNdx-4K&r>Ew#5)RY<9=Y?UTkt>@>t)s>vZj+paZZxL;bk=`Bs`Qn z=_EXuKxMi*IS*z~c>)QKI!nOriB_9zeS5HZdPF~Vn|ZyU&7A55SYk8m_gf}_1oiN- zNd+bG(dne~EUzK_=;){f1#@z|8E8u8@I? z=DldE32{Rwr0}K7CD0>U9kQ=#3UcdQ2S<0lfVN->yg3Lg(;g7E8ldDnG^46+Tif5ry6-7gy`u2Ng4_zmQjrq0MV}<+Z`p zr!SxX&-+{9%a63T0$&?;ls-$UlUJ1&gAk7`{03l0Kzzn(Qb2nmkz3wmu0ZeA>kBFs zsc(V;SR+bOY#&=xy_RzO?nyUxSezq^oy!9|LR3`wAMP$2&9qX7fgS|4n~5_`QdSF&JRyxbAEUloAbj!u{l>PQ`9?xt+U(VM^Db+f?qqMo?gJg@TV0RH9Spbk+B` zRAw1g{c_4|Kcvj^{Be2GoGt1V8Z|GCI0GwvQ`ViTf;fqTqJVa3=dPVlb!C2%N0JD5 zEGW7|MdxNJ4m4CK^vjc%&L5}*B`UsmYl4jklN?Og6H}t6SBLx+3KQfF+=Sf$67$cV z{0lMn@Ltl#&eSpH-m@&P^h&QE)Mm1(w)$64d&Hc|h6-v=VGA7MbxhPZ6Sn9cu1u$?glQE8qXJUg=N!90rOMSAI`Jj!w>hMYS5{?+ zy4dh~iP^MygEF|}qi~^%GQ}u|>Qrf>R%-j3{|hb!Fe6*j(r%;_-4RJmI;44rA0#k~<>+40NIp3Sp~s7Uytj z{&qT~`-sZ;D6Up-7yZfTsyLaUX{$<;KrW_^#K^px)%4II7kqPiz~v9x!GbD5 zEj<-t#UX#B)_3KJ+(Q&3n7NAbB$r2K>Eb6YAxq&wj~LZ$1z$^7NWp<%xdep~e`+D) zd|aO!wW?Q{o0nxS{W(>SW;AUyMR*t{Gy}$vVy0Y|4P6oN5Lr%Ih$|q?(u)oAEv?*T{xdJejSiVRT2h&d z5g59|{wm2~<{98RH6t7W7*PY$^6BgKUH##6{ZPNZ`EbiTI29?Lp$>(PAC)UGBG>eH zA8*S(BiSK8KHj0xPp+rDz1~XZSb_u#LB%v$ld;jTY1^w#_-{H#2^;%Bk60c-28Tj$ z*)@bxcPmfxW!#gpPxMa7LG!#9JscbduYtGUlMD%e<57*C_blYn9MY$31`{XRK(u(- zPgZ+S4wk=_Rl_JeGmTX~hHNk^G}&IeF{wT~8Z4z*@*}w+1Um^!i5Z;{YIw$Zr&x$e5ql<5{g0s$=MQ1&EO#9{Hwt?7$UmluTrWkg1m=EhkctmC&@x`6NPB`dLSfxc0D$3LurzH8|P93 z-4>t#?!~oCJv!r5(?nB@YI*RDCAQCY^ND^8F|rXzRxC=arGR2VCZqw@$2GB+n~l%v zy))vc$0U@p@6;%xCa*^I(ET~3 zHW5A+%HJf{au%FUPf|G`jo6@sZ8?Ji?E;IU$_ERtQ=F#n#G?cR@)9mv6;+y0fPi8W z-F&%00i=pr4Wtz~+9Z)LgDeJs092?y&=|;H5;X|&aWUNBQP_y?41L*9ZvEsm8bhXp zF>Pvd0e=Nl$AP3z2L8+YI3dRfS3WExOu_9(M9>ALa1taJ$^narbD$0KYJ_>1BaM$B z*o!*Tp`4{DDU;)5!}0{Fh9XfB=1bZa?a_-<#XMLWW97%#DgLT;0xAc@rt*MUPZC8Wk)i@N@ck_jM?mV%A+@uqc;M$+;$2m-sm!2 zHk3kjAho8bNHRT1qSaKB0?9WA3gq6J!nIQGyyv{IuP6803huNn%MzFf$_IVj%}Xv- zHuSxn@(0Pa2GJ)&hTeZe+}s+Tnhiqu7MX(40DU*`WfF6E{pLX7^;=W)^+^wF*8P5T zCjo+UP7H}UTlR@}P0n3-D%eyPDVU>kj_zk&|NZ*s8=!wb|3qW?S^dlV`&?0xqk%{5 zNpEEMR(@~0Jm+rVc&FV0dq=6E9iHCe_lb3%n4Qg8`UY6m7JI_e!m)40{U}ss?e}m7 zdE9X)pr%1pj<$+ zc&S!#;vY`NpaZQ?x)BuucnIipSRH(QxMKk_{`K8o>d!a7e2>b=H=iHAhZiyQ_EM=# zNV4x*uuo!We>m+gVS6cwir21&3Ial_>3(xo*=OC2n&)f>Q>}~~0n_V;#=etUU&M(`WpMp|x*k4;geTs{t9xA;i z>m!>-S51RCK|AKlh?iUiF5FNur*U)(Go10fJimmsu>}n82LvAepBJBQKa;Msc>-X5 zcF_I}{#coc3K!@MSNHycs(1Xt^El&x-}S@|ga8qF#Gy(aMPrg-#2>-*WGhGpxYjFq zE%h@%JyO%w;qI2Zd*7XS82sm1bgWgFD-dZMeTgJ&X-4g{4ll1A`#!U*0^dKC^5q>|AV9 z>so^I(R{$DT{wE%;|Xf4Kb#5lcSxF@n86T%Lfq&S!jnoLjhc(IJgdCL|M~ zOw>YhNKI*7H(N?)lZ1*%n930suU6+lx5+OTG@Hf*-YbLWB}Gk@A#!b)(j4GS;J~b| zrkn?Lvc)~qpFn>WNsMMUp7K*QEmE~8Jsd}9oBSU?5Ne>AQ8?EE=s<`|*BL%TtRp$= zXQwV2OwH?!ZE6fNo_y-tvs{5=oyp#~>r0uXRB_*I&%zRyhYL&HF;SOX7`~|QeG&4V zr>(E2%^c^Z3?Wk#crjI-nvyE^qi`nn$PA@?e^OgQ{s~88!~X!QTrfjAMuZ>_J(r}KxOppCz9@}z z>R!kYKY-^CAzjpE=X$2^SqOWG+qD(;n1ry$=nyWCG&5Xb0AxjB^NW0nr#5dxQksBo76lQcH zAjGl=_3;bZB7mR`cee7XlS&FmJ3Je;DU(fIZU2%C;7BWS3hvmK(@ z?NEiJ`&-pDvCS@#gSkZ(WFlir!}=TM3zaYG5-aRX`sSe^nC6^nZ81QZ6w7!n*g?lN zs`X}8f2QuvS&Y~SM%*G&Wi!B8IoJ%SlJg4HK|$atiLy6~yUH{qHP z6hiWgDl7DilM{*RmXy8NpjFn&>d?gQo_S9QVYd-%rajQL=$9C0SY#HNaAUJP?zU=i zmb`&0rUQ?5HZ)Vm_hi;eH3+a>1Q@YoS{uzjv#^c-qBWX&v)xmO$Ve9A{yfReC~@!u=n(X>qi`U&`~8qt^A=208ZpX~>xrKd)lj zc}qAZ(PD<+ri(D)UP6mXkc#?~S_w3bij!okpj6o@HX`m1D>CC64>fyv#zL^j9)v@i zq4rtdKdP#@cNn6u1ma??Gxil7zfsB#~11bdo4kp$S<%QH{N z<=N%x$t(t$u8@xeGuyQbJ<})Xw2rsM$%jz}I?nST;Qb$063vYQ0P>|n08~hReM$ge zj$v!b3V=O8G`fvAiYECY( zls7wRH3OZ8%@WgO4Rl`4)ZB%$D;kUy#xOp`byo2XiW zF9fbbN)llRK@5Wkx-zP((d4z?^q7VeZdX>KuaEDy`wv)sL77gpoUub(Z-)3DnHC&-4 zUN%YTES{G(I>#Iez~?-5TBfKSNJl-Y*9b&7WR|QK=%r^GauB=5Ri|3EhB-tmzG_*3 zG3Nu>&^40b@xR^44p6M^PU>*N1J=9`#|?TINT`g$HQJkTpodVnU1a|>k6QsVZPdnTwM z;XgGc3iIUVqDH3O#&A9Y?W#MI;V*4Bq@FbNob<>u%~o@w!80UeX7Hn+$4NnD9)4p< z!aFo`7NHOK0`pX=hAHq%IIE2vWF`(lc(kOmK|$YyU{dUz=4pKFFDqXgJv#;Bpbzty zoVka8_~-nDN2}Zcq8S57hIL~>r{aI$JD?o@?)XA0FIlK$-p_JN4(V#=dSoRmz=xEB zHm|1aGaHlLQyet4TUR-VH}P%i2j3ViisZ)Bq@J3IKkHDO6Mx#IKSXZ`HU36?7=s_-Srbjlqua zwB^kYnUauZ}je@X<;sdvI8CV|oC+nOg z?`nFP!oY}EYceM)W~09N0%3B|NMlRqAaKe#uv}N@|H?3tzeb)(Ot4({2WyQg9oAld zfHh&QW+o-;=DT5qLIiH2S1rRqaLS=}Xl|lcA)uH^7u7Bj{gR_;8%Uj?4u91LQ!p*o zrptOcdOE&S9WlNG>7c?lNg^+A1AK?<&qqq*3D4V5h7(yHE}YAbiF!Jj$w31Ut~L7$ z*J0+P{tQb7@3Av@kdZfQWAHe>$;gZZv5Epcwc=#X%fQQZnW;lGa6AoNskSM~!0|;u zBB7h14vzG{;J<`wq;G&zN^6fuC~_E(O-%+QE&*V|rR3tEcc_&sJ(Xp_QY~kz z^-F*GOK&)MPHQI_dh{y-2;g^+V-Kz=bBy z)mVc|;}J~Kp{!+A8WIX_?tKBTLTN|_A5>wTSL4(zPgsR3tz$_jH8$KRR5cu2f(c^@ z174}sI;}mZ>kbO7qz(n5ri!l}99+M6`@DAz@%|R@-s26y(g%pc6GVX}vxUa_!lGmK z^@EH*M_>sj22SbcC>VD7yoaU6qiHhidcH$t?1TGIzn2KHcmD{h2TwB4sMV9Rhr1vX*k<;IxD(F>eom?K2$uM_@{KqbaaT z-#$Ksj8zZ)jV&*AeDq90mAI{_<#3PfdwOMWG{6Wopn)}d)SbJP7g8)}>G4Dd$znEQ zYeGS`bWT<2k{n~w_PoB>2&_J_@4KcbDUpQdJ^uOKU!)k@e^mdO;TlD0Mg;K^o&J6m zmpI}?sE&c|4m?tWn%y}e&%`hT$X}X{G#ZScuDTY-M-jXPPpYRe_o#P_cdv|i(ULpK zYc+gd(e(9@02jqPtw_^9+y02;HPEuf1{8J$AlKl~h;dK_n^Y>zWZ&1=0d=3ovWH)Y zGyDKY(_1~n?7{MqNg!r|hSF-GMVSkc<^+);A$G67bn#kC`bfM<4d}uQ`KB4&gMPq8 z(stGFu;3rO8!!$)-{5QfiOzARs5rHo z_um|RgRmp3zofALZ}QLQ|1tbeaWqg-Yxx*>F}fEr?d{ODH(}q78bp2^gDQ)SUdzCS7s{V|E#&QK;gKn%_;o9a0#O9U2jTD;_@^t zWhsT`UWJTS@iWRFMr_gs)7-00ZgEmZZbf_e33J&Gp{up6d&n;&QYq@6jdR1W4%tZp zMiwa}QL~;(iYf`DmE5vUSp|=+_&hWpc{F8Pm*knu>B}N5qS%W3iAmXy&6L+ukm~8l zD@4*RPf*9@9BLl+pAFqNnIqWZs0^BA)oQ5bKxx>+KBey958%Ml=5TXSd06H^%Ffh> zd=$t*Qo$+7;Tp$(%pg*_1>mdix2I7FVGF6t_lx~0=gM77Uy@4T(K`s8s& zD9*L_Qh^#4H=J-opNCoXDrgI-K`^H09JSP5nZXZ!gW@kN^5v3DvK!3>pQsR}VP$a6 zmZGR;1|AvN6s6Av^CsN#Ov=_pgI)lvoq!o5)UNNP>wW3r4`eru#5no&f!5i}$Nd0;H_|;wuM^M=sEyH^917lm?2G9;U?oCT@JU4){x(^^j*2B``nV|T>*(C2awGogmZ5|K3p28J?Jnc zYH1*)O*jLH9LyG3GI%0unzQt<;Pxi20+1Q5Rjrj?NqB`lrz=(=RlXr(_yg4v zA$LX`K*IRZ_= z^^n{on@}*YJE!suu#9f$Q9W}>V5VYdkr#j%=KjC3qo8gfw<}n(qL_>{qnC~PZCk-T zeI@r0iUxonO7!3i8fkC2^fjRAn1>Z7=JeF_j>OiC9+V+&u?I5YSf^%X00_1cw->{{GK#p<9V zIY191aPC(!oP3n^O3yXU0hAOe3TlVdOHvr_A(r`6-$m=P?+>3i8v$O4p$fd)503mM8ttBT0YeLmbe)<5t9y4b4@3yN2hwktUpQZ9HNf& z^q~4)Y-2@ClsGPc%83pB=q$8QkoWoGF6 zLEpRKWJVG$yVuX3X*NvoIsQEP#r#>aF>DjM$LUc*K~Be>YUv~V(*p1O#HEP!N|5ua zrC3n#A~A{5i}}xHUx=7)?h~vaxjTB+SqR(#cC#4@PwHUk0?|JTKMak+$eG}RU;>gh z#Ump@GwJ?aBm1(pRNq5T{`^N&?uVX;_o{ofeL6ka?@s}t7mr?D2Y-xs-n!^F=DrQtv~zg;CO9Cp~>JnYU`TZVc>{f&XG!A##` zxhHp@*4gPktq<8XMITR>$t!%WmM@9>V})*1MyT;mlwo~xigV`T#IOj&e2Du6-d_1T zyuT{2j54E}IbMn!D7?_6cL#=zsm)IMBV}Z-p_gs~&BD&fP(d)6sNeyy-2fBC0e|l1 z_vDeyI#hjL_%qt-u_|pydG45@>q>jgJ1%A2%ZO~Kj^y%5X3(6{NTI`!JyL(4p;Kd`GCHv z-lu;U#LFS;j5ygj^I4q_SqdbsUV}NwxZaSiUYKx63r=?6l%E0L^T&l|#)@1cy)?F?rR{gOworytt-njdP2b{YjI_Sx!evH1}WY+p^)ObH#v_G(9nU=ai@ zwJ_-s;~u_J3trxr}Fq zBLe0LGy&ck&0)D&V$_&|tLm*_DZ$woYD2QO zX0|e=b#=9YOg{LoVn0?}RY_#gpvMU5-_ZW8FF}`7CXFe#Gn+kE_5=S$h{Y>-VPh3O z#Rgenv2?ABHzwoqZkgg4D6v150)`FiE7Yv_u)4h*${09*fCr9sqM*?NCHH2lDgzW> zFc+yeMNHZ8jPSU?lUifSkGMjPtFx~3f}21OL+1*S$4(HUC|&h!ZI8*z2gRo*$F)v% zc=FFT=+*NJ08I4*(q+~te{^zzo`%Le8R!7o$JMih7JLRmQK%IwxB+Apg)Gv6l7@nz#iK}1*TY-#Aj%-!Z#;N1gU6Ns8cdB$vEQg z#alMV<-JfJ3OH(5^8%kjH7C6QwJMYnAHe4RYzjq-(Bao+hd zm>S$BRMCXhsehP7Am9NKB8G>-^28?3P*|qE{)i$_T)P(nY1^V@)9u6kL628@2e<~L zYEMCHz^v7;u_35Z1xc0e76KLi>vJ&uKZV5XT8@rZHmAX3A|8c>tWb zL59i)E~+K9O<1Re%G{6XMA+Pc;o#J8x!>`h##K&AiQQG>Hz#AGjH!zIbZHD}W{7!HO8~ zcr7BmRT?`HAXLkDM`e{L@&+&!nQWrwT`*dr=M4a3dfw85h5M07t`MqG4#_xrLYRRi zdqriuXiG7QC_TrTiICutMtVAt-E2qps9_u;lW4csfeRX-^T<=_pO`}j*U4G$=Pw_x zA8tS1^iYfG{z24JArbD36#4Ra zA|j=$kMXnUj*trI0C4+&%5m6~7diDBMg+49N zTMLiFC`Iw|V~=U-@2o#c{bSH8R0D2Z&z0cjaH0p#0Lqx1zoPK=?0gcQ))i5#7Cz^K z%OUs@ui)80z6LsZVpsJG_za5^e^&D60N>{Q)M9QZl=v;4e?3WT4Efs0C4ph2qq1!Q zYRI$u)X8~kuJh)`?6mO9k$JR7E6(cHmM7>7)HQU#Z#OBKJ8{Ba!y2-UwKE;GF-dQxeLW98 zzo6$Hse|AB<-_}XQ8oVqO6H%=*Gsr!cmLV@Uno0SopNChsC@okJsY8y0s(>Ltb!88 zD2HgoX=zeYS17u(I|05BT|zI{ znqHA;30A(V<*|*n@j|OxG^4youpZbMAumqAM5E4j8>8RRZexV79}Hh@P!U@7x()*0 zDJqN`(mSD+;pK`8_68_SS#sz= zN|il9UPTT&UizMFwnZG611zY4#E}+s4?S5tN*8Gyqd2ZFtFHvLDZGbeP^@=nrs&wG z*gAu^YY!Lbt7D?lSnw((9^l~=zpm@=;l7|u_YA$J%#Vdv+@8`BjoIkm{O*dD;Fnc&_~p5c$DZ4owYH4M`=t}#^f%!wg|WvJ_fD2 zJ)*=;!@wOo^cAhthmGBmE@`Ovg}%fr{Ixjtdlg3XUKaQq47_M!j^-G6{e~RPF$hgj zq4(^8fw|nTYv83s{xBLm?j4$EudPA2BZl%uo-3_qXKfi0HO-E8(l{fYaU3&sXL=e4 zwupo~k%;8t1l5e-kDsxp0L-lht%@nzm?GQHgD2l@AH1e46p0w5RdKYyP|EZ8+et*8 zXORhj(V0oR2lV0EoYW==!5CJs#zp|K^#3yUrp-|#X}TysC2x<}n3$&9GIG}$JLg(% zS_*BIDFM~BY!}_}2)82b)B`BB}4L zP7Vzd`19cBiC-$Al%$GFr$JHyONbDPTv?nAdjF#?>~@6 zetZ7>KYM@uD=t5`yZ`!Eo%w$OK(ue5tqo}*e$qJFuAGn(zL2y5D_{9~iCl)e1ZD_% zS~a`1bwKijDTG56C0u}pQB7&7zU3uy50{yZ7yE9#pui*WeW9vBGT@6W4Fj=)Nf;{- zlfL0L&UQG141#55AizA1BS!cbC1Mb!FyzbasZ@8!X~Po3B+K#p5+lANi<{d{FiV16 z;T&1ZQPF z3rPZvW^b2mjPPRZAGQLW7o3SR6hcs}h28A)4 zC!+ADdeDS=DTNU^n#qP{V;~kT`;{09YQ{Gx52}CfiH(6si$W~nF10Q|_(nq#=E%so zEcy)(2}0L0!znH5PE+ZEqpYunPmA<1+;LQc5JL}*OiQX&G@ zw}%S&UYMkqUf18A>W|-VAKoKs=Ix)_@s!QM>f5hh?-|Vi!)-nxC_CmrC}}&{5WmkA zXWgr%~3oIEBy<(+T0-w4SZA)0y^!one$Io{MpRvA=-#*==QsVu?Q~m7|dJfA- zegFOG+xMsXGfGH(j?>(1s_*0Xt6Ih)C8*gF(vVMA2)RaJO7Ea`$q8~kARm*!s~__D z_EO7?RT^D6uOwh!I`OG~j10XCa;>Ub!Q>*k5bD>@h(?EuOFFQm90|_hK}9`ci*+fBPp6M2*rUC~lXADom>Ye5P9d{K!)! z22By$*eY0cLx%PN2kX)>5tS4Qf#L$AMry@%Ym7aXIU4p50UUu=7z9yR-Twk=lIu-D zY%pq-S<%bxvdJwIqtJgW^rOR4Sm}b%4O*qZ!%pW{(zOaA8^bqMxnn z$_Mm(6JreS*<7zrZT&Uk-M-#GgA$5QlV_?_w|$N5ZOFQzC{21QBi8{sS^8ac|0F z{y~Kq@;R!q^x>}-(1tdxg*Nl30RT)2_?p3MFc4v!_<%D#tAG3L{Cu@KIXOSy;z0LI z@D&!O#SJ&ZCj&HBr(49X2xO(Iybl?4MQ$Sbv?%0&_)bz8bb@pX%S-#4FtMhEY}tTBl4WY#hvr-zyyPc8^;Q)sx*tQ3=T(iVJ+r2tD1j> z#~Z_L3>V(eF;QO<=}i)J9#22G8?EGhdX8=%4rXI7E{;6FeX(v;KU7R`H_7@Hg*?I{ z9H8a^sa$SPTt$rQv`RWaOH@XztGtwUxatSmzC+H`#Q}zQQ{wS=i8qw@sC`*OUlmEx ztcl!(=4lhz)m*>Ly>2et2JXB(p)nPfxFoKoIjC5y#HkAQ6yVr=HH4$)%(cWm4Y)b2 z0`k(eud2k=ja0fs4NJGKRWz?PwQaM!RT?^huPM(U{Z9a+I_wC7tg6|5z@TO)Y$h$y z5`exnQh@jN90m1691DCWk4F~oCknO>P_VT@1v~({c-aB1F(^=GY|hLM5d0uy1uBho zBm;W7vV0hK#Kvv1R096l*S+2>Sir{X1b2`53QIE-8RqAkVazs`B5;SOtLZ5aq31oN zJhU#R%>hh$*Ra2{bCSFmz-(5AsC1K|I6`Gtn+TN-=Dt8HpMY3JS%z3=4EUiTQ{uct zFBHV)D8;cMly{-5$UHQ#GUNwbJ$$-<`1JHA4DroNA%SY%9|m(lNGr}S=IhSJ)Q%xM zWI?c`B2~ki9)54Qt^&U(&rV=YBS!&$d8nzYBGeQBWvF-mYe26AMGDgjxVGm8u7)ux z*C*`Qb0$tg(h#-RWOX)?ubTLn)GifmU5Eolu3XFf9~RyoDlE1z$rt$e0pZqP@5Or~ z;dwPL1Rg9}u@DXJ+PU^!QR|CbB|NFAz)d!Z@jxI-LxfV7yCA+)F;Y=ETAHk4Hyrn)QC(nS~#P_N*M z7s)TaSl=hDj;~Okyko!#KzW#4L&%Z)fLY}ie;f|X1v1*gpxo^Ok>Q>04VfVkT&j!? zV@cXRQ)nNbkcadBLr;EwaPd}Ygr2F()h797LrSv=S&*6`PErr_^MtVNzIrBbXbhD^ zs<$uuUN!HLGfe>(XHH!L*O|kK5shfh8O0i$p0-`MNRJ`l=Y!&~YK03N$|KY8hN=}8 z-~!%wKN}y5H!=WdFj^zg(3~&Tz{^Dh0g~E%HE^Xr)9!KEGp;k5gEt5wg%?1-h&m^+ zb@S$ud2=KcmKo9FYmxjF3@C*t{uKILpWN<-vU9d}-rE z#5FP}8!>POaE69eI|0 z&as#|Uk$viL*pDn=6oqsw6d>9#jp`Y zqNhT)qq?fABb!7x2wZy~ZXcfzi1k!kdnSmnN?L*zsSQQFSbcd=p;OvW5{(R1TuP^& z>A#~_qbGMrbq55@J=}hMk7Vi}Pfi>Ti!}al7`7_&upb5*-!Kk#X16QJ)SNg0&ZM{m zO5}*E^zsNh;SR;f{K?fdad zSRFwFOXk&0D4-=O?LbSzSg;CA@j$ys)ZzF>6>}Da5fcjlJajLBxe<;FHv<~9q}g!E zDgJt6v4}!=uu}MFp;ZoYuer!3>-h>jT0`tWi{B!GQGLD8qF@nUp-#KL8!eoo6;f!5 zSG!@W09N_R2nxPz+DDs_%xmr{9zoqSK^0xmX9I_-)bJFQT7|@-%BqoGNoz6OKy@z$ zbJ~U^#z>~bATJ@{LFbGDMs&|r++e!biDrA*jwO589#oPh4h3e4+AnY;M?XRh%Iu$K z0i`0!-(1P^a6*>O&@oA9Pa>G=-mTWx^W{mhwvHLPKtho5Px0hL-sWHtGyKu&^@cf>@j$!(|!t!vKthZY%fBDNMdTM>+ix?ouB4`E$yf>VVXBk0NH(8 zxn%EeV8V#^spazZo4`-!D-PLi1MAkCf((F+@T5XjH7yI;)3TsF4T83$Wm(gE|DB~Y zX_wic_H}^#yc70h^wMMuhDbW#J1>yA?#D(6>fl-O32kN}M0GwG1I#6#KuTdD4iJPD zw8C^^(o}P#A_bb_-J+kA(qRE9uZ`3wnIv7R(5dPj{=CPZJN)^8KOd!$iDr_;mL{_I z-nqQLJG1;ly{#|-bDQAus4;$qL6LZpG>xeTwAd}F*-2N)TZ@F3s}b!3qjz45a3$~ zl-w8;2P-m2VI%3M(A`^l0iF}VVyWSg8A0JvN0P>d^M~6;M4i`&RY?d+V?_5UKGvWH z__MzBjE9aGlz=d| z?-E+QQBJMDFj+#ZhsL(9P;OVk*@!=K2sLljH=iXRKX#Ki{iZk8oCV|>0<(q-iEn<7a*G0 zSyT{;Y{iPblhsgZ5LRRODUX2lck`yFS4JVQbn_;KF7Z*f+}CD!3WEhEn?Pus#^o!X zSj{v2vA&?rBxM6|M9m>R;)cO`=(&r-=-Uiv0!Rd^08I!N&Uel&{lA(zRaU7K?&u|(5eBl* z?{tuo$uUmUvTdcy4k(I5tbk*&`j%`FVmgE<+Rv~VrNP366sN^aiGjRg>GDX;L5ejo zy&%A3$c+x^;3f&`54h@4qCwKc%~m+F+@irClZ*O26xVd23&w}x5JkAI(KbqY9L0Fi z6YAfvw^_Jo0&5D=8}#)mf|_KWC+3pn^(7pF>!qZ)oS?@gi_>DOP5RJ^%^~wCLX&?v zO@M7vHnWQb;nff2IOwhYo=^Xaez*G$fc@kq!b_0{{k;^uYtp7IRdsV32N)9}^BuwKbKgnWT-r zumsnjp|RMSP_EP)R6&rkri~_EvR;J<3C>4B&dFB$Ha?&&KG}GFAGQ~Kzq#=~qMuFt z_W1aaXsn_~fMIt$Tq%Q333U0O;oqB02wx#KtfuS zParz_$A#`J(wqhO5nF-jCI^9T=mJ5od}?qFYGAlGU0>KRfreS~TA|x+RY8f5!+=I= zq@_)z5@QoE8En~Yb@1LuOhYh~W>MV%Jfgu_1E^(3V@O-G!lnzLkk0^w1~TW%^`$wB z(jKViF1kerIy%`zJ~XysYhAQqDYQZ8W1%C6!JU}JnH7n;B*9EJlA^@dFDHEnEK8KL zfZZL8IN^}7EgxX&EcQF;o>Cy)J0>duXT^|}ws?lhH`QNV9*9O>VuYc4V&tXL$*#K> zh#q;iJw@qHaH37^CdJ+%QUSD%U^Nb3P8Xw@lgHqNHg2`$k&#N&h~{SLih_3WW*Fr6 zD7gUcTUR0pL4x9udo4_}tG|A^{fv~0bZ1SjAaP?VwZPM%_+P|X*y@)7vOzjR(S#;u z{PZGj7ISW)vovQHVkhTf=k@^s3ZO8lN_w9na9i3_Lu1<3YtZlVtRNXF_zSvB!Ue*_~pBVrNzPAc-$&_#8&O{V82@snj zY3N{y05pJYZX|~bHyX*4XniTOxlYUXw+D44S_0x^zO8?~Ifa@0Vtye(O!d|EW_5Z5 zUl4+TWq;=VnRtfSJZR9H!9XJ+78+-{es$?V8&!(3LJU>H&LGvCE*22r34dwysYEcUt- zGAqsE%3LH`B%~-xL}EN3j~Vq)cnXHg;T(bRU1bk2DDiwv7@~TzzJzT?Kzu9)BgLyl z6odiVOoE&SI~WqIQNMm_=E#P%&FbV1pDmF|cJTD)x4RdA+@dNZt2pvspoz9YKsa-c zsxkt@jVwc(k~{vbT1jQeh_W1#6L%rYZ8!wJS9ukxAcLTApq-WaQWU*PZbx~fx+M@ z9--i4_z92!Hi=b!{L7m+@XmAr!)7@CrJC)G0F^1z{Zq6SWpsU8KG$3trxh;;I%hHp zWo&kFv?G!1%UB|jgUW z^#$#EaBMOI0`i@!;oWphScEX6$xg@kiH=XZjHP0mB#zimBv61KHqbiQCmp7 zRAOOi4}@1sKx;cN^Xggr*~S?hmU z8L-Oi18_EjxSO2LpPu``(sOz8{*ULV4q=?26)Bh-P&%gxL~1wr*d6SOAtY!J zLVg3qv6!I2U=Ubvr{*_+OD^jaY2_I|-+%qslfPJ~k@y=V4Wfekt~Di|LHA){rRUx*oKa=jQeJRf6j1Adj37|nW{&M^7;r>Mn(1t%zpMPj!ewww- zzmc(s0wdBNTca^O4pvMcaIB;m$!Le5lPC$w`bRL@k7C{jI#f!P)!p)V&QGM_xILFN zylmqjaXYKA2;F%JI}KEiL=Cbn5lknwL-V2j#Q(}A?ioKf*`Rwhd zC)9@hHa!6_zv2{pxx&O$?$NH4sho4-v9bvbeO3l+CwE$*9&)b{_0rB z5acGbbcEDULyGPg2!x_|AHPExZ*JXX$2Ypyg_0&AvXmhxl>h?Y;_FamrGEGAJ1fIH z{_FM|KKF+!0FwMhVw^V?L}X|EdXB)C(@Qw|(DR6Y!7r4l%Kp{>9%%zyAqzEwEw?vU z6m_>XxdKgnVzS|rl(Iz{Bc_+|v}geVk+Cp0xESH5X+*csB@;=vmV=&);v|Y4j)hex z6xnGeM?}H)*E*C34~<2(mp5?mx;ryXc+JeJD1V5DfFUPVf3*ZcYm{11C~*Wyk-a2E z3k6cD*KW|qZipF2BD85uHiT^s1c`etB8iKnR8r{D4231n3{FcsPv~e2>IqK5#z-gkoiC6!TI9Ym5|FCRw*{k!i;leb zDfV{$mD&hI=|O1bT6*IYTp7bm|YCSf=GxMu=5Zom;RGe>E+k%~1go3Y0-o4XF)CaY3zj`k|OM zi%v?Nf+vZ|#iPfQdPXflZYv6Xk-!cp#U&s}dsjp7 z86NeK1p~aLur#R9Gu3Srg+@yP4X7ZZK$1g4e-d;m#>Aa(CFrfwq@Xik7GjWrYS(+b z_yz?N5kTny==UawQw1+_4?DW@q86PiPs%E(Pq*g?4Cq@=(El_PE%%DS)VnXs3pp}ATM5dnvR$tMxGHmLk6i#A(aULp-0rCb>t zP1#J!oCD+`TU}|XL&TK-z#qU_QwUttzec-nCMVWc-JvsUYf1sqJ0B-!L%%^I=8<+VRs<+t4@+;}C2Q9YLO}r`)Edm@ zmI7#3fgi=#oG#FJ8pYb3!L3iSE;`WTpN~&>U+TB_sF8^jl21>7q9bSpNIw#M1t_T3 zH_#9iI2VeQ`A0^`IP-Hn5haFVG@50Lg{y0629y?`CMKRMkufiY)11p=1|=FT{K zRT7U94!vW%aOzz%_1Gz278LI%F-xVm{TYuANc7v2jukm^i0dw^a|3CwXCB6U^$VBMgDpj5lwur8 zpNgKYwI9?$*kDlJJP`sCkt*O$rJL<k*0}AH2R6ZB;YVnzc{0S#ocpx62XvJ4V>|yql47BhwMhWU0GRDq06GKBaj0I zK?p9e#|n)g#cqr^dX>fn0z5>$~sHG(OAGE5v{G=~q>EA6rRc((W8Xr@1jgrc$ zIuuF8lAS1=puJzqbM_rgOg?_Sd*6dP2ufmp;jD0%yZ1#m5~E!zwol}+CPIbOyHTpg zP9>kaULgI3_1?OsW0q_X1@1;tk!O^Zh7#Cvau!61p$HnbjTOB*5&V&QPjqrpCukUJ z2*6iS&7=vXQ*+jrWUBTOy<%WW7T`_M$qLO-eJh}JeKjDRdfI}0!D+%LcGKRIK1mZOY z1Sphl!u(==43{yhJ)xWZioM2+S~haQ+AI~{DB?WcoHjl;BtjA)Wc9!GV7#PeR0@fK zQ(iH20myPV@#c)J_`dQ4-B%um=Z}brxxP$Kqd8ePO_}?jc`DR z*1g$Yw^@g7S3XPM6LF?*F(@4w2Qc%o4`#?>5w4CTme8}*w?H*YV$=vClwlMTN?bV5 zA_#k@3upv7U#Pquo<}N4qP}agJWbV^YbI|aX##%}(IK>o+@{4U8jwUgiijj|mApIn zfX-2M{m9?zhXZxDyy)TT4y+esgMbqqrfJ;hgfOigswf{qPeqFL%X;zK)m&-=#!pgy zOpLyw)#D#&T+NPIddd=efp}==9%L18y_o?qsYX=9h6P065?{6^uf}GOLu30GbvP+8c>a!!l~0Va4zG*L%u=c^YjH{!sJZe_vEX$h#sv3IDf_ ziQ~gwHJ)Xr`KIH-3*N*yeaczIf;ZNZb2RLYR8R2}uZxj{8jFpgCjN=bv$NKe%WTn| zFmNM4FyZ>5QPyp*-)4X$r83qDl~^i(J9TM*Kjj=NwnX(9X;_ggRN7!b95h`$m+T#VLHT)U`K8jI|v4W^qnnif>(v&gSqEHZDlpCj& zA`_?(h5(&wq`G&C*^OCtRsl9~#7h4>?!OP$4+JX+%h*SXXj@qAu))%879C>W-hT$= zxP5wh`1JNWJg)Tta@b|C_76d!V6oQtPo+(s?ddWkvR|qmp+CuH3zet(5v`LoxXD~JPaP89k*ByO)xkvMdX(3Z7&I;gn;Qnu6nFWsTd9IXy_ zm1*M)5L+u`1uYaA$)|7!t&bQpz)Dv#LkhW}nvt>O)Jr1OY>=yYx&_atFqsxG(d*89 z+oO(iveN_K>45fn*^Q(50Su;o00a&bQ?Hi@tW~~1ZYSrCzpp?_-8*F>dN4pB92TF@ z7D^iv6!6^qALSETCLUT4KFCPOIOc_Pk&y8rwFyo7c6G&tPEV|9Q}+Sk%=%sscnT*OE;lohTcx%X%^n~Yqe9winoOUKmXEw0s$2BgEuB< zlqQLiM~wP(_A6fjiim{`3GBUa1r9Mtk(a$c?myo?q40L(>&Ehps7Y5RW z7J_j|5Nx!BWC=9DPx^O6)0y`VQ)}ZPt&LGr8S3(^$c%hVuH27QxtC&m$KA+_QhmqO zc)klaWy)m#l;T!r99;qlXWt zCr;_nq8eq21R|5%kSJ*fZR+Ud4T3U&W@Ie}t-1h5BGqua5g3&@AdUNr8cJ2w$|};& z$VFO1&Bb9An zjmksi3zN7{;L)uPGFpBcA}o_1!Mt}*aN(qDnw*9sM1a-koeNDo7pTV zCOLR)8H*Y|A;e{V^JdultGImpEy@AliCLpKikuQkq=t0U%U4G|R3tA5hohcw3ePdS z*r+HBTaxsi9|SG|?R>t1)9}m*X*Fz#s+7H}f$Kz>?2XG#yGXuaB!Op&J#zV5BqeV6 zY|oKBb>wCtX4Il>nKnT~#3Gvc+hG|=RX1i_c~f<-^5u%Y>W^l8l^nt5%F%1ZdD2iR)nc$FvUGnc442)ilD z#UjcQ>Mts%Lr1IM{eJtke)swA_5s$@r@MDgckd78*R#pyNG3`E-Shtpr$2TU6%-XY z{juBd^3xxOKpt@~pTg@L_Z)ubR0W!~o@~Txwm*7%2LFd?Yw96Lau2nsc?b^JHBC2) zq&s*Agx|EOcYh?#ZwJf}xGn@Ue4|?PJ0=N8WkEpjI!muBXdmV4PJmjY@+{Xl$CMx$ zhDtCqFENNafsG$ezc#Q1-WX6nr0yh0($u;q_2LpOU1BB~)w0Av1`l)diZ8KoT^E?9 zL<}31oMW;Cs?W)EJE_b8>hW)s!SEmbz{#Rpgn@G~MQkPWK^8KL+%d$=;vFEkpvT)2 z(Z!ZqHLReFR@tE@{UuhXmx*DBel^03?7w#s!5Lbw6y^9ddyg~@Jp@6VYgdtztuzJ+ z)KHHsetkYowubV6$=5D6uoyw=FzcG4drLzXNT~n=%*TPjHm(=AYjXzI6T=i(f-iy` zZR-G`IU2qmqT7$Kmi`37x|9}1LZwA%uworNwyZh&1{)`t&O*?$feb2Q#NiytPAGSW zbwE~B#~6AWqRy+OCWn1B`d*kKdy4WpUT6npc34yEaQ{X$T3K`JVC!RN_FFwvf#F%{RzusgonhpcutpAVxOV^ z0P#nxtQIE?ZV!Z~c#Ue^7ne8QmqaonSY)SAewj!XYq;31ccJ3e>$L$P-XNn^{4Eny z4UtkCCLLPpI_ zE4kmr%f6cSpgf9F96uA5uM2I08@O+CNMW7NX#~@R{V$9a_P#Vr_nmJH=qGYMC``7V z#;7joY>m7f7}29iol#IUrMH+bFN|bM`ais{x2A1tFZn_jZXeKh1u>AStq6!t1Pdd2 zOJY7D*x^&rt;KXsI0K|wMLVr|$WmL-JD>m)ArO=yc2WIjSCc+S5qaz%Fc(TLmDg-5 zU_7{9BCHD{s|pMio4;{&=u?MOP6!>XVwHoD7N=UByM3zPPwl1L2L)Srd2^YJbw?`~ zq)S7(QIYsj<7j9d`snr)fnuXOVnEiO_9z;Y)9hOdgR^Bva&>eqIANR^m{y0(<2Ny2~0Ovt$r5 zyNXC9e0BFC@Qwzp!UTD%NG~Y5=yo?_A*eqP8I)#cw~Ai|2ANnU(o{QD^PMou$l2v_ z5G-86qZj9F`SOS#!e9oTo(B`67zjTJKY-bJTy-|&$5psjzA}D`#qc&5ZTJ+t#vL57 z)HX79E$^*=m|kt#Ak#r1NbtCUAXz&Tx@z{wNnh{7cLRRUmH+$_+tAf%+J%9dR?7bi?4}nzFjz^*$GiQ4K88OH8`}===C82$xxvBW=IqGlx ze+LKnH-jtr(NLJs1Z>900{owEOe@L;(|xdun2Ov(_!mdA2d`I6vb&Fpc z6^p*rBQ@Pf;{O7Z5cx12DX@q39ByoYV(8mS$L3u@n9Ugq#qbWGUj=H$TSP!RQeIki zK>J0!qS1PzxfBx8fca!1m-g(ee){t5>+P2wQYj%)qGKZBUW3F>LmZk|Zy^CSYVB3M zVcx7UhJNi~>k-dbedH#oI{|hJa{;hL_A$&Hd3-V+2##H&bSE zuGKVAc1=+rYN9~^?B+lLu3J+StUvtg{@dMGM5(>IzyIf_yBBr+0a*Rv``7$(k6Gv- z@y`#QKSx0a&U(>9pzQr)om@^QS=?UMJH`P!s9S<;`4jOOcQ&B_C!JFjUgTullW>GzkOaFry+IR} zt`VmN?QuD39uiaf@Fy%cxmH+VI;x8@$MLjf3ZnHLg-<+8HuGXngWniTasvw#KB5u3 z`R(@IKkwd017817!Vefv7PSJ#7iINE$zWh|Q@x;b$hW09L3YMRDA{i2cxL2sqgkNu zJWo{3LblQ7_3Je%d_|8~YslZ}!gQPu5@HBp!PJmJ0;nu#+xW~jS;0~ zxR^E2q2S7%kmc*X^46%ly2WNLfXTLYFVhiAt13pgasfX`7a+V%Q;oUB^JOLsZ?;`{XOL(hAbO z2I2mYUXz&Sd{mwnMHtFHxHndMXb%-OwJ=Gy zlSJQ%`jSK)mnp!*EzG=pO%aQGeYAiIrU6-L+l>kuLwrS$CSib(=S{tG89L6aMl&oQ zfw3%C2EDjYwd`Ru`+NO(2l^Z6EAz6n(|Br8tm|(NkWM~){`AkggAZRACH&&Ur-#R< zKW-oJJ7b3%5&0kPAHLi^;oKqj=)HA~1RuS%m);9d^IJ{6&cM$r64xq3O;i5tO zQGM30f4xR8o8Dj|R#VthX;fo+F+$@CCWg&k69Z@HCxW=2AxN~FuyeH99knS_Shu=6 zmQtqdu70gA!c#Q$;$|@D56$1Eh6n5=?GFJ4#q5y{6@aiXNuf|=GVdz@CIE`4nD1!Z zvPE!s_6)dZINkOfJ>2B$^D>Y5fNo_%64VVOfPfm0 zCTi?+m0@O^;HNS7Il4hN9ll_(zR^Du|&2UDP5U zA!Z-MU!p8vMz-iRL1LPXC=30Ve$fBS>x8K5?2f4VR7i@nz z?qgrBPcs-#u6ICqIz!=`Y!Z!`PtW(L?I!QK*Rkwa@~8()8#htu^!|!==2i zyew8LPAbrG+a(0%!uf&nu6S9uj^LOOapb#REsu_&R~Edql8MaE!C`3;FpHd)?-?fB zAa8Fb-8*6%kCb6uM99N#VN?!4UW;Uq@hn4Ps%Ajq3{3>PZJ+~BvMGuvuI}5Z;h$Rj zg0ZjCSW4pRP=K;VO`O-P=K_J~WWGxv;wZYO?vi$7%I>rqnX)_W#$L2juCh1npEH?@ zWEJ2;_6l}HF_y`pgCILRaGMPt67EqN)U^F&ekKu!kc%^OvR!I^4A8-Ia)CjgcpbYrjpT*YY$ zU|~Z8B1M}mLPiqr3k|ltjWArY&AZof)AUc7V#uLlV#{C!DRC+dG-sjMW7KI!W ztiv{q{1^yt17Hcyr|P4sE7zbjzAqS)RW=IZqZKv+T^L2je{W=-RO>stP(u)CB08EP zjXK$5Ssl8zplQpiKvmL<1!|HF>xM=9MrT8bpXevSb`si48}tNGU%-Ak76hju!*J!1rzO{@}#&dY9E(9XG3=uLA}kkjFp#S(3swt25nuOpL&9;G@Ydf z3if1(_5m=WF=aTj<|>OD3N#>`79K{KJ*k1$>{Tv3I$fa%5D%D8#&vb0Z7>1hrfRtC zL1ZbYKjeZd7#wJ8<+ge3#k@ZaN-#^&Yvcs9ir!AWDSK#T{7G^HOj+&M;Vj%J)uxnr>j9iq1Ll!C+Bm}o;L_V z*`WWZaSAo&CK2@gQP`1DZ=|ua;gYCqPIC)4s{I*T4Fm(Q)EAr)Po2f6!-vz_E~6=F(* z1+r6|#(gOQ5fw`?N>Tp>lpxt*V@(we+cy9Nk+vLNJ*&UGeSCPj|JS?6`tb=pH!=z= zD`z%C!1sg%OQToiB&b^vz;lSuPFEKj*mQvSWrJ9LNem^Hq^B6~UnUXaWha9$x69RB+ z7$NY3y+9#z3ek!iprsG>Z`(mKQr*MyGv zd?ny)b^Z1J)7Q_RzM_~BkR)!9zXUE%2aEEcegN5ziCfr*%zLf1awPhP4w0+N*N}8n z_Hp}HP%tF+=}I?GYzZ5dgve|O6nABpE!RSSc*K~onFaZp#m6PuU13z0Pms%SB$IQp zkpk6e-7ODo2NU}ul?+IKChi;3Y$CrKrU57e2t*Ch#Rd7{c)z$l1XVRym5$X&$Yx~2 zu#|ZeK3MkFg6JeCsqn$=Im98Qv4zT_xkyQXRGmh3Hk$Yy#9G(&cu07hNsA0TD9Hq3 zIH6dOoOnTW2-Ec0jmR*Rad7(B7?F^B-a`vYaQ$|L2!mx@p>`?MIfi@-|9?fQXJWwm z(t#lY&-OJu`!Hn%XPv^GUe?88e@u%)T0to2+qkMzDF_&?gaYf)5=sa2F`<9lsCaZi zTgF*AololMG(H-W0tOr5Q$&U|W74?DjT%B)P;~GB0Vx<#oNyvX2#IXTNtP$*x2i5C zO)#PVjKMBxdtIG`ZJ8Mv*`S+vJr z@RMCYmTRsCnUsCu(2X8=>}Qw|$u)xIC>dF^s-Cg~EmUaYiYbN8PaIyjiZCW`S|wx2N#J|KXd?GZPdK$0WpP=?z(?&{^+Bo zi2|NPIk2rQY?!F31`?FiQWF#fg_78EBLGX*wGO!LDS(V=sQ+`{tgve=M+z6>4WVB)n&5C6p6JoJrmO^TBj`oyr(x|kk z7M8&j!K7|Df@tXR43hjpj-|wuU2&7g`7xbconQRMya@?UZnzd3lMH*JEsNbE5LLm* z3Wx^kqec4^2`#U;4(rZE&2$=XE5xc8E=jX z$Bv7>db6|xa_t;p{<^O z2CQi)>&;==DW`x|=}DkO6jfLWqdsIEjlO;Q`sMo%_V%o`5buYF`!Ds!Z=ddK41qy> zz4vC~x1axf{PYObE^jW52~WR2+}*yfA8$Y0J^fkVe$|;C^CqB0aYP6Ueui!aAo{5d zVDsj3Lk*>A8<6V?1u3mjLs#s;Wd}o-j%3iwU)T|P$^!0a$z){RtmY?|XVER%IimnR z-E$R+ys!*u6oC;6A`Eh{3dVBGRja;_5tRyMPxnz3%!y@GVfnbZKpFl;Cd8Vk9tU;% zY~?XS4i!qYP5~TN!!=q+;7Ae#)UIlfHUe{L589Jy^fEa08!H{Z7`bVeS)Y7-K1LznpU#W z?Ll3Ed*M~4lVJTQn3e_$8&jO7`;v;RqDL-~zPnA{CBAxhDh%!TBUP;tioqBA13tsY$@bq^*VqnV8wDen`#kqYp1KjHN+_ zSg%ov`4=-8vnq4^_1F08FN^p)ibJbO8nb_005@4|k>>wI9HKx3MkNVJGECOT;iO0mO%4Fub3%ET;u~I&Vagfq` zvkHoxVh^$u!&@A+!^jTwk8|`bYe3;TzUrkU$)nXK7O&%Zt7kteZ_yJacb*isK*Ynv)iuv^)>qo2 zHYxPDx!6Xtl-cJ=eX?>z+~ z%F6^^73`G$J49!b(gk7W}@YiZDQ>gB+x0(<<%PAdo@qMBO}&}g_|{8=b<}5 z_88va!EmSw9YsT9H&LG(ki00|Hw*b@yotwyv$;b4kpr#Spi&N&&XOG=pSWCt-vt>lx$4l+8k6VP%2WYhR0XZy?Fv%6rs+Yc{Y8uEw#ip^ zzwQ9*$0euVF+(5DwNnkRQ35myx7pPKTg%7!xdAAM!tU8g7GWThj_LwDgE3Ux3>i`q z=`(iiEmPakQ_vo(P6}V>is&Sk?Izw7yBVSGhrAMt+reu_qy8BDiS$YIAgD+14x&-e z{2VbM$cS=xL)AyLbci)WRn`GqTX-<3RDp+~-Z$G2xVlZkmE?*LLcLNf-o#i{#L$Mg zM_QZ>2u0FtnhXMiaBDOR);XRxOKN0u1kn;*f7(^=p_;~A*v>7UqT+*a>8!qin-0I- zKRnS;Q-4Fp6LIj^b|D=XTNa2daU&T~*m2IPqR>I6S^8$fy4(4r>{~?a|85TWsl0Fs2}r! zupBQ`8G9pImwC^^B0S2ew;8-U@F|>m222P&6UT*LjpSB6rhbR)2f%JfA48W(1cN&b zA{ZBQ2!UfZ?ajRUoHdQ@j`~x?@FPBXHe!bq%1}^Iyx}{S6x)R6a>meWs`Qzd1 zh^|SrM~XD0MM)JvY1!^{){8o~2=GtzWn;lUP`%X1UUgKG)9Zf3?YrcxNc44zyBrchVMeu|>)~yY|W3xzsA}g=Soc#3Ekx-U~3>?(m9AX1r!5L=vv`6$7 z7S#Fj4GnZ2>MwU+-rhc-rZ{4&9>3sk@2zw2m)>7`|3m)w7fiZ;`13Ep4Qxg(l&7oE za1bG7;`RBXN**h)0$Z7^$i9TfrM-B2dnngovn!@Jo-72WcJO`<$p zkl;Ed5_Cj8w?v;fDybVY*y8%8H6Q1qRK0OWWr`u1s_oqH3nVP-O>GVzrm0Z%r|E!(f~23 zk*zWu+?>4>;PG}c;JN7z1FVt}-CPoHcg4|oCqdlmfRal7p8uymJ=h>2Af7u*vI>;l zlRlfy(gh@s67o!?6$Fi8V#(Tf%+kkDsv!ZlLQ(}mbkrZPWC1CNkrtL>;N2eR9)Qzi zjn&bJDMBtB28nD`3j!t}f=vkxO_&5t zQ>oQuyuJ)1zA#oG@TFNw-Wxq5LFSMe1Eg<(ptqnA_-^Dvh1HY>3(F}^)Abk{YILE- z9{LH3cB}>)^PKAm^OjVA9Crwidfnx`_FZ-qlh7FF9A1;&(6U&wC~6mb^h44{0)nZ} zgJC$r58I#$7WV@d8-EGj_3fh4N-u~6Jh-8$``@MT%k%#lpfV|D<7u)naKFf-U~~-% zhK47^lV}W-PN6x)PJn?TiCs~?5f+clo1V%E{jfosH*SHD`A!LfXjLs;_^bx8L842c zXn`f`kA{n&uzMZ?KQ9i;0U@8{{rz{iCJJ@aP<}TfBP?XoR@(fM?`t$2EksQ-o7H~1eRx8K^833FNc(t?<@EmT-+H{rpI~6L-^W2b zqB)`6PDdRf2Bj2pQX^l$oBlv7{o`qGtW}<1P5@jr-E5+3atDZ)1RkP_Sos*n(lOxl zuQ)6iCf1BaUwQ^lrR2s4kkSlU$@)p^Em_rM*gme$*T?hWV05);C_MW^gm-ueRe)Mj zL^Ib1fFWqdg;9kGey>nt0x<)!oH?7zqdhL0Vc#7hi&gMIXmRq+&N=#Kv&Bh<*ZLZI z>CM{w_ICa;iW>Wf_G4njY=(~}Lw>~S4Gw^#h-`uJ^%Nl*Y_K4a+xaDj@qDfTH@yX4ZXf>{ ztnHJKE)j#S81_9OEtT8ee*g3tZSK;ms+=U99+kTVmw*K# zP-mNs>5_`6LZO8BE4Z0`|=XiL;RNjq{Yz!jF#sqh>Gvb!!np;UP01s zCpfI=HH%iGg5fFHriXj&MleL_>6s3}0G?1fV+Qn~mdg{7TuF*QJXg(t)`NGL>fXJCd)srd z(u)lPQ+k6%>|HHjNt244O7tT^VnrB~6{xCQ7MeDKWn6-TPdOR@h!%hk%UVw@Br$hS z+XjlI_;_=9bG3yT#$<0f5)%Du+M*JoQyf=vgSBoD*TY~H{QM<;-q4*~lHEf^Siu8G zL=X<|@(M%RulqGZ^F%_uK85fu5TUfo%^_eftM%FZxBBcC`*2FfZF+zuwduhT>47`_ z)ENx+vbhAGNt?8F&L~i+?zu{-@U4gkM15zphP*h2!Ngf_Jfvt|?8jc&0X-UiBYZ5j z`gn|>m@a2429K-5U8Tk_15GDbG*v~?Q3zK6$zHR`FPwt_?enL%3OF!ZqL!@mDJQD5 zqYW~Rnp0qAkb~q;SRfN%DvT;9r7S9l9WWhF7fC!ZB|JVD@%)g3qV!dj*`-8qeM}Gb6TF*d4^QiJ#FaZOFOq2O*DAibiio+o#Giqza3d zmEVinh2UV`Z~{LegCs}X9@G=QzJjTDeMpA#;@yk)FYaD^c=7SY?=L<*@7+RIev3cv z@aH}L+~Lm${P~DKzvIs*p&BjMostLuZ0`EPJXF6*R#Y0+H6frDxQ~d{)#c3sVrGqS z3KA#*Usw?kW04C=jX~LG=F=-GL1Tjn#k~ZHc~V!JqzgE_)i+n0Et=UuSWrv3y;;KF zg4C3}Rpa8?mZR1bE{)W76bx~wO4&mo3AGAXgT^ArvxdR2H&97ld}2ph9>Gi$n^ znH?;Dw85D=b?65!O_E(n5gL|rcG~&JdUKi3?F4{VC{Y8$1pcz^o+dHO=Bkf#Y}YO8 z8ugsP>6zh=EeS^bdfN{c-#G`yH%I`Q-vfYD`cd;KE5Z zS(0fjU56Qc{)*KE^{W{rMgVgE0c%@J8p;ONu#N#IXYFA*o**y{q)Qe}L^vXom(ptu zaVZ(1Dh)h=nd&c}{}P}v50|KzGFo&#SIQ9*5KZosB|7(3jslrIY;$X*u+!~1y4itv zx}}q{@@fv#hgENYgYLsuO(9XyiXsndgTx|}jq8QCH)rU(<>~^d%~J8HAv_3ur@R!r zHtKuqD9?}#7T#ZahLBVhUI=~Jq``Y#-vH_BBM1x7(#&9&<(s$wg;K&0 zE~5Zl%`EUIHTy!h+F|w;6?DQbQ4!h@GJa@?>U(YB);6!(GML7vrp{QIr{iWMr(@I@Up_V0!WOxivxg_fi)W z*c@+Rqx*7KBN+4fvo~V0oeyy@QU?}`-eeHi2n)#6i(|O5vjcZtk`Ak=s9z#JPh%KY zCqRM#T*Yy{)i-kNJC@mJs!&BX-^g(YebRHv`c3*V(JU3j86qQOhWg@Y^J|FXoePfo zWe17YJpvHE(7s3hXA3=yIoo<&pyHr+7z|0WP;4nmVAl4-%|nzJ@t>Lj3iIUsMi7>8 z#2sI5ej|RLUtR>`3###_cm>qBbp&|82m5tT`-aEFl#Xd0e!nc`Z=| z!WxXm>Jr*1qa#RurK|q(_L#ih&{Xz8@p!vD+oB_16Oo%U^z_KrVEaYkmrgipE8X&d!9emcu9 zEjQVxyDKA~p`V_d(VA@n62^;UR3j*2{gwW%IQZ_wJa%OGLJ<}BoIH^=8`@R76vDeo#(>GY!50;~_%-u}6jc(d8}>M{x)HpB;&Xx&V1POl8dPP& zt5GluO{rM8xrguvcww6%6f!y)AqfQ1=#D8+SohAsC+wXwt_G5D(%h{R1gF;UC7LOK zAJrsbM&a-+T_a9^n&WZ?Vyc!PiSdpSssc@Ll3ambz88!k0 z(cAnqm@ISnW&<+W093#`NNNnrkTA@IUUyAVszrQ-wQCftT?1IS5vd|(^xVrb(J-1k zb0csmDMPIi<$4@$?2Td6!_q`wL582kN99)-#R{luHSqK}G=_->=S_DU#7JuhUe6|* zBLq^Hb9#mJmB+-woB#P`h`*o$4MDfTF? z>b`k2Q0&7f|d%d07{+B8^+6R-oSeNruR2gH-xbq7z;}|(_phrRvxpu+@z6#9WxZUi8UZ% zh;-$>g%8BJuvjS#pk~{|T&NUlZ6E_tp%E6`?q{tHnH*z=)^N!T!vy{6a z{KKyzj$O3uweRLVW(=6EVd!=T2f0?LFcvNQ*| z=I9P5`XK$3@m5!eSmQaun8tiFCYiw_1UGi0ybvg!{MRTi!~>A0k)SaSW&>k1y2jPT%WHuIN{}QA_2fTD^a&9xzUA(3I;eBMw5`>#6Y;k7ABc?+{=s{Q0@7sP1kiS zu=fA5-zoD;wbv>03(DH;Iy-sMUZ)J!vd<}lwd|ilHwgWRPrrZv@)p(Oji^CjfC0l~ z`w_)6cjrNF+n(sgPsU@E?-0BPd9<7YmE2$`1cjlf>cO}xL?sz!c_LS7ieQwPW)g_gI3JK34#??C?hK)0Tb$ynN1UT3G(-P{ zQU5V(oMe0h?X7Rf9+qEBv@Dvq)F~~wHQNL}3$DodRy8%c~(g3J)#0y9Yz#$`nUtsj)~^n}qpT9dhmgaFe?I@OF>)9|Spz*`%u+PXH%9cM6XXDe5uSLn zK)6Mqacz@SHcfyt%I+4OvuhJZvI#04!+R<kqHpdLfK0zC?%F!U=Z=Yc)x**RTm%#s}fQAPtbRDtS}Y&g0{ zvXLpfB^#NtTe6WUlx$RuR%EpDUetiJ1xr>fN|V+ppdnf1$G_e`2Ct%IqUasLGv!{z zFs2(EVRxXBWjvu+d?2X!(4lEk<$0hXf>jXA`yZgPXd(;Ab2OKeTA^ytBdXA9Rqc-h z=%bqm2(jR2DBqeQriHQ+px7WWt5vgeUc_-=Gz}vv@%Mt@-yfzv;h}O3FpT8^v=auD z_P`=!=Q7S841(<;fxbL1w=jvoC;A60997JUg1p5l%SPq{9iz_;_RxfubxqL^K3n4x z&=-UWIE(_EUoig$e{AcUfgQ}>gOm^mR59P$V<>lQ%s_C*D6q@8jJPA~aC3*;@-^S3C_TeMr ziTD$t-n?`mzed>*H5}$h#=GzqY4kN?FHYw5sfM}E62=P?y}T{3Wz;AoY>Gp`crryF z{;H0xiPqXg8SeoTz}51cI*!4RuJeeZ?n!#^AZM?j{~`dti=J_~I^3!HaLS;MDNy`$ zQAuj57-o3gpc)_)X6rnEU$tLM?$x%IA9A=#Lg_uxrN{O%|F5>e#EBYO1Wq&D#W2Kd8 zwbej3+Cv4pQJAE3BkY&Km1I=0__tQ0wse^tv-CT2TLMZ#iDH{%db5S8IB=^5s>`sxI7jYab)?nx@P;HlTNo>xTxpgb9X#*Xr)a?LUm?{b&n}PYrbz>DzTW+K zDh1^5x<}<`SVB|N1p@(@#82OXJ|(-3B-N zT^df;9yFj8e{QVmB&?69g(ppQk;HoORc6=e&3HNzye=((Pd zd8yhQd~gxQ9VQ_zUCH;Q?O2q`)F)dKn?ONQR=@!pF92iLOa;iql`!lqjW+y=+Gs;q zBUFaiUT@Ju0KcPQfYLCHshuOekcT(Wp0pbN#2Ba`ut$?JcPvg20L}Gr06*&(0JN$@ z!}rRA!L;AdSPlE%Iiqj_ z-E;K}+^0mj8fGIX@$%bWrG^7sW#}rvMFsh5ljV$2F z0!L^$MU$Q~!Fi3~DKR>V#On3nDzq!Kl5oR5vU&+u7Du-@n_s+aHTTtI)NTQasjAiP zlC&~qx>LrIDYQW6bT`leq}miDEZN{}j&6?{6F^{7Wd`jHgJguvU}rdTKI&V0{d&EA z4G8EQ61;*fpglnlG9ewEy>Z9;eUKt8I7;FtxNf6@2^p3Z)x&QgtIh+0o5Qj=0_OE{ zqEb18ya1BX9yOfxX^(1u-Rmo(C@hE{XtxJQuTa4E^!k+5a!jekEHi4+=~@VPht1h8 z=g|)R5d4f&WnUE-Dy@>8{fps0DfFZ28|1uh=VBOF$Oy~w0-0)53zwbZ=gX=F!PpkU zd#ZtdLU@E%KtQhjzRH;hzKg;+)mcfYJ3TrI^fb_!WUxR=i_?^fDi)~6dD!wetHHL9 zfQH!I2KVg%F#jm%fmBtwy$49(t;tv>SyJW(dObL(nD^T|%*$ zn_+)P4jD(04M6HkzUmzaBrchaLeb4j7|c|_Lk(xFP6y}AsMzjWw~9H=2|vvqtxPJ; zQ}l$eoE5^OL=Qj&ejoQF4i82bkeTFiy%v@mBnlNx9D+L%&<{c7)Cjea5^#NH3^ENM zoMCaaaFpeFexg_gJuN%DEhMIx6{U77^f}Cd+@Kj+kcALX<8#@6@k}~8N!zG4eF^3f)4x8?h?6J z3~l$gv(nC4@-3h_PE==3Us~jLwm+^1O~>fBzPbK2>Lj9s&HICe6zWBd9Tf6A6aTA+ zJV`Cg^`!?a#l;L-sYf1TG~7%12gorZ{4$J|249*AP&QWIEO1Mt03zUblw`{tzsZmu zHaWUFLVzN?3xN#yFnz%=RL6&&Aibnza9qiDkO%ebQ#fYpW*Sm5* zNj^v5Qq!^Ib(u{_LPlO^{VPTzsdo`cfypz0FhHKUU~(p^HKR_mxM}$>@jd^o2PLvC z?l6y?AI$0^1f@mGfDNu1KauE<2X0@I)l&yDRz7V>spRC-aX5g?<2W5VCr<@LmK>X} zB+U2%o~F|+I0cL(XV;CE5#95g5_CLO_B&x60Y$8dwQPiHvM~vxdGJ(Z5e1Kf4?>Y| z2pMQnN$fR(#5B~<*Taa4-d}qFxq5-xo$5d6jfT+LsUgBZq4YAKotglLY6A4QDKQJ` zwFa^!)6E|N?>i}j7J?Mdd*Z`oj)7WCLYmM#Rw4)ttRUzRx^qWNVG_5Sq|!>1&X>R9 zfX{$h@$)KA1;R2?s!}xvnjhL2R~(k}q_BB&ft>za{|)8y(s3nxgl@B1$ay*Lx)-=L zE^tKpKy-3ToxlmvHOAtOwL)SE;v|qn;0^WcAb8g`i(#->VSR!eAU@ofveLisbW^ba zf}`2!2v8WLc9_+afd|$)S`s~-8g^FauYRIDKjz>lA^jmrtmcL!osI%`$Nr1-vASqS z$$0WcoS>WU;d=9n3na*(IXNl~b4yP)mlu~eNTl8~!BM_2O^yk8WsWRSe4A(69F}{S z6fr;DEWta)!?z|S0*$Q3H*ve0x)h|TXkrV8lFpK6r<;Tnp|Kns<0u1^7l$l5?eZPIaT7__6GxJTB&MwZClt?BQD`pc@VbuTNI zAk~bqO?3~Bhr5TbVsVq+Rj7M~qfgF(wzyk|fT|uj^AHnwYU{kuYe-ARiLU zXfo*5B*G~;Ct%C#3UBd?drA00GL%FlfPU}_kkK{bB&aklI3b}|ITBFN60NXt@_^eW zP$GxF4U^J%+v-VFH?S=iYk|+Ne|)+7csm)*(d5IDS=$xEZ59k_G7ZWVnsFguZ5%VI zk5<~dH0@YWrA=$itT~9vuSv+&VNpbm>Pu$q!etkc!F10CP=fWRHwMS0V~cgguNPU| z7*#t&hvB4@D#zv;KH?zNI$%><%q{?=XhMLTBg1`?lJ=~(zm~QQ0s$JUM4J(G_hAUX z5Gw)-WX8>e&xB`8@if?=fdzKRi7{FG$tCnovRt?4s6-*ZIqK|~p!NS= z@AKql?>?h;0+RXnK8np6_U-j>F#d@t{hyh$cZ=|@{?9C^zyH+msGoV=&%EttUZ!<= zcRF zh{zo>h0Yo7pR*rUEj4lnQJ4{L(i{UxSve0*@PcStjifUkx4RZIL0uSw!6Bo&e`KNgqO0BP>R3O7O&{)~2*ei}=@I z6GfgNqrLb;TExh2Y$}plh9m_|MQgr|VaUNYJqTs0V0D_KK$X|DYYjAP)6MZ5Aapmn zol4b6G}@N6gc&wW!ql)Zr~t@o(yIIcszN{*V%`~i<7#;5eBl}YrlnC;#l|2zMz#t+ zUAbh!43SDl*9}*`90xT?fsHQROO2a4MUPT-y`fn*WZATrM?G(_?2AR4k@nS&lxU9b z&Q#}&-I?k#Lo(F?rYI2xxMO-TJlz2TRs%-Ys#k0<@RSK@oDfa5sCC*h)ut}%^0-?{ z+*sS1MIBLd7)FQi&AFnCC{K;BD;&C3RN}7$j?Ed7^lT_t!EIuGrxPYLRsWH8ZG|#81p~9W!(jG;yVVb%}D` zOjWUj71%T8o8xU_SdlM8QD&Bn%?&GYL?6j5v9&Bw(23E4Ecns9tdn(X7(759)hqae zduRekWQog`wl1>ya9^RlNp2!x4VG%jNR;UAiD7ZgAcsMJe@q$XDE%-{TB4d}Qol@{ z+6heAxWL1AoF@{fV>MxQ?W|YFe8lFIP4sH~3j|uJ9MX%$`O}`^Xi}UfFQb(S7y<+d zwkmJDl$?KSOm|)h5+kPxcVSnf18*>zNbMa%lRV4OPt~!bF{-b~tvFKAn*(&W$NSn8 zgmt8%zo(d!g&Dp*ollZ2a`S(dj>m8iJw*nQxcNFM#LhDG6T>pbexo1oD|nI-sD)ia zmIbOP!D~U(MO4{WtBG2p%J1j5Xy|c(Y-6d0cDnhs=J~#0?>7K28*H8};9pUX$ZCC! zq>bnQiD*GR3|Im|P7$A*LpahgQKyhSgpFvhgHTTcn|?(e5=rpu%>uu|Dz=@oV}!hrlQTH4Q_wvkK*i!odt?QUQv@ z>J&X36xE=!5pJOT5f)4kXfPrLFi3!S?|&Rfj8Y6s7*`Vc zT|l~E!$wuwA8iM&UGn1zqDC5}A|5}4We5%W6>YmRbki3c